From a5bc521f86519696f7172dcc3974ab20d4d3f8c5 Mon Sep 17 00:00:00 2001 From: t24autul <teodore.autuly@imt-atlantique.net> Date: Mon, 12 May 2025 11:05:29 +0200 Subject: [PATCH] =?UTF-8?q?Simulation=20valid=C3=A9e=20operativeunit?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- proj/AudioProc.cache/wt/project.wpc | 2 +- proj/AudioProc.cache/wt/synthesis.wdf | 52 + proj/AudioProc.cache/wt/synthesis_details.wdf | 3 + proj/AudioProc.cache/wt/webtalk_pa.xml | 21 + proj/AudioProc.hw/AudioProc.lpr | 4 +- proj/AudioProc.hw/hw_1/hw.xml | 18 + proj/AudioProc.runs/.jobs/vrs_config_1.xml | 15 + .../impl_1/.Vivado_Implementation.queue.rst} | 0 .../impl_1/.init_design.begin.rst | 5 + .../impl_1/.init_design.end.rst} | 0 .../impl_1/.opt_design.begin.rst | 5 + .../AudioProc.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 + .../impl_1/.place_design.end.rst | 0 .../impl_1/.route_design.begin.rst | 5 + .../impl_1/.route_design.end.rst | 0 proj/AudioProc.runs/impl_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../impl_1/.write_bitstream.end.rst | 0 proj/AudioProc.runs/impl_1/ISEWrap.js | 270 ++ proj/AudioProc.runs/impl_1/ISEWrap.sh | 85 + proj/AudioProc.runs/impl_1/audioProc.bin | Bin 0 -> 9730652 bytes proj/AudioProc.runs/impl_1/audioProc.bit | Bin 0 -> 9730758 bytes proj/AudioProc.runs/impl_1/audioProc.tcl | 289 ++ proj/AudioProc.runs/impl_1/audioProc.vdi | 762 ++++ .../impl_1/audioProc_bus_skew_routed.pb | Bin 0 -> 30 bytes .../impl_1/audioProc_bus_skew_routed.rpt | 16 + .../impl_1/audioProc_bus_skew_routed.rpx | Bin 0 -> 1091 bytes .../audioProc_clock_utilization_routed.rpt | 252 ++ .../impl_1/audioProc_control_sets_placed.rpt | 110 + .../impl_1/audioProc_drc_opted.pb | Bin 0 -> 37 bytes .../impl_1/audioProc_drc_opted.rpt | 102 + .../impl_1/audioProc_drc_opted.rpx | Bin 0 -> 9906 bytes .../impl_1/audioProc_drc_routed.pb | Bin 0 -> 37 bytes .../impl_1/audioProc_drc_routed.rpt | 113 + .../impl_1/audioProc_drc_routed.rpx | Bin 0 -> 11539 bytes .../impl_1/audioProc_io_placed.rpt | 526 +++ .../audioProc_methodology_drc_routed.pb | Bin 0 -> 52 bytes .../audioProc_methodology_drc_routed.rpt | 628 +++ .../audioProc_methodology_drc_routed.rpx | Bin 0 -> 115699 bytes proj/AudioProc.runs/impl_1/audioProc_opt.dcp | Bin 0 -> 327429 bytes .../impl_1/audioProc_placed.dcp | Bin 0 -> 535166 bytes .../impl_1/audioProc_power_routed.rpt | 161 + .../impl_1/audioProc_power_routed.rpx | Bin 0 -> 528268 bytes .../impl_1/audioProc_power_summary_routed.pb | Bin 0 -> 867 bytes .../impl_1/audioProc_route_status.pb | Bin 0 -> 44 bytes .../impl_1/audioProc_route_status.rpt | 11 + .../impl_1/audioProc_routed.dcp | Bin 0 -> 612709 bytes .../impl_1/audioProc_timing_summary_routed.pb | Bin 0 -> 109 bytes .../audioProc_timing_summary_routed.rpt | 3010 +++++++++++++ .../audioProc_timing_summary_routed.rpx | Bin 0 -> 257682 bytes .../impl_1/audioProc_utilization_placed.pb | Bin 0 -> 276 bytes .../impl_1/audioProc_utilization_placed.rpt | 229 + proj/AudioProc.runs/impl_1/clockInfo.txt | 10 + proj/AudioProc.runs/impl_1/gen_run.xml | 186 + proj/AudioProc.runs/impl_1/htr.txt | 10 + proj/AudioProc.runs/impl_1/init_design.pb | Bin 0 -> 6184 bytes proj/AudioProc.runs/impl_1/opt_design.pb | Bin 0 -> 15461 bytes proj/AudioProc.runs/impl_1/place_design.pb | Bin 0 -> 23068 bytes proj/AudioProc.runs/impl_1/project.wdf | 32 + proj/AudioProc.runs/impl_1/route_design.pb | Bin 0 -> 16989 bytes proj/AudioProc.runs/impl_1/rundef.js | 45 + proj/AudioProc.runs/impl_1/runme.bat | 12 + proj/AudioProc.runs/impl_1/runme.log | 753 ++++ proj/AudioProc.runs/impl_1/runme.sh | 44 + proj/AudioProc.runs/impl_1/vivado.jou | 24 + proj/AudioProc.runs/impl_1/vivado.pb | Bin 0 -> 112 bytes proj/AudioProc.runs/impl_1/write_bitstream.pb | Bin 0 -> 9862 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.Xil/audioProc_propImpl.xdc | 55 + proj/AudioProc.runs/synth_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/synth_1/.vivado.end.rst | 0 proj/AudioProc.runs/synth_1/ISEWrap.js | 270 ++ proj/AudioProc.runs/synth_1/ISEWrap.sh | 85 + .../synth_1/__synthesis_is_complete__ | 0 proj/AudioProc.runs/synth_1/audioProc.dcp | Bin 0 -> 153645 bytes proj/AudioProc.runs/synth_1/audioProc.tcl | 134 + proj/AudioProc.runs/synth_1/audioProc.vds | 725 ++++ .../synth_1/audioProc_utilization_synth.pb | Bin 0 -> 276 bytes .../synth_1/audioProc_utilization_synth.rpt | 195 + proj/AudioProc.runs/synth_1/dont_touch.xdc | 7 + proj/AudioProc.runs/synth_1/gen_run.xml | 118 + proj/AudioProc.runs/synth_1/htr.txt | 10 + proj/AudioProc.runs/synth_1/rundef.js | 41 + proj/AudioProc.runs/synth_1/runme.bat | 12 + proj/AudioProc.runs/synth_1/runme.log | 717 +++ proj/AudioProc.runs/synth_1/runme.sh | 40 + proj/AudioProc.runs/synth_1/vivado.jou | 24 + proj/AudioProc.runs/synth_1/vivado.pb | Bin 0 -> 84640 bytes .../sim_1/behav/xsim/audioProc.tcl | 11 - .../sim_1/behav/xsim/audioProc_behav.wdb | Bin 119669 -> 0 bytes .../sim_1/behav/xsim/compile.log | 8 + .../AudioProc.sim/sim_1/behav/xsim/compile.sh | 2 +- .../sim_1/behav/xsim/elaborate.log | 29 +- .../sim_1/behav/xsim/elaborate.sh | 2 +- proj/AudioProc.sim/sim_1/behav/xsim/glbl.v | 84 - .../sim_1/behav/xsim/simulate.log | 1 + .../sim_1/behav/xsim/simulate.sh | 2 +- .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 444377 -> 16154 bytes proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb | Bin 4806 -> 1988 bytes .../audioProc_behav/Compile_Options.txt | 1 - .../audioProc_behav/TempBreakPointFile.txt | 1 - .../audioProc_behav/obj/xsim_0.lnx64.o | Bin 809360 -> 0 bytes .../audioProc_behav/obj/xsim_1.lnx64.o | Bin 351296 -> 0 bytes .../audioProc_behav/obj/xsim_2.lnx64.o | Bin 395784 -> 0 bytes .../xsim.dir/audioProc_behav/obj/xsim_3.c | 1437 ------ .../audioProc_behav/obj/xsim_3.lnx64.o | Bin 96880 -> 0 bytes .../xsim/xsim.dir/audioProc_behav/xsim.dbg | Bin 90352 -> 0 bytes .../xsim/xsim.dir/audioProc_behav/xsim.mem | Bin 177572 -> 0 bytes .../xsim/xsim.dir/audioProc_behav/xsim.reloc | Bin 301307 -> 0 bytes .../xsim/xsim.dir/audioProc_behav/xsim.rlx | 12 - .../xsim/xsim.dir/audioProc_behav/xsim.rtti | Bin 1191 -> 0 bytes .../xsim/xsim.dir/audioProc_behav/xsim.svtype | Bin 140 -> 0 bytes .../xsim/xsim.dir/audioProc_behav/xsim.type | Bin 8344 -> 0 bytes .../xsim/xsim.dir/audioProc_behav/xsim.xdbg | Bin 83672 -> 0 bytes .../xsim.dir/audioProc_behav/xsimSettings.ini | 50 - .../behav/xsim/xsim.dir/audioProc_behav/xsimk | Bin 1280104 -> 0 bytes .../xsim.dir/audioProc_behav/xsimkernel.log | 7 - .../tb_firUnit_behav/Compile_Options.txt | 2 +- .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 383088 -> 19832 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 630 +-- .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 46472 -> 5704 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 37088 -> 11176 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 85182 -> 3763 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 142624 -> 1156 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 4 +- .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 633 -> 603 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 7552 -> 7048 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 31264 -> 23424 bytes .../tb_firUnit_behav/xsimSettings.ini | 22 +- .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 319288 -> 31912 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 10 +- .../xsim.dir/xil_defaultlib/audio@proc.sdb | Bin 10220 -> 0 bytes .../xsim.dir/xil_defaultlib/audio_init.sdb | Bin 15236 -> 0 bytes .../xsim.dir/xil_defaultlib/clk_wiz_0.sdb | Bin 1779 -> 0 bytes .../xil_defaultlib/clk_wiz_0_clk_wiz.sdb | Bin 6206 -> 0 bytes .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 8640 -> 8640 bytes .../xsim/xsim.dir/xil_defaultlib/debounce.sdb | Bin 5928 -> 0 bytes .../xsim/xsim.dir/xil_defaultlib/fir.vdb | Bin 10509 -> 0 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 10442 -> 10543 bytes .../xsim/xsim.dir/xil_defaultlib/glbl.sdb | Bin 5707 -> 0 bytes .../xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb | Bin 20324 -> 0 bytes .../xil_defaultlib/operative@unit.sdb | Bin 209427 -> 0 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 16091 -> 15008 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 7674 -> 7674 bytes .../xsim/xsim.dir/xil_defaultlib/twictl.vdb | Bin 40443 -> 0 bytes .../xsim/xsim.dir/xil_defaultlib/twiutils.vdb | Bin 1936 -> 0 bytes .../xil_defaultlib/xil_defaultlib.rlx | 14 +- proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log | 8 + proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb | Bin 16 -> 1198 bytes proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb | 4 - proj/AudioProc.xpr | 13 +- proj/tb_firUnit_behav.wcfg | 47 + src/hdl/operativeUnit.vhd | 80 +- vivado.jou | 213 +- vivado.log | 3865 +++++++++++++++-- vivado_233430.backup.jou | 86 + vivado_233430.backup.log | 630 +++ vivado_379046.backup.jou | 23 + vivado_379046.backup.log | 23 + vivado_379771.backup.jou | 67 + vivado_379771.backup.log | 397 ++ vivado_390034.backup.jou | 42 + vivado_390034.backup.log | 105 + 165 files changed, 15489 insertions(+), 2706 deletions(-) create mode 100644 proj/AudioProc.cache/wt/synthesis.wdf create mode 100644 proj/AudioProc.cache/wt/synthesis_details.wdf create mode 100644 proj/AudioProc.cache/wt/webtalk_pa.xml create mode 100644 proj/AudioProc.hw/hw_1/hw.xml create mode 100644 proj/AudioProc.runs/.jobs/vrs_config_1.xml rename proj/{AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log => AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst} (100%) create mode 100644 proj/AudioProc.runs/impl_1/.init_design.begin.rst rename proj/{AudioProc.sim/sim_1/behav/xsim/xvlog.log => AudioProc.runs/impl_1/.init_design.end.rst} (100%) create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.route_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.route_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.write_bitstream.end.rst create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/impl_1/audioProc.bin create mode 100644 proj/AudioProc.runs/impl_1/audioProc.bit create mode 100644 proj/AudioProc.runs/impl_1/audioProc.tcl create mode 100644 proj/AudioProc.runs/impl_1/audioProc.vdi create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_opt.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_placed.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_route_status.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_route_status.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_routed.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/clockInfo.txt create mode 100644 proj/AudioProc.runs/impl_1/gen_run.xml create mode 100644 proj/AudioProc.runs/impl_1/htr.txt create mode 100644 proj/AudioProc.runs/impl_1/init_design.pb create mode 100644 proj/AudioProc.runs/impl_1/opt_design.pb create mode 100644 proj/AudioProc.runs/impl_1/place_design.pb create mode 100644 proj/AudioProc.runs/impl_1/project.wdf create mode 100644 proj/AudioProc.runs/impl_1/route_design.pb create mode 100644 proj/AudioProc.runs/impl_1/rundef.js create mode 100644 proj/AudioProc.runs/impl_1/runme.bat create mode 100644 proj/AudioProc.runs/impl_1/runme.log create mode 100755 proj/AudioProc.runs/impl_1/runme.sh create mode 100644 proj/AudioProc.runs/impl_1/vivado.jou create mode 100644 proj/AudioProc.runs/impl_1/vivado.pb create mode 100644 proj/AudioProc.runs/impl_1/write_bitstream.pb create mode 100644 proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc create mode 100644 proj/AudioProc.runs/synth_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/synth_1/.vivado.end.rst create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/synth_1/__synthesis_is_complete__ create mode 100644 proj/AudioProc.runs/synth_1/audioProc.dcp create mode 100644 proj/AudioProc.runs/synth_1/audioProc.tcl create mode 100644 proj/AudioProc.runs/synth_1/audioProc.vds create mode 100644 proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb create mode 100644 proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt create mode 100644 proj/AudioProc.runs/synth_1/dont_touch.xdc create mode 100644 proj/AudioProc.runs/synth_1/gen_run.xml create mode 100644 proj/AudioProc.runs/synth_1/htr.txt create mode 100644 proj/AudioProc.runs/synth_1/rundef.js create mode 100644 proj/AudioProc.runs/synth_1/runme.bat create mode 100644 proj/AudioProc.runs/synth_1/runme.log create mode 100755 proj/AudioProc.runs/synth_1/runme.sh create mode 100644 proj/AudioProc.runs/synth_1/vivado.jou create mode 100644 proj/AudioProc.runs/synth_1/vivado.pb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb delete mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/glbl.v create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/simulate.log delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini delete mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb create mode 100644 proj/tb_firUnit_behav.wcfg create mode 100644 vivado_233430.backup.jou create mode 100644 vivado_233430.backup.log create mode 100644 vivado_379046.backup.jou create mode 100644 vivado_379046.backup.log create mode 100644 vivado_379771.backup.jou create mode 100644 vivado_379771.backup.log create mode 100644 vivado_390034.backup.jou create mode 100644 vivado_390034.backup.log diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc index 6888ede..0161c5d 100644 --- a/proj/AudioProc.cache/wt/project.wpc +++ b/proj/AudioProc.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:2 +6d6f64655f636f756e7465727c4755494d6f6465:6 eof: diff --git a/proj/AudioProc.cache/wt/synthesis.wdf b/proj/AudioProc.cache/wt/synthesis.wdf new file mode 100644 index 0000000..e635264 --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis.wdf @@ -0,0 +1,52 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:617564696f50726f63:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:343030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:35:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6e655f686f74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a343173:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323731322e3632314d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313031312e3136304d42:00:00 +eof:1299158187 diff --git a/proj/AudioProc.cache/wt/synthesis_details.wdf b/proj/AudioProc.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/proj/AudioProc.cache/wt/webtalk_pa.xml b/proj/AudioProc.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..c6f41e7 --- /dev/null +++ b/proj/AudioProc.cache/wt/webtalk_pa.xml @@ -0,0 +1,21 @@ +<?xml version="1.0" encoding="UTF-8" ?> +<document> +<!--The data in this file is primarily intended for consumption by Xilinx tools. +The structure and the elements are likely to change over the next few releases. +This means code written to parse this file will need to be revisited each subsequent release.--> +<application name="pa" timeStamp="Mon May 12 08:21:55 2025"> +<section name="Project Information" visible="false"> +<property name="ProjectID" value="842adac524d141eb8a237c087711fc89" type="ProjectID"/> +<property name="ProjectIteration" value="1" type="ProjectIteration"/> +</section> +<section name="PlanAhead Usage" visible="true"> +<item name="Project Data"> +<property name="SrcSetCount" value="1" type="SrcSetCount"/> +<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/> +<property name="DesignMode" value="RTL" type="DesignMode"/> +<property name="SynthesisStrategy" value="Flow_PerfOptimized_High" type="SynthesisStrategy"/> +<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> +</item> +</section> +</application> +</document> diff --git a/proj/AudioProc.hw/AudioProc.lpr b/proj/AudioProc.hw/AudioProc.lpr index afc0a86..aa18adc 100644 --- a/proj/AudioProc.hw/AudioProc.lpr +++ b/proj/AudioProc.hw/AudioProc.lpr @@ -4,4 +4,6 @@ <!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> <!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> -<labtools version="1" minor="0"/> +<labtools version="1" minor="0"> + <HWSession Dir="hw_1" File="hw.xml"/> +</labtools> diff --git a/proj/AudioProc.hw/hw_1/hw.xml b/proj/AudioProc.hw/hw_1/hw.xml new file mode 100644 index 0000000..2cc8b5c --- /dev/null +++ b/proj/AudioProc.hw/hw_1/hw.xml @@ -0,0 +1,18 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<hwsession version="1" minor="2"> + <device name="xc7a200t_0" gui_info=""/> + <ObjectList object_type="hw_device" gui_info=""> + <Object name="xc7a200t_0" gui_info=""> + <Properties Property="FULL_PROBES.FILE" value=""/> + <Properties Property="PROBES.FILE" value=""/> + <Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/audioProc.bit"/> + <Properties Property="SLR.COUNT" value="1"/> + </Object> + </ObjectList> + <probeset name="hw project" active="false"/> +</hwsession> diff --git a/proj/AudioProc.runs/.jobs/vrs_config_1.xml b/proj/AudioProc.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..c755425 --- /dev/null +++ b/proj/AudioProc.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log b/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst similarity index 100% rename from proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log rename to proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst diff --git a/proj/AudioProc.runs/impl_1/.init_design.begin.rst b/proj/AudioProc.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..2ca47d9 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log b/proj/AudioProc.runs/impl_1/.init_design.end.rst similarity index 100% rename from proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log rename to proj/AudioProc.runs/impl_1/.init_design.end.rst diff --git a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..2ca47d9 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.opt_design.end.rst b/proj/AudioProc.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.place_design.begin.rst b/proj/AudioProc.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..2ca47d9 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.place_design.end.rst b/proj/AudioProc.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.route_design.begin.rst b/proj/AudioProc.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..2ca47d9 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.route_design.end.rst b/proj/AudioProc.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.vivado.begin.rst b/proj/AudioProc.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..680bf4b --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="t24autul" Host="fl-tp-br-543" Pid="382614" HostCore="4" HostMemory="16297152"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.vivado.end.rst b/proj/AudioProc.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..2ca47d9 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.js b/proj/AudioProc.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.sh b/proj/AudioProc.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/impl_1/audioProc.bin b/proj/AudioProc.runs/impl_1/audioProc.bin new file mode 100644 index 0000000000000000000000000000000000000000..c82b3e4348f6991d14acfba79b9a58b1355d38ea GIT binary patch literal 9730652 zcmexQ05C8x>=smFaDgdXH8V6#fq{X+fJuRo0ZcPMXodzb4VHtj*+Fa&HegBs%NZ~# zFfuXNKQ&-H0OdC@GAAcYHh`$-1gm8L$+0jRFf3qXU|?l50P`6b445JIfYji_1`JSl zLCj<XsWo75U<go^2>`iw6c4!&V5QK-%sBMJ#L;OS$_WVzFcDILB#+BaFmWom3CYG0 z$>cpX@ZM$_cqfdyU^E2qh5-LLywXEL(t%E{B&C?A#7W%r@(=?91Fii;N3T#4<OKEd zJR+!M&?^vty08IC(~9DtmBDD{iY`GDpdlBRpKyuO&?%!vkA}c#2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1Jun>^HG~_*uvWyb6s+*QRqP6)Tw@dJXNcv%V_=$eL8MSFN1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz74PfCFgz2?%48q=Fc&%||#<{04$eTNds7<jBInz{<d| zfPq1wiH3flwfS(T(=SeF7iOUSUrZnZ?yFJ8Xb6mkz-S1JhQMeDjE2By2#kinXb2Dv z0lBk;)eN+X(Rr|ej)778`OXafFo2yCG5B3N>cY_w7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@RfP}zcSt~Bu3vmxMNL+rxB~A@HN6i@C zAuw3hinI1mFPw4t370taY#udfGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n<XJ@Our6y_DF> zLW$;4y`v#88UmvsFd71*AuvKhK;C=kd6Z-5IeFB@qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX< zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtw;*fZQOw z|77%jlM$aRM+0Cq1V%$(Gz3ONfC?eNHwf=P8NJ_x3K2VM#ApbNhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVD9 zAs{;d_n(a3Z!*Xd!KiaaLtr!nMnhmU1cqe@@D9NJC!_b949lc6>Z#EX7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AuuFDfQJV6GmhTBI3%Ke)RChh zFd71*Aut*O!#o6JXmCH{=>3bsJkgALZ!`o(Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( z6pV(zXb6mkz-S1JhQMeD4B-%vCgXm_(fb#NaD<OKeKZ6{Ltr!nMnho4ga9`g_cM;( zzc^x&$EZg~Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLx5@_z=h@hlhON4s204V291WmXb6mkz-S1JhQNS@ zfE1SdPe$)I8L;RWwRkiHMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwW zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n7#J8>&_aPz3r%2@ zI~oF`Aut*OqaiRF0;3@?Btw7$&DE0HXab|$(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8Uj=a0rY!-2pK9ddQT9cAR3h)4S~@R7!85Z5Eu=CK@kGz_W%)c6vya2L4<;6RDLuB zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU;sma)cY3+ zIhCD@kcv_H(GVC7fzc2c4S~@R7!3h>gaE1cFA{Q-I5!~`qw=F6Fd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd72GF$Ac6zX_o*5*xk$gisKT%8!P?Xb6mkz-S1Jh5%JUfZF$)5ONgT==~>zf@oBJ zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2n@*(p!fYIgaS!)^!^h<K{P5q8UmvsFd71*Aut*OM1=so?>8aj zDAv*YPY4CksQhRMjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu;sJRvaH?q9^?RIn8DD=;-mjE2By2#kinXb6mkz-R~%2!X+N{~`hBG6=sWq+(Ql zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~zXb5mHK;VEnV$|}{ z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)mo zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX< zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*AuxPGz=1@s&|1CpIuac;1e7Zb0XO1vB6BW2*-^RC z5Eu=C;Ti%0L)>Fhix?P4t+R%>moc2*fFXikfY$1T5*QdrwFAG4M`cGtU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(@P&ZnB?gwk=c-W`jfTKz2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu;s8ioKPn%P5$-=)aF;K;(jz%qo~3=VA!_oDCE7_xlEoBRw6ObiSROiV-8 z58x;oB}PMFGz3ONU^E0qLx5%>zy&oKMnk!y)MyBdhQMeDjE2By2#kin$P58cA9^5t z&e}PUwvXC98Un<H00(i(Xr@ZEn`TB2oWZa|ePHy!xr98M50IyARM%(-jE2By2#kin zXb6mkz-S22Jp^D=rZAfB4xrG&(RLSw0XV9CGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONV1$JLrDv5;s-NQE7JERk5rf)*p?C)!^VvZiq@#`- z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83T9Ri@UN>~{jK&$FO7{f0hdFYM0IOSO( zH|}DU$1sq}0(@aqHV~(AVDEtmoJz=)fP^tZGiaX`6BEM%1_p&DgeZaqk_R7Xf=8YS ztO&b)gh{kvNrLUBjoFx{(#DTqFMtV58%IS(Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeD zP(K6){NN!1UJ~gdpkP#bGz3ONU^E0qLtr!nMneE=2n_W7i&!0nB*-!x?`K5v-zal5 z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx8#=Fxc;3 zB;;G+EJ7+q<wrwcGz3ONU=)moz{m`N!G8ZDp;%$gCZu9iel!F|Ltr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$Z zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( z6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~ zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C zQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71g5O9DpVKkJBLE)0eC5~YLRRox+V#**f1gB@1pbmu5P%b*fj3ew} z;xO}JG`fCtKC$Z1^a(Q}3E(mVCXP-cX~oKfsmCfwgdi^cFmZGm%}5*}jHU{~9W3gl zkQ{=<L^u==Yp|)u<J3{9(GVC7fzc2c4S~@RAUgyaNYpu4)FapNgT+m-pd{5jFny!+ zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx5@_pg=W~ z=xUJgQo33<YS~B+0fC_z0L)8<rn^U7JsJX|Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1%9s+~({zjIgRQKtqfukWneh3WG z`x`}$kng2YoueTz8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMrH_b4wSc<2g>46 zdq+cHGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhnvhQI=-$6+*-i$UR%$0d$oz^K4z2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQLS&fri29Ns;4&(_M6Nl>l9A zA=wg<BP44X)i4?YqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz91x0-SWU4aqWQB=#tC zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2C_3W0{f>0FWHgVSAfag_jFY$4eaks~B)8PzZv0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu;sDue(h70e*Z2xhW$jcOVNqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut$1pkXjL z0@Dp5$1%l5jEKPC^r^^^!RfA1SB-|iXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FOmP zaKae$rkUw&;i!G1Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(q=&!)XaK-yC>MjmC67xS!+=qN(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c@*%)9NPWO^a*#S~)LEk; zFd71bA_N!*g)1-}A#ws!Y*b`41V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW! z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C!5achgVbp(CkLs+Mx8YxLx6FR`dZ|~Aa&TNvqnQ;Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz74Qfcz<}!b3`sWk|Vp)Ul%>Fd71*Aut*Oqai?_5a2&eAA4wN3kNL? zA2oY41V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz_1Dd zz9Dcw<LLd1!z#&*dS)~PMnhmU1V%%EP9Y#S1ny@Xy?>ETiDA^5(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!82| z4FRSBwH-HLIf+|tRAw{;=p6!#^tO;l`$SF<sc=-;Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz@P{L-a&9b<LLd1gCZ%6I$|^gMnhmU1V%$(n1z7sAh@4#^!~+RmZ(O(Ga3S;Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!ns1gDT zpr*iRC>MjmC67xS!+=qN(GVC70Xl_%3?1%28NJ_xPLVlk&1eXWhQMeDjE2By2q1+3 z4;}758NJ^GDZodWqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*A%G(Um~e;>v@pxbfp)>D{i7j(2mwX}b5OBF zP7EsNj5=mC1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^D~<E#RiY z{U@XMn+)3M9d+1f2#kinXb6mkzz7ZjX)4@*GJ3zs2u?twULOsC(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S^vR0#ZcW&p3Mj;t-3}QRj|^z-S1J zhQMeDjD`RM0|OTk_cM;(zc`vyMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk0PRD7iS|~IWdqAevb2qA8Vv!O zga9K=Or_jVkrR}gF>1nS2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgR{X#(U6a&NP{fqPq?@^mZ zLtr!nMnhmU1V%%EULnAFnt@^T{zZC)_NYChAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx6rEz(l|* zj+F!yj7pD&z-S1JhQI)X03!iAB~}nnFe*J70;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMra5S+E+MG z!NPKKpllwscQgbrLx9j8HcTD#5fM2-AA3e^84ZEa5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFw{a|0o1`T8p_3>aLMBm$1q@2U^E0q zLtr!nhHVHi5%3wuN&*T-rAI?x1cU%10Y6HtAfRAWdNc$^Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!n21y7I+E+MG&SW_`P&SX+I~oF*AwXzfA*K%ch=`n^k3FNdjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1Jh5+qCK!|~XL5TshjtPXZ+M|e7bPx(MGC<&fIgJSlsp0?u1_lNN zB$G5c5F7y{2?-=|gbH$54O|dNu6}fV7kD8MU3_@(L2(4}31g42KZFG$k)j909mRAE z0S<V6VC-S`Ls*OuM~IEGMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^s*T z&O6GXp5s^vWsFjzAuxhNfRTXTB~}nnFe*J70;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONV8n+2p>?|h6+kQ}2g>GAdq+b6GXw~&+r`vD9}$rg^s#5u zmeCLx4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Au!THfQf*IIaU%-Fe*J70wW*<7zy}MVg&&Oqtc@xFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1W-f30hK#g zcr3>Ti%Uk`F&Y943=E_BWHcF!hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk0M-y- z#wt4Sf&z>K?}kwqjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S|6Tfdx?OVKkJBLE)0eC5~ahsK96l zjE2By2n^d0U?Si%j+F!yj7pD&zz7HdLfd*JR*Zl^81>?42#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fe{@7OoP<- zEGGx4!$zGoB13?2kosEW#2|IpsIx{xU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V(%a5L&0qv2w%*(P#jSh5!Shb;=SeMgwUy1V%$(Gz3ONU^E0q zLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!3h>ga8vgEFr@VmXl;?8r3oy0yGK% zMjDw*sj(s_C^cbJ|7Zw|hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD4DS#iw2zWw<?#0ZsP9HY zfS3><w2x9^1u>?Ksu>M|(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*Oqai?P2ryA<0F404Ng5eEYV2qTkP!lmWay!X7LgP5uw~Sa(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2cx*<SlA0@}iq3bsiT<!o-%L1WrlDOn?iQ_bgA_-<5inI?E%>odI z657FtOCFav#8gsALZ(SCA=SiD^`jv$8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONfZicM=oU-*x<}NKzV;1H+X&s5 zNMBd8TF}?NQQJmCU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx9{67+gE%5WZkJiC~YiMnhnr zLtt?2Vnl?7$O!~{lr<UxqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx7wRU?E2n*?PpR$ksXxHPUB? zBOzOOFAT$=rMj0msBR)Y1KF(c$qqZY3HW_PpB;{bY~j6(-<6}XqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhnDgaCc-y(Sc9qNDd>6AGeH`2h_9LboH+$8n++^s#4f*aEt9 z9E34kqkthYfC8*n2hj3CV>QR1iW338K@%{8z#*e$*C2?5Q6~&|2!QGm5FT)c;B|n& z1H96slA|Fo8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFziEsX^{Gm<>VlB z*r>BcWC#q7)xnrSFLDA?Y*b`41ZW=upuKS{3@nTw+5u`EjD~Ut5ycWPh#W(ErwD+p z0PQvbVFm^WA1pYChy{blEd%EiNTz8RI0vBET!_LO<&1^^-9vzb0kZ!DLNY*k3=9kk zFcG>t3By8xa~MJ+R{&Ci4Y>bV-3HtV^m70cG&d+PFktK|h1B`<a|<4uSU=*C963^h zB!@r(T+|Fg4{s7wSAc2~5ZwXwD!7q_REvP*pi%=&F^<+J0~`aR){ln3Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQLq`0WR>`6qc=AjtmTp3?jlzZeW3ngU?T%I)l$mv~iKT215fwL-QGR z1@Pj>Q;Z5J3=9m6;E17(jbxkJPPWcbjkE~?0m&`2F?eX2N}nB$glyrxFf>DtJOJ7g zgsc;tk1RJ}YzN5^u?~{h@TJcVM?$uYtbD@Az`z7utgQelK^UPNV&jZH>R7(g$DV<+ z1y(+>Fa$8LfFT2e10y7@f*M^83=RwsH6R|yoiG~29tg}fYanc;tDT@AhC~4q149EN zQv)Ld69a=Fhz%kcAiEktI~y5U1Q<X$2gIhU6HzS_Ge_kQUmj@PJSch`SOgd(7?>Ct z7`Paa_Gy7TErY}NtdfJn9W-(Uq)-AI4%&z<0LuX&4p?+B62hkjqpPUmCh!Ims7VbB z4Gc{T3=Iqn4Gl~jO&nk*B$q&TY&8s`e8RjO>go|ju?R4NVhEORpuPu{Z&2m{Qi9V4 z&~n;a4Jx}p`32PQZ2?=?#01X~tPC6sEDRh9AO#HyJRoTWa2*d~4ImZ-J7oYJKtHRQ z7BDq1bTBkDF*PwUGc|!M2E_)59$Z*p`rvX86<q@jaBz9lz|g?Uz`zh8z`z*6BET?* zfl-lxfq{uZ30$#2cQrPEauWjsLj$NiOht!~YLwuJIorcQ02KZ$4Go|MF#{W81B*g~ z0s|j7%18}0+Nu}up{@CY$#j<{4hIfSP(}b%?_7{(7c@5wCU>%ol=D^`gai^81RNM7 z;Gyquf&pBkLrW~U_<%D68wcD8bZ`K;xatsKU{YjYWC~zlaspK*ixe1G8sNQ1I=F&Z zD@3*ut8%ET16Ld@piBWRt`ry;8bEF3LFX|R@j>S#8ab#5+*JoPsTdg;7#lzl!vq?P zq>*(L7%Ngwf#xBl6*R7*FsXx~K>;*K+|bYrs_0oDBP)Z<BPD~(J+yL8LxRWyrVbW0 zHiiQXjf@R!3>=LKT^a#w;3ADymXc}i0W$RsDQ%!U^4R1-(~4>9);Bz0Y-DU~Yv^A3 zpld~#p2pzwh(Ooia}!Nm)bQfUm#&r9*0D7{Xl!h3Xlv}g_@zrDgl`1{s3@a}mE;=A z7)P$op{9@ZE9+M-C20nR{}2e`Gnle64>f-bC-;KlAYMs26cQs0|3Q3yQ`XPJ$&W+L zFZTBK_VMM?&|JdsAH@G}`gJr;1~4KG3=9mo%B5Gq@(GCl!Sw5B*)@Q1lGq~B!R5kn zD1|}5NP&e(4AgrZK<D7GnguZ?hey?LkP=8>@X+C5Vk&Iuu#hQXnFbnv)EJawD<U(7 zLwFPA2Utx)l;TlEbP0jcIH5~0lVr(goRAb;bWuDSCv*vBQY?Y&XJq@x<pi4HV*3c^ zgSZSVTe-X)7#JA@CX-?&jnwAQ$lO6^tU9>y%X|X5uki$&ui(<az`%S)T>-Rwg=r9s z|FPB#y1*NC&}ayNLI7=zDoAMHFssSH**$9WXb9j50nqF`9%=eYv5uHMK`7zcz@UY~ z2VGXf1Ug>=RTMO3k0wF~uITWe`vtdk85kHG7#KmPMnJd%plbkG0zexaAp+ppM$k$l zIs`faE7;}}P%tc{VO6*Q6PU%s$iV>GA_O|y16*n`f=MO^v^W9B6a51~B%S_t4vdWg z9H6Yhz~sQez^KB&09vHVsKBrgw7Omd<RS+K21ty7IB+}=%UW39!>u3Q4EU(LAjqGH z9KZ@$Gz=1iVYpJ50G(+8VLDq#ck93@y@8=Yg9BV+H-XkZg4Px>aDi9~3=5bhEMj2b z=wM)KaB5&+P-p-NLtP2h3uV)fVjl!!3H0+V!Y1%Q3xbQnLdqwQ`X5D>_8gW$c%~Tj zeXYR2&;lCGQepz<6_5pu;QE|H2{hfP02XBeSM(sc0mgzh1MC3$Sr6Kc-N3*Uz{CIw zeHIli2CfAR47?0Y0-(K(pz`8S6Gr8NlxOJXQr2O=Pm2ST{2Le;Bv?T0E(OL$76wK! zPX>Vr3=E6{41o;|j0;4V7$jI67?~JBEoG$oglS;v6VZ2ci~a$(2oyP>zIsCw0}~5` z)4;&U&;ZIN4U7y9O#w_CE(7jL1_p-hgTWDWbOR&91aSS%2Hw{Q+KK|&dJ1wt6GICN z1496aIj~rC-@w{WKik1|Is*fPpnw7sLjofc0~5Sa#lZ*~d0}8+S^z#62d0vKZbP+6 zlogdf%y~=<4h|h$3=9ncpk4@*6DVRp{YM7|h7JKxN8WJ|1ORLJAaDfToB#@b4+aK~ z6)YTR)i)ypBS;9Tf~T9KFf0;i#t<5&0u7A};7yE>Vv11#!f9ZD9K*uEumRMEXB33g z{R0{XjAa9AJsmA?XapS?#lVm>#etEbWg$DLp9mIdU}|7!U}Pv=(8Sol;BW-gGNYrj zuvo?Fj74y`3N<i-4~SaWq!7fV(7@2p$k@mT%04U%3=NG9P7GJrHQ0m(-t&xa2Hp+y zaX~|4Lqj7YLqqbGugwdttyXVnXlMlOqHAnwYGG<<Z2bDD>Fe~B*RKtHJ`qi$Plyb> zEsc;kX?%6%VYkM$_3IlO!Ew^q+R)U(0E&}WU0085xLqIkIAI+zb6T)eVaj02fOQ|^ z=-O3S5Ye4B<u~QWx{q-*PUs#NFbhrpoBqeTk8w0kU_nH8+Vq3z2dw)TN8^O<apA%s z02;_*Nrdie1o0JE7{pu{L^>E47{n9~Fc>JXFb%|n30hdNB%=uoTW$qIgOmmfg9~h5 zBZ#lV!r)fH&=AGIz~GkH(qSM|!ZKwL1i+?2;0F3S0Y@2S5Wsj)mO)@J+y?`iN1$(* z476=H;>13H_pGe3@a2Ja9s>izXq+%ScmRqA`*_>Gvj64pgV+O$1^5Qm{sFKZBcFWu z0CI&vyx~XLGctET>;c9jFP{u>fD^Xfa~)wdqbf#2V1$Rj=>3c%JOPY)pQsRU;M_)( zx)G^p!a(_Y1f40?0NVe9C!E1+@n9?P@TjA?)DxQ9FrXGdh7=(r$RPrtyBJvnAd6QR zL_j={Fc=H4fVMY+n4r~oAPi#DAB&Hadx8`|>$4eH7!(*lYq`OzwL$rUQ9uB+=u3ft zK@lX*pa5Be2oeM3D)3fR1`r9>PM`P@no1vg29GVU^sfL~X72zBZcMj<B7lQIf&p^X zJS2iJRnbaB{5!2o9;D`i0~i)Bh{^+$X9kOl8D$2GOK9y55AYUwWLrQn;{aNb3cb%1 zR_kyuKp;ph_%a9(gN~SO#H@n^FA(NX03BPvkN~~pm%#yPDJtZO2Mz{MR6$|@G%pF- zLl5E6jbx6en^gk~6hQZ1J1~N_FM=EbE2|tdz?YUQfGTvD90$V;@Xd`P3=9e_FohsZ zbi$(fbh2m=S_9htgIvdhk1jxQC#bGR5ugi41e~SmV%30H0`VS*ge7<m4h9Vd@cv%~ z1`P%-=&hz2piBaif|OTG3=E)!6CfvZfcBlz^?p<F8z6f}@n{H)hQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQQDW0r)*9j-XxEVjp3yhKMsv<N_ai z!va666w2QUq8S+w>S6k5Pm3ke-j0E_0dda>Xb7131k5$+8Vn5#4NWW6A(QT>ki<J6 z_o1Mwhso2PW-Fw<9iujkh5)f40GTR5s2(iK4@Ka48R5237J3M9q6^TLKUfk6ZGDN= zbnvnwtTHe`^qd3}r!CEBNL%v<qv@cvDzMOo%m~AHaM!}-dNCy6Drm?Mfwuu^Xvshu z4LX9;0kT90yk3}rK|p}PK>)mJ$N{uA6(R>&EesN8VPJ4zabRHJVPF9%f@Ua)D%yL7 zWu%-3$;80K;LreChyq^I#ROW#&BOp&p9<dm3=40tI0Hip3lkF)SW<-%q)31flA%Cs znnw!bU^q8{roMClFK+~A3MK*2q4gl!I2af_I2b@jaYJPlL873OZW%!Lq)0F*FoGl; z7&M^bbfwnQ)wV%k8K^b^IRlhsK;aHzgE9^H3}^@kMuN&L5Qg#TM6-bRhSSM1`db4! zgtLhObbA13xi%9+1E>fCSKQ#J0UwLbz`+Ds1qnV!wSlF9fr*2ufeEzS3v_tr0R|4x zVV-n#x!8!ipAmF{0|N_s@`rT^K)DB8k3eGqk&~bTbf5%b$LrF;t^u$DoEsPz7??n5 z9%R1~D8Dc;FepJ}8emm7NDfqbF~JTY25~@w3SFQ~q`-ux5F|w_%sRZ|1Qcvd44~2r zbi#HMBnlvHEQbXQO$|(p4GK&QOkD8tiIsstiKD4!6~`i`2GBhz2SCeOL8j0V3tp$A zWdmVV1H9eUz`!Ul0aO-2Zl0OK!Vtj1Ai%=Fz`-z)A%LNwfun(gfuR8;A|N0j$iu{- z=)ow#$iM)JNoWS6Lw*sSHV^?d5Oz8oU{G*iU<rZQ4Zf>}fq^-IgTsMg0Sma|hsiN8 zurRVPG$c55gbctL(1NuHcMMk+r0QT`VmKhe0j|Tr9tB4N_+W0P1}6rg23W1%2+9y3 zEnQp;lR(p8VAb>_nBnto^t6@Ec7ZAoCIL`07~B{J#|DECXw<}klYzs5fk}Y@`3h5z zat24H2@FmQ42~S2OF8K4UV#yD#~G6o0|SSE0|ygy)C(5I;Lr!{b7Wycbl4pj7@Qaw z86Ge&fc83qatus4ooL2gbh2o`tbvqC;QLKL2d6?Z2`C;QE@}adXR(0t41^COL5%@K zK?f713(Z_f7i$L45^(>Vp@CrnXmge#GfKJ#UGt#8)S$x1h#n~o3<{vTwiMxwWIFnQ z^$Q&>8xX4)!I9F)5U9XZ*udyC1y*f?hKCy(8dxSWHMp`jBE<<f)|yy8G&BXWg9cV0 z8I6u^XX&S-WdmXr=x$%eMh3<u&I~Q$D@5S;y+AH6WoBaNU}$Ji2!qcffvyv3Y+z|- zN#amoSP(V<XEQPu3`juH(bC3-h6cvQM#itnOkc0B42R#Pk=W3{(Adz-ezB|JX#2|W zV3?CY>Khx{+P}W;Dr{eQt(}4H`9yHopX~t(V{n|j?)o}?<+bY!jF3RiY5?67)ZVtW ztLy9ah1ae_L>U-B>Opbx<x$ty@D*X#=pQGHeX#T~>}m8fY*#U?0{a;x4rV|J7#~D~ zFjRPyqCp6N!WV>LMvuk`EF=bw2E_*m!(1>LC$NwhI2sfmAPjTCXq>=8g6=f*o)aPT z`%N5ML}qZga2$e&BMu=<Y!TT3x)%i^J`nezi2Bhzkmzh7=$;c61_mbd`%MZR8X{OY zn84yXEDZ2V$_tNlRDkY9L03;dXEQ#dpIrlMlR><xsdOm+f4D0^<=B6Q|Mo6|f--lw zSEGnCFff4B8W;q_`z>&J+A|<$Qqta@0k^>(6es^pP2moK#Yy?yyYly=f1`+l;^e=n zslEMZoWR3{1`LA$h7ZyV42ZQ;&^Y07VLmT#fpH<+N{~1xP8b+Iuzp2S4_82Q#>mMh z0sQ}^S7GK8o^s~%!j}UPb9=BjS!HU<`W30Hqj^-oEf_Iz!UUPXx(M0F$g&kD?d`z8 z$RIEoE|0bk5*~6iW5f@J`=e;)J7j|)tFRdzp!*xo;HE)~ikZ3~`y81;>Npq}5c?pJ z&7=)mcmr+B9g3!cmkGh=AqUI{0-Q4j%x-#H3|oGR(_V0#;FN_FeK@5_kRTN8+`CCI zZ0IS)=Y5bI2&4K6Qv_9>_B;oEHQHM;fHuG;MX)&mBnQIS<gkcQFP{*yly?B;HnDh^ zK0?qu0G@DXVo(5Wgn*q`i`4;Oh4f$FB^-g(HN#90v?vI2qO$-4D|nHFg9Ee^06O%U zg@FOIdyyf)0i=+T0dyEN0|SGj0|Nsi3uK-Vyw8hHp&~W_>tX5SH7wSEg1kXN1Qg6n z44fVeQy3c@niv=y6hP~YAnF-GCwd4m2rw`~BM-I|j8KUK(M}Cg<S8{w88T)FFeC^y zD1fdIU|<2S5N2wSWMFatg*S%-BlzSM4i@l6QUwPV22N0x0x1>%@8zPGFU2hBWz(Rt z2Xt!?C?XUYK=(W_f-iGm0aYI$EWpSL%1|H&Lo0Sh<gHsEahhUQUYZ&|s0;_)Y64l; z$iV=*ZbL|c$pLg;JqJ?*C~JWCI)b+VF*PtWG%NsJebNNVItxJZbo2?+h&XQrsW&Jf z2(H2f7}%JY7`m96pkWQl5TJ|$<swm#ECX6Tj3h`?Cd($88b7!UXVee?t$tJh?dnxv z;Jm@$py=7i#K6G}y6AzSfkB}G)B<B*SO7ZOV-W)r2SbAr)CZte0F+643Ngn+d%FhI z25`y6z~I0E8e(K<U<BWx;lQGBSAn6S5p)L)3n*4N7#O%1m_QpK85$T4FgP(ZFfb`J zfod80IiAUres&G4P0;eIfysd(fQy0Q5JLd?(jZVD1a#Hbga(Hu0q_QT76C?40fq?< zd=0Ej42&Eej13He3<8Ywix;-J0~=EGvmM%r2X#L{xkUidO@y8x0c!Mumq~CiI&d^N zIB<ZMOfWGtF)%VTG%>I+un5x8rNe&z32IofFn~JA0^m4MV=)k5U}R!&V9;<-U}Inq zYG7bsVGwCzYG7EvB)|x28#6L7Fgh_j5nyNlH3CqLq8V@a@6&<>9W0-4aWpW9FmSL4 zftPeiaPV+|YIK$s4wym#(C%yoZdfa<fC;?ml!Ji@W&%tht!QyqTA4i9%mtT5Fh?l} zaDuMM0`*qFEh_~E1t!p*U<U>Ufd&>1=(a6zBs2&xC@_F~`Y_G3rkRh@+J+%xKD2ZK z?Qd*=R5Rew7Zwf<1||;BR!DmJggKL5HVqDYz)2skQyLf<6a*Lr8^Bxc7#hK98x-l- z>|$UVG545)0~bWFfJ-d~1%^BZ1px(?02T%Y2?mB31_qV}h6WynK!v6N0S$%=pu=!L zdr*%kHY7AKFdP9l3qbm5j@d@cco(eB2F-+U1n6)vuz*fhVPW87a9~gf(10)2ZgFC0 zVQXMxZDL_)1Z5M$Lkul!3yu+-&8J>eF^-h;AQ`y9fyTxl#p1wV5un4Rz*5Iz5TL>m z#}dF`5ug#r!k`AuCtqJTeVMjW{CZPMLnC8zGsD-%4PV+89*6IP1RFzBf|<_88Pn7= zcnyct3=9mSEDj7B0Xp)EEOjgj0xGQW;5gEVXLShy#R=opq^>JrD~?@lZfR&{Y;0is z`nv1MwH4Qn!}dYqwS+2?Ong)^W+)f}+XJ+Uf#LlBr0WbH`1#Km@bhzVF))0#d1(gX zGk{jzgVv^kFw9RNHVDJ`G^gp=o}jsB@LPZsC;ax1IN>p1U;xF*VOX4iOaO&H2!q%l z3}S;Yh)owf660jms?Y!3UV~Q58}oqTWYwzkHq&!Jd~lG1!XJbg{=Y-zgL!lyhWUAr z$RP;NC#zO{_ydU(10GP>wQAL2Se*R-4~h*4X7~>($ru<QJO~@vJesjbP(A_8?}Anc zF$hR7FfcedLTEP-&A|dXs|zg70^7&v!XRLzz`}sB50Yk40XJA|*zaeAc{Py%d@?#i zAwz=%GXp0Rgk}%}(d-PIOo<E)5)v#7BCvgo6$~8~*!Drf%%dGG_=|RC4^ETeh0qxu z9tH+}21wxqa_gBhAd<)ajBLCTgE5E=!uA1%AEj5(b#D;JQ6Q^F3?>vOcklj}jW7R? zh?98RztaEdy03A>#R)iLF!1v;!14(=lKA1_z+=xK%caC03QMF00gMMx_CdlVXh*}{ zLOUx4oyq?h@WhFrps_4hIZ~X&^PZIs9nB}SjYZImB1;DwcxIlZ13at9z|a9&Is~y7 zBoAQ?3@I>T)<_`27c_arRLH0Rp&`>(pmmX;mBAoYAaM{qV7Pa{Y^JZph{-Gv3xsjl z4_$MFCW~DZO%av2>>H_M(9ksoHGY^?(0oGwTr*<E>JcFiVu8=(MhpDG!(A|VoH9Tz zflWX{t%t1E21Oi10FqDWw+fPhK@_wE4PyC7Ar%-v%ZC&hK+C$ompg#lSqhL@dgMS* zVBla-fJF|BMpi&0w)g`Y89b<rg`|DBlN=o&M?6C*@U|cZ)HM(s;H`~N9gL8h8$ny{ zpdvJ-1V7W%_(5biI5#jbFeq>^un0&gFlewS3J54TuyM99a4@JzFetFFI4Gz{g7z~C zf$r1<EfQvAP-I|WQQ%+@P=MSq0NIQ}V_%65_j`ii;fGoHpj9N$@CM~6(5meQ22eHv z)g!QWFpP#9Nh1d9W*QkhXpIFeHDzD~M*t(}B2xzeNG%R3yVw|51t3R4qs13peZvw- zSL+7SvIfw0A8@4(8dU)&dQdI^ISCR2U?Bzz2GEvyP)P@B1Tb)b3N45XNF_ZmMi+@5 zmJX;L;E)Ep0CasBC;~vG6>Js~vcVUWa}vP&9s?lJ1y)Zlf@KH2Y#K;=K&c+Ih8}dU z9O$;j4(Rp1kbJ@fUaJkdvk^3}sK5a_%>$G(K(VL5!N8%w#h{=75&-Rkq=PruGU#B* zps)g-;K8A+z@PxyccQ?+<<Y^w!JwtVpuoYwpunNQAi^l1AgBPzJCOYmkh%vtolgh9 zjGXntpuEDwzyWIJPGn%1V89{3w2_JB0O$&HP}UJ(U=U<rQeX!i9>KuCzzNzN&jA{O z0o5lO;H$Oh5FKDEMDBp85kxR)C@C;;HGpyn14ja6Xo-oFp%GM4IVpmQC<gj%$-*A) zBWArY-203S4B+eMK)1?)IxQR>9H3J<LGlVrpuRd2gF^x&Za`x6#{zBiw{xIvWNBbw z5CKhP1u%o|S7u-UZNdUo8Umnp00Tn+h&_Og6ww?&%Ll}27SLFi0QjO`P!x!O>V6UM zep7^V1egZ&s5x1LOGXF_)cF9_>P*m$yb2H+LP9dmz=ni~)WF(Lf7=xp7&<_;g+qda z2dMqa!IS{HU6#oKbee<+14jm^p#@zyL4VhQY!n$W_d0-l3&I=>Obkp)3<?Y!8XOD^ zpwm|rIG8yYL31jQQDY5|Jor!+kn#b*qS^ys>3~|vq`~pR!D9i3MgwS8YXK({Q-eYV zBSWJChk^owA_F4_gMxyC<3Kh?1=kN~01bfUS{kcfIAtx+&|0On$|GZiCYRPCt!z%l z#Y$YDI8or#(oj-xO4bB*F$chPAS(qRTQ5P(5rVlgxn5*tt<cCgk&&USv_g}M=|x61 zx8~vm4+Vv!as^HgjYSGc0~#j`Cr3zBz`ZGy$I|o0S=m9jMM90iSz47rjeC%tE5|Z& z?#zV7ib{u#)8srihc}KV_bfSd#?j@ZP$E=nkWh^428lyx?hNRCCc<3c`%DC;ayfyj z3>IMs%`g!{vuuUX3=^U1w{m%dIy54}Fh0#4$`F5!_I3;o8zA?cFr0$iYr@o{t^qo- z1H=cd6J}be4nB4mbl(Y#4{|q%4{jHO^f53nFoX9Efn;ci-Dzm{;5Hg`>?RYa_lWFF z(4ZuwFR#D^fylCSVGBs+(8ZpiU<qj83$#!I>Q)y97X}CLd4x~_x>2I1=w{Vmun06s z1<3}Wabf7y0Z@m5vj_{Ago=PJ7Jy7RLIvnTIY^GM^Sg)-RmkK!df7(2e)3g{{v==T zAk_(3_<-R!aOg6E=ByZ)VYi+zF(4KMgIZzGEYtu}>%hU%-~bxKN6tAIrqV)y#hezV z4l*+#H3g)u08cSO3M58m76%3g7X|?a76Abk21Z9#1_l8K7X}taCI%M9!f*u!M{!W> zKzzf<z{m($GXde#juh>ro!J9oGWJvrojGh!0xg7a2w)IpU}R`=U||$w03DVt0J@M7 z)B*;r@)8hW5NJ>kL_`J?gChg@C_GR<1%zqsFM(8A+c4nfBSM#jp^1S*U;=1W5Gd*d zS{NJz8aNn0N8E8VFgiIlFgU0%a5yk1HZd?Lh%#nL2{3v!IWRDQ?seb*Wj4^jG41`s zG$PjAfx;2AA{$g^fTpfMd>D2BEwOU|tug}L)C5um$_pUO06JJ0T+Fe6ZhV4ipgGMx zY}&%0@P-8!G8-(`z`(%K#L>Xez~KN|*Vx3sz`+Dj#KpkD!J)vw(BQzqqo4umM}lU$ z7+M+}7*?%fV3^be+8xmVx)6m4bo&DnXbvB2Bn^pGG&Fl)jD{w0bjv}jw;|C0ZhOI{ zSwUO}P<8;VC=vzjaBy%0t)l=5ftC-0+iGAATn}v-tY2ws{-7`&+|>wRaA0Ui;s8}7 zpfz<Mnu$RPbYd;ojU1p_19bn!0tOC-4uwTZkSTdkZNk98(7><&RFFY1SUn91mf?S9 z0kqA<0J^22f#HaQ(}Jc34scI|h1-Ea!GlAC1++xlm4P)wfhB=~g#*+EU}1Q)YSFGH zuBJW)28E^r3=9lSOj=A`pt^^Ku>mofX&Hnb`Xsbm0;PY@{t{FVf%}mhpt}|oW=Jq- z#3(RwFmz4wU}zACVrXE};9z2Uu)>pzi6McZi6a4YP87!kQN~bLfrYG~OBxwi7#Mj# z?JfZZK|0hY>_1Q)KLU6MxEw?pSVCAFIv5x^m;_wF^$!c100ReTqc0=qln(|57Ely0 zusSe+?tqxU(8RzD4jItZr(gyx3APb4rv+}=GB7ZtaDh&ucK}@y1itSCycGphkAvfe z4OHVZfNn)$U=arAE(R`8Img1%NT14tfq_8~y}3@y1Tes6g9F_`Nq~W&LBzp90d(IC z0|PVYs>TLTgBK)@;=%?{KaoR_!9(BxqS*y58&Q-|ox?0nbt4C{flQFGdI?CtatN$| zpV$B@su&xPs&GywP&-(Gfssi9w5Jg?^5VeY1kp%iABqIh*n&Z0JSfzmjsdNsXKHK! z6-EM}f((p7WfMpUgj*OG8fGvsXf0q6fUI#>Kt93%Y6{ILHZ7VNJ;)3O1w821KTsvn zz#xp*b+GIpz@Q;;pn=JOk&*ELq`?K!jaMrbBw2%~V91a#0&<cv=q7;<h6xLpt_XlG z(qLo)&+a-fFp01*a49%&LSlo70kqjc33T|a$PtCE5@rs8L*O`tL=27n%LZ-M)7Y9p zU_5BZijk3_;lnAWBkT+;Osof376>Q^Fc^ZW3I+xyMg_=#3h49|P?bJ|B~WWY0E3nh z7Xw2}(-9E{hK7d5MtVdF)2=}fTy$|lV?#p&V`F2(ms4F=rmvWOZR(@m1(P*}n!YA5 zG#p@Hn>ICp>%jp|wuVLrhK4o<wziop#j7?2XxM$%>MLnqef4@n11L@)xrN3K&Z4pT zgT{DpoG>;vetgyS<oW`)>!Jr*7lbH^36>@>FgP$WiL)wjB{*=hF)}7FFt9N)vCm*B zUbi(s!2a91t}oZSu3Q7@Z)|J;6@E1KlQ>dygvM447UN-e0{rLy0KXpqE`|_ENH}xG z*f=y4d?yO%u<pU){^95jc=!{J6R`KeXF@|`1}rd2P(1{QjuWWO|9^qb^oPU@RA`i< zatM$RCuU|*Q4F9vQAW!yDn|muI2Q&1Cgl4}99u*>I9M1!_n&Z}(+ms@ETDT)7#I|h z??VCU7lW8aOOkcOtg9DbXpjP(I|RGmq{N{i#)pMLt%PBM3_9(>!k_}W7X@}7iVh3Q zlnRChDIFFDH(JI9#B7155PIa0@q(tNN=kQm&YW4rU|;|#l=vC`Gn_ed<_tf-u`#&i z1r82l0|o|GR?)5e{|#+Fvx0@_LV$+j=wi{Ju_S)CscCunU3-v&(BlLo2E+eB(~S(Q zQS$puO+WtqOviN#0wb<}!X?P?fpxtg4=C(GaRRyz1$N;GW<Ftv64=1-fsvPaG@pP1 zj7FGiH~)Xu^@7lR0v;>*4~r9IEB`~T3R)nw%hdGXS!O!s6X+3Q$c`CiY}h_TE-%nL zBil!q_h90ve1^&J{f;2z3<&iwb+o71hW$AbkbR8IXVf(m8W<XyPr$-dU4sF{hwo2> z@SD2e`yD~6yBV0_>S6k5Pcx6W^HvCjDGLK=(KiSaQb{X$))6ySPizQ+=1!2+f{QR@ z0h+U!TWD^@;IsfTp@`LOpgaP?SS9Ho2wJv82Wy6~74*+1Vsb+`xbQn2b5<L)78{m7 zz$c`_S3GclD-otHFa=t@4VD4zkN_<$#%~YRWf`5QZsfoj2;Fb!0`CXAfcA(oLe`l= zE;s?z7A}k|;FS@O4UV8q*&q!L3=D!08l(o~2?s_821W-5@J3Sx2ap^{6s8NprUl73 zj~1p5n3>>98$i1fK?~-<SwaxR0_{k2U<9!y2u6W+1BD0*GO{W#B``FwbTV=%2nYxY zurRWK_69LANP)uNflUFlRbHWkK>&OS1Z;6W)MtnYLX&{XQ$&dl<GTPM0mlg5AIiYU zz{SAG!r%c~Xa_ps4isvPTA)M^DyAG*99Wq^>RCaHR~Q@|I2Z)Li;omIA@vDnK?pK{ zHkbvxgqb#G4}hrxpt=CnUX;)T1-k(B<}6TPgD_ON0s{kRM>goRNYJUOu=|>zvLK2E zSpsA%24);KbDA8OyH3D)f(3j60Y?)kZaP3K=@l42tL+*z7#bik0>00bqrpjmg`t4~ zw8O4}sbPUaLjx1|E(chMaX>43kTEbZ8qvbTW{)X2fRK|iylMx_KnWJc4g&@U1qRS+ zdeE%_43LA;T^Isb7&r_Zq5FG5r{AzJg6nz+32G~Vsw1c=w50^PX=^_HOy^+W0PR~; z0Bz}oG-<(gJfxrkwQ3o_w=65Dc`$J?ENBAV^9Rl+puO;*63+=lfXo0bbzGp(q`(0> zND9(OW&jxq$sv$kcL;CMpmQnKjxc_BvRInHcPutFFoTOSuqQ#+X&EpvFg*f=KUjo? zfm4YUvXPL9p{a?XfwO^Wfl~uR6Zk9;uoAivtix*m3FvGaP{$B-crz#nI~q6^aWZg- zFfeg2GO#eHvK-7{0$oeq!NIYJ$)kah#esp7L#cy7NkM7B0!9W#0TzLVLmFHR3=V7z z0-zR{f=Ek41Cs^=g93P>1!N`|L$e^5O?5(K*wpa^f~K*7g@K{L39>Z~q?XxXLW6>U z0;nYnt~fX*2s9`(a40yOU|?Wj5M>1Im;<S!KNe7<zn%285ftcP8x0tmAlr5!xBWSQ zY63@arvxm$0CboHgA!vS6T<-ph6WZ;6hiv`U}-uMLVM_F*??IEIz>f*Ap&kcxN_%c zU|{p`gNreNn#P>qe8j=P($K)*2tHU9E>AxOV?F)s8W@|vML!b*1A7B#v?N3Te5Zy3 zsC<$Doh`@;YED7oNP?A($-zNFh>>Yg0K~0M3{0SNq97c)k<1(DX4L>&1PXT!1{Uyo z6}VHF7*t?vP+npP0Lg=Heqay+b@^d(bf)#_Y#;rtYXmi!K=)%hFetPzgR>3D5ep_D z?eYbUrho)NhpRC(7&I_4fi%Ik)PrT{M+khOpIrlEQv+y&F9RdP1BQey27yKea1GzU zz|bV1z`@bL!3A;^s2y<N00W0cn_|NSg$98M3QjGo4WKz#P_G80f}WUlB<#g*Xkct; z;4*1oSa?_ud{GuC4iZuhFf0gH2eq%j0j^;3g`qK!PfgK@DZ!mXL>P38M<WAxa2PC4 zKSF%i-@)7n+VsZQ*!bGyN!P;5>z6Y!GBAP)rq?B3x>j7*?+0~GKymc)%a@Lo*Y%fw zecbf*`pWR>txe4>jSP*=^ot9aO@ffKU0~c{NH;*@q~X<<4_zy-uh(M)ZT@XwV0iT9 z0SAcB!oa`+ijzlQzHqI)zJA@utgeFV8rQD3HVjmpFt-lFXu#?vmLs5*zs#Rul1z(O znHfGXe1P$pKQn(8*ueS~ysjR!ZwMwaO4BR^*pGvDEg<3~=o9N_Q&Uq@n1!G?5#2f( zC$R9K2W|cxv}++g9%fhg_pc~%^7ZT2uk!mpe;&;zun?j>ZSn=QLOuZ6LuX)MU;dSu z|35!`43?FZl~rmNGxKPi&^|6e`xqG*7{n4=L^`-!I1a&V#Kl)Qz+j-j!o=djAOaft zr^Eh5n2TVv;IN<50_{U&U|?_)NMP{L;Q^0!fjy6l58Llp!O#$;!9tJyi(uy<5rXvG z>w;wO05CyqDG-KP4Pt{Z!v{#)3&j4<@LxUD)KumUzcB+tC}@Zbrkakl=*YPX5E|z2 zz=iYC;^e=nsr>!W|D$mN4=LI);Bf%80?y}$Y@LJh)fpH*2wVW?lTfHidQ%Yh(A(C5 zun!vMaI4{bv^ZJC|6lkrC^|wx1Y9{C8N(({Ao~;9K0@{*iU>17YJ;f|aTe(PifkW2 z_boCq2tfBOihYF0GeFg`eS}<9&cFcP|0uu$njuDs4kpO-BjkQZMz)Vo{zVi;6meq8 zDbhZOG(-0-o`CE}WH`kL4oyY}@IFO`2GISA4Gav-C)5={_boDYscSHFFfcTqfXFxX zEC=mxWN1DC9ysP;U{C`u5^F-817l!dXawKm$mp;fOf#Q=@u?RStox{E)}S{DKA$zH zT`C|ua@xX}5h1`Jf++|U8NjJu#w4g4Ml1yyr>C#yC*ZfvfoJ&LNrT_V$g&L#EudXm z$U<;7XgLd<Ltlo-a9c|Rt~1cnJ`;Gq12|;C^5EkLz$^yPLT#{Y0|Th;hb$oG0PlG~ zT|)uZO&5aoCS9zdk0p@SFLXtg2$%;xx(3W*U=#o~sRTf${(!ecfDRG{E#?O8)pB6~ z3A=!ppp{>s8#cgdKx>B4-OdQv9>KuK2$pqZWB_f=1GCYUlE-ILCr{tt)#bpz;0T&y zhgQ;{+`z=Z(7?zf!T{Pi*T&eu;K0Pdz$n<D;K9(sz{$wa&=3G#%#y?qkOJCT#K;i9 z0P5W^F@SE^f?PX*;a^5bjzSTKL?en2IULa%a&!$wJq}C^pe_kGTm--iuo*$|!Jxqf z+9b*(z`!WMz~G>z0#*RpebT_9AiyOg0Mf*uz`)4R=*6JGFoBT;qJX7=MF3i-;M*Dv z>tRwgL<*^D+MqEERHJ}{6q;Q?@dY}5UV#BbIxq?_IIu)9urM%zs(H{NVHQYSa4-ll zfHwSst~O=hVsXH_*$c^+AUi-9Nr+-5`&x>P7%T>WYHD!K0N3E4D>Fb#v>HI$96=n0 z1_vgFF3{bW3&4vUTNoHXI~E&2H_?I0x=v6b<<P)zprL^Sq-PRzM;62okS9U$3@))i z3=jqtfuOaLOyFz@QbH9hJnZTdP+&oW29!rYCl)Y*>TU&&1q=)fN*pUd+vgb_7(gq! zL1EbgIvQPofx(x7g@wUEzyZ{aWC3?31wgwPL1sXW!$yJH5Y)~mLLi4?vuvn~HGs1W zD1snC#>Au$!obkP%ESb!FTghfK{jQBXC9lFSejs0HF7j?DR3x($}@2K2VEhEB`}~D znsR_M4i<R|gxI_&&^)-bHYk8XnvtPNp$RmR4&HGMabZ&fLjxm26N3<g1H%H4{2~Sh z2A8G>T`GMFvjiC$np!~dCZxcnz|q0MG=T>k&P^Pk*Z>{d1Z`YH%mQVt2GCuz3`|U* zyO|l7m>QUxsM?hgMY9lQ@zA3kK>HCv*IhC&Fa$6(Flsb__PTR539v9Q2s#K%U|?k6 z=-?0#6<}y!a9|K&nB>SKAiyXHKJmkVfkBbMF_>Y(0w=};;PB-*QozE%3+i-$vKk13 zcBq0X57dwWWjcrm=$<qPi&9d25~U^#F8vLRi5g8TEDhibe8EoRU=ZklY{&*z?F^0w z7#vs_SV9y$nn1^GGccG4Feo@MaWJ?tFeor}D1b5osN4fJkHH3@6ObM#1AId;l#i~A ze7@jy^7RfbouE5oz%DujDtcHzy+CmCW@=#omHnJ7;F5-+p#j7LT}uv0_$*Bf3M?Eg zD$I<aYve&XK;;<NAV`lM%7jz!N}q$lfq}yb8Y7@sge#$tAp&X!QfS8D)7}X36}a*S z6=nw*7#4tQcJPK$1tCTS1(qg;1R-!ZGBGhQyMPLC9|mO>1`ZBq4n|NggD}WnFdD>0 z#}GNNDd<uZ@kK9Dq<s)+hJ}p=1E}}s06yCX9BLCd7+jcG9Ka(g4ou9TV{#e-AR{oG z4vq{m;Hxni92g7^Ffl8Dn!gMj;0X-SwVL3%1;dk|u^CWgD}Xl?!S{G!s3TKAY*?LH z01X7tcp{X^02)|fU|?edcgR8I4hshd=<;50o`EO=-FnTSzyv}J3gA;!SQrEt1VCH3 zKxG+35u9vb0NrZN(y)+$Ye55p2xu!yLjz>H1Y8Ye45s0=KOWR!XJl}gpePIqXiyX| zfDY?YWN3iYCZMW@p+TX6Q3xE`4WN<41_lO3;Z7FN>B9`5(<Z<&;0;=!hA`L+lrRR* z^FyLqfPsM(JZ%r^l%go2gd;Ej>tQKzFK)e{F%XcfOG87*1dgTy42+E6`^XesL^u=} z8rT>c8W=$vv>X(h7#bN4O<)9H?AOr1bU~1-LA<eH$&{}Q3XI^pu^A>aOaNW|Hvv{2 zg3N_u2XMqP7G{82%1kcc>W2X-+rbr*%V75>SLdM92a1%228M}AObyNpKv%^>T-ac6 zfT`m;e?tQkC{r*tY&gVlg>40^(*h6BIoxb4JPOZPI1XqrF)J~3Gfrx06lnmTA;GwS zgNuO$bkY~Z7&ytO0Ez%mBcjVdl*0vdzcx5~!4*)<VB1Tv5rf14a9g;cf#K`xrYqN1 zPQT0u%_pnBT;W=Iy?=dUQzPgqzlJZ5o4y=hdHnLi*PRUwjcu)bAHMUgzP`F`{r2zO z%9DGRi*z-xOlO$DxS^wK3R^=XM%mSvz|hplz|#0JqwB*|j<BPR37{Km*}!>{IzhoU zZ1$Lfwk<L=G%#L$)b-`^iepDWaRRCu7J~PZu5W8?YG!P1Xl(xY8gyso^=n&CHaE02 zw6(1N^1ZL)`uf$&zkTmkoW5qINJkSmP8M`@Phi8APZAiK7#Ua?3p2V3L^<4CLH9W_ zGO%I99r^JiJp9h+gs(Y;gbL$Afej4O0*Lc6VDeC!pMm8Fj|-Qef$^&IyLU4XUNZu^ ztOlwVzJCbBhge4q5;R9m4RZ#M8KCe1VW^7&KT7Qil@{F!<$^A20?ER0sIkcxo^r0; z_Qvba3-10u2;u}ZLI-#4@L<3~8|s_r-}3waOUv(va<RmT`FH#B_}%)(>;DVxhVFZS zE5^d0c|H*xHuVWS^w8pjd$s7+Rnk(3`zv7bP@4Zg`*C}hctHhFJ`o%Yal(o?)C%sY zp~(R4TSUHp5#|ffzC{HVjzh5hiLm{Oi7g^K6j->9!Q?^rH7c-h9CHbf04<6Tb_w8c z0_lU9gGRG3Fo4%kfEvXhDHsi6Q;3;|&A2dV-y-t;iwq16LZH9_?^|SHVgl_?1np~t z?N=;3(orE(!Zr;q@6Z;-!ocJP8V>^vVO20RFtIQ&VVtv(!tg)@bbn(C!vq;94d#RF zq7D`{rj9v-#}uBs246+Df-mxeI0{rofoc&3B{1JU!0;pY>dyfTtCT<j{~7*+w=;lL zy#w7r_y7MHX3)Ki|Kq{epuzWQgEb=&|Noyk^B;2WERrZym|zD{#iT)Ei2Ysruk!mL zm&Spe1PX0%oPc=@_VKoVqkn&nhqii=;{<fK*8kA|XZ}Ot1RSpf;$%Ngd5CS~kYbO> z(KVR$@CX{P3T)s<?0bX938Y9c2w*(OxR5!3;hix^02C*nbO}-g&L^RvF5rApPbi=4 zKXc~)s{b^I6O7_=Fb6kLZnGD(XO-H8ElwcX4dQvv27YA5mQP@DGMY~yK}9nXvR@JN zo<)c_%T_SW$YuyyAq-mj1*I=S#SOR|ctA77Goj)b?u4iVPrG2qktZPJL!Q2&qYJjr z5#7bh!Sf)@XCV6+n@%-?`JnxZAeEr~iXfV)W4VG0s2TRNQ313%5+sI-A?BcpQO0Ar zK$-qwpc^`GkHaTer%-UHrIN7dPbwKStc*dd+8PLNv5tsyxCw_W6}#btEhC_URf~Xv z5h)FtcNsX3vm(Yz2hI^Ru^CilgEuXJO^2)?MpO<B$cwKzU`rfO;{s{%9oP&I0b1`0 zqG^elXVB900WuqOmK_WD{t`yeGA!_gOe~-k4M^@`VRR6HEOcZ8Er4VY0ByK~*CPT9 z436NH!~&oVbYN}ZwPIiv1_9TGAw!<PPV%%3c3q%j=s`obpxgp+YXf*5mytmTeEzur zgNh;x6H|i#69<C>D0V<u#DS?nfMF2>Ljsc%188g*nymynz$;b2#=xd{(W3#K4^~Vr zfh~iQYt|ss2Z;~R-bT<$Iv40dESMu5z`N!cz&qtYVlAMI&;VNB4O-6v5_bYGmFIxu zCeRK@kTPr-8h6;F$Pr`tL5{9LsfUFDbX}i-0t0l#r~>#Ntpt!;L74z{Isq(23otMo z09{fFxl$9<Rp)R3sbgdSRW%@%0O*h$L>9r`Wds=y!mtbkVpE9OwJ9`U&}fHccu=B+ zu^Af#8W^}Vz-b(E?W_O;LkDQ@1L!J72GH0e1L$VJrVQ}N2`DmKz%rn5eGgE)fM#T1 zok}L8_@Sk@1%~OqUr?CAas-GCy4?iAHvn-V<>ulnQh)4M@lVbQA$d8I;4|AP5@% z0`Z_2q94kkAtgE-+rp5FM4^L$VUYpkW<~}EhA9o8JwTvYM1ckc28RYMP$Ac$z{J4O z(7?n2-i*?*h(VzNw9$@XfkIOQM}rdNc19&=9S_TWG>i_g(M;Z8YB&&}t6{<Z0EHa~ zC<`z#GO#Epa4B$cFsN{V>J#KbU*G^Z>KGXqEEpCjFe$KtHp?@B7G-mQ3}9dZZ+l>2 zV1aH{1Z^z>?coAjfl4Sq_JN@alFwr@BVX^}(g_Mk4h9ARB?hJj4h97d1qSeD5>Pvr zaRMlY!0j$31}1Q>P~dRj@@WCrJ&X)I3=Rwjm^{Fl1$5Y8LKA4$D6<2&&Ier)4D)FN z1FWP2$0tk}6xARM<5NhB&ZN+Q!K57&#Ble3E`x`2uripy`-H(aEi*AVafpJpH|l^0 z(CGyXOacxZ3@)I09&{%#0|OK2cnK66kPQWG)kEe}!WIB+8>7U4L8liUY@qF0koGGy zV6i*Zfq}upLx72q$-#v|0dhnOXugDjfklCdgOLN8{=w%+fhr_yhERC`Q?Lq~B|}pT zRC*z~99(pPY7rzcBqr!!07eEz1}2UI2k=rY0RsjWMFtU2eGgLR0@_u?03LS%<sAW7 z?11FZF*M@Pg~{X#vy-WD@M!}@2`F$tRW$fC4@fA22CSfCB`9tN^$i)AK*vRJfN#VG z1w5z&0y>@xu27K^(g223A0QjxQfLg2&1gd8a@j7Et8>WfgSNB4N8K<o^q>bS_zWoq z1%^(IRiM5kx-{JTj4W;N;u0=M5kp`&jtirP2)H%@6R6@)9%z#&V*_|g4_eqx0k_l` zu7ogXfUb80tH%;GRPWvkA@%@(?HtksN|-W%`xl_oI6$F}87H6<g&3Ha7#tR`9N=JT zWPmhtL74|qWp_A$&Npr00L2Ld1A_(w3j_8#o~5CM33L!4)q;-g2-S=lXoDa@3E$Dq zAp)riKskW15j;?j;=(2trY2Br(#f!a%Rz;KgV8~ONyCK;RC9pa!i)<X7&yW-7&<ky zxEKO87{Faelvsxv$HWE_1!1TFrIaA(xGG8w865gSNgRB-6KLF!fq}~e;x*8oI>tsw z;e_nac2Jx!Gclc9u;rBJ@^&s}mjo`2Fg^ul7EsTfiJ|+!6%LKC^_rc3>bNulH8h*p znA$+QOOee0v)S6(m>3wTxOh=`*qjH+zyj*jf%<mfkYeBx5#a(gsBp&#TN4vA6XVMz zTTXeHHJ;@xEn(7d)ze@C&8RRjFm!(Tz{C;0hNJV(KTtl=XamI|mfXNd^*CY5hxlMP zkpIs^d;wX)d&~GGXxs?Gfk>c{3i=>{9e>XMXJA<M&e&Lxfu9+4zXk{Rt_yyCets4f zhOeQa#>OB~kXr2cGZpg)$OhJVAaZ!%KYt+pU<IGSPdHA%Yq%L!tvYjtf#K03V`D*P zcXz?N3=9n5I8j#z#R=DcaGZq0t0{;TC?vJxM1U8?_#w^t551!lk~N~wOwU2u;(*0x zsB!Y&^zMI1oESsnWZnMn=3Mu~!fb39m_bLh2!bY5u$c1)GB<`rhCCtBZ{+D4jJoW> zn|K%)7OV%kj&Phn^23fl2R?wxE(X)Pf0&sA0~r{s!EwUR$|CyxJHu6QoPZ3(iK!hY z48!J58eBmJ-RFqqTp93wL<ZPCMzl#q1{Vea(B3;CM+N~2h}j$k5E`9?n1e1#KA*jt ze7%EBCv2-fls16S(0z_>=&sFRXpjPlRX8+&_c11dPlE=DK=(m1G)OQoa4;1@Xod`i z4(PNoNDVS>1Dk^^LK#~;mNNZAS~sNP0qxK_&j4X#@lIMAs0{#;fVJyDY!obv!lRhO z4jy8l*qEVd0BHXrs2TA811Mf__<@KxDaN6dOkwfiGgeP}2te`%C_aeFCugDk9}J7A zoKFOOF>Dw)0*E-_C#LMe87EZECyc}AOf~R4FG~j-lm?BfFfcH5Fu*1b**u0wYKt01 z{dmxVU#3Dv1qcnD^-W-4054BvK+uCdYM4P|(}UfSbZ{T${0bc`#cqXw%xvruBUcoZ z%Ldl>!)XkXsE|Y+ZAU3122D<J;2BQsE?5}DXlzSHu}r9g;?jWuvMGH6+IB9G9KA7< zG`(%4nSG#3G(gLQL36+g3>*rOsb2xm5w|FILNbH`18gh>6oU{kkTNI>BnX<zhh-}$ zAEW{a<6B&Wq>MzSz!DNQ3|{35;5l~%M-I?g&khU>3ZTU%0^q;|MH6HnsQ@&lz_kV} z&%kJCQ;mT^K!70tq6e}m0>lO#(G8**7#P6EJUhVFU{F0o*g*$ZP~FslFpxu#g@Hkl zgMmYUgP}u!RRMf0sRD<H1_MK)lLAM80w_`@fRC*QcU@Q*I0P8L8-<v_8&SavhZI2j zAVCW#!FT+DmK?J{GY(i9;x7k?dI$#+X)rcbXhuD%7&EvG0VQW}P(laUvA7OeCNVNH zFo9OyGcYuOw`DPK2q-i_suWO8VglcF23j2nH3DoZlua{A<U7rb9sq+IKq;Sr0la~F zg##m~FbiR1WDsC!Y7k(7@EyRre~{b;x&(reL6HG8SppM=7G|J*C7`H*a$&Lv8m9z8 z2^lQ0>11dclv+T6hvYEu21O9RfdQObSh^TE7(nMbLC!JIU|@kS6J}rlZ_oy<Gj;$K zYY>yb6%PkU3S<gM9701_w5i=8HZTQ2=;1*&fJ!X}&}Af`3+6yYy#hl5_%a&MRuiZn zAwdq>R03YX%@_z;|G?+~z8_10!$H7-fq@gehX_=K2!d2QqE;YKbHIDL5Ns;4mQ&HJ zfi?<UNicyHoH8^x1u!syLYAcgbk8q%Fp>*&139=I#-OnPblEbf{{rDFfVP`}+P<Jj z1r=Eupncs8prJF+0&bAU5C_wAFg1X;qku#iAlU}Wq!I<Ql1j!6oG~7ta8lr4;DBIQ zy9+c~uF#^u0c!IzF(hz+(mkj-3q61wbbyBd17iT>ju{5<PGE43VE`Qv0&4$)%P^R? zpt@mP+R&oMXk+dGm<rlxBE%rj0IK5!m{>R<b$SLP1H%NaCI$f&2S$cw1_dS#@JR&V z$^;aPkUd#U3Jgr3`h)>;m>npmfO7zc0{GAyNbLcsiC{hhUnC6UP?Z)LK5Muc6d07a zAiJlz6f_t(I21UPIKiQ*0BPMaFfhU9Qm7h+R5xt+tnXrEaBu)km2fbu0ACEp!~m+@ zL7jO92W3#z!GyTylIp=oRRb?l)wBULj1f{p2rz*9c`cyo-331S0qRFMfJRIP(0wFW zjW`>b1WkicDGQ5(01JZ<3#jD;I++U;51@5)pl&3H4?4PIFuEJlP0UcIW6BIU5onu; zi3xgUjRJ&*kkAt#AXWGv_Zkaijng1^B{9xZU|<3tFzAo~Y0EM&fNB>7CI`^c2oVJa z4-HVA0_lzrW8T22VIMy0yEK>>n6wx{ArES%axif*XfQD_C^LXYcNszPF;q$~=5+&? z9;j<G69dPK1P28M4NxQ~fC?!Mh6HYq9Sxuy!@<C?U;(Tg1Bnh^EDX-?gV%k;yG%)w z>qS<UriPZ5)+#Oy1r08(Ra#lx9Lh{w3ltoZ891~w7A#0g0x$j|-p~P2$(%7D*3!UI zu8dVLlCl&vG8{4<BxGrDaB*d1BylS!CwMGiNGes}c)+k|fs+$>u8Ib>Q`1Q1VKaXs zl*iKZ##z}xxJ5#Z!C6|BL5+J8HA9MiW(g0Qc}A5E8>h*6ZVqo8PwrWA=!~PwNufmg zg(FpM0^P$xRRaggFwlGz0|Sd7gl3osp`rJiFfdHya^_%QWDwcP<pdrBn+Ty<pnNt1 zuzE&@iCm7LW4T3y!SaGEOkC~`42+B-les{rYB362<nnf4U|<y43O0q21u~Aw2pzI# zWP|b{`@+c!3wFrba`H3}DqWC!P8eQ7Xr`4An)wWb2JsU(7#Ki&(4ZL8%H;|mn)wWb zX6jG}HPsjxnwD$0f!aN%)EK~3Eyr>Na4>5u2g@@aSgxVaz`)RaMh)zHrXC2*zyP6{ zI-oQK=h!f%fQ=p*1eOjXLTCYKx)B@>2r)1hg8=6cxG4A_5IBz-3^DLta%z|~xXd7C z$Oxnc5&lpX><~2+?|{y^MG>GWM~upQjA-guN)3mOh~Y8@G<peA0>T(-P^KG^WkI@- z`BY$EqJkNN&<ND>g%}4y^9lS!NZfkB)Bd=nDV7mfMzIlt)Bt#_U<M%*_^ebEcQ=6+ z)+vBjRe;4I*`k4i3AA_xT-z&vRDkOoCeUC2(&{^CY=ZSsgAjJ5hAD%=3=z=MAqE!E zsz%UyAQlD(MivnU2Y~<<21XX>iD{sPzM!&(g^_{Lk%0{o@C=~!svuENnI$5?5Wpb9 zD3HLyAixMd%fo>I)CdL}4qDwR=qMn_0M-KHfj!Oys+d45@F|pVVUP$}Sm-QS+6Jj6 z2hcJU1_lvFhDOjK=nM>v&`cu0AjHDN=)fSv;J_rnAfO035W0bZfoTGRO9LooK$oI0 zFfl^zNNHj6VBlnI0ObU(CIKc!0no8stPGK$xl7P7&<;!t0uG?*WsnMZNPuD#v}g=2 zKsJM&%ICL`?GTDJf|mJ$5~>125F_Z2dT?wofzM85Y~W;MVqjt95a8foQ3CIWU}RwA z;E-TY5E5VkEhFOKP+(#301xPcR<v+3xG}OYG=XA^!2wiKK~}ebqOXCGL!m)|fk74K zU=HvMGrXjP2~$7|=TV?}@M#5=BH)k&??-^Jz;yt!Qz798PS@awgY>~01Q;4P6u{*e zsE9+-08$UW`U!OT00V;qi$aqCXyq4@66hjisBtI~q;rU9W{_^^0O<fNvr}LIO`k9@ z7&I_wFfcK2D0D&YGvR3g?aBrxchEVf4WKOy4WPr(Sru47=dv^~Ff}kJFfavz&V8J~ za0$Aj2;>v+vR2RvZ&2KDfKI^!9U}?q%!3wAGB7YSfh0gG!5I5No?s~=3DGx1DjWjJ zK;uxLmAwI=FaocYU|<B*=HSzu;qDh?VPFJ>I4DbjR72`yR)zxtpshII+#|p$09tPW zx(o%P3Zfa*d|+?@t<Pr=V1SqpmH_1rP#Xcv0k_T|EV9XAGu{OXfd$Yqq=BJ9!2x`S zlafLM2Lls>0*4Z4nIkClxEL4~FhT41CXfIF7i@S$p@V4=$OI)Oh7PWV2GHWjCRjt4 ziJ=R0rxPd}fv?#Dm52=>#o+alPzE&PfSf`B792Knzo3!}RBkCqC^9H87;rLnFi0tI zG%zWEX6G4Lc^H})n;1Y46b}uopuG@m3=IrDD&Q3F!N3OE)?mQG(4xS^%b}zW3R59a z^?r##iAjh-h(iJtj|!a(j0y@$O&kmhK+QIgB5-*Ki3}!C<bp6%oJ@+D^5Tz7o2ji0 zv^<58i9?ZrfnlK&sHy-}Elr@s!Ay)Ej0}wpEKDo{pa@Xl5RhPFXlP>TF<>xY7Hm*p z5a3X05MpR(YG7oLRAgf4X*h6zff2kP5>zBL^)Rq7v4DCh4gyRJ3Qa6x4x$XrfiU;8 zFie3lsYDBd3Q;PVHNeJzI))G@fDRZIU~G_J0JV-lO<#~OXx{?phQBNZhX!z01YC76 zup}`sFeo@Maj-BLI59XmvO0n;7H|Zw2Ln~?Agz!JNr6G-0VqGPw1Ac$Lv%wbBT)N} zNdPej0M$b-B|3cW%xqv_NP>ou03(AZC`25T6u`HjFa&}m8d|_Lwt@hICM%a}gMtea zhYJG(V*)5yvM4qPI0$GkNH8%xU~p(+09_XZ3VM(!psf)M3=B?A0Sq1jO-`V8SOci< z2$e%n4&Z?p1fNV6>#$jK$JD^U!J@#~zy#i>#Q{pY-~o{i@Rdvq+Mr%Is6J-mkYI68 z5MY_aA;2P_z~jIIIu2b!fPsmV(_w)M_%;WD1r7&5Z8rf176%3<(50K8<=`%$IGWHP z#-Pvu-eQLw;w%iHrknumt_WBigRF#jw&<`qmk6{I9#n-eOaKKZ11AFmBL~9*Rt7=H z2neWVU~phyWMWvrz{t=5sxQD?R&Z!Cuy7i<FvxIlfDSTn;1CcI1QlsugJ9z&&;vS! zK<!`#@C=6oxPSxM3A(=vBc6zl1ay_J(FKMXAAZplxU>NcVu5iB0~eTXP;6*u;9zJ1 zMH~lsY=s5du5IYxYB-?4Z~;`3fn-@2I2f22SRjYsBL@-(bgNqf7bqizFu?f8YDi<t zlBRs<sD^bcU@iuQD+42BXb~a?Dc(Tk3@Af@cGWR4Feo&DTFDH84&YuPO9-?N2wCec z!q^O|TpAe}8knIHpo$8~D$tHQi0R~$%)7|fJIHiiVPFsuU;`bU#v;JLrlrup#o`da z!veZI*8y~?uL5|~w}HcjfrEibfr}wPfrG(?lbORofk6S(sgPr0@ZfS_X>DR~U{Fw8 zz`(+y#K3a}dfo?9qX`4kltUcq4%!V2Tnvm2O$?xX1_}a3(1sUKN0dQ<K_dX%i~vO( zNQykn6i=SM!KVwfbvFxKtFwSM#D{=}?HRR{7(!eGK;g>v&;gXP*;p1b6fkgx@F{Sq z1c0ty)LF>r0E!<K3x|pc3M>N74hl>vNsb3tm^!#ZK<%go@Kw$%3=FS45=<E;ENf6` zVK~sh0BWp(@(2S12bTg1sJ+m@6#@<wipwrmQ?NP1fKa%?z)~l`#=@AuA``&CR<GC~ z#o}0{$6D_qz~B(TAph_|LrY_0d+W<9A2>9xt=G!_@}Z02Sg-!;hhMlfF0Invd#`R^ zRa}(&(?y4#e9rv%wW){i+X0;`7a9_n8X6ec8%<t$efiG0{oCpVt=$Kk8;*hV3FzWF zPA(G`4gt0eO<W}m8Udlu`UI9=$Ou{Xqhx3rlv)%aapKC5z#=2S$W{l96BclsI5NmR zOlW9mWMFGsol?@(8Lqd!tE8kuBZPPT%FqNZg)kL0i@2%_IeLNRM;|ezBt3k-gsF$G zj6vrLLt_HyIw7{kt)MvZ`2PI$k||yX79KbbjS~g|NSug(;=}<|c0uw91#!X#s@?`= z7?bQM&^f_pcz77j@G~&1Vpzq`!0?}+pMimk3v_t~7Z(Fa{y)QiV}7PZ%%2ScKKwU! zaRKif+`mguaFrDUKMxPf5q@T{I(~-#{~1CdXQ4y&fyD3JW%zF#@B!pB8jjtvQTc3K zkaGtJgTf9qP8hJq3Hx&9&j#^l{u{gShk^os|9(cpRrZ2BJUr&#|AS5%{@>6KI&T;= zPVUkrPQU}&0~Clfuof*&7#Kc$;Nn`fiXW6)Kymh;;eY7=@bB^Q_VKI!+dJ@w^8dFt z6%_@=i7^ik11wJdgVZvBGZFagbWk1vVfw_0$Z;Bk%)l9m8Ylm;#tB2vr+@(afK~sE z9b7^?{u`JI2rx3PGBD-=mtEj|!oUD7yCD7m#Saui;^Zr+%|*kqiv@hEEyVJnO@i(z z;b38K!$l`C2$%@4FsUUm7_bPiFeo`eXf-DW0}%lh1~o?p0SizFB({j`RA6Be3uF+G z;9y~JQUHrHu_Q7GSTG7Oxh1xUbSSWJ9RuB~0#fe?zARXg0YozjFgSr|kU05RU<dhn z2bWIJJtZ<M3}QIxmJSP<5|(KK3Je@NEDTH)0&P+(3=D1{^(+hwVgd#o7NAq23XgPD z=&*p)HE^;pFfb>!bXZ7~uuLgz>9EjXVVPEZq%BH^g>4#Su@ZRp7~D>R@<BtEU_SYw zBWgmv-a)1l+{$A3z|X({ZWu9~;o$+b!a%MB6-W&K`9FZ_1YCIcF9z0Cs~D~_{Aak& zwd#K;=*}j{jdPIuPmFi}{~r+G@&%;-|6foW0Aw-)11l>tXgyXa^B*&_{|up_h`Tu; z@}!dj=A`Qx)H=wH6G4Vh)>W%k{b%_9|9(fue^XGLn3<)eF)%>lgkhC67Xt${PC)ew zG#FUfKyl&%i4*3~G|J<Ibv4xJp-=q>-KYr3CtwyVpZsU|55BaFP(Jy;>izEB;C#aL z|NsC0pg2L!C-(cTxdQ%Ui4#aZVPN=gW>!y?e8RGL=tl;^2mJix$BE$o|L;G1U<hUS z|DWmZUCcP)UvJID@E_bthLBKep=B31PN-9Mv2{U>9`Y1uzab-o*iG=hL<Y8xkcyTC zd@d&g18iR-+ee5x5mA^rFwF>+XJoht8nXw@NkYWIjVkb1BbOIw{TPb@*jz^N+%S#8 z%=0OY45quWko|~EH`KwK5|~dw_7yU8s%wDOt}vfb*I;O1XlOnG<~KAT_~6+O(7r~H zlNk@Fg9Dw3XE|7$p#f@617sg#6LkL~Qx8ZrZLsjLIm;GH_<%zfJVA>j30mHT#Kpn{ z&wgPM2Q6^HE=*NX0lv9ZHE%E&23zF=aSJMsfdRakM1TRbm>#46Bn_T#WQ0!YQZSwi zGLITqbeQg)!xA>248p{KwuclPA4rNpijcVIOi&b}i&2~Jz&C8xt-yl@5pJLWM({v6 zfDyEe0lWwTd>$%954f!2Kr9G_R3s3&fgst82f|ib*$EC^u;EP5Jr7_Gs3HKLn92c~ z{za-_1Q?WH3P5TY7{FVIKtiCZNC7nU3*taANDQ=e8_J~_B_c{Qqv>ogtP}$6P=Hh$ zpp3!bz~BhVE}-RIEYMs6TCoL^V+7qu=fDbLLb4AF0|O%yXgb#s!Uyd$fh~6c34?qD zx@ZGr7>EtR$ZQaw3RoC?>KGLa8h9g^7#tc{Ko`+~Vnl&q0oX;LqRWAy!9jr0qmiM( ziE#qx(g%+TObMVHY?>56Yw#RE7Yqq9FfuM;NMHh&TPy+$0!$793qf5K$m$dh0S5sN z&_R=+Bkn<~FF;Gh!QB?HEz~90!>McD0Gh_gz$C=r0qX97PZ|^er*%Pa<srhzp}~;E z0$Rez#T4Mspvc6qP(n$;p@D&eNknJ`0|!F@g9bwf3kw4W%L*3I0qIN(6F}LEK?IzO zkbMQZu?CiTkj1IT7M7u&Sp#blC`TxOVgwu)4B$oF2N)Pw1fV4l$aNq!PT=)MkkdK@ z7#SSEIfH?r0hF(p7z7(YmA@;4KnqAcI6}b;&;l?423D~7U;!wB5oJ(uN+@w1N^}n@ zy`Z&l3=9nkOibXFj4U0XrKWBSED9>1?K=%j;3Mvs6k0f1Ks$BYn!q)9149Ex10zEd zIIx{SYf(U(dKpwenS_Oj1+>ejp#ge@2Lpow=)6xzJAsB?7e+KyXlM()j7|X6+R%Ig ztMfs%1$b);`1TBV@H#LwfS08>h%~t{f^IbfsRvcqpu8jsT9^vnehckMf;|9cGlJC7 zw(Js@1Y0+J2=E3(L_!0lcTfqW$G`;29ZhMBpi58~7@9zPB0zg~8A1E)8XA-sm>8J2 z7@8Oux)_)^6d0Hq!1;x#i4n5A8?<^J(hg=~0Oc^`HZ-*ZkMRk$O&lom9GDoIKv|)I zsfo#np^3qjM}Q%L;V28sB2~~8jZG~@kk#`lOe`HsniSYXm_XaFMVLTClb~2*VFDd^ z-vZi^&Cs9#in1*n;G6-A3MM876$T?nKL+keTGS`PH{r$)afU)81E(N^2<R>uMg|5$ zh7}D?3=N>`P(VEjMgc(%P6mcB1_p)00S>N$j1GnkflLPq7#SOy1O*sam>e1wFg$Ts z#A3`M(&E72#9|0Chp7n^Sqz~1gsB0PGnm1hPS8zUkiY`<Od%|4l7hC>G;JWw0@VWy z3Lzq(Q&hku7Nq3_s!l*DouwIc--bg|gAn*`CkBRw78a%jLZAwpg+ZVJv|XFYfssKl zj)g&h#i4;AfN2WoavK*=tbld~3vebdG%zwSLN}Yx(x)QS*f2p$2NG?zz#@hNA`A?S zERbXCnb<&GI|c@)1`Y;r#SR%MVPOERi)UeAU}a*M(7?dL#3aE0qCl8o5sMN-g91YX z_%J924kiW$6(#`%E>PEzfnfm)10$%k14R;T>v(}+qCGvx6?!#*YHub6CD4gM@G&h= zzk(IC*8#NM$HA3Bgo(+Mfyt4B!GR6bPjO(8<8ojTVB}EHRAgXaX$0v59U&ob0Hhw| zV<sgA1_edX;6B3y29^x)m={Q#me`$^hSSq*0S3_49`Fu1P_M#a62x*Y$c{J$29^~Z zYz&+Xj2xg`<LJRCut0!;slkCkK!YiRiGhL1MZ-ZuK?BqrU=T=PP+(ADWLXK?lL{^q zL3zi)fff}y#FZ!{%dlB<$G`zP!~;}yfHDBclc3%Ph=yPX2Jn(k$ToS<FeF$QbiXgC zre<MaYyd6O0&T5lI>5xl0Xm?Fg9Vhsz-mE<v4F0ygAW{ob<>6r7(Q$67@8CsIzjt) zKp_S0p@6G;Q2F1;zzoU&Obinlc@!AIbv5Xq0tODyeZO3gULa_c3v`wTs7VaU5G;_5 z)l4jmObVdgb_}4VG6NGR5<wQw5VIqua%gA^J&aBOErDosYG7>aWMly~ry%Vv#>NiN zAp=Yd2ijQ}G^R2#JYZ^IW@2h=J(1$jq0!jMDkmVag^7WI`4Hsr!U;?QDk=^d41$a^ zcpO+5Tv!%xGB7YHFo-ZrXlde@zyfP`fufB@-eRNRyl5IZiCkly7!HJMh%kY22qOby zqXGj9=xmM<7EsmSz|g25@SwZFsfC*{fuW(L1vJjC!o<j=!lb~`%)rVqg+Zc0=A592 zi(^8Q(20ho4$$UzJ|@tqgA7aw0t^mJ+9?5?j0~ONd+f+{B60c{K?j5rr*x33N;uST z<@(BNZ4(>28QB>co0VF?aT4Cz(b3S{z})zH`<L!j*VprZf8N#H-qzZFI;Es*W&8T| zcJroh5$~ENes7-KyKtM3yJ?fm-W^<ZBan3#pC6l=o9k9it^y$qhj8sLSGqZ;^=pI5 zLfW>4MTX7V)UR1x53a8azuel~Igyp2u`#t36eo<KtsPwx*czBxA6$RZz2bW7>W4`! z&24RstuMbk>C(Ksmfc=t{uXwgDa^m8%(*8j=6X44(zZ93R&|6*&ykB0V{j8+(9M(* zrVt>u`pcJY&I!HzbchqszU4ul1Bi4UXk9f3!<EB}W$^wTfel=OpuKVo|BZ}5YvY+e zOEU;;U|Pfv+Udx^!0=%ezXE8Lyn(SGGsp-5f!<ya4Z{ZDlLYiZLo6^M8qsWbX=E_{ zjRnOA2*YhgjT6zW@t`;X#}714R!Qv&`UKjO1=8{#ywaPM)xh{aBu+%p<0LecVHG{% zgn0_cJ;MTn;sb=?o{?mQGQsg6zdwGr@&8cBI-UOv|G$2f{x82j9CT08FOWLW!fa4J z`TrjjC;$KN-+!h9Bo4y{y9Al(6ekm5b`E(O6dxcA_Xuj7NbTa<ZOjmA4BpN2pW#0% zt8}R7R`%tfJ=-8HXU>3*)L>=({~uCz?cenu9G4(D5Qgv|B#2KtEWC<#X4BVXg#!!* z3M@=4E&(E-^eqP3R|wjV=-46x8mnLd?L*{p;W(7oBC><ah3goM@4_G;q`<-;1X9n) zz~JWC!V#pv!T{1Q!N`ELPq9U0h5`%6G0<o+jeQ25rKYhRbTK}$rNcm`gk?$vLqik; z1B06Y0~=^;gsH-zqe6p)!9^f}!9$0Ki3zk{QKp7%8l2D2AORXt0qt)D=___<6VPE{ z5C`pZ1UVeGPqEOUAwq_QNenaw1`?+oW*s*7Vl(iYng$041Tcekv@?Ltjz9}FP`L)e z456W*Iv$R}$^=3g3>eS4{Qqyv@c)0j%YPOYV`BzmC8huT{7Qnx0v{O9gVjUL25X@i z!D>x2qv>q$hyS2BiI2B|o~8)iFUP>Z@D6sW^?!y{kZ^SYg)As`z*t>fTAG1DP>{i1 z`Mt~c|LehV@_oPgr|<Fc#>W5o`O9VPg+CaA)xz3nwDsEXxeL(Ll;H!z2j<T<kXoDp zTz7*)lEE0>)&j@L8Lat);lC9F1H*0xV}}3r@eI2m`2>{PjEw~u1pYG|0P6+CCT$~x zfq_A6GR>`^lLe-x{Qvp?Gk-q70NR%Y3Qce*{>RKGsByy2YAguKC;#pL|7Y0DzzWJI z44e1wXJY5#5)|ZTFgBLu5&mxg*~d+nIAP_aQ;>~XGa3S;Aut*OqaiRF0;3@?8Un*C z1SXK`AMm&|shWngdh9C@z$YDmrtzWsQD{Gef-?|Bp$F{YR50WvAS;T%qyr0R4+D6C z5DR!m1ZeFRNCvb<g@J(qG`0^q!)}26%;q=14xqC2kaa=elg?l!gI1U*Ffed(FbIHT zArq?t3=E*HbfD`rL4x2dK_FeA5l4^!NE6HekT`-3VS&^@Skxzlu2SF3!C@k_3<51< z0c8isVm$C<I%ru4=oScwJE7_z90&<I>;}Su+(rXu(}y9@NFRIXV+$yJ1;AIBfb4^$ zWe5r4LorAZXekkdM%wKNk#}GKUnvXWFd*^>R5@Inb_@}J+L=8tCd2M(0@;qT;u^Gx z6ugHKbORk|uOmnn9MT{LND!2#K-x4II6x~RK}-Y|f?TZ$k^!qn$WWU#obG1?g)<_2 zp!oxIsUo=Sg3353FoL#sKxH9<pfCniGaTTGpFt5?c7bYt2FRri3=AkIPC&HLie%QJ zmC1v}T+lWWQ1;;J03BlhTKdbu0oow90F*Nr6hQF-b1Gzi1BZYH0|WRd5STcaMu|nR z2(1VqaE_*x=>uV|0BmP2v@Hd51H2^#$u;mw1I~bmgZ9k9v<wg}8a6-{)5uy-J^<Ab zAPlJhAQnM(p=f|MM1WTyfcXlb)!m>i?;H%EDg?Ag3o`eDUYkHn1B*iI6O{Y~v4U!( zhym4%8Z~G%1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%%E z<{`l4?ZCjuAR-LD&xC<R7<&H+jK3A;0FXEX1KUS1A9lY9Y%rOTMFD*DFe3wGUKTD7 zy$1!v2c0AWQwKJLOhO?r1D8&)9~fC?<B}gL;_3?EG2Bz?kilIL4Vp6n(F_a>%+TE` z3=EL_Q<zV%fXD2ac%U>Vl;&xHh-<?5m^8=&CLY*iCE4M_!S9MoFXT=Zrdzn=hr0L) z1_sDUMR1QOfDTpxrFOW;fG`BaAK<f>;yA}=IPK(ct|J7cWL(xdFt{*)F!;84T#5*Z z<Ff#}UU2S1krH5rl#eKa!-NB>3qZ%*fzGZY%1aIm43GkeDAiO_gfXSe<iG=38$c!F z2fs1M0RUM6fpD_|0}}%XQ&ct}TYxZ{G**Kk-m?cu&x)j3Pb<|8;3X^ypjBL;+`<Ss zoemn>AWwmqAesS`i9j5XOF+d42t!t{fJ6ociw=VIu{82I2V^;&LjVH<3o{D?E9e|< zNN|HstOG?DNECMNlM92RAOi!V5HzWS;u7XCMvz(<2XuTYSPxhQn1D$^Xs7`Y4ml*# zc5-wLRz2WU4sskUsDu)P1em}JSeOJHm>3io859&4I2;%_m;^v-LFe0pdM^wDpw&j8 ztA*et8n%!HX#trI!jOw0Kr9B(wabvm26I6KLN|g15+@1^`4FXS2r6P^V3+_tViR-# zHv=c=UM2?y1_{v0Qziih#s)@5(1kPtLLA_g#B2<+8W<xa1X4kZi6Op)M-P+%I+X&n z@*5%xUc>@ng5*J4bwM->XfZ3aRD{UlBVkDgRgF|LDu3wmJQy4pJdm94!2nv;2<je! z(=c)x7jR$@V2J>$MHU8yDd;FqummOnt9IZa4(`gpL^0KqEdn-|Y~6!VBL@TMN)yn5 zolwjGx}*Wrq-tP--sZs6(9qJr#Kpq}iUm-tae!{3QP2RLBHRF40tvaWiGig7gy4CD ziHU)MrGZHRbmlFnkqtTtlm(p2KoJAN(C`2qj!VIwB6)mg4-Tma1LX?Pfke=75#VUx zn848Rf`I{aQZNG}sKP+b6i{Uj46NX5G(p>eKtf2R2`IuqLg2OmhyfKqsv!{Z0F?tN zqZkkU@hn&nfC3zZL3~i!cVrN7a!_JW0v9z63`z_QPN3Z<;EPNcz-xy=su~zN7?_wi z7JzPS0`XzGK~V@|BVkwsfDL47U;>}e3X%tpPQqkC0^pW7gn^$#N&!d;rE8El!-ffq z8<2+@m>85mx0x`pH83?XG=Un(lNuOW8G?n_m{=H?;LC+M6qYG<C~<+hji9&#mk|w+ zJOVm89n_Nnm0b)>3~V4{nHV56$Y{_uNH7LTfJ#Z^Rk2_(k_b*qJj%iD!XrN@r9kxw zLlZoR8W<L^IPfs=IB+m<GAJ;KFc=6hC`LF4xpa6kaIR#qfcZh;ssm$)h`^N#phfM_ zL=P&EKuiz~s#QSj7SMuWkS2&AD1JaJP>Ki9;C2_ZbOYx*aIONWCmyrF$Eu0fGqhA* zaAFVu-L}C9ZBn&>N3~o)R{(*+7}+xp3=BwJXiyM?YjCI%Xh=iOxdSCv1rPz1L{OkL z;Rqf%Ecq_Hx{wP2yb1@iB$Gn}2e=}KIFgCs0BCzDBYKQ5Ff_1$?=^!c1CyZS4=%r; z!OzISz(uHFVu42<*a*@IS<u0h__Tptg-^ktmU95#3;?=<)B|$oECZ8(5a{YmW>Ecr z;&f0Hfu;))f(qcA0^_qls(rW^bcPWoO+{L)mWqZAN~1U!7(A4qS7SPXnqCcz3<?eo z&7eL$lLM&F0dY1cbvrOHIDy0=B3QZ@pxOakO@LH@IG9Nmd_56J3Z#TAEQkND4T{Vr z%RyAv#K-{}`*na8J`4<u92^304w7w*9bBL`Yyd+C69dD71_p+XCI*H@$n+v6?BY!b z^$p-ki;IB)aSs}j6+|+5-r`c&xndO;1A`WLR}(HZLqdE(2ZMux5Ca1vC`~ss2!O&( zkpa|IN4cW`RCXc8e+9rdbAfl5f=eq<E&^?q2Q>{qz6M>)0g{06q4MD2PLMo2Gl4iH zVnNW^yIA!ogoH3?KyN6-s$hr+UTtc)BGBp7(!dCsQf+83WM~q(0J?sep|KIv5rKIF zR3spFmI^R1u(EJ4u!w=$zzhrwpldroaRQqH1jPXh6FAPm27ve=h5!SX0>~r~n_SFh zhf618NC*Q1mV2RbsUMW$4Gjzm4onKo3M|2(9t&e517kxH!vVGqF;I2^jSj<|eXxV8 zp+zyE0jcalEvsfMVq)0P!obxti341w&Dn$~+hAoK1E}#Pz{MbdG;9pFfmjCnVO%OZ zKxJ3J5HGtL8W_GF>iRN$<+bY#jg4TmF{$axwUyT<H8eCfGB$wn4kQE~c5oeN(+s@` zu16M3U|{HN<6>Acje(&DRIf~8-~h$RmN^_;J(IW?7ENQ|n6r%uM8nmC>Ys)Q3|%S) zTnqx>jouK0NhX=5;nK45&p$4W2s=$&@<T!#6eq8mzFc1Ee!a1=k%6(Xq4DeMt}EA8 zgkJ^45Mx6lsFDGD<zWX`Lz`w0G@pRtWEvO4a!{PKF)%Ee#K16P6BEPMInaDEfq?-W zCsQD80Z<%*;)Fo}8Yh(0@gj@B4#Glo{`tqn5HQ5!1mrK!8N(n9VuLV<4Z<KcGDZ`# z{|~;W4s@b7_%4C?|7XrHFvQ0zFqA|2_Wv0eFz*!b-=CJoz!16=*=W+(GWxjGPYpe6 zT>pRS&_CY`6xJXN^C*Z7!Z1FJMiWDXHiY#b94G((D?sBR9&$McOgEf{#0f+XT#zJ& z7|yZ_6es`xhmOVxG!D??<Uh_h0mTOhlN1q1ip8cPaZs3{p~l7|IZi+&6C^+&;fp;^ zAaW3O5Ym4?NQ{!S%!c!xpy3iH@Ox1h1eiegnxOHa9S;VEL<Rv14i*MCCx#0w0xS$l zObiB~(L@C#S_CBS1QKUqP{Kw-k1qmsRzMv}NF<R=%HPAKg%PyKg8_N)8kfq!Esk_A ziWCb2gBuzjKFm_s(qW;)0=hSa0klE|v;qm%8Hdu4dr|}#n85O&Qwl-%rXbUxflWtH zM+mffh3sIF`G!j?bOH_(=eU#%331l13?Ep(^26&zg8+U8rbPl5&>f$acIJ$+F~h1= ztBlW_IkRfjs#Rx5rWqJk(e&P>nKMCcznO5qp!;E{@L9j||7T`~T(<#ovwZ;nfA;0V zmqBbScr;G11PZl<K7S4l{`}b(+@}DUZ69wO8vb4WK8THpl{!ZA31+BJTZEZ8I2fhu ziZ>1o`XqA)-5zk+l{OkD=;1;QKBPisQvmHxWMmN83f=?Az=B3IOoYgbeT2xfedO{2 zO>{%|Gh%TFc*PJSsPP6~!F`blv?Y&`VJegsK#>Pk_F5qGKwH1ShP8r87=b#W2@{3V zQf^QNoC3R%k)<0hFvJ*YVD~b0K=&t}f$U>sKBKMyS{K<2<uk2>%AbJBpHK&nu``3V z)PYSvC!qToJ0UcqLyH3_=wLKM14JA#`riOOYZ5XqgD?%U?TD!dA%e~lNktcd?qdYq zr-v>z68P}8F^xS78%)Dujl4V-K}ZW5o5ZLX&Jdv9A&vs@v1*)_4Lu2PS|_t34c0rb zUS<W~z&)^T81lB0e8CBRA4}C?lc#h>8Jn4O5(7CMocBQlxY~wU+yL581v%mxk{LJ{ z92!6i=)f&C@Y-%jO9`X_q5{OihVjaRjRP&s1Fe_ArW-7V#|YUVu!><qfXgcf(3(dU zMh8alB2)*400&3N)g}y%3JeSa4h)Q-pa&h04y!jESsWO_R|kOFNRBwef(10(1R84s znasc#-~hG`e0Pc<0|RKl5|j>H96-iHw^)Fi%^+nEF`P!DNbvkb;SK{12V|+D0)qlW zfDmZCFDOVD6dXCg<AO{KDqw~JBLfo?cuyi|aV{t<A#3PC*^E_y5w!Xq78W2$5Qgy? z90b7e2Htrp05%mY1y%!2{tga|42=x}ObiX6_*ZBEE#w0$0WG0`F>uo??{LaN@*qy> z!72et>!2$#K;h~DSx?%;09~O18p9P}5da;+tpJKT0Z5#wC@@NZ0uz6|09~BQz=CB> z3V1mf1GK>e2{;HzPAIX1@*_DK2fH3<)F3$%RO*2G*H9r=a9#jsBCrUobYTQV7FYy3 z!6*RUC9D9k0I{~U3A7T7g`r6mq)kKt!~?NF7_@%{VG&3KFXqHK&xlvsV3t&ZIZgq* zld1u<kgkJ4fn`AhM}q=K0|RJNV-vW)&C~!YuqG`4RmKWT3=K+<a7HF!W-%~uC^0l} zfOZTufO9~D00U@mR|5kBq*`HM5#V6rXaX6<z{Jo1+D+WR1loTOVu4oYfo%jW+k;|+ z$>=ObY-dG*@-e!?p~8pe22l0Qh$!npu7_m=aJ>sk#vpHkYiLj?2{<r-_Kt#9r-G6+ zxN-pL!iJ$`6owQFXtE2O%|sYjSy(`3fz)(?gg}OZI53RI0<pa?MZ<>%r(;kZ&maIw z@}P~U9SRK`4GkO&pnL*K-VBgD1d2J()qtQ~ybKHs2B1aUO&|ifG6qE*@)G+7kbxWv zAXQZZ0|#tJJxCoB6GI1h_pnA&mjcLSP+OY;YCJfEK`sKt5txIWkR3Mr<Kg~eVgLmO z69bb%6KL^TgHi`GXmplUfuX5^ft7^;w5hR)VF4o(Yf}Sg1J@)Kkd6fmN(|t#4sH%Q zLy2P%hXR8Vg8&0llL7;15qJj^1E@aX0be52ufV~?$iUE~0A5-T*38rZH4-^ez%qCV zDQs;GP>kc%HCQD<Im83BA(4Rtba|VC2ZInOT^?Xy-~{cUQxsqjQrN{J#G(MY77(=c z(1DSGgQa1L1fu|B6GH>Ebn8M%*kHecLIkwA3smm0FsOjUgqT421=My1wemnqs~H49 zk;=}%0?H}iiUm}kfX474(FAe|sLTZE#)r9a%(o$DR($#gqa4KVpuHk24J=Nuf}6>K zp@D%#1KipI1ucUE6N3l?E28hn!GLvN3e1O~=@O7W4;GCEP`c+30@ofKEKCaE+`_=2 z!QjB4;vnJyiZcNg0kH;!5C;Y(1{McUQh`Pu%rtzoG-wh4Qvo!^U`h-j5m2$B;K0%V zDrFcL7!+Dq7#JEsRc!;L@@-;xz|b)Pbm%4|5}O(t7#R-Ws=q;X04TRGD0BoUBpNa> zFz|?gZbb!eIpP4Ft`jgpfkBx;L4k>ffrUXu!H>a#g^5XnfrVicwm^d=6)bXMzE}i@ zgAmh#28IMk;DdwGiHYF@BSQmd+=YP&H2e`D0&2{Hwzos%LH){x08l3qj4{GM5wzKa z4V3U1I9VD&6$|8q3ec%U;NCn3D0&%L7#JEE8AL#9l59X`fbs_i!-4=%VgT{M7*B+N z)+gtK6%8|j$qBqTt)r0zvS$vIWk6X!fPq1b!3k7qF@c63L4%SUp#6&=^(z=yBor8! zI&lR>n*gYl#=yY1frCYXfkT87v?mKRSir#n?%9KGs})gUP;gW*P+(MGQDkUiWM$%D zm;l<{EdV`B3}!Z{FoUr%X?ghhx+rp>*hLW-dK^ZyEg&8Y3>+LnOz`x|$^h!kA@T$$ z?ZPFodAC7}!9j^D1YCKrfUc)w5&~7^4Uo8E>ISzA0zeD$8U)<H*9rz`XasREB!Jt2 zNG5V2v9U1)<IqLHdx3`XK1Oiu0B-3hOkfn807=~q;NA!5$Y!uKLj&j_1AzucCa^+K z9~;_SLk|uFUqpm~K_moRu`_UFfD1fGD+-hYIT!*MSOf$h+fG2o7JvjnmVl#~3(F80 z!eDfkEXEk*kl)7$+CAFPz~HLD#4?G2;R-_o=yZYx2Inmb3=9)Hc^DW#o3k1iCn_`q zG%z?_0M+cEI8kKiGGNufy%sk_M1+e$<_d#{2Tzw!u@#e;01FEn0}}&>5(|?S6N5s4 z2#1uC7DLe@CaYB}az>N15(HR8nK(FDR2*0sKrw_G^q_2sDgx)pVUE>9_A$a$3`GVb zBLm|Gr-lHR6>gx47qsQKQGww=Q-d3m0w~HF8yFiD4ly){YlwgjOlbh^Hl5PNaD<8B zAbd?Xy1%toXfZG-b1|wfVPeT-aM57k5RhPCW8r9EQD|UbQDEx!*u>x=qQ)TO;UL1K zz>yomn$zGE;$XzV(9p#IUBdz~lTg{kcO9Y*L4wP!p}dc=p#ii<j`8cGrjlzbuU~0w zXl!6)Y-oJ^<v~;9_0>8JpiOfPjg4PlHGP?`8Ga2U-`Lo|xV5Y6OZbX|IPVax-(%<H zV1F+(|Bv3f>gqZzF$oblHa0e{4mFi7EjA6M+cEp1s_gQL_C?k0Q>(N4UsqdmuRN@x zu1DoVABT$qWP%eM%v_*$HJ*IJhOy3Q1!N!N(A^ggi<2zSzD2j|pgaMJlk6>Dx>j88 zU*8DYT-w;!xbacPh3hM>U2kY$1noU-_}bO=g<a!fIC!cG9CoNg{T@3l2K#%Q`S0TT zs>|!D<mK#S*x1-Od(BdswAd7sf5+^y%Ca}B+UHlbPt4Bhe_i^&CGmA$Ry-+(`ji4z zAT_nPKn)8#ae}$;w*#_|ap=YgEGR*H;XoM5H)Rmmz|X+?70P8`0Lg(cTz~*W)~uL; zA)evC;D092KF0sdpe4wmtCW=Z`2__T&M<I&Vo+lE%Mc&)|Nj5~48Oo5F8}NQGkrL7 z=09QyIRQ&R(xRZlU_cBw2JM*}j{6u*KS=EYt+0oC6cisI3>U!9Sg?SfAEe?x&wpWt z4e$T|XZ|k>;xjN98{fSP+Jo?cL5bo2|M>X-{Qp7bo;h=e;Xi2qBX|!5L@80EBu1YR z6eq)R&z$Lh`TfSBpFhKc1r%-|3>U!9fX9jb|8Q_VxeJMt|No7R1qJ{AXJYuUY8Au( z{{aE~{Qv*6{^vh?=8OV3PK=GMtwF^Ve)AEsjG!d~2rdHy!*JO%hh8?B@{4XY4kf?r zn#6G64+8@~sO)kB$B7`QL;{sv|NjdL8iV450TL(o@BjPH@C%Zu{{LtCU~K%~8YPGc za0J596%6$_ae)l)3L)=XWME(rOKcJ8;Bw(O1d_+HuTczi84Bu&U8o`B$RHpA+V98^ zARqy*paTS;hp&KX7LYhp2V@*mfCIK%6*l(?lSZoVp+YE>s22*CfdMo~2eJ#ZmxHcw zkn%xmQ$R~0koPSzFfh0YBrtgB@Gvn|Ff?H7YXt3M%wT8`0(ZJ`_^Xfsyq{4lk)Z(` zCm@=Efs+A5ORzAABr-GzK>1*r!Jq>YClC^csn~?2UZRUa7qXzmExM|~&$kaSHs=3- z7c$8XaxSRu0AUcDfq_9i)bOM9Dt=Jo2`nOW$M7Tne*_;ah(rj!1J%``p{sTq8}DKW zWcUvn>;lV!_FjXUamL0Dpz{Qn>Oni_xfqNY82-nDXNci;fRuxFNrGtHSS%7<Zn*4Y zw2u!BH4eRN5BDD^K0p{Mp#I<Xuk?RYQz(}~{=V(s;9vwFCJv*)abgyl28om3jH?(K z{z2uyVGcUz15~&y05!J$b1*Q0;sh)Miaw}HFl9`jWz4t`tPF`5E^%TIz|YUmAc#Ak zFfbmJW?=XL^SZzV#)AwW5PX<8oc?cYY-|k5C*=yO7y^(28gf2CorAIQ_y1g0p!y%w zG6u~_LUIt2QU(SF!udon8Ez+(F>K-_p5ec7s37iqvWoYtbSNTU2w&zsi!YxrAmT)6 z6~iw^P`-c$88|o8IWYWp_z&8P4LNZFB=`S4h&%w62eBZSU_L2^s6&uLJx(C|6k+=q z**-$<XN2urWD!RA6qN<hCv*{VrZXGr3=$(7?0!Z;CN&4pxrBmDp#6%7{f&_Nml3o= z29!fEX;j<r@D!qQ@yHHIDd;{$=)Of}&^|>D1_lrfo=G`{%To}2OdV<rpd||6MJZsV z(EW!UEub|P3=D@_9Kg}_vc&_mYN!FWkFf!w4!o}s+}4HdZ-nXtn?)4CA?Hbyx)G=d z&xS+FLW7XO0+L%OvtT&sh7>;o?jr%29|P`&LE``gEYpDl;5Y|P)V=fr;Dk{thj$1- z)<1y#2wlSfVlzROc|q4@gVi9(f>eMjWe)KABTz#CtOO*E1tZIWD|;+zK-2pSpgW+j z$`KS4(Icp2h$?UZ?O<bI1Z^{P09_v=2pY<BV1TYy0FNSqd<NlzI^gilK%kwFg0MBL zAT^Nd2L!-E4uT+QkSGgiXpqqb)G%fMEfQm30N-Z~z5J0y09F#Rz%+m~5rZYLukRv8 z>tIyF$iOJT#NYrrHCO<=5l4WjfemsErUQ6Aj{<`L18A`$c-I`l2atRN-rool1Vs~! z4WdCSw!ybVFtu<5v@kL-ItVc^GAsZ!3?RB0z}qW8vE$&>AOK!b4?b8Lq?w@!oE5=L zf`k-!n4h4sA*8^8fq@CUw^RVMyj=?9awY)=(9zfooQw{N(C`BZgE4YgL6^^g#gPec zrefiM9*`~yx~mzqjR+jcpq0oVwGeYb1IrxXMP5u2pmmZEQLuiHBI2+B=3Z<PO(4#m zfmQ{&HwzR%OboCa=5V-30F>~-a>%g-7621W(3oHpfbJavnF^AD(E=bD(6l0l149dk z022!XXqh)i1vL7wn+sI{q9ne9$YF#*bubg?1R~HHVQ4sUfsZEOYTy8`b!1@RXklRJ z0EI1dqbSJx;0x$L>fo!oL872w1epk8cQbG>un37TFerfb8+9!JEk6ZqdSGG!wF?*& zx*9;E_Z%z?3qT9FKsy^j#T^;fZV0>pSvOoTQXRmk01gpQt^mahBSRAd7XxTjJXj5) zEC7`@p!HVpAP4}}2yh;P!2-T)2D};tv?LW_0zwH$oIwC|>Z$_+qX;8tYl{E_^v-68 zD%>qKh&-5-zXqm;3js>EAiu!UHs}sh4n-Ib97`;qeT|?R7_>_srnZRzlutlBC`JoB z(A6|6Ksif^iHV7WVL_J?14EZW188X~XigKXseu8^S7>19XlP*Qf@~sgfR%M%CBzY; znC&j?DS$ZR27@Xlh9=O4#wO6UmJCdwk_Hq!j0#*0ObZwkz*otGGY7g`nLzs;8yFg( z`e4$akw!3^2^5hG4GJBLKxI?cA_gWVC5A;!3{2oUy+MHsa>fb^;{uSa3=AAi9Sgu= z2}%m2w7cXA!S)Rwq5-rKNRWZCfkA-Dfsw%gRHJeVaIi^$Zkb^`Ajk-6u{JR%aDYlQ zh6YgDhkBlc!9#?>0kjqYEPxb>;E)9uLM#Fy46NYNk3oQi0d((`0uzG}s6Jt2Vpss4 z=w;vlHNrurvoNrLqJxEj8*Ctq0J#Xp#!B;V!zw(K1;L#Nmq18ongO(PRDfv#q~iu! zzm3alpo`7L8X)@{Au}MTDh)Z58iW}X8W~v_K7dYz){toNVPIkq;9&usu*1Q$fPu+@ zMS&rJK|la>p%V*e!3B#F0~3Rg00$FFjfHA1CQoD|rr3}cX>e$2XkcLwV1ZR4E=&vu zTA<@9_<W|y#IS)u0sBBYxU6&Fi2!8;0Z{u2+*)8z0GC<}9H5RUs75ycclf!$8}Jz% z7&sUqxe#=E0Y012<-~E`6NIjEsPF|C7#kLV+fJbJgMmpP0Mub<Vq{<d?P5eeA_&b# z0gN0COpIQj1}&Nlh|9!i04mo&eGSkiZUzM{0Tu>T0R}c921X_ZUQW;%5};BEv=NMj z6J$RND5ruB4*{2Tkn#^?5<x73byF%qZG%~X00Re;3pgAcK>HLyyULkBTNptNBqs2f z6;{{7@)bxD)VBfA$e4q(fuV<i1>A_^R8Zh(@HA9x;^6FLP-V~n--HfQ%%;Gg$iN9L z`Zxp>7#Ja2xWO$8kOE?`L<KRbhLRdq4h~Q+8g!-u*xBHHji3|^=HVecI2ahfS2rTZ z4k$)J%`9-?$Hc%Vz|bY2#KpnF0IIzi7z83f^*h8+CfMK$$a;_vEM{ReNQ@ZF9!!j? zp`>O41A{_?0yC)K0N=j|+5#HDC^Q8Ucxd5`;;{zso-S}Z0JOahMGndlIFP}jAi^TR z!~xll&;aU^fX`HcDqvs(*B(p^pfU`!<rmbC1P#}Kt0b5r{IvWl{PIIiw!sv1>eB+J z1E8Hgpop2s(4-*1&~yPD){uz7>ZulnCWgia@cu^z@E&(GRc7}T8e}xGCOL3T0aYk0 zK_UuTF)VBxOae@*3><6>kU<xRAPE5h@IKT44i**AzIhe_0SS_tT?_)lZa%)@5W|&l z4iRBcjsV@qsN%$MrFDTT6X?K##zqF*XZ|=bED%!+0UenF+W&}%5e_a;kTNnToU3DE z5MZ6(pcN!0;W9&$izS3fAb@Et8wco06s`s)ju0140ag}1o=FT0Ol@o|T+9p{OiT<c zY)niHY~Th5!ccrHcF=wzd<uq|T*KE#OjoY22)_>6w#W$Dr<m0AW%|PFmm3>Eo8}sD z#z|{Z(}L>@uUu<r0NojgxFe7O8Ykxe-u;WW`St()s(shQWMbyk%c<1Gi`|P`FUMud zEyu^Tg^R1ijWZxzMowlH3j<SI7!O~%h=!98kJvORCKfi(UREN*QpB4`g+oPI!`4?_ zU#_pXb{Q5YUr%*?xw_)`wZ?`9hQ>zRaq{I9=x)t$(EdgSMn=pyG5h!KpPW|J^!xMn z)yY|f%&p$HuP$y+825THE>jjRIqoY=93^3z0pW6Ta`V`jz;U7;z{MoQA|fWo#6n6w z;Q<Yt4wdjG&Yhrj+8_*54Pt{ZjE{>3sR3bJ@(jktp`iN!Iqrkba#|$_s;of$kpB#! z3=F~Ww$lIq#^n$rjL(4R|9=RrMFpus#$vmXdBcJY3Re(@c?QG=VHh754N?Qbxa5sN z_wa#MXM@&kcdg=K`0#;&fx{y-bk%>fI4OV_A?yR9LrIPk@pB-3!wQ4K6@+1)0<l3D z#>Yj2)POJ!d1D4+Gw3>bkP6VcY;fNk5+}@%wlQek{C)I%Vh>-t57I~&3&QgpVYNd< z1t?rW80K6M8-!teTr@}x2;-19W&q_AP-_mX03Ij*|AX=gHRD7a=UwGsn};BQypK_V zg#l?_qeus6A0sX=q3eUGb7TOW5zWBhC?GNee385Wg9K<Q-myhw2A2!RG0;9o(B3?l zItB&-3$R}Ben&;np@blPU?JiNIjnaG5T|!=szTn!2)aKJi5~^pw}{KtFm<5)kRW|9 zbs#>7Env_P0U9!c>|10ID0FCuVBugA1MPDJ?O_Ft!hqB<7<545grNaC7t`PY7AKnE zL!7HXw4TAO(3FAkAiuEy{QgB#2IkMqpFwRS)csQEzA!aq_`q+BxW^GD9~ug(*BKZf z=RN)ZUJu#~R9;{IhckiU-kDd>lMVkfFq{t%Z~*U{16MN)9sfZMvp@eo{0HsXhM7hT zjaWKEjOwAF#`FX4*-!?={fnj_SXo(F`9XUKL3IF5FPNH|nlgl9#tG;?I*=yNbd{+o z=;mcvaL5amF)&2`-vAl|2AvKm$N;`!{Qv*o|3O>07#JA9E#m(lKK%cWa~~4OTudxK z+}08?nEto@8~Pt{|Dx&tuV24@B^)RG|4mK*hhnB_Se&Rba4<mP#1<SUps<Yj4_dOw z2#FJZ28II+-~a#r56LGC|BY=xdmBm5Cv4ERG{}bGflc`hKk^$R?q4+J2bEp_LHDuZ z%_j^W`2X`8gLY7(h6AkZVqjon;OGDU8Pp#BUk)M}819{EWoT#swYmN?FuebuZ~z=z zh&X9rAgAnNgE#I_T{6nU8v@W^$2~)aS2qDk0q}$z0Y#(INFe~*w+N$=q^QMoqLx8) zG-q&)(NVpmVHc-kSdLVy&=ysq2Lrk^XdMQ+no&MgLx6<YEQq~Kuros-T&yJ3GbdJC zhO8i=8X30sn`jS;lN^gg8$G}Z(Mtp>I|meQAWQ{gK}#r*jS)bcM}jPj!X}guP!ta? z4#MdO7Wpnjm;u@s2{s3GX8>rr9?YQ<!M2J@1`P^h1VH=Mz*|HFK>I+zZUS{BVB+u% zz+f3P0=(J>mS#b^(B#2fu)G5UgJ1(L6<}pZ1lU+4K4B&&)_buD>l>jeKoJ4DY7<;) zA+ik#p+STuxoISrHw2Y}(>2)LpvCTB2HgmR-6&QPj1?Zxz$S{8VaNd;{{+Gyuc59| z#m57w#>5Q_9SlqgpuLP73>=Wfz?h0C6Jf!)YlAZL2Cr_?%XKV%0hL`KVbEPPcq=E6 zBCMETE@5Dhsllpq7zl#XFsy3@x{(CpC)At)5rdFm8N4m%2GCMu(4Jxj&}D$2oCm@X z-8f0GvB<InV@3QSvhv}?hLq+I667xg3&f)UL-wYEV;OYSEN+v?wNZEpZZn6T3}~++ z$kh-UlIEEhKpUwb`{6P6`=BObBp$e71u_Z~GlK5uVrpQ5ou`7btR7Q2=^{)+|NaKj zJx!So@OBah@GeCr&`t)*Ov9pEAP<Y+s1TkI5a8f&VPR-+fSjoV+y8?{1Ibcs$4S;Q z!Zma-Fc^T2M}=N`il>kxH=x8wTsKLsJ;O&Iq~=!O0Buo(RQJ^On^-%wO&kg4flg9k zU|<NLjbGUg(Z<|iZYrc@1Zmh&-K%25Zht)0g9y#Q5H8Th?uL*ME`|^m1_ov{X=-qX z+deI7gb7|V6d1S^STq8dKr|ERUS_<i$&qAxK#s1Ftfz#Ft0YY0LU;)`R|y*f0}a-g zuz~i0jpQIE+3zJ>TnTI%7s5bsL!&rZO|qj#HH?P9Xb6mkz-S1JhQMeDP#gk|3<4Y+ zEDTOCnqmV-4WKv#K>Hg(`yF94#RiTVKye6gafOB!FvuH&25-T4EmLeFx&Z<t?zKbL zKl1pXIALb6g~SQys!XZ}f!Io_8#&Ak^iE=Mk!N^dtfs=k0@*=Fbq^1}b0nx9M5qSJ z?waIK&%kbc>JgIyXj?X_EH!v+IM1`9hUxS)gN+HY!~sUr%We;<&or{rf5|3|0*g^0 z0c<ji26N~@sDKU~rm8*c&_h9~YUrq8qaiRF0;3@?8UmvsFoHt>w%~i9gMih4pj|L( z|HuphSPmerD?ywp(n6Ay-7mbO_x+L<xMZk?r3hlRN`OxSBUbg$R7Y?V&LH!a@Vi0g zAZj`XGT1`AiNvTUMh)mBXyR?fP$_PTAvEj+h|MR&sv|XkNHv$Qk5n6mqIyzGbn5$& zxa<Y7m!||mkCIdk0aCLDisj<N?=C=u|1c6KfmSY5JziB%H3&<n&k`)AzL}#Yj)uT! z2#kinXb6mkzz_}rB?cu3U?Ssw@WJG7=GlYEHI%qTi9rc;c0AY!76wL&TBBe?NFrc| z<B+5Yhhp(BI3$LkFepxjptCWYEI`U2GKTKKBrsfJgaLFj{a^}M3fuyzOF-mkeL_Jn zQdR3{eL~gX95oCY0uBxh3``1=-B9i*HNYXz0=kfkgMoobL4cZ#xB>Om>?aHi4e=Mh zGcaVkfo|*}>=;*82GEr};8u<xVdWeQ1r-dH3=^O#Js2i1OkijbSis7_z&(LVvjN}( zb~uO4JmVx+1_oit?|T{;s_zpQCrlg+%%E%faEB+#Ag}_%42jmc43iipO`0GuL0}t$ z7sDh50frMv69m{4aGL>*Lc-Jm1_l<;)qxD6x53GTu#Qm`M27$@CV8MbV0<W-ASJ($ zppsDqB!&QN2m!Wb0mdiN7!Lp8cLy_(ULRFP{}8}CTS$NBkYJ-14bIge!TM39444a# zB=v@CungTszVMFW8YctjFA|djxKn}z<klc@Mw!$J0en>%QUu`Yg^0hWjx$D0!4m?w z0w1acJzEKm?lFai%qTS)0;3@?8UmvsKuQRpHwid3Nzpy3mYN}eYiyF^H8pJ+H4AG9 z;0k=KiWwLrlyOLm3ezS8h&bb3VswuwZNeVYR3c&nqDi6@LXVQ8Auzl{0KEc1=i~Ri z{OBD5_ydUgvak^^7>!|r0ErWm7zT_Apoajg-ax0()#2oi)+acFW>jKWhX8nB7?y7c z`cz;9ob5p{5C%p8&N$-uIxr3z#<s%38i_{9CrIMhLqS3cyZERmB_RNg10(~$d{|t- z#L@XMaRz?K0kClXC}T7PMnhmU1n3X~;DQRt9&|pE6qt!2&o&7xK1vLd5CBy&lD&h( zF~iIm=yQjJ_{iQDADw4J4h|}@!9!U{qgLpAur)~XU_P9X7|v%!!F@?r24cAuq^2Om z$8elL5QfmG0L38ysuduHK=yAD;zQKJNXF4Pfd$1VJsJX|Aut*OqaiRF0)r_8To_m# z7+4t`7(fSBxiBzb<%4%;F*-1~FfcGUvM_+ugWAR*%pmw=FnMQKxJ8M9seyqB)JbGo z06J3)E1v-&4`DJfEMQ<zXaa?RJfsZ`Vvgd`5Eu=C(GVC7fzc2c4S~@R7!3g$hQOda zzl#~_VRRZQJk%+0Cj^ft7!gC37^(>AMb|*8dUXAS__Q{kko}|bBR2%(`xqD?WBlOW zJ~$v?d@u(OL99AlMo_9Bm$^e-Tncs=8v_Fac!qMQduSNAU$g;{KVaS=w%jIG9n4&G zno|Af<_#4-tb9OB3ZuH5^8_j%nFrI0EQXIws(O58VUwe^`Pl3vUko(J2+>Vy+LQkd z(KkvCo)F+d+G>X@FAW~ojtrOZ4&eQaq~_^C8zT+SQWYtEL);1#hj54|K}T{EuX<D^ zwh$l?^thxEH4dtw;s;Urqdam#02=Bj`2-Z=P;nBCWWR&ZK=1lw*pyv}ARJ|lhQMeD z;12;jqq+DsqRX;}qKl03Nels8ae+&mu<-{7D;ZTmQV38yY$!g3B>P4cQzHZjG;TzV zs9_0NW>B1-$g*`*(`X1_h5*5OMq(?brcn`!LV#eH!zU4OSR+4hWfu+?4LM<09KdLp z2hjO2af0*!9*s`6n+bY~z6xOez(u3GMuAX1;cZ9PLN`9l4|KDTMi#;Rfs4kpmcTIB z0Jghee!z6|sK{stjE2By2#kinXb6nZ5CF|!A$uL2k1Pjb<CB;01gRLsR0sj|Q7m*m z(N@Tc5v`Qk3dwdewH-pCd5Gy*$lg$NKDzM;d2|^le*oLU3=9xIKwUDFDMYg#()B>+ zqx%gZk1hk^vlfBKfx{3#44nO=HjjqDXb6mkz-S1JhQJ^R0T&0**(xB+$iN6XUj>bi z?o6n9bYTQvHV(n2FAH==4A>9!wU+v}DK&rw-$9)~CI%)Y1_pFKx{U~VbQvgLf?#VI zs*n~G#1FJEdDP6&5Eu=C(GVC7fzc2c1*0J_=t6+S3v(|v-5fc>S3=_QKIps^Tyk`a zn^B8ULSO*b5+M#1L2=P2XEX!`E(CDRQ%JlYI5!P9n{mxl;S$H?|Is_naD~jM_-F`> zhQMGB0ompObREhb{{eIdm8`~9Z{QNgW$kEvf-7W3#YaP6Gz1272#nSzgE@9a-8LEm zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OBQpf#S_iSe zNj<=N5PN0RX+t{%_*w?B`$sR88pM$^>a@`i7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UpkR0sg^!KO><)7`?xdPza66Qz-=G z2lM@mg#0*qe<Ps~8kHXnfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3E zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$ zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?; zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtt2ifc&rF;2oCX;E7Q`jE2By2#kin zXb6mkz-S1JhQMeDjE2C74*~w)!yyPbhJz<Y{V*B=qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V&5<2nY-Zud*<(3<qyO{UHAt${5-d$Ix~@s_Xe*qVk6-k7=kneAL~eAut*OqaiR% zLSVFxAAAuh|6=gDi5wR(lA~#m>KPvW6Ap%9)fgV6X=T(|qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONfKUj?KP04Lh{%s_3K}92H8h<m|7U2r z8`agrVxuhFOY%$M%%RCp7@E!=b@k8+0siGf%e@$`ZNLy2@&f!1hP)Rb9vDs=wIDtk zB?nOm@Gluejv>b>!>h>!34tgGHDpO}eKNcnU-JKlY-}Jo92_x7{GrX1e?GL`4|o0W ziWa!HMj4|aFd71*Aut*Oqai?U2#nV8<c1vW^o_QKX&1I+n=C=L&OxNHfq`K-wS`CH zWDupTQKyWCz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1Jh5&gXFuI?Syl|tXuF<{Nv<%%*vqwW<Gz3ONU^E0q zLtx-SV07Q_z=b_7oB99Zk{L?k!)rg|Pzw1`w~mIuXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL? zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C zQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq) zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMniz~5HMh1U}S1o z8Lq&<zyQJq3=ND73=CXIY-SL96hp#iFoXj%j#xo%F<?+&WZuWXV8C#Ik%57g(STtA nlxARjytZyBNDT->_3(n&qj>Pd<!D@t#>HqF7)=91B@F-odu8wl literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc.bit b/proj/AudioProc.runs/impl_1/audioProc.bit new file mode 100644 index 0000000000000000000000000000000000000000..96befee8f0c00ffaab0ba0c4aad119c5ed6b7702 GIT binary patch literal 9730758 zcmZSJ<o^JH3=E8k4BCmMDVg~JMfu6rp~b01o-Vcq5pGam9hO>DoSC0zYh++#qG!mE z#K3EwXk=heQk;}-VqwCN%)o7AU}UOqV5)Cu#E`<kX<%VxWNu|_%#g}3r7Gq>0l>h( zuv<`x!3Cyl)y&W|1qKEN111GV1~AP4p&1&$G*}M8W(ToB*nlYkEN8%|z{td4|I~o- z0F>Xr$ef%o*#M%R6Reg2B*(&Nz_5Ukfq|9L0L*7#Fkpt*15$$z8!$lK1u>Hmq}G7J zfgwOuCIIB#Q9R^AfR#cQGvm+?6Gx|UC?_N=z(hy|k~}Uy!NjTLCL|k2B$M~lz<Zlz z;GHn)g3%Db8v^|2@JbH}Ne4Q)l9Xbe5+`xf%R>wd47BzW9lb(HkQ3C)^N665L9ajn z>cR#nO)H9nRtBS)E4l<tfQDRLe!?YAL#K=yJsJX|Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z!a_j)(vbHs$}&pOs%~2Ph}PzV+%CZjBI$?e;V1g}X4Iz95Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(Gb8E0uG?<Cm@VXk_uw9HXq?c@f!#>ZCSMQlOqcQ11kf=0tN<!CK~#I z*5<>VPQN&zU6_IPe=&gwxUWVTqaiRF0;3@?8UmvsFd71*Aut*Oqai>z1mw;VRx{8l zM(4o>ItE7V=Q}g_!vJ<p#Nc=7s0&9!U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ON01^U&Wv#eqFT_36AaVH#mpC=-95rKjhrnQ2E6&<O zy>Q0mCtTvxvw75{(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Auuo@!0$CM_EKUi3niLI^^S(X zXb6mkz-S1JhQJ630eSDC=TVNK=j2frkA}c#2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S^9K0&;`!{*%%BO-6jO z91Vcc5Eu=C(GVC70V;$5-yppIWb}R$Dn#t45u+h68UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhnbg@Eh;+<!89 zzsVp=1f$Lw4S~@R7!85Z5Ezyrz&il<pN!sbGAxtQsHa9lU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQN>r0UjFM&p3Mj;*f~?QAdu3z-S1JhQMeD z4D%3>p~3x(qxUZk^F%Z1z0nXD4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFoZ)unvDAyNAF)8!Vx~|^wAI)4S~@R7!83D69U|1+|M|A|Kf;A9-|%| z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4FRf!02h|~Pe$)Ip<3{c8Z;ULqaiRF0;3@?8Uh0r0#aD+KN-E> zWWb_h)Z)<)7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@RU|?WiK??;=Ei{2q?q~>%hQMeD zjE2By2#kinkPHD1G*?S%qX~?1M?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb4ax1kmpR zB4nt<=siJ%f@oBJGz3ONU^E0qLtr!n21N*<-vdO*Q5>W91Q80NQTfpj7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fdK@h-oHr5sq9>YRE)}x zhQMeDjE2By2#kinXb8|F1W3Jqk&v6jxe2Kll^+d((GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVDpAwcc> zO$ddN*y#Nygo0>Pel!F|Ltr!nMnhmU1gH`M)V|+@kfYc}?>`|FM5FSfAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU`U1lz3(?66iA|@_n#07qEY$L5Eu=C(GVC7fzc2kDg@|#zX>5nv5ww<LMVtv z<wrwcGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S2I34y_O z{~{izf~A;WfvHhqGz3ONU^E0qLtr!nMnix=2n@FS7YR6*LHIQx6{GT_Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~ zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmgLx6(;0teI)qn3|`z-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7f#DMZ4kUVo*6O9#k?5cypj=@HxDlTdnRD^Uj>?UOz-R~z*ANgG z;vSP)#K1smoi)V0jN$wS3=#YSv{o;az`#JN9r#^5DmxkiqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71bF9aknF|Z6iSB<)8Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S22 zFa#LU%pOAgE=2|gM-~PKmLcS3aA;$=7k$UZkmWPp<Y!=DVqjokVj8l307ua%F&YA+ zAut*OqaiRF0yGN&E~v>c8p<7|MnhmU1V%$(Gz3ONU^E0qW(a`#&;#jn*3N;nebnyJ z5FjoDIEYh5GgYG9G&6eO42B)*1EUAdCFI$BfIMxZx<*4_Gz3ONU^E0qLtr!nMniz^ zApn~)h0%0(0EHHgw!0_{z)|g^Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OBP;|cJ*$LL{S*hc*aM1<7}N#~#XIPj&kpJ!9d+Dj2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb24H5CEN3!ph(PT2&9i7=8iCLvPf@DbEVIaTlvRhJjQT z;0vR&fjEr=dk;+DR6?c%B#aT7LHn$jm>3o?Feo%3L=h~IJorEpJn~FnMcDNtOri}- z5^OhZ%*Hg8Hhu(q0Zd@pI4Uw40;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%%E`XMmj2M-bO zl1LW;1*6iVAut*OqaiRF0;3@?8Uk2DV4&|`#Of#{L6+foKO>U=Mwz1_Fd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0@MwG!G8ZDA>RsT5mGTK zKN<p~Aut*OqhK@yMrH^M_WKtJ#R_vaAr+(YqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3E zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q z!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwW zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GWm{fCH2XqoG_33YR=CaSQ{fBEU=)QwE74I6cDzbs&s}a?vSf9AOU=hnWwf(e<PA ziB*TDPnZ!&0GAmsada9<D^?~<JyuB~1aawyiKEkKM&bxzG*t-hU{NoH<Pan#!l8Ir zgH1gir;bXEhQMeDjE2By2#kgR*&)zCqRzph9=VPmEN+4YC8_R#=^Ld-Ltr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0#pkD1*(}uSA&F?($%_A z%SL(#2n@{tU|upb-975+(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*Oqai@`5E!KQH?kb1x=%+991Q{TLtv2J-zai~d@qga z91Vfd5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?GDCoKpuEjIP!^BcI~oF`Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UiCV1QtL&4x^!53<{S#E^!P4Mg>MgU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%y#Gz?BpiX0!D?xKsU1n6Q5$(D#5Az90) zhS3lh4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Awbs<;H0Z<NR}}pu}7JsAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz5lL2s8{%=ZYL3obIBFs|4s`3(1y<93ffDsD{xH7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S0iAp|(7U<O%6Fq5Tg6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n>c0Xc!ERz;uJiaZIrh zBO)+3eJXNfaJp;MRihy=8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLjV>6oG=ExX=Zv` zIBMT$2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{ z7!85Z5Eu=C(GVC7fzc2c=^?NH8UQdF%Eh2?$>S2oFkn<*Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1Vd<ZZNQXjCK9Hb5#b=GJIjE2CV2m!`H z;R;Mgh@8L_8x<K1fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(@P+`>Aaxqc$wBI{QD=?F5MUgnz7{z#NF6rntkDn{4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4FRknAb$$0@Q@N@8B(qtb?j&ejE2By2#kinXb8|J1o%(W#~xbR!a+;JN6j7$fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFswp=ZwTDaIC}r$ zuu5{Ho*4~+(GVC7fzc44QwYcnf%_Rp?_Z=-Vi>h%Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmgLx5>OZO08* zPU4mul^G2IdWQfby)7itK9LhdDjZcd8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFepNRcM#mq zIC}r$phya%ju;Jr(GVC7fzc2cW+5Ou2<~Sby?=3-C8|;HjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu;ss)WD-s3|ZS%Eh2? z$>S2oFkn<*Gz3ONfKDMGLx=lMM(;PFQ)G@>Ga3S;Aut*OqaiRF0!SgiLx=lMM(;O4 z3h+_pXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2;c|-CLH1eEzELqpj|L(|7ZvxLVyv$98@fk6NAb*qmCI3 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zKki1h}bi|H<h6CWAJ5 zM;$gA0;3@?8UmvsFoHusnhN)yjNWfDf)miF*GEHOGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtu!7fD{q;GmhTBIK(1#)VZS}Fd71*Aut*Oqanb+ zz`#Ys{fwjcFODXa(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsK>HA2qP-Pl*}!s=EN!EjMnixmA;3rzQz<u8 z<OJnrjG8bS0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3^7zYvf-#lSFn|04aud(@`U5Eu=C(GVC7 zfzc44R|s&PW?&e-f016HJ!;Qr2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5TIWOFcGkdV<iCvqtc@x zFd71*Aus?Tz(~MOi4_DCj7pD&z-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa5gG!7_7x6Pu&|sQD4R#^ z9Ss4@5FoUN4O0hwL_|)|$DUDJMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeD47Ctg0Cg~ohH^0|T=KZYF$@?L7!85Z5Eu=CVH*NW z1boJ^l7NCy>Cq4v0U^Lhz>g9u2q+kp9u0xf5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CK@tLl z_7x74Gg(d!l+B~|j)nkc2oTy=h^d1<A|fZ~W6!89qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Awatj5Mp3pP+|bBV*+8U_9$W%9fX363=lYAPGe$#K&m)EfPsNQ0m&qd4g^O4 zNkRfi9HD|-Rs$CVlB*wG-vwR>L>C_(d{7)ge8Six><?jqNTlciaYr#7Lx2OG9~gU> z{SX!-#1UentkDn{4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu?2fb))WsOLCV zLK&mfXb6np5MU(WcZn4Q6pTubg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5E$_xKxp0WKm`!X$$_$Y)ZWn$zzhLG>vl19&__h%1byrowPiE}MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6n75MUzUVUCpq6pTubhQJ620Y(CTlvqJP!Kn0T2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4FS{;a6sh_79Pv7!Qzrp zcZ`Mr0|UcoJ{e60qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*A%Hamn6Ziuyr2N% zz`J481*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhm=Ltp{adKeAmVo<o`afxFXFe)$_0;3@?8Un*M z1egf;jAJDM1*6iVAus|$fY7#Hi4`Lt5JtT?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtsRQ0Mj7#J<G{K>abB~ zjmQvS9HhP$IWb5bHtMX=5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S^9K0)*BnbF3WkK{OfwqanaRXq~deiqSwC4S~@R7!85Z5Eu=C(GVC7fzc2c z4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%%E9wER)4@=0fgXJU{nntyZh5(I1fRRS#QfjQo z2}(^E)jt{nqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0>e852<@ZfSUJ4?KkB>D5FjQ52<@Yk zSV4>_qiRM&U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S0i z3YaK0fJXXRPSVKWQDa9#fQ%4eBts89w1}Lbhb^OajE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kin&<z1X`zSe94qd;I;Bp6uS{4X}lf)&DOB|;`6iG1iP^5jRXcmAtl+X@FT=KZY zA*PZ_5;9GC38^NIsvix3(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0`v|6Lbq7b*FB<^^tEqr+D7QcMEbg#)q=kE zjoLOE0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Uo~oz~I_3hwuf<Nd$Y8H5vi~9Rh=E7b7Ax zL{1>sqpZ;o7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Uo~m01G*q$krofMYh&qsF6N990}RNdtn#` zE!DlmL3I=H8OUahPj=YJO~CIX`s{EdWDD<Q{H`389Swoe5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd72GBLwJs?=_(?6CJ%5n@|vq$`5D=5V{?iK8_QuppQL+!xqq;;~<RT8U+lI z0Tf`pI)IiB8ml=5Rh$U$4Vr)%1P&Q3y9Pldj5=Y!LjY8lfbf7j1g`@G9^jQ8l^hL$ z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fngs4OoP;iEGGx4!$zGoB12$s ztPaKudXW>DVxuCXAwc^O0PT%qVPIhd(GF1SU^J9Fh$xnTLF5?PJ4FC&1!%Vk2s1E1 z_+Y_7L@XFYZW%bIKr&6kz&QZL=0X(SC}%VT=pF(b43PaN5Rw7PV_;xVfQiuENf;Ii zoWl?rxdM<9Y{31`>Nem`pq~Snpt(VTfdOMzDWuM)pIh+Q#QG7B<j9d4Bsl~U;G$*_ zdU%tdx&l;_fangWSHX=eq*??d2bCINigC0)8Q>TgwSF`NMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz5ln2ylVdrm$?~a%5m&WDpT%asvxo9DIKA)ERtkqK%8xH5eKg8k*0jD}Wb2o?=u; zVPIfj1V;>QY$V&%cCvMjYNSmF2uN<Bjlo0HRQl|2BxDQkg`pXG<hdHOCkR<5Iv-hX zz}OCwBVrvSvEfUf9gc)-8Cm&+k%55;x>#EQRDv)<ImE^pebljhrH?%WXA7)+Vqpki zU;#r01_wq+S_L(_92guJAZkE7kUL>Ch&>RPZPq~8N>@8UK@5okCI*HEMy3WvP%SG6 zVlxPWT>{$G2*Qjk0t}#>17d?E28Iwb9~gV-Z!2isJSch`SOgd(7?>Ct7`Paa_Gy7T zE%bL4HXB(bv55{FF-V~V_6}$xwg4;#fH+{$!AJ<78jP-@ikrY2OrRz;Ff=eUF)%bR zFf=qUaWrv&nUGup*|F6yi1G>Za;U3E7{wyM2#O(CzJdB4RK7u(14s!@8$ioxZ#Agw z0_7J_!?y)&T@w>LN3b$*Ft9LiD1a0+DDZ%!6~J{oh&6y%5bTrzbO8OVW?I11z|g_a z(8Scl#LUzLvKSN_AbN0Nf$4+GJydiJG{C{-Q3FE*F9QQZga89$1d9N}90o>31_lNu z1|@LC0^QZv0Lo1a3=9pR_AnJ4LaI@MBj#)m2LVv{w=^_>8pI52j14Rb4GIi=;3y+C z)M%?-z=yWx4<^%Hnm8OdI6)Z!RK0USnqAP`G??7UGE&Z4aS#$nU=VO%kbsB2!wCj( zjSelb;Nk<$5NsT9C(ywG;Nq%7fPqPofsrYIfyoI}nJiLZU}=E&BI)1?VyzI_O03GE zt`1yruz)fJw761WU}ylfl?R>2Si}dNlW63iCU93B)TCl$U|?(jMGO;YFp@^rQDCe{ zJq4PFlvdEVio&E0h6V-DAaO%OGpM3xfsCvSGLMuDGWXERISmOS512Yw)YupfFf=kY zurY8nCUj{8uz`y-T3Je_xd+J9H>9+I^2lS82Td!cty|ylfU%LWv8|zd>4UBnVR{;a z&m#g|gU?MgaZ$sID_^=+UR%f3_@J?|v7xQ8`{I`_jS#*S44|TnCRUPbC}SMCI)|D* z)~~Ezxs;?C82&>bh|gfk$~@HkF`V2Bii3D1=}<_FF#HGc`Au0r4<|nkHNV)~+uO&N zOG9%B!+#L}zv<V}I2pi*G%zqQ;3}711<NNO{s+^qqh;3s#z|s}NC%e-$DtGk0V4$# zCNWU&aR8lz$7&YDm>eEe!$C?Qfx$zEhl#1MrNcs|gk>6N{83|2j;)By7!Ki0lpkO< z2~mni711RGM&pDo!Az1Rqj5q~aM4BaXq?a`m`Sk&vY(OdBbO6shKubZoDbqMux#b> zc3@y+5SUDgnKV+HLnCtsow4fR#xL^;=)T4iaK3^|0|Nu|8FdBF@)f2*F#g9{Gw1?u z)Ip;m015%LHL4(?fy1mO184WB&7&cJCj>yV^LV7`E5$lu_5`7XYXgH83LkV?4HM{m z2~<(gls%dV9k`;yf9@CD)@5K|aA05rof-k*3V^NwWC;LmaD)heXB$B)jpz{Q1gv12 zPe8%2kcL&^0!&~Q6C(!$Xp0c&Y!7g$#Rw*u9MIwf98dHQ0FiY1+c_{c3UGk31_P4= z2Lq!D0|RK0Dx(6!LeT1Z5s-@<7#JWi2I9c+KrCxveGj*Ocr)Om^5Ct$h#bHQSu~97 zdAL$!K{~Ppgz0G6fLH}i=?x4G8XVvny9u=R5wy05feXY^U|7I3VG#oZM+XB_gHr<o zgF*vH80u26UMQP>6#F0;OQ4@`5jKGbS`b_m7E(Te)c+{5wCAu4!ZXFF?`s7Hh8ECh zmJ$;<uYfFQ1lQ*rN}%aR1+XX+xS|Ki4KNn88DIy{&w9{q>;?v=044@d=(DJBF>ozl zVBlqF5&-RO1eF(unlLICq&!16m$DA~eOer#<ln%+Ai)A^cPTJ7vM?};c`^u0U|?Vr zU<hnzU|b-=#2~@qz{tc1YAGYtCrkrVpNPJrTl5dOMWDz5_0=1i7?@ZfoCXF)h6YeJ zX<%e<XbNEBa2aq{GB7Y~9}JG5qZ=3*CV=aAHt@bi&{h=C)>DuJniyJG7#IRT%z?$C z`v%s2`q>Vy(-{~T1O*hB7!nwn7?|LVDh@`_$O{7l(*p3pI53s;a~rBnqO7R=Va{V> zaB%40Vqj<p0QEwcoInu+>OVR#FmwojI`WQ#AOKjy2Z1B#<^)jidoVC?tYG0ltG*c- z7(qfv6+GP>g<+9EGltMG6=-N=0B>T16jO`}5KaRF<QNtPh7F)TJfk3_?jO)FU@RL@ z>*;8DLnG+GC<cb4DGrPbEeqK}{Y0=x15*P-10zG}f+ofW28Sb{mKhzLg~cjXXDoul zRj7dxd_dH~CWRm_g$9O(M#e@)Q1)SAU}$J;aALT^uE8cW@SbOUGw^Ppj|&<a8yXrJ z85)wed~IHEZMAwsLqj8I7hPjhQwvi=W8>FHO<$+4ynb!q^NDC0eL`g5ZE1wWN#m<4 z54$z4tzX~R2#%A+)`q4Q22h;5>biPd!|nRO#|i6*nbU%$3R4DC2CVxSN7t^xf{5<4 zDZeQ{)_shlaYFaFfLUnz-}FD$eT<`V0t+I#)21IxKVaR*I2tE(j|&$D0nk7eOCoe% zBZ#lS!XW0tAkx9Wz#yh@fWbh4g=rurOwhuDB^ga%*m5fv8l*H>7+hfc8bN#=76!Kp zhK48x1_rmpmJS1%5|$~0AOJQE0yogt2{_6qg8;^ZvJ3));XWA9JOX{gWT0)s5hwNm zyk}*Ng)a}Z^B5QyM&pFx!2?h{*vH%cmHjV&AH*J5EWkIg_78yV82RMG2aqca;tfB_ zo{_l&Vh=DLdHH031Dvq+p6dv!8C5YF0wX*GM(<}F;R#^W`$UC+1LroP)Qw0*69&rH zBj`-A2GIT=JmCypiw9eQhesXFrJm5-h5@wzGNcG0K@JfB-Nncv09m}kAOhlnguz&V z1+={p#00Iz17Q%G{#bmZ+!Le#TA$6p!l1waTFVVytqsZ-i~<6nMPCXG42mFe1_j6( zM35LLSAn;pGJr_1cKXDR&{X=^Gk9!)rGEv`GJ6M5aAUd+6agFz5)6>5<{=S;sft!2 z;@@dy@*p)A9Kf)6K~x@~JTq8a%qTNhTtaJic!0OaBijOs83)jcROo%Cuv&+M0Rlm4 z!IwdR7<9yJBW4{Wc!4m70_fNRh6Dx%P|3x>;DEFg6>`M`2LmXoATa=%mjvyhhw$h| zGRM=+s)4mg0d)Vh10!hrBFG`IvdTdNd}+A?s6vOyaWKpP-`ps|z@X3qQwY*TCoGyz zCyNH5HK6T3$aOsU=mHdXg6et{0lIKRz*(9uRt<<H5buFVSc2!^V9;Oy@BdX`&|u(# z-fF4=$|N8uNO{G?zyMk}0dg`2Xx}Ma?>7~{0kU@#kA}c#2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2n>x7fZucC2-;;W_7Uc4h&aPUF7UB8Eby~R zq5Q2Nnvnsa9;T1>v{)kT?HE`a5ciybhJcw*z+9uQ!O+0a(6mw=GU<K_NxTDc9}22^ zm^|%iwnEz5F>1qT2oM_rkf{=c>cO)7Pz0Wr5pEl0p@#q`x&UqYgC%j$)|XgK2QMqa zDgzTl&q*+G+R}`Mv^9S)nhsj40t;=(j4+G`cP(tL7efNBf`$wccpH$0mJGDfpd&aP zAWMY6>xCH@1Oyly1i-6?96)PRA##w_!XR-L1_lQf2L=Wn1{RPaXoiBQqP=HWM#_1R zObkp64h^7%DBv|+OrTZVOwd(c3~Zo~2M0D-oPi;Qg^7s?yaY^z5u`|f5t5-mY_K|7 z5{!f4+yq*Bkih}Gyb+u!m;^wF)`M*0U|{gzU;rJ(4V6^{iGohLWdPliBEg`*2$FDM z(1425m0C|%+XjJUpxOlF3{aK<g*%81$~53JpdlO>2`aNd7{;d)%>v#VPAALgZw=@W z&L#%X?E#?W+Dr@$pdt)haf71<d@MQx2NP%&B={WF29^c}CJv?sCeU&((BYj27&t(O zdD7M8Vk7Q;M$iQg3@qr$AJ!!R<sNW70*wVkPJ#;1ff9rruS*BJ2EYn%ZeU<wU;?Fi zko`)a{KCM%pahX=fK}ZfIZ)}v1UrNn!~qE^bb&IF0u!1-kQA*j>+p^fP_Q*IfJ!gW z3ENGOD1fwS9TqS&H83$YC@?WFaly+cRt5$oj;5Yf9E+G5K=-5^04-|;nL<Y_c%6=x z4TMz<@OD=N1EatMP+0`Id1eX=LjVhd01E>H2g5{$0EUJJjs^|}h6a#`fPjD?4-<o; z2crZd0|O)`p&5)0`9*lzKm^o4*y(V9LBWB6B?M+S_^uiT2Ic?`4hMz>EZ~YCCda_Q z!pOqVkl@e}G5}{l3)UjsF<e=Ys)K=v;eZGSxDE$<6dVcQgSnX+oEU@}V6}cDC_{j> zba63E0!@Q~RnwDThR?gv(^fj$1*$-p1VGJTaAO!88w^6AzPke_1BU|xlL7<s6{aBN z4310_7@Qaw963Oja?sbk0wdy%GbSen1`YuS4kqZR7c7jyp%2>U$ijr^usbj?I599X zJYZk|?R5m@7?^T8(Tuz3WYK_G11Xch_nUwYPK9I=P&`0f)B+mMVgcnD2p>j*8Uu)e z4kk($nz@oL)(oH};Ql#71H%N+<}5{Klynce=0Sm}L4}bKJyIGN6hL=vDZ(4cbo2r1 z7dl!tAXYJgBc+icP=TqifzfFStl9<*4>vS4uuNoXaAj{qiW6|GHL-kXXbNNp4Xi*i z8Xeuv(oaXr2E;1R-M);C42(;h8Ct|wh`{fAfm~k7%*4>a(9oa|2A@X)T_@Doz|zc; z#G$~jAZ!56W@IcFkbt72rHu^@4UCPAj9-(PzFuD$4!=tyv7v#Xv7wp$Vpqe__Lbqm zFeic3H#W4je|_Cm*uL^wI|JSGiQuq5+XEEF;5d2R^>zBnYu6bVA%UFL0J<rty=`k( z*VpR{uU&_TGBAMDgW}}Nqpq*vE5fePKTa6?VCiGn)97c|u3}gP_A^Kv%zzRwK8OZk zsPHI7gAf3PF9^eo9*q-NNDLeeiVqNmxnML-U?DMZG$=km80Lb}IDv%(-D&7OCqn4= zn>e<J%;0k2I0O+#9734bBC-Q?FA79_AnrpE^`m<r(b+=KJtr&-3{2?vn-n@UM6hr$ zfyH%L7~q$b7ar-T0Nsm%uAY9*W_(6Jy9U-KgLqR@=}`Xva94oJvHuMJ?Og-~W$tjV zMiFOVU;wE#FbIbCTj27vXF$%Rq`f@@Zi782PX3#k!W{yOlk&TF<?l!TMiB?a$$wK* zd;8HifrkqX7zP0hAEX%=5NoHPal+%md|u!J<3hNVAaPKfFfe>z{feX>u7Ku@k&{mX z`2S0<!ptW;<;>@WF9#y#_F!?c%G8wgD^giU^QeGZFk<3_2{M6o5weewWh+kF+kt_R zL0~dm9&H~aJmhG`h#w61N72l8$Oc1JVKX{F_cxxwO@kH{Gj&1sIWmLPaWF6-_CX?> zNgKBC2HKcA6io#$6N1k}4ww%FIA;u)-SoB?w)_;Qz2G>(DGMq3a7vRPK`7d}cavb) z&{K-f`ye?GM)eh@2&z2oc@F$)w6|mcZGcURU~>XU4urAEVG*NVJ|Sc&?*PngV(~D2 zgrIo<JmJp7pa9wk0Xwl4s{_Ca>A${9I0CC{hM6E}Q4r)rX8{IQ@FEEZ2WTe%bm%h+ z0|RLHB13=!NFgHw=rCvo1_nn51_nkJ$UGx>pBJ4%MQi}p!_vuXSgZjBd4qxoD43ZT zI6WAqFg7?eF)%nNfYup7)H8xk^bleYU|@nq9&9NXp%Mq8of@RbQ)-wpWXup?NDyjJ z09_%#zye+&%+w&sz~lf5Zw?1W@X0G2EZ~i#3Jxp`oS-ZPQY--8%SA6=idoXjra@&7 z=++=mL?|+V?s;GYU*^C9sy;wifRPoHp+F9XR_u((Tem>sG{vmEG&O!u84kMD1hTG? zg8_8ihL8f21L(YZ4yFcB)&TEy1aARiYG7z+SOB{EqzROD7J%gG=o6+9ao!42Z%{xG zT!jlTurV<)bTKtS!y1$!Kp6+hMWP^C2DE$_Nsy*YmQ6G@esCGis38Da{ip!i)vLh3 zd4s`0(X)|>frA-z(E~#RgF*wS1;)Uz0Ccp+A_gW7h6W|54?wK|D3kUSVvdLQb`7Wv z;F61h!GQxb#K_RV2);wZfkol20z*S1=nfhdP^@q;FmN$2fi^%gG%y@saAIg+U{YuT z)iU&RJd-E=>>5~`pygKslLJEl7X!l~h5+!TL7+Ye=&G#=4Gv8L;0^RF0*s;p3=<sq z8d#Ya7&$x`8yEx`1Q_WTFKlxMHl*lhJG2uI>VAN7ivXmX2t7dp)aV5-li*-<;An7g z-~ca~U}9)uU}R`$Vqjrl5u~F_hyDH&)Uae>0CkcDz;U3)Vj#f4$i(2lpy8mv#=s!d zz`(%5AkxItz_5TxfDzO-W@KVubYgfSz|a6{1fUv4Gv4surv(c-SU%z6XkZXw;9wB~ zFX@ut;Nbw(=qxQ9Fogo3-PsJ>uvS<B6L`}p2Llt#1eiiv(c-SOGI_9>3oeadj#3cd z1YMH_>aBoVRtgLXOrSl%4h#$e4J;hcZCl_-Xb@mfU;y{@VVY@8Gase34MWC!Xz2vn z-`D`DX27E_EF2sROdO!Cko58ib0)oP8XWe3lRjRjG%zwK2rvpZfVbQ+G=kMODAKXn z#lSRT?lA=iE{I?Oms$)840#L+0tzevEDQ`13=A<03@i-{4Ll5i3QYk58Vnaehv9(s zpdL|dNN8YSI09}Kfb`QGvyGVXE?AunnhD_u(BWcW0iCSE!obJiz@QMI0bi`$;>6Ix z*1*Qv#KO=B$|i<~7+TmC93wcJPrayO94Y5PGH`<fjg3Ky#eu;hK!;0#rH;iQK!qia zC4j*qKqHQYK@FTwzP@hyGHs>!^`@4FM#ko5hOdtszO*eo4&Mg}Hio7IGo6n!rm1J} z8V;!$7#KuZ92hhLbmSFT>R1#6R9NG|aikH?>JkEq6UM7aU01?Z9J|`w($LJ<*uePp zb=Q+?E3O@f?SsT?2~{MS_^4vcP%s3x2WS-o!}<S7*BL(W^Pe%`=jY;LVEAnF(hS6B z0Ij+QtxW}Cn4dsw5Qgz-PSdkJL37XGw*V<l`0XKa!ehX|0E&~tus8vk01AH)2C+dH z#0Fsyn=W`H#>uKxpZ~kP2CbMk<^jdYs#WK0rssh8;2;NuKL|7Ye}~Ek^XNbf^Yb8) zLlB-%R;~K*2NEX+JfN~`)vCj=IQjn{6dMrC@E=r?F)%=Q5H_-TG-HpTd;*%^1+5Tb z5RhPCU~qDT&~6}_g9UU}7g(GHwvW+;LBL3Xg#lw9B+a4%Zm`&}-_Hp1Y9a&pWORl? zh6V{{22Lgj%^(J%*%>&Q5*ZpKBv=?kVEY&=7&<Jl?Sq7wM>|^Z7wya*oF>Byp)))@ z3=I4XkirS%)-z{7B#-?W*?1)eV-OpJ?E?%yO0S~p-XM^pKvs_!Oejw7-u*8dU;ZBv zC-Js_rT^1)U*m|26L7|0;OA$6<r8ou@x#M`$DTo!ONl=emPic(7!RWCgM>-Yj)uF1 zc2*2Jlm9c|i4#FVV_B|pq&SJ^Ju4kLnonpOi=Y`rmJT-X%sfj6cvg{tp#!vZ2x2ct z9>N+JQeecakwAnmX!44wkWm3bL#D4l>morbgF&i5;vjm!aPNTGOkax;lUX1Z2;;CH zy5<N?7P}~#A}Vp&H&V%<p=%6k{4lGa`Go$tX2guuBSId;0-wo^7WjjQyI}A*Wq@1) zn}CE`4_U1Zia3Y>B%jc46(j?LC};^9#PX3sDlmYS4=FN$mUV$IcL2Au6d<$o$bq21 zz`>vZiyRn@tbj&r@dq?Acu*M&N&9doIXXa&c!pBoZ9xpEYalqlTN|M|7$G+|g0|d2 zMQBP1ex|AMgUE1jZeU<wP~c!-5s*?~&|py%5KwSn<7{EzU{I4_P+(zkP*9Ns?PnAM z-Khy$B+SU5$iTp&z`-D(0J&oTvKfWOz7ieo_XNSi53}$=t4N^X4a!rXRoe{=plk%H zM_}z>7!5a)Mhw=?G%|S58Vg!#%D@PY07lS7rVav-S{zh%u`#d;K#qh)i!ZwRh9#1& z)(xa(4WR8l;7T1dssc{*pj-fQ5+nw|LJSrRpe^&Dk`B}eVBi21S`ZnKN_t?7E)qQ~ z9Z);KAq{o`==w5H1b|8_*eoPugD)uOB!Krl20)?<te##3%MN<kG?4ayQaxx5J?LIJ z&~1$!(Cd96`Gg6)RvUC@BWPYxfdh1!2PkKNVo!mCfkT0dK|ui|0NMvh2XC-t(7}>H zVFf(FgF{(?K>@VyM1g_Jql1BiK}&-{frEoVfkT5qgi%02Pyv#6Ap0XAbq{nppALQ* zIqQW%d4-9A1Jul&$iOhcfJ1<3BNNL3&=uyOtRuj{AjrU^zz#Y*f`Ng76SO;?12hB! zs!ue)S8LHBI>1(l+yPS~h+xuCQefh00Ob+}js(ci5)&swBdDZuQUn!I4D{QQg+1Iy z%z9zC_Zb-&z}L@#Zj}RdS~xm5K&Nto<Q14eeRU=VhXhF6fW+vJ1={Fu=Rn)Y(!jzX z0-DMSU<Tc<%)kKJgaxWJ1VHTo28I9-djK6NqB($;4~W$)ps_9i@I}9%C=dbF{UYG~ zrU>T<Fb(KYbFv7Rj1U&6^8u>WnV=ha6(BT(gk+q74G9scfwiChwkt3&bbx9LhXe-? zQ2UpIDFJl5ERzH1Gzk#~jto#k3%YQE{;mVrC^BO1bpZJmggF?P7?_k86c{)(I2af} zr>`h*Fmo`1=2Re~#u^}b@S!Xq<pY34wFkh`0kx7zgX4vR#{v$G2GFe50!}8T289ep zhDHSr1qB9021X7B1qBDkfozTnt{>0<8UV|+G*-QE%37eIwMuK1N5%?GF0Dmc*_@1v zmAF80qQI%8p`_rHtO@F34uI=GRtiA2UV@k-1aoC_y~xU1p^<SSBSTqfg(er%i;Qe; z&BX~G3JOW(3Y;DqixiRuG)@>!j*zH;ds8TnrRR;avV(Aogc^ghv?_xd_aHl0j%DQB znF);*l@1%H$$4%LZyZnVS#s!%qsvL5M5xptp%~W<5{J;-8PNMogt@@?nFvhfaspKu zEW!|)VIqWP*$SZ<CPLM3<?;q~Xheize40CyA^sfg?HC+3K<+zXI0d=agsDee19W5u zh!0vP%(PM+eC#mjz7rT9<Zcij+%5*`V_;xl2JagJ$<PqH)6nd}Z8YfEO(sz95!sob zK}kqoUV#Y$k!9(^7Ld%Li#<cZ641aGXrTnutu72M3=ZJ)2%!RWqeM^9&8op*5onSM zk_|xP!qBS&pbi6P5f(5B6#-o=0GV)v3ebgekQ`y>cM%_|kjZ!SvW<BC<f|0@Nxt4e zsuQyC0mE_N&}9V8SurrfZaraQKr9FbwZfoTr~#zbfrF*N0W^k>oO3WtrG)^CIW0^b zWM)EY3P@c6o??U)NQ}%Z4h#-13<3-+0s<@yjE<}f3<3@=3@nUH3@nU=;R+0n;-J`p z_=b^zkrA?H0>Y;qDcVUpvj@av?5P?$bJ(B+S_t6~z#z)N$k61#!YIf9IxJlPbRi?C z1q@o{B_O~c(4ZiQhzuqMM+Wdwc%Xg?2-DhM0;#mNVZhBtgf0t169b391kkD=P}B*u zFgOS_a4>+5xZ`MGbaHH9a8P03a9~huVqj1ZWz3QiVDxHoU|;~<>%alZY@mT-+WUuT zM69_3g(GN1HmJ@3O<jTbFzf(YV&?!_WdypZ38V^?7eJT+bg(eEm}3Fm_yp5HbDDkF zw1q+84GS)0Hdw5Ifq|omqk*A;!vVCev5A3!g9)ODi-CiKLxF*z!GVKEK?Br}1kH3Y zv@|#{tXjpuFsTc)JE8$}Aqo@d_6H`=96s1c8WO8$X!gJu4Nc<cmV;JrL!trP_JT{Z zg18Q#>;PI(BnsN$;NS>aM*$K7EguHA)xaFM9@;WkztYzHL18+$s}aE9z|fGy0jfwq zYwAEW6N3`y#9FW$IY6}r=>Clb3>*v{3X7B=Q}UqNgn@&hfnfotAcJ7AdKwZe!~e_z zXq$@xbW1}6!x0Ck1x*bc;GPHzw*!NM2Zsg=Xo<Ef18ar?O9BH62dE9e!tiL-qFqf~ zO??au3QY$X7#NzEw3xa;bq@_=17bAOG6+5NNoctQO8=n!C8!<(_aiw#cP%Q+kYLb= zQDEd?=$hog&>#@S(7>d@!Nl}ng(nviLjpq+M*`@aD2@rDjG?Xq3t2&zG%~O-F!F%f zT>=b(bf{0*f1o;k1n>@UIfyi{gs?btFfej33Aljk9~L$N1`g0hUq;X=9}EmEpeSHq zbzlJ90WpE0iGdj$GN7wZ!3<gwY$Ik)3*540U|>k$0-Z$f0J<ayeBTLpD+;I{2geN? zsK#di-HO7%A`H%53|ydcj)kR>K9var1A`!XbDfq6V1UgA2fBlj00TpVh=YRy=)M^S z24>JzjSZj%FGw84g$<y7B8MP@hrj_uvkP1{q9~&}hgqEJMh;>FnIL2J5|Du95Lf{} zu>n+6F*YDo;hapMcCZ2iBa;MZPa|mL#eu;IqLIcv6bYoU1%t+TP^d#416oJV)Yt$j zj08Xh85o1gCXf&aw=gg?%wS;9TEHLxS>vvNe1rki6q-?NS~N3ykQodLc+jnXph}{F zK^U*=VA(-{K||m`1Cs+IBjW)`gA1e^uU0BZvIbGXkRf3N<RoR#O#&SZ6BaOC5ddAJ z!N>%j-F0AK5@BKBQgGme#0C=sXtRS7=<r*SBMMz5%p3xTz;OzR7#jPR4ce@yu{DFh zc+ij)BO^n@hf_>P*cn)uSP!r)5Ks_cFa%W<3=B++3XlO6(CI6nDt!h^pw@x_1}!5l z28NcVBO(k84GoQr^oSIuU4tOF=;DONhK2^l#>R#(r@F38UorjK)JMGwCTj{ceNA9! zIKaR*ZE6D7g9Dsw4UG;A4Q&i;Z8KSlS8WQ=u=}pnSJJ-v>h*>OP@F(=3ymF|MPu^^ zjq%_(VQg&t_^Rv4^#yL%MGv$t2vHOhEKOiwaA0H-XI0=zaNuNPWK3XSU}I!rpTSbR zZfk&m{kL^pU#@puxdzhT*w_Fn{Albaair!5jjb9i#>4Ie_|N|Vem?+Q3?Y(`aORA$ zacC&`P885#-Gjyb!_gh^@FyH6VDE#^goefpSYVW(dI%65Cs3RJ{{o%q4~ZG5&?rUa z5FjB=%*>*q7(jQTjFw$gjs%EtE(`)p$oH8zwup3aurPq`KjA>985kH?K=-0BFeoD5 zhXT?s1~HA6B<qM-S1-WOAO$*i2zI|oi9<t-4-11@3Bv>#blQW3K?QU#3hX`<9Tt`; z6$}khIxGxsw2Tdi*#b`?^vEIO1x-zrl<xAJIkSqvzyMMx@iY8qICJLA8Ge3aV{pq0 z92~|53=FKSqFed@8`^$m1q;!I01d~{#iBuDN&Ie8)AI7W_8<qL#|cObhW~@68yQ%m z<oBDJe*F8Hj_Vc#MqK}dOOW9M>v};RP}qaw1auz??7|Vue8LbVuz}$NBQNu4J^=+7 zjWE}4{{O7&1)=!_JXZ1_7AMG7{)b!@v_NW?sp-M9%yi5r&?Cf<9W%_>uziSJUZ8nK zwvRCH!NgJd43pve9YM+&5b9y-Xiu{Z`*S29`xu$esB0)RFf=rufQ6~L1_Ou>-=7HK zH+8}HJAzhsGcd!|!}QUfW*%|ptq=-R76#CwZxAM=l2-DpBWA3g*boHGogk|P7h%W( zG-orn(A<i_X#r$H5v$ukc?5*9O430Pv}}nE)(l}Q=$}u-<c4r?;deUbtTt#ZHY|UD zPe_HYc;En6B1~Oi3bc9~ECbph0a{v&-yW*VGCEP+$bmBuy5G<R-Vb&G?Ga^!tTTmN za003=To_rvD<dEq96_71K^hzw7z80SNDasn4vY>Aj1CUqjiw9^AUTjIOc#Vr3zBgj zEleFSGr^ZOfOaK<7R-UOgdm6o+L7qM2x3hTi~{Wj3K0}!WL02FU}#|JWaLl~5D*k# zVPpaA4Ps)D0)@W=n*wO7yg~<q0QeFJ*y4Ps&kzxWCIOYFh!P#fcL72IjuE^+l!1|f zi-D1a!2`6=4s^mDDAX9WK#3kyOgXSPurh(vvw{|{FgQ4HFbIGbA1QD`>J!X@5M%&t zFbjAIGi}Ts08<4(bpfiqD4_`ob^++kS)jlMVW@Hi1_scMY|v?upi@<0_ccLfK@<(L z1jtwn%s6c3G&wMLoq+QM3-|;AjwVptbbwaUD=>go+cjt~G(chme4i;tgOdUaLjwb7 zhg}0x!vckd1}5-b4zLj8fL8V(V_;%5qJ@Xe9#e1tAtz;c)ee?{5-f}z1`G}g44~EY zpj!hNAP1$pFa)qLa2Pm3_xFNMzhPkn*Yyw*)K&mhM^IB}O9^z-)_nSz&cVO|+PA0x z+R_VY(t_)FNI?Z^)iQu@SyoW<VB%s}&;+{Y51daxd*MMPo)d@wnE_hrxIm#vfdh1q z6r_>N05TMkLm<2E5Z<Ce=TfX4Vf^r9u{442SZr!w1{Y;uPlB$~GGJn0dISo8um}qS zrxGh<BOwz*Qxii2X9Lp$rv`>5@L3>WC3GWLht>WQ(AhSijv?sqW>64zG;l29WZ)2C zVB%n8U|~>YIher&x|Y0ygJThsM*|~^0|O_AQU`;Qg3^Koj0}tdECLOOG`JWT9M~8H zKrJu@k(P!ACJhD#1@J@*$V@PXW<fBU>V(L!spAO*O=ANK14DxoWNREqEwjUf1_c2H zP)itGad1o!Xi#Y2P;fZGz`(*F$_Uyq2U16WETBezJLzj9DA2(+8Zb0Lw(UZ0`*Q%* z1diZN30Qgo=r9QeCB{Z3h64-?4J@E2g!KKv(sU$*_R!I?0kaBpii!Y31l)db<<8N- zz~<ox7h?i7jXA;jh=YNpp@G2>e6T89o_-9*divQlFgAgUekKM6_6E>sNr(XWP7Mc8 z`6K~4TaXphoPxxW1S=bpgM)++Bh#V)h+CZ)m_X-5K{#|HnK#hQssXkL6z&`hEa3Gj zaHlXasKD5uyu=Uyk_X-Vz#s(b^26llOzY9vKKfhN2x>Bc?#FasP-tNWXB&_s7ED0e z<qH~30SST*S7T@}XkcUlX@YI32g}fp5conry9UOl2G9my21bSl3<+Hf0*wmb8oq&n zp-Di2gQJ0i3*;(LJK(?p1`drj#fA$C4FVGsoLX2LKy$F5UJXbEJu&M@*o)oJz}V2h zWzxW~@UR~EqAXAxB%~Z*SP-rbYF~i^T*2fELt`MHnxYd^f;)$ZFz6VMMh5WUFj$^` zg!r((gSip3>5Z|m@wLg5u7#J^FK1+AU<4IRuS>pkt+=k=59*wN;^^g<FC8nd>o5QM zxasTlmEqG{o0?l185*1E7Z)&_1R-a;z_`PZZh*u|!>cbJx>jCaug3`5{M*35@aW3} z4iKM(fq?}SCy%~-;aYip{ko4?T?N-Qu3c|!7^pa5ZXJfvfYnPZM?foonLooMnHI4! zGkjq90OK=%X8tU&f%PkRT|H>u5KLl}rdbHE9|!GPK*UMVC)UrVrlzJa3qf%rx^*;8 zVBtXz+Wb3c*Ft<e%&ze7Us2-Z>({Se<@bO7Jep5nAw+xH<O^tpd;qkE&cMLF{3|p6 ze}4EFEGsK3tJE%L=FvEzeO!R{F)}bPh$Xg&ba1(F9D>=1i?48i!9an9iN%FM1T^$d zhy9B%7r|)3VLzt@+K0%%z~Cm3z~G_710L-Hdma}bw%@UWp&?3xg&zAC!OlS<1nIfg z1<Bq4V1n9GAPln_#0Fu850JJOi2a}8zj~;tsmvXIV+Mv$&=46+H63Zuk#iRyG|b_F z3+JQ7$$wK*`TL>&N8<z@QnX{h;{a*}oX-#0ItS&eGcbG*xB$*4p-`3drXcR2x2*$V zA2iJ2R>S#dak7g4zwl*HbcBKkxN<r&hE1G6_9wD^gzQHY5oUta22&y8EYSNE**=2q zTV!MqfbLrq`v{R|fU0Br2)U}9fdRb#QGf+BLyQs~OpxhE$o-CtY#*WgiztdH;>46w zq<s)+hVEND0ojkpaEcKenv4$MeTobXp!*dY7#NsOs4IZ(TV(1|*I?*iU}!!8k#Fi* z4%*+y(0l?saLmELpaxzf)`U6-#=yYP2)@UW(P255W<CMqQ!gl3_fgNRL2nX#K5J0B zR6us*w1qJvLV!U8QxGaLfK$JWNl-V8SPC>wPhZbZz;B%c&+xmG2EUJyWg8e;K)bY% zh2U(^auzs;z6_D!ww4H7XP~EjCh&d-aL9t?!N(DRSqz|s+F;oR22kA(SwPGI-t&OE zh61dcE(GgMx>!RWOCYUZ=!z^6Fb{lm4VcBiC;)0w34l)h0dI)_9V84|%njPB<-!0G zb^$R#E5ATDY=G5()(oS&oe{D<f`O3{EbGX~0NR=dW}_=5kI$w~p1#4W%YlKx5j4jR zt)xM@fr){kfssjs0km_jjj@5jfr){EQLsV5gQ10ilaZmJAppFXC5a&*1+=w@ks*Kq z)VpC~0Nt<!xpn}<zl@L^g(41#Mie1(IHEP==o*ZA9GDnDT@rA(2!I!0GlJrSL4yml zNt8)|fl-2i!9hy}tN^t8q=7|2fJ;aKq=`X+fsvuni$Q^50wW7V0ZRjm0JKiQw>28p z!=!466jIf+L1P%GMga#YG`oP}3v~Rv0t1M2U=(0*V2NU2VPFDP^Pok-EReY1U=U&e zZTJOUZOXvK;(&Ft7m_bQc7QOF5XDUPwG<mMSPTHw)Zm-}uE9Z9W`LGxHGsA`f;bEf z4onPPpt~^_fEPKoFff32EH;2{q63w6ouER>p@HE*Ljwm$&m`!MEQlc>PlDnZTw;M3 zAPg!3L2D(Mz}XU{geq8g*wrVXz=8%1D35?nEMNrH-3lBF7#J9oI97nR&oeqOfL3&a z!m<Z+G`au-gD(RM3xk7z1E?Fx0`5!-fOav0%zzq)jRLhHsGU!QKn}%b*-#g20B0Fc z1VMs~iAf=ZfuV_&i3wC+fNunXY{~}DJT@`0G{LTF<Y?eh;7|gUXW;Y?x<U|3U_dW4 z<p5_KEb<fxv3XITd2nfMPymHABSVuy6KEnGyyF_;!lnj>21bS^1|bFqh6Nz`MGOoK zE=>=*RQeQV2{JS^wSeMHNP$a%ql1HK0uMNxn>awR0Xnt`+PH?81<G0tpu1)nn3zC! zGczzTH83?%wJRfvW+BYtp+`G__9KF>yJTQs2w-Sn)Mx<hb?0glU}0bobP$-pz{tSS z!66_jz|g?pz#zgf$&p7ufKd>9;)ekPgCc`tFvElePK*b@;mdKPfQ5k<)ad|aH4p~v zPz6;Us38N&bPy5HJ!udYrKI>IN=+DC`WqM%HJVsh8o(F$f}O^}AkYEXkPWWd85|EV zIIu9VgeZ74fsWf|U@#G2P;g-4U~pw%P+;m%0A&PFxd&<<gAG6@AU#k9_=aF8A6*&w ze8KDF>m6J=L3hT0U33Uk^ss<>f#BrL)WQHN`#D*_B@IJE1BeH@mK>7sS(+FWSU6f# zm>EIW$b)o%$}zA(kRCmh38&zdJ_myX1BVkdMnJI$S3)5}1k?<q(2T*Sy%FRqaODju z%nmRxECAQ+;0>h;LW~LuEKLjvLf~*@Vq#!+0Ttjr49YAF930LZjG$l!VUWLIG>DCk zA#z|-(4{Eii(aBg`ykQ`3mXjvQ18zHe6|lb)FyB+xG=FefJamun3zGw<TM08MqoG{ z92sQ5S7S0bFc=(QVpae(e;GKy6BwXtHNkZYh9^N|GoZ*;0B<OQ@A1M=N2Y+-usX8< z8VI2AL@1L1G_b<Jz{Updkb}w{77h;3<-OoM15pIJ^_oF}34|CFz^AIPFbFURfVOaf z$})%|IN87ey49SeVIc$8f(8Z=&{md)2FP{^xEjhBOv7t`JgCFY$lx$RQ5Y1^peSGf z9oD7D&;Y4TKvfMxgF*wN5ID3OKqH9_3=E9Ioh+c!hZ#VpO@L*<8?-<TVXzq}VGN$< zheWji0|P5~+8)#?MNvcvM_>Tf!&2g2+<HM{ARt+nhK7&{98Cup7#YF$ktw=}a40Y| zurW3?FoHH{IVd(UG%_5TzzDwBuc3kIf*@Cecw@toDPI{B7{PaAGfZZf0J{2b0<1g) znG44b;D}``%mB5NnOwlt4+B!RgDWJL!R}A4&OxUS6e$f23=@->8k`q^u8N1au)*K} zQ^$4wh6W~3reJK?aERdw+X_~v1s<StxY<~E6rQng9MEE7R$}UAoYc}N(f~d~f^h){ z7Xu6Eq%VjuaFS606ak<{M3;dmhYRR_ZE*I2E1;OcwwGcf28jXSws1oO!`IhMSFW#| zewh)PPgZ}q!nN{x|N6$JM$lD$4PPENeL24J_~nJKI~y7r+gkZPeCJzzeRbRV?cckV zC-*ED>1tq^&M<*-Lr2#XwuVNGva2zHp{bF9rSW4%*N3SbVMiMiKsVO1f%7DFf`V<> z>@fvxTV!ZxV7&UM>&xX8$BuyF1XMLF1n(tX-`3jH%-Gz}*!=M|=+4aR*S4N)ZfI+0 zYgzy0dtb-(^{bbE``)cMea%XdjwW!NEa>Q-z=kcKBrr5FGO#cfW^@&Za=5vI?sH^h zV8e(z^5aK%_?^)SUvmlx6~=`E8yKVo5a(sU<e@Y_1IrN}7cM~q<5lN(?`9ypW(0Iu z4OB0D{}6}|v5p!fXpWj1<_sP)K;Z+zP!|P$l-d<4ExHxT1zpwzl7-_?W0NmD<y^b% zjn|(S-2HzL#0hAG4({6F!GMJ})Hl(;<@f)WmfsKMVu=&;@Al>KyY-FN{}<d1-S+@j zjD<n-d?Gq*>JxbAp~VUJYSFE$q@@t|SHR?<H2;70<MuA`f(oE~A~+c0gcWh972H!p zlL6Ydh<yJd%om`2iwZ0phhX~?Vfz&mTSRs!uy7rN$%F1|RAAva<`N(QS`;Dd62RdE z(g!mKjb>qB0I#6{HHtw}FdD?B5Hk;(abeKDMdbSz85kObK!F3^x5&c81lpen+Sdr% zuUL4bqe7;HZ5mwOp)HDqfyoUt9tIl1s$ghfVqsvyIA<e;;eiO~{>BuB2{KR`%m>*; z9V}{09dibcDLi)#zKU)IU*rdI6sU{>)glZ^V7`5T;YaS(p92_HDS-t3GyDf{X8@^s z2fBmq|Nk@0pnDnr$AhmygYVS_Yepje|37o)Kjhw7BvGm`!49H|NrS`?`@8mE<@ZA_ zjRQLg6x!f80rME_<8A*&|Na~gZS^9@3FvOE|DpfS{D;H|I9>_F$$p&j5ZlNh#U7EP zYcT8K5j0>G*uan2_Xdv>NReO=z<7{xA#(u3J7bUlC{94>5~K>8PeMao!1<(}P(Imz z=FI<9|7j2>7{%pa4sN2{W-n;ZDzyt+oItc2#PgmF{K$+gpTOc|G@n3%ie@Bazar*6 zix6>^tzepw%@DLg7_{^YN?(ME8*n-BfM$wkLd7xM2~h{0cEOM%Pe90rJbgn)7i^y+ zx{H^C=RugyK=v^<ooWR0LHiX!Dna`dK{Qjxas?MqGwf%h0%&z4NDLK2%s~~SjK^|; zGX29qH+0?}hflChq2N$UC1KH@R5EB-8G~50H4xrn9TDen6AoD_cEbr<MnDCt76An# zQW`YxGH@PeMU0mYoFiyrGpNc2Z(0DG4p~8rs2m!Q7hiM0mN=ls1=8X>uo)l%wB8j& z(-Je!prz>pWH#t5I~MT$C5)hDSl|npSU@Wpkle$<=pX=D=*R|I0LdT#+HeQ2M+6uc z9KkDz1wb3<z}moT#lS2K0<H~1hCG3t<Y^o1x<JR!gNANFxdr0Z2Jk#CBZCn5{Br>Y z6-5>%rUn5f4h9EM?0~X}15<+l!y*QT1STg2(AY3ETM2Z4SE_)GflcwEM*}(^te9K^ zTLvZ9tU;y^5+9(wji8luF3^QoFh@Focg-<?cglgpT0j}00kpmww4Mbd?gU;c&jHCz zpdFAPW!Nw@?yyObBgXQB99@G_4+{h6x;_B~2Iz`W1@Jvu2_UzEG6C##0$7R`U|=`^ zx}+3xr6#DW&fx%3$H)MxYCtRj&>=a9EP}nu2r?doVHpU-rVz7hQ)s}T(GJV-phOE} zGd2h`FmP#r(>UbXSpf!y4$$5Q&{d2Kps_~=(9M8N8Q_r<P-M1%WkBQl9-w#u&B(wy zl}t$SLrZT94AXtTpfH2w2oM`|y9tDE0OCT*BL~nZ73g9bkdOoDC<2f&D2Ks85H$P+ z;z2P)Ka@j5N_053g&`G*LI(rGA_K_Hj0_A6QyM^ffIzc|0u2fb4h>qMLasxBiGib` zfr$gW8Kq+pgF*vnqaDKng{B6M1|`Vtj7rct9+vxP7#(1vnY_W&a3DZe!-D+*3Of!^ z7GPpzU{O%uQsClXP~ia8C&-1qzyWa7F)}b%Ff34DQeXvbmS+Gh%H{wWz`z3D_Q1lx z0^O_#+FAtK!v(eil~91}149)gpT}fIzTUy56BLjf3=9HF3``9i3<?|y4B*Wqpmr|f z1W*it+g(fyOyFFhz~R8<(*mx07#VmN92gETd4Mwu=&-?rCeW@?W(RPc54s{4=F<iS zSV;$tPna+$szDgWr;rw%NudFQNjoTr;qC!l1`p?8WiWyF34?E1W@2#S5Cv^-)BzEo z(+e1w1ROXRTtM|a=uTh;1}4z)5-2tx8w%R0hs>vhEdbg!Mu`D~PA@#zK-;w-?N?~P zVt1+o1A~W$023pVgA0QK<cJo~d<g>sivkk|BL_77gU^uyRY=$jq4EHxU==n?hNc*( z^g?nuxab1aB1mFLOwhpqj0}tnOdJIc;H6pu1`I5U3?iWV9;D0#w5x~#JnjO@I|8uS z0m-3bXvCollgSrmCsX6#(*}wXP~d>7Xz*zskWd5-SV6~1P}~ga8!|9~j*H*`--rzg zcu)rfbUYVap&}=w0Su`=KsLao&=??_(S*q5vRx)u=aAP2ZD)axx?yDKK@U{$8Bz=i z44oRQKz&JcX}I?pS=!*mC0vjqhQM$f7e);caBTu6P{pA<&?ZsF2Jn_1w6L85ZmBU` z31QFxUGE51k0olT-n|z>>;VAVIiv}cFl7SwFF>bpfI=NJPCzFLF)%SPI4oc}z`@kW z0BPoeG7qH6?r;E|Z`#5EiW3F~1`P%l2JCe_OG672=paI>1s&TFsu?xV20?-nzN4K( z1X2}%asXo^c%UA|g-t9>O`zJOlVJmwg9-x&qk{sIh6@*{<^Z>a85cM(aD-_vbZTgE zF$8KbfV++;u?{tki47zQ!cYN9DM8S2Rg@YsIP`;(IQVoY(6}K31D6TJYoI-KjE#`O z3E83Tpg3V>Vmi5C%PG(0?Oe<*30xXsd<x7gpq@JuL-&I#92#NkH9P;*acKl<Xg0Ai zwSjh*BAWqbv$eG`F)&hb@uKjsIS-P71=Ohn_3gkR#lR&Z!Ubwj;f@owCMISk#+OUB zoboVhJj+>H!ldD<r@;i8QDI_W==}15i6eXsN9Uh^pnRgy28u&0xq*@Dal(`j@xgE) z|DT8W0<whnmhnr_xDkW{kw7CA^g#kU{+$2Mz_99_v9TZnKQril4G!>K7ySJE{46XC zUqeHUjX|Oywb=1zD&`T84XpD(<nX|M{y_Y}3O<9MaGZeGa5Jo0b><8M!=p#W#)8c5 z?t*t27#P5DqOJ~#6R!W@I0=VWQxGdqNNUH4056L1Lz?p+dPgZFYeb)!o`bZ-0gKO2 z<K(~T-T#m{F^0y;y8YkHx$cLB+1M~JgN|qs1Wl-5G3O6tZVZbIc|xM!$kR6%b=iYA z@h~tfSPya?;W&ZhhaG<od;pbQ45oMgFf#`RGB8+!<Ak4;MfCf3hO6K>0U3xBQ#(!= zhRvNcxPlD2&k@VHGT{A)46uESXp@QzE(`*oy>~*63<44mvpEVNG&%_}2VInWK6^L$ zdIy_M*j9fiZ2+O6`yAcSU7NwsAO#YuaA*MUV@w2}1`QH{?t^4#kYHfoU@C;r3>gd^ z&}n0k8f4rCHV0XRGPZauW%`G-Zb-!g+M#ux0m8=OowPJi8vrB$YuAC;C|DSUM=^&T zJj6h;F+<Y;(EdeGGvNORP`u#q0}*jjj6*A#!s5ecte*4`faDEOd=QmS&O-Y?7#2}E zp9uP5*f4Sg5OKm!OxcAqPN<wu7>Cc9YT$WZmJT*34H{QrU|{HAfK42-c?^-%7B!6e z@t_62OofaJ5E?q`o4~*TUY^Q;pa*-@FoVXX2fHKb;6BXx6*^do-3kGj+1MpUt|%y% z4Xp2n(-<UCA&ETNj#5Spnw;XmGo0F8urP+v*p`f9nNSDCr2_+GQ~Ct7?OY%^dSfPO zdfP}d`#_gyfR+h^=71F#I20gLzXG5mZc*%nWC#TY*jNfE1|eb~Wl$DK5Hyz$%T`c6 zNCgtcx3~yN8Hr4RB_wJXyvh~8bM6X`9H6tF9T*rCK#NTTz<~*hCdfWg0ccEtYYkYQ zfzi;W8UurX07C#o4`fpWhz&ZT8$>fOFo2JFc7UzHpn8a~gAT5sx~T(UAcr6e1A`(5 z1BU<yLx%vX0{B`|1r8An28Ki@1&#m(P^3%%A6pOZy09>C2rz&*3Ne8<qJkF=DS-At zf)-GM@Av~PIc9-o9I!ORUk(uU5Dp~LU~H<;jCxctW^fq-O3vV*gbuP}aUHZwVq|1s z0<FAfU}yku%VOXVP-uWuDWIIh1itGGv^o-M1lUw4n`V^AcbXYJ00uXJQa%F%cmwqc z2S!j~7Q)ELAi&bpAix6QJAilpAh`{62?Qg9A_Hi$1SSkE%s~4}Kv4tb!ekLNP6>n( zGFW2M$<Q<?wSWQ-$zk9PiXeUi130&^bTM!+fX;P-oMWKDzye<;%)kKNpbc7Q>;Nj( zASQt;9uANc$P|z`godzaQ@cZKU<!iJ!-H%9m0Ap-%Sb>M%z=t}1%?FhWi+6zCQv^@ zf*iD|1iXTqF%Y!=fzbhcKb8WAgMb4A11ES75vU3g1gUmJtw5mWfcJDE*i>XKr=nQ{ zZ4|hYU;-^TWoU2;U|<4;EK39Eo?q}_Bp2uga&SA0L1O{vvSm>J1;SSVZ8rh6eL;~5 zDzY>{`??uGLua4`+#ru34yNf~Y5;FX0f{m|vJI3;B?@LGm5duWV?03Nq`<+z0l~0# z7ih9vp+$iM)aGYmNZ<gadr)&0dH^}-01p8M#sJ72GYsIJz~CIi06HK9)cysRVK8q& zb;G!{p+%3;#@qog6|~Vrh(VwMRL2W2v2Z}@^bAG@h6!9v3<4?+j10{T3QQc}lL)|- z2`CmJd$O1m7??oy2?OLXJ5Wvm=Ku}`@S!)5+5=J(!F&e3NEpVUDlIa6)^IZ@Feq_B zc29FDXfSYaC~zoof<sdQ(z<0}V1mu1P&EvxZrJcy-^Iw_-~gH`;b2$+z8H>)0aUw# zI`a$;%Al%)331OQ)q|0$241A9X#;2&Bcz59U;y>=T0qsi3w-ng)Q@lgjhGCe`$(`F zaW*mung*j%78VBq76u^}P|FE)G8ZTwK<ns0-AE80bacmHbT_7(n4wO`lo@g&&^8eh z6ZFg)1qclxp(j8<s_;SXH5SMkr$O#YVw|VIzyv;E&>;cRmStc7)h-H54xptGA_@#1 z8lXA_(j6hjyn$20K77`9X)rM`X)%IA9@I?bVB%uXU}9iUW&n-uGJ@h`sFYsJ>jo}8 zP}gQA296gA4hjq!ph!>v6;c`u3EUt%8bCRQgMnee0$4c)5*@r)7@Xe+ultC1nUW^g zi>xe74J|FLRa_bh8eCedw6eH4l$p2|C^#fDaA;{PSdf$iUi?M8p#!3lIb%SqrGcef z8LM6-WhrW8IAlCX$kO28;>yTK;#N>j@L0f*RI0%7fML-BCnxY+6%A~srjg9UX8uGd zkEQ30v$BJ5i-a13v$QIM8uun@h7|qG5*{}5j4B;APLuQ89Nsvd+_U7+8Aq3sLW%SX zN2=Ncx`&0T1`d>Ap!q5W1{OgG%`g!{L+>|XV3^3|%)!9OAhMOq2|NZi5kj*-`D_MY z^^6P?xg0^qa*GIq<po)oxZE8W7#T$-bAe9PVidT@<?X=0z$mg6YziX_WE_(bI%Lnt z2IWKcg_9Q+?2xtP<Y^vMx*+$QFua7&Oe-NY^BD*Y;wNx0Fo5`=K{2M4%N0O0^BD-u z)S(V)sxdG$E!S`ZwR=vfF@URDj^zsAVAfa;mS;S$TtlIOfuZ?~8rb(tJrJ6K0YWo% zKxqojv0+L98$B`zEFDIK&;rnOBRCuoVqh)?0nQ<CQSd<^a2_=nV&J{x)G%pqnL*5u z5l9Up{Glw^A!;bz0iAP;B0y7)7?t-J(bTb&8V(&1!(|L;^b({5gfY~hOgAFSf^;GC zsldKO1v3Vr5vb)0F%E?06Znacxb=Xi{c%fEEF-XtVj~8r0q|JC3_>RGS*a-QZUQZ= zQvk230E<JiMFR&DXz>cTwpReD0M|K8puqs7)pyX?1nZ*)A?!*GQwD<>BA}&13@o5k zjiB{FEDR2eEFugJ0s$-xj4aR-(?AP-L1hgKBLkx&0~;jZ89?h*L872COGJPnfI);& zAc2KJfDwF_hXVts5ezmQw7ON$Q9zIZtOdjadz=YWF@ad%Qz+rWAQ7^#&{?vy4N^@G zpk*iw3?hyUji5u&85kO&nM8m=h=qyKfkBADfk}WtKoN8xbOQqe(*y>W22jj^E=6Hr zVuak0(!%7yz{%JE$_ZRe0!)kopkupO86rV*m!M;y9hevd96-~{AQkYC0L3V1(HLBS zYz8}(&u<~yArxx_E%OB>R0W10M$jSk;MiaSpPkCsz{$wOz{1EOz`?<y1l|w9$iT?K zA;F*^B)|e%M#RCPz{21G9?%D^XyIgVV`O1y0>u`C1E{2etZo5CUjrkDLW2SWgDT9y z9N-ydcu5HprhpdCqd@cE(+Vm@z#$3Vj{sqT>i}e@Lc$N6uE7xp>4P^2Ff?!|fXgvZ z5r?D!q#k_r6X@~*1_lQfg(d;e$}c1(&_&2l<4`0>=Md4%Al=Xb(g9j#r@#Q3K4D-m zXkgG_U}E4<=z`p5!qWoUl?_hrpmR<eKwB6ZK!>BVDzJdgWockwYG6=cU<w4C`#6E& z5_Crq$S2@st)La&pt#`xoq`8CMiSJS2Q8drU|?thNq|&>G4_Kz!BRvLqHl;)I0Te| z#-TtfdjmjW1YRq_zzC|%!KXRH-7m<(zz7O)P?iFzhSbTd3<m^2TXDd-M}SoTwB7=A z845%dL^G)Qz~BH{pU)t`05Kmd0m>bqHUgLfZk<6`WRt^YybBZp3!r6414Dy?1NaUn zC4~kK1||jt4kgesM^NZ-F)%D(g4Xd(AOQw0*zkx#2h$>u2}(>19b63!pv93*u!b%Z zLl@{yCr~y5U$X@&5gR~?!RsZV3~0syIfVi&IBe#AK_wTc+)|KGWKdu*;AHGzkW%1i zU{V0h&NHy`Ff=hXF@PW_9vWCddm-2u8W?(1z$xB?feo~+!GMLKMS+QzLrEPJrb3|V z{St!`lMsUthXg1d6*?Ih6%>@3I2aaynr$FO;PMa>8BCza1!1T-nG`eS#UGhAQ(GHo zc?u&Fhav+5!$K!eRROA6nm~(#nHW7785$c{m{<fr5um^!Ai>7a(8SVXz+k{E*r325 zz@gG0#L&>xz{nt}$i&doaNqy~BX~a~s7Pw+VPIim0rgTG1eh2UnpngfL>Za`VeV&P zm;z%`i53PGqEs?#fQ<ol3?WVc9WX4w*dW0GY8`=^z93=Hz6H<?e_0F;4dAW_xaweF zNn&7NP;g-4U|}$DVsLU~bp%~3;0Rt12CCRWS|JsZ0)xr}P<~)(0WCj<=!R5Ap!OY; z0AdgTs)t-kboktv*}%Y%1PvnrMg~t%h&U)IfNw!z2n0zqw18`D1px+4RxZ^B1s5g` z7X}8#1W>YMQEU)!5YS+dU}AW{;LyYXx-JM5^dM6}TO$}47@V8}7(4`;oIvfc22kG- zDu<vPzymP|KA9}mVYB9rseyrmMS-({3A|5>1C(~b10o&ZE14LyLA`KLeayrm!Q!AG zz%q$LfJH!o$AJZO9J+=80~05w!vYoXZ4Lqp91eimZUPJ}4h&47OE*Ew!CgRcG@(I^ zL7@S>#SS^dSr|Y~IRV&R5wJQ2SqbrM(P48g5ojkos0v}2018e9P6h@>4u%D+41$mm z5Kzs);K0Dh#IS&Yk)Z)pUx2x+;Lv1X;WThzkm29}9c19ZAs`|MD$>9P!NyCV2XqR7 z+QAIq84d?<0SB@Zbbl8{JP{uW=qg{M3k)+p{GuyxX#*O>0^=42E->Ao*wE0x!O#SX zI1cdG3JbJd+t9()a6p0K0;nVd$+9qTFfcQ)Kn}r24kQlfR<{N&P(})2fbo&lkj9oJ zP5IDK4eMCITnq|V21dxxB18;Qyn)IYP=)~Qs$*hcP-p<Pk{JXYz`a725NIC|vesRM zu^CjkG%_+YFheCk6%~?IpdEJ*)5#~9cag7mkm<a_z#t;P20A*8MSy`#OQC^_#UX%) z1$23?1L#s;1@NeE1BVL(2LqD=7ejyo2ZIYIGlzo$g94~iA;-kv!R5fx+Qi_%prE*b zfrUkhf#(YJybq>E69%R!hd9(7v>O<>7#JIx7(n?96a<W*4KJXMD1!onMgX`O0g5<~ z6nU5_o;-bnPZwzGZWg#!X8~=94*?C^GioU@gt!QR!j<iz11M#)u`FaLVBielQ{Ykw z0A0PPvyjmN6hA5!4iys=SOlCM6qr<!91pNCb#R4%+EESQtDIRF7+!fKm@-UQ)}YYB zaG-$!)K~-M5e5bhE(I1)d!d0V1RN?9mtCx;U~`56p>TzPrA~m2g)xCeCV+vhUa>)n z#j#3{wcbU5!6AS_{^5g$md3{R)|Xd4aA;mzua*7fLl?ubUj5e(zi??>TBX1DUfsT` zxG49hiw-^cocZx<QxD&_13FhOG$b%JG%&I^n!NJ*@||=0x77<;yAL)u90TVQ(8YC} zTqZ0W0&E+axJno_0z#qn2`s;m5wh$@$<Q<?wJ1X3#FZg|MMi*;tqvL|EZ{hCWRQE9 z(9qDxz}B`prKGDfTyK3>NlAxB2=Drpp$S|HVJd1Caa9*`^a9I|K4MBqdiZ<^Qx9Jm zgU%I(#stuHLTrs&L2=^o{rT%9Q@jo=Ja8NuCkz6RI1vHGi36zYg5(nl;)D%Uy$#AR zCfQM-bAr$C@GzX=XJA;xu!^67;Xgk=0|OTq=<*CME(VbNe}@0Y{7j3OKN|#m_;2ju z0^T{ef0v-(Dk}zl9v+q>{LEl={0#s9GlW9ULWk-DiQl=)@ZUJ#1ITGK9J^(s^4Yi` z=ME4Cg&k^~Fkp`p_T|i<4dT!IH+JC<1qJ^8{fvgI>;-vvc+9{52c0zhzo8#=-Y{mI z+@(vLfCsb(C=h92En1u~FnsvH#kFb`KPb0=;_N@e|Iq*8-{a%$<5&H+ci<1@|8H+9 zDhi4dV;&v`Se*O^sbv6XBJkPipgaP?^obLZ<1`4Ffin^{PX1$!6NaEq0Ri>_tNt51 zxP*56H!u|tU}RinV9Wz9yTJK`fdO20LHq%VA1H>z$yZRDi-u(v3;0%Bh~-0@1l?1@ z!NTB%i%wz?FcDy3QcGemU=d(pP;!FMYEBFWA_6Q7YK{y77N8JFY!TV1z``UJ$RHrW z!NTCA02XIrNn{YPU=(0-OKcJ8P+;LY2D(=Tq}~yHS+F7lh-MUEa01aFaq_Xi4)XO5 zE}fuzN@Q3V#BkCr9TqYrEYk!O7&vrT7?>&q+N4+*7~DYWSr{0^1PnSXK&M0%9_gsi zVF9UY;ACN7U`}l5u#hNWnNrx&VWGjoGOhSXTa*q9+cd~xCGhMqxSa&$gN7`@eDXs_ z)P#J!gG?v5mBsLZpMe3~Fk(2v!vkuCfm{hHkQn~+e*o19xbW^@46LhGF<fQ%&v2h> z)&Ee?olTG%=OFi=81Mf7KOn&63rPR}zo0e%$YcfvR#s-vdaO|9KW1kC8A3x5cXL4G zNhbx&N!K%|b&wq=f()Uot5&W0&+z~M{f>_Rrl2@6GfPWjV1UF4!zybo1_o%Hfa(`$ zFtD<L;=~0KC(NN~l*b9{YN*jepZX8FQ4x|)z${ok`Ookld}$e>eDZ(Q``x?2`Go2J z|Ns9%ae|yr?Dt!91^mYnCy;!?!0_M9tez_Qgk|y2j|_wl`1#3?6T$!g-+%bP5X$iX zKhxd2m~q0t-kOWyKe&|)A)(en%Pw%7P^au->w+3R<SEd8Lq-O%o8Wzk3~V1E6)g++ zTuufC*uF-#j}UbtqA+z}nh`3`$Z!!fW)GT^gouM1Rp7BkE-%pfF%|=`xs2esVH$;* z=TjOPOm|};`w^LLsDn2pFrR?zD`e<Y*8r_uVLqd-!O+0a(0l^SZ)ia9!LuKreT^U| zGagU}2Rakaa<Di<1Js-b$Uep<=>A2f9*}C<VBukNmMxa>0f#Plf)+^<w7d(6i-ifE z{lX#+THu0Rn5v=zd~>O4-e52cw#o<M7E~Ss19&ru00U?-JxBpa8a&^~2%XfWU_2LO z9yPG&Fx@+cC2T+$goy!d4=Ff4kQ9RyA#u@}peRHaqc-1xZ`iC`fd>sD+&}@0;DK@g zBWM`|co78nJXDAta9PEHSP%-SNFZ_pL9!VSgsrr)6CAo=!<nFa9>5$>MF2iAl>;>W zi&Vh~Fet$kfYdNBfVU8Vgg{l10%+<N#DQXv7-;D>luI*8M3iPm)7fBHDFoV~0I4=W z8H2%r!4Z^QK+C&Wpt%IJVhbe42)dEZffdArWFHm=21X{(bgm<W587t}TkZf72Kfkd z(FVvc5F3P%*&sd@urT=4F)A1|@J28(I5e<;E}{X&hyud`u!}%NmjgqCg8-vPBSV7| z;{?#94;~Yk5<oZDG%0}A;5mRU7!qV)WL(6MzyvP0SOgdZm>dKag1Rb@)hQeT4gwsY zgC;>o+=EtMfR>7byDeZ_s7tViQ`fu!G>wsgNr=G%)ZGK0G$;U0>w@6QLxhn-gCU6p zw1kn1DZrsYk%?iUgpz_o0|N(>h|mfK4u${*4TcUD76uNM6)d0w(wP`0fU*~Z2sjrZ z`wDbp4J`8@i&Kv+EJHoB2G%4{j!*!_2skbnz>ByKFfgzPKuaKy>p*Ip!0U}5r*#N0 zGB|*91_MI_C|@x#2sVH!e^&;97La;ygn}8M1z-XUtYGuO0#E`Y%An$uP~tk2=pIyh zL2Ka{7#b3on7}I;Svo*VP2Ct+6jVUlcN&<$N8B+fv~aY5cIvh@fot#vh6auXMusME zU^{`<qJTE_GN^zu2@4YoXqQhz1M~_H1_lMtd7qGW0u8+`jA*LR&=z_bodBw}q4@+> z=Ywhs@YWRY?HTakbzo=!FH3O{X>wr%-D(C>52~<1c}WzsFcrN07TS{pdjQO41gWEK z*(ELswr=<k;0=g~ga%6Qpb|)rfeDm5n$j3Sm!L2(G=cU+fcES%g7(`rG$=7JF)(p4 zG%+xAF)(o`FfcWM^9xfGBV>6uX!Sg#9n8c4%3;WDXle%@;}dF|I8f#}FflZNvO)t> z6O$7|6N4*{07C-9Q5Kd(s-P<xn_7w>tLIgiSUQ$8DX@t!fwo_ZFoA|9L9xcd1Um4( z1+*iZp+Nx@Wm`DFIRh3IOiT<a3`UTC4BV5ns857%!i^u|424DpPC*6{&|NZ&3=D=0 zD;k^_8bH^ffO-^+0)iZz3=Clm3<`$>99#t%9Sj=+nGO^%GBz{`3NWxRIW#O_c;c{# z#h68;#eu<z#Sml;Qxhn%7(n$2Qv)bxFoQdtpqscLfd%TBLRi!!1#PKm+CZ8Gss|Vp zLPS8PsDMi>NXrRSoq$q0OEc)c4Tq)%A@JQ!3=9n|EKCc8KovF%gFpjlyEc;pBZFWZ z3xfcQLjywq(-hF<HZGu80qqPH;7nj>U}Ru~ZZ@H%PerJ)VS<(pB-(6&MGOZ-7#J8? zAjj4-v4OgF3=B>U91P%!9WqkF!T?$q&%(gK%EU0Cfq{jINrC}HfiS}&7A1xT1%?Lj zVNeVlObiSvOacmApsphW!vYotMo?)7iX__B@dCj_dwP&7^lAXr-b@Tipc8}OV_Kko z1uJN;18BRCgDZmw6O$(clOqR%0~@HH;=m%u<-j7q$f2OA$iTqT2+{{SLPFpGNIl5M zOiBz43W}h?eTE4PEE(W2FOWDbu{$jdr>EHh44|z&;2m<HUWLOXh~-?69dQf{EGszJ z7&sXiIY7C_(SuQ7fdB(jg9C$r22%zT0|S$bhJ%KJ2B<l}AdtYIz@Wm&vJ$i>6<j8Q z@{WT8Eh=<~D^W<6VYB9rfdh1i2dL@*WdM*TLA?zS4Z#i!;3c1sZStUDNU$*IeqT^c z&BDOg09vL6+FH+afQg9%bU+aY3n+(y)q)OV0bOASA2<f<rVSx5eAe7CG$}N6g7)u# zLJHhN0ax{)^1qRR8I%E-7$!3EC@_NSYS2Li3>={Qez_pMK+q@`=qwLVlNgjCSRfm# znOGQ^6hOP}7(h*B1}0D>f-ImRW=Blr(9jlo7@YuG0@3Kyz}VQy$O39kLE2r6jUAvv z2ACKQw6id1Ol4$vz|_FZ#MIb&BE_LYqp_1!PC#S}69WVDA;{r{6PN^4R2(!I1Q}=W zIIu9duq@zYU|>>U5Mh|m(!?==1=j8YMH`L0#YVw-(KK=rxyCv%90=DCVFKk4Mh3=4 z1qK$-*&HD(psK%tp;1BLL3e{w3pZl|LqkgoXq;VziIGW#Nr9!Aft6zlgG7VOIYAK@ z$Al)K6Aeurpv~`mOrTQ-8JH3T7#x_iQvx^{89KrD*pcf*;`A|s4hSbs=^$5?aH!$R z^_AD!CN_36vNJR`E46^*B)qkwqoKKhx$*V(FWsxIujl{%ysNprt+oAhN=ets_Vw%S z=1t!s-Zf49-aNT?;Wi<6(<Yg{JGkmbAnPnXKQ=Wt*R7mf1wtAQ;o4uWbaPJY*9Mh^ zv~3HE44bv7U$eR%TwfV}xwW}-A}d2<V`?iXP8dU5JGv&YH88b4xc;Pj#r4+J50hG& z+u9miUw(PgrFnTRyS>Q#E$lo~n14^1b5B&v^>WgrZEr5E>IjvdBNr#e;3mGHn<*ts zAwX>PmoMF%6MFgS5GSC0%Y!@z5a~S7x@r)HD~A`$;Qc!S8@L2Pd*vAZ8ySJt#xsAG zW)RrGw1^+H(~*IJ;lnC^1<)#a17ksEkP!j`y}ckBh7G_c3Fw1{SYSdlqS@}!$YA;# z3yKdAhTDu9C!$;9L2&|(A84GclG+vY3A85*q~$+&r8g_9f$@JxoQR^wNoXj;Dtg2T z^AwPKh6M)22MEJGBgqP7g5yDcfBbIa|Dlj|I{z8|fBh=`Uw(f$=$@osAa$UH*`R## z|34^B{{P><|4au+9EJ^c2{O|uPA0<a9P%_MK0p}m5!5)5+Qqfom?6{{yqo7g!+%y* z=}^(F?8`xWwn19XoB<uF!OHsoKcwv1zw19ZE<ti24B<gY5TABfcopr;rmx8g2N(<# zSeRH`0z^RRTMV?X5VRlBu|)(lR>1<=hsfo^aVW7xWCxcE*D)C1g+V|_frUW`q@Iz1 z!OgLSBS?XT0i<7okpXF+VvEQO1s0BDpwVI)`wTowO=COgVtisthk;B9%ajU+hA0LG z1~&l)Hqh7zQ-woEg$4_Qi$DT{hYk-D6KKDpOby#KIG>?G0yLrm+TRG$SM1Oxpu@r- z4%+7kayV?CVxdDrgbWLl7-$R(Bu+cbI&AL6X5cq94Gs<nU<U1IX8@fYffj0@at(wT zLPJ4yJRF0S34}5jFrIb!|KFJ5|NnTG|12!V#tg<vO8@!!l?06iJ}{mKtB0Bm)<QFa z)tY8T)7jt;|3PsQA8!LaO%c3bj)8&U9qd%={|u`j;pzekSy1eNvAVjnGy{X6AcMW~ zdzbJ3*MsBa`+oCJ-{a$rjsNrWm&@7<e=r2Ag|*RW>$Tx?7oe#r!v}^B%%5!_wKxN~ z?goV<gE73V1&)(5Sn~<Pe=7zChTROt4FBun8FoYR2`IN28w)ZB{AV}-)(eVF+C~Ti z1B2LPnp;693rtP<|MUN6{(OJ|v@Z)3n&43UkC{(U<Ak5pSP+y?{@efm&#;++6_igH zHt*lh#LmSfD9F!XY%I$o{NDhwkDD%W!pcdfARD!2Gz3ONU^E0qLtr!nMnhmU1cq4% zOd!=i;BjeEH4SO?*jFHcPdWfi<3snO(0&L7XCR6~57@)0V8}~ARuq9r2NuvC2JiwQ z7VwS;(Aq7K3}}rC0|NtSY#(%n-2nTU&2NAmKxOM8>w>^1oxw~7tuRqwVBq9n5CF+S zCRPO)7(iR;K-X!41i@Q^K)OI9jvxV$CYS*raReK}0;z$ps80%ArM{Vi!$fEq1X{)d z$_|jlc;Lx&(6SKFEf5fQLe)Vy5E69Q4TJ@`jRwx94@01lKK9VZ7Et&KfUhtC*#}9> z5E8_PVvr)xQX&YAwA&FP@4x`QQWnBtK;#jqa=19{7$W|(Gkai6hTYW!vK?i`HE0tl zcn>4!20GAQN02Nyq(KajASh3Pv}rJKfL26;m<TKcxmpt>16Gfap*Cwc-OmULXGHiw z^9SfsMR3^#m2psD1a0qt%0dJ|VGOEfIKUM@gCex-0@eNukV_jF7*I}}fM}x?$*e^y zlLw2rplu|e?7`ImI>rFB^p}GJv_WnGC}%JzfZ_w@RLK4Y4gn1Y2JlfJFmW)A5{qCF zS`k9v98D|J2f|zd*v?#NTMFg|cuNYBYv7dzoB<IB?U{pV86a9TY=A7Lk+q<F0IDNE z7*YX1EQ0Jp(Ex3T0Ixs*^A$j=yFpvtIT%1y2xyNMWbOsMHi4K17KPR)DESLw1=UCq z1F9J{YS3s1jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjD`Ts zLx9WMfq{`hL>PRZ2?L8T^!^hVe=E!ZAaMo;wvS*w?0ys2U@{|%0{G}*Mh3{dEL<LX z4+@A6I!Oek4r~aSghF5jE}dXMFtW_XB|lWe)fK>FxTn-1gS#LaG-m>$85kItp}SQW zp!cURpI`xx*)#D#X-+83(*hCKgz+(HkOfRUu*piY!-s?46_;Mfoh(eZaLEsK@e>RT zkdunw9#H@ttO82yaFGFF2#7zxXD`KZj?Zw~$>Cf_2ujJgtaV^;VE|$9ZS%Mk5faB| z0d~FM+=n71zziuLQ3Qtx2UHh;j=2M!T}PCc92giN1rkxJsiX*FN}0)l2edYTO2!X< zV~_&?vH}9(W(5W&1`wvGY(TaEVKiy120^@M50ai0Nwc0-svE#dSQJ33xInpu5pp^m zG_*mU0x>}}11J-LI3SmRiV+ZotX=_$3=9?>1nXmI<Z}+layo|q1_l;p76w+(Ioy!o z2A^05iZGBU?A#|821h{#21X%hQU}E)%wdcmwJ;9o_*AeSunI5%lY-Dt10Wo7NT%)N z=o+kgz^NSMI9N~#B?t*Hffuka2{<q@C@?Z8C@^q1FmNylfYgG{w+HoJ7z9A8jX+lm z!Amr3Aq&z1G982=7ejzp44`Y5A(0K{f(V3e1Pdfi6c+L!O4$%p#K^!f0er+J=m2g8 zPSCwf4h#$upp~ag0t}1|jE<lSX#|8gz$=N_7-lsvMo0*xf)*1)d<%~rC<AmV1!(0r zL>9b=1;PZ$gSP5|Xco|7R%odRk;O;Ck`AgGsb*CE(B*kBI52o1Ip2c;w5$=-Jp`v= z<TNhez#za90alAF3<^`wQJ!E4OafNzz(X9|m4S(3swZ0nY%bZl2ct#~2GErzpaVOh zm;rQ21E@*Wzy!U`fvKUPrGbfyhYJ)7pjhJo-9)3H0Xjvv0ki}Xa$yq#O9KeO^9B<W z0|QF~lK|+<TTmk#bP^~FIG2GU285yE0XiI)f;~m@_|6_2QV|Bq6`%u&py49G(ZDf* zq2UDs1L&k+21ZbYft)Fz${ZM2!PjVlwgZ8LkV+F!gn@*>Z37SkDu7f&AmRZk2U12c z9{S^1upj^hI0%FIptSGEAmHSn#GnK&Y8n`n7#f^FyHCIunJ|FY4ue!RFmy05F>x#a z-Pi=;!*qk95X45pum}Jf$kf0DKA{yP4<4O_$$|vHEpZ3~KZ%qAkQ7SSAaRBb6Baih z4>d3`D1mM>VPb1wYGP;tHIOGYFtjoR3$Zb=FfhTF3v(zeQ|eIS0(BcfaR)9V8X$QD zbaXnXCj%<G7?>E?K*lmLKxmNBply&~43YqqlE|xK!D1v4oR)Z$gWZKkeo#t*>Jx@0 zcn~!(EMRfqVc>D#VBlm>U=m?45MWS@a1e6o@MPdz$zTEVgThq@#t;#KD;GeE+M$Ua zR3L$vAR1JwfY>dd1;Zds5J6D<fLNdu52C^CE@<fn&UfHk1yWBuW`U1Y6R&4zsl4FC zAON~;gAv-KY5|XGxqz+!0);WMXB-$9kh;*IAO_dqP$kfihMaQ;O0EhZ0xF51Kx@Jg zJaSm_U3hgN7Xo+{4rWOvhXxLCMGkQ!6T<<}_EJXl7-3*&U;*E222lnkLCGInenEqu zk%NJYP{G6kk36suq!Y5BgDLT81G@^Jf<Z0k0KORjbOosg<jz?JCIKPP)tSto`T@o1 zpeO=O7a{}|z&QoRXMt4va53l%BTSl#v{)?_4I7k3aWF7=C_%5rbOJTK8W<TA92}ZK zeS9VdP@e<hY*6ZUU|?_pi9<xNbTL4+1Gt(1sQ_^>lPvgpB9IhF30YVU|6LmtnN601 zsIG~T12p#Q04;nN7#KM?1mGMb+Za2zKyBCnh7KkMh6N1_3>{4j42zKIMNHVmn-J<7 zz?Bvk0|Vk7G$bpCWb(YlrLc3wDlP^FE%2@;Txy1d_<{}w2L&Mp21ZbtZfFnyg_|M+ zsH={0M+2zrLX7_kfN$mk?=S_IR-jx2+AI%h8i0Hax|jnb0pmmE!NZ*(d3a_5aY)31 zptE<e>QM*@VbFlyP>5B*5D~oE)Nn<h)2XF_5j3UR&|t{WBys_C{W3#iBd8++^9HC$ zK<q3PU|?Wn;b3491GRw}7#Kj;c7WmpHU$WZ0~RK5oPiAh@j(m$1}+7VNgy`4n9UBC zPR5WB1_mtmLgP|DD8(BZ7!(|s6q*%Sf<ZkN#zqFlh9rgqY#m~t>;f7chCBOU2UkOj zVn72@*@aqG%~-_5u%U&4t7j4ixJ;X~2~oDe$~p#6<4u5zK>%sk7;Xcx4EDpgRCa*M zu7Dw4b~Q9Gd_C0lW%|l%*Bcre!DwSr)0b;2uT5%bXl!I`0OcJ>2t4fII?$#WdJ$ZY zESSK+(A&nvuw)toLl3B4nZ&>WijysKIJkNyaWO2K#=tRW8xx3zs|VFT4HFo;R1CNn z1i%};AqJC7GEKv!W#ylLTpAH}nz-bLgg7WpUNwEWywd%8V`C!&V`D?(*VkQFuB`~a z3W_1dhDJ~&1NO?p4z7ka%^+w#0maEQE{5fxIB8>GSTu=&Va6sVhOKj;`D6kE12|5m zK-vPJI0VHBg8(#6D5>K`7J(gvh3NeAkBcE-h{p-YU!XIFK^VjaVGtXHL2P7<CT9O1 zd`}(dL~rn20`dRPoMB*yk5^zQhw|<JGcaJ@Dd4|9EscR8bSbjYq_Ji6ajBmgdf2%B z|J0#>z85I0K^W#y5F3PHd>D-;h6rs4>pwV7{{L5i#zQ>hat@eoI1Pyth#t5gNenTZ zWfv$;{{IghjT2}bpvTF7oN)q*4-h6PB9Ih|O-16MFhfI)jYo2vfJ!DvfIz|*dz?Vz zAnG8b|9+4dC25%r=RHBgB~IY?qA&<Bf$lXy<3l?h3=D}30u~%B3~o*g7gz*X7?hY8 z3_zoa3P`jFNZbh|&cdLCjfNgy1nR7SI+Tz|BAJxGhf511Xpsj4^58Wtm4jOx>0T5m z76t}4G(LQorLd*LLWc!(Zwdowg$if|609>0r6KpE2rw{#<w2(ug6>U0ra=Rnj-ZYZ zX!Q!&!6NewmsaQm94O9lDH#&ttX~;Euzux-*NX-L{0vNs1TLUEJ}vFc8DnFHRjXDR zpE+}8)v8si&X7zqFs!2Ky-PD^g4%vF;eJ8)!%*R~e&zqq%nZ411LS7=0RI2%%Y`q4 z*jVsroL~tQY72e-931@lvoW|&0W#Y@-Z(V;yZn6+8xt#ajOG)}P@%R6Gjnh-O4$`} z92)dV<_@|&;Ib=iG)~aNg&KTFh0LY^+MmeCAhH#_2atgUjb@k#kr(?2k!SnJ<prAP zhVEy?;t=qPAx2Q+4ZMQ;A`@s!9wWn4C@p{@53207K<0tAet`{Z1(PrWbwU#+3Z<po zpbR(#b|WK8H(X$dG1S2BW$I8@0FBKupMmUSWIm&=0a_Q?4COPegvy_Q%AZgNkFhg@ zw$y=5KqsL489N~~qeF`WDCl4`Ljyz{G5X&CJ!=v&FM}`*vh9ee2O)ya5=liDf$n1j z-KU2xH4^ynwlR%83mZ(sVvW2!7C}f08=J(a7|sx&-XV?x@Ud!~mJK}#a9Ss`BMsI& zuwG^b-@rYvZW!{mlYGGmejiKKVUwqHMj4x#bP@wO9h~<;1i0FUS=<2HPz5>S8j=|} z7#tcv3+TWtHSpSQNJ|N%0ipuL!iMq6f{g<$%>%8M!KNE5hQ|omAh3#ILV(LF2hf^F z7Dfj~@FG+Ph5!dg$kiqcjtUG60uBs}pr8jGkPfRi9a$V0!B+=>+DMK#!-54g+yokH z0-4Of7~lZ54t#ftAOizvz!H=WTpU2gL$_Ffo6R6)5HXxaqe$@lMBxqt4hMKGDT4xo z0z-fhXuU5eNEj3xIl$wBObjYuh5{o46BBq(B4}|gC@djs=t0?xRe%w+`W_Y*AW0C0 z@fjQh!0`s&c`5)l6)Xi-15W-94vY+q4FXII4WRf}XaFtb11kY7p@1=P(=6|B%0coV zPU*oa0ZQwjD>6Xg>Ht|!+Qa}|p#mDi6<`qn9m1^uiaG&EoT(@<N`L|rf4u-*oXWrg z>i3}eUI4Tli~-tUf&?6dL{mo;mmQQJiBdVl6hWf~$<?4z2h_iY3bBIo0yq<aMPQ{1 zBPg=KBG?H=0q`zi1&9TRwXIE{m0&CkO{ySmA_^cLhy}u+{VNEIKq7cCC(e0ByxIn{ zq!P?=3gDeo4WNZ|9SjOA3mP~Y6gV0fK${wy!2NBe22g=DX#uD*R$yXiP=bUrG6^$_ zfq_Gbp@9RmW2ga~0~!PvKzq9y7#JYc3ImG(2NOpV$S4LTh6d1X;sz$r{(BG$v^o!L zBWT$k6eCPVXE9<sD+-j4(G?CAJ~TIgs&7U_Sr2kOEF*yHT~IOxc@tbigF;EbfdRC4 z6tp@Ol%&Cx14tJ(3@xKDq*y?cUEpjc!obSH0x}DvrVAtlG8DvtVLTRy?S&~CJ~TKT zgX(w&0Z@_$Z9MHzXy9mQ;9vmd6HxMIfaD=i%z>^31nuHwU|=u+E#hth5y+J>DC&@x z*f)R-<X8Zysu~zLU_0tT>X?`qI>5V!HJZ8<KqiCQ+6++R!66KC5h#wp9PEVbu-P9E z_a74jC@`29m=u~oi`N>II+#JDv#bgXO$`jJEDWGcjZF*-7@1g`8bBMkCaHjQEMQP# z0GD-ebI=(|9E&&<7?c<U7?_$A7(k1_JD3<i^$8F75}|$t4kkthh9(8@(t5CFrUs~y z$dLk;!AnSCYiodF9IvjyDhbLV9-s}03>=`#+Y~$)gh1)?00RRjXa}950E3XiE*2pc z1<<vCpsj}vj0_ws4O1i-1sIzc8la_H7fQkg`xO)-pv_&Na*u^U1tccK1j;X<wlk=e z2U=RqAOMO~b_NzuP61aep!x(fh7XA*kW)ZqCP+6v%#CBd4LP&o(?1yHAbtn!6=7*$ zae@`xOb!eU3@jSp))pve8621xL>O2ReMb%ktou@6J_Jpdfb@B=Xf%M*J%<pu_TXS) zQUK=`1`Z7d2L=@f5f4zD39tx=H7JBQFfcK&IDnE0H1c4k;iIKNlK_|speY7ZVhD+V ziWLP1mIhEM!@$6x(89vN&<Lt(8z7Z$6T<_BjtQVcHzASO)X>1lZ~#~R4XOh`xrITY zBS0b1kb!}LM+9^$DtOBg2k3O2fC&l=$_xq$Ogs!M3@QqK3=S+zOd1R<44be88Z4<` zkrVU9A~+m`m=-iJBtQZm9F$H>3?CR78bISN3{0Tmj{p%+V-~c%9U>3vS2hHII+0+E z5eAB&%_eN1gwMdq(g><pASYCSP9*~O<~cyo%gDmO(8$Oj0$P(~12O}YKR6f`1b`9) zh!4hiA_TNPIUlTOm=R1);KgYjjVzEobD%5(%K8Be3}OsUpi+woH2eq}l;i;IUj(UN z!N4M+z`)drD=69oK&><e2F48>ED8)9BAlQ-S)joJ4i0e79&}r+hzf&(qk@3~qXLT} zLmMM269>Zt(C%&l=viVgvq6O!jEzal!_U`6kpsmpionq0FrsY%@nB%!;1FVhr&m@6 zP;U;ACqQWzE{V;%4O$EiN?ak}%7X=TJspz}s3LEG#1&IFxMdIkT9DTu;0C@{FhD~i zh=U;k+zv!Ckqe29jVTz1E(+cYG?e!-f@=qGOGjY>qu>Nc>TUq{K0rq{gQXc7KnEEJ zG%zxO6@vQM(B>L?a3J_1A`A>7A>fLgfg=N4;6YkZpd84-5Wv79AOP8R0y?$;BnYwu z9L-!<hR6^GqqAf&#vq6MK1R^)(S`;FR|O`PNem2E7#cvQ6ErY5Z&6@inApj~zyR8u z)xbDWp&_7w!RZ31W(UQIB14w}s|N11xFI4UTnsW-7(6_9x`c|Yn8XBFSlAet7&w$z zn6#J}6aqvzq?EK6iWV_htzwZgnxvH=z#_`T!NH>9z`_8EA=ID;WlK~MI8P39tRAwD z5w2n=G8h>d7&kaI1h}kl1691BEx(Nl3<sJT+?W(VQP$YN*r0HTp+Q_j1ax3Z18BGD zlrDxNObiF%Yr4_>t-V5vfkByzQGE#$OD2Ph1_OtH1OpojM+1vO0|Sc!Q@6(^1`iQ6 z1{n_r5hewW+z{5B2B#1QBMyd!E(Yit7KoXI$}Ya^5OoL=Ty_oReT)qapgnSoUmrD< zTw8hlN@GJ~10!QY<Kr(6ni{XK)@cB3nrmom{Q9ct%XH1~Yasc?#s<c%U0q+oR~*E7 zhiLsCJ1+<Od!hM%^ww2Z*J+7Kh{&<Av2k^%sdQ<vX(-)}*%wu1mshkes&1cJo!$Ss z+M0XiVHI^fDj)hdTofP^oZw*Q0=290<P$cGbw(>7`xuArzIa%iWP$cAx?Kn52~eD5 zZ~4--;(Gu3M$qQc#>U2tk2)?~UvcevLjxmd?`gx=uC6ca8W+RCQ&r%wLnZ3>*l97? z-{Z`G7uQ!^URNbAXD7qP#>UxemeQofrl9;gW|vi#y;;>hzp8y=c2@uE(*G@quk*6v zNjcP~6tDuRsl^3qSm22h%zeKdkbR6pH%?$d3EB$>!ce{`gTMxU2G*}oE&~Hd4us(X z1Q@br#S9Gb4F3iHGlBLo{$~a)K@MG|q{PoJD9CVzf$I~462o7H_?Z9q|Nm$B1s-wv zU;m%!!<jSx5lhGkSOSt31sw(hV!$zI&)jg_$7uRNY8PmQJ=~+9_yA$J0Di`T1^oOV z75{nu3o~qZ|NlSpe_0Tpfx+1L?p@Fxgbxf#4FCVf$N%U54>I@6nL7;sLHi%Udnh1E zi6SL2`i!7B8IF7AO#jR8HxB*$86GU4a06ku0DcBMPVE1OgY(H<NSyrtZ)_|m`2Rl> z!-rL?82<kc2;k@c|DW|g|JgHV6u@y}Y;0`}Dz5OGkC0^qEfGL)85kIb%bq#(vdNTR zbgOYF`DNE6h68^X82CYDmm4@v1VJSdsO<XxUr^8(6ekRjIJtlS-+zW*kWBUeKhp<e z<NwwuK}>)n5RR^3sK<#5WO!EydEX)f1A|y%i%18T3&$alJeGZpVxY@VP*3ba4H-uU z0SVB4M}`0a32+4+AOJml1x&Mm#GyJM<Cp>*u;r?-xlfohQhg5<LZL*xP`C^Xpg}s2 zU6{QbbcKVI4_cc7S_*-@Z;^q4!A&56!9$0KiK&920b^eyXdhz+LxT{w(~ZMlg$&^R zjADrl4d6Hd(F_cn3?N#9g+U~dp+Nx32h$7&9gsMIkT^`mCM@+5T@<>I1ubsTRSkZ= zeSons|Npy?Np_HPL3IZRgV+oV4C<kVAEj6EgBnj@5t%!NANl_y_+UXKLhv1^t_}@d zwcFTu7egS!f6!nTSRS<Z8q|z4Hg*7=C%{w>+Bwg~V9dbqKOQ_o47UTM9JEUkMB~O{ zk?3;6Wgnw`d}yd~=v{lb|3L8p!cYPA|F(an|C^dZxeW66ZT|)bBls|J7!8gSv(Pk1 zocv~7#mMjvDhCd8&_N%d!es%dvGt#Wfe92RU>Q*KK~;h&V*)K>#)V*INW^f76N3PL zetrf)-1&ro@t`yV!v~nx1uifiWcYyK!^GkAe`8}~V^BURS6IamfE3V>^9kx4jE%ql z=duFT|DcvJXigH6gOHRmFfb6#CxXdvJE4qW6DRQu|BXWhap#j&yl16D5&1&+GVfV@ z`Gf%xCrYarelddb1vJROxuMR1;lIOw&|YlFi4!2X|L;NM0kAxX1;GUKNijqnf*k5` z0@<es+sDZE5pq8xY~Lb_Fv6#(EQmg#i;y#&*-&SY7};R=GYT@PIe-?T3NnHAD<bwc zLh4^e&<Yt)4#A{RZNtM;h|0wyJ0zu``xK%37MVf&6ge0eKs0zJ<rFSYLG&?os4;++ zD1aBGfR#e`A9l2W)>tqw9BOd@N7u_1574Ti2G~Bv28cTFzD96c7q-6<st;@yQ3Qva zCsFD~pdvgQ4k-%_LIw*+ZlTPA;iMZ<{0z8{1Y~{;xEltI0~D}K2M&Pa95_+;(hq<W zMy(v)Aplwb0QMtv4FibH1X<<<U6&13gCq-50j`ue!0V4d4FRwckT@2MEC;Uav8Vw} z?=yhzfWj(AP*6mVppqe~zyY*_je!xg&CmgKeT*PzD9?cbx?TZ1iU{%<gb(U~!#4wg zc0vlm*06%qK&~GU01G(?f}}yBETEx5Mi)@Sm;tm%jDZ1spEdOIM-~BCNyq}z0MbMZ zmcYKgix{ngQ4J#lqW}|w1L)LX0q{l~0j35v$TgS_;PpHT3<3<G#g5=za|j<m@(p-@ zBTNt!O)xfy2Cdiz-x9&p!V%EI$iV0z#K6d~0Msym=mu}01jmkpQ-c6_MLqanX^>`y zCU8~+GYJw>;9-7(%7%~v2L=Wv@ZM4Z(DHUEkjt3_7(hp3GjK9GC_=*zBn-yLVFg`2 z2Np*rz?q7L1A0KZDCn+c&^97)B!gBWgVaLI1r027fERf&Nr2W#LPWv(L5hgO0+@TT zNi=~tdj?t+=-w<)05LJZZkWU2A^}js2g@PH7FYmGFhOI2Q2@Gk2xKZq21W~jWI)r3 z91aXE90E)%44`G+AQjN)!)`8A0f>_L4kCvU2Gzk#pc9BdYlNZU#05T@fUAK6yw;I{ zfun_ip#v1Q(2b%X?}IO(1F3_r>IR8|f)QjQh~3S=!N4LU!oZ*a+Hcgg0JQuRwCRC~ z1=KEJQ0Qs^jox#xFf0Hq-~#Pz1QmB=T)QFg0%YBA!ANxgqXIZYK)C`GGmH#P3|tJL zRq<doh_V1w+JM$u!Gj<GQeVUQFa`_wvKjDd6ws1Xgb6SOAR4sK2z2VI0|TQ7BWP=j z00Z>SW{@m2XF-^_N%?EI<%XaPDBXgb4NKdgJ4`tgVLWgwv4Hk9f@)yUE_s;RCI(PG z0r8+1t(gnDnq~zkXDKl;F>x>~=u%=}=u&6^ElmZ@X@WI1Fo5|A4GbL(4Gdk7O~ehb zvJR|-I6@S&-Gw~`5NF(AP{qX11lrKp1iIFefeBR7fP#lnfvbUO0fPegDtU0`KzAz> zXuo3vLjzPFOd2%O2xc>ZB9fs&p<@xKZ0cIXz{I4)u&9ZF30$W)C~!f}SYcsY0J4>V zfupHo0XQr{Nr9Ahms}y(zTrbOfHndNGB7qU2rxM?G8lkrR89d7HVM!zGmHlW89^I@ zn-~;0KqVSO11Rl7J<r16A;RDQT8jV{Kng{0$bt(Y7J(23R&eRZAi%-^y7x+fi9rZd zpD;2pEC5gRGH`$z;ULpl7+65j!NR}|HV{UDTm)ldrTMpE6&}ih;7)`~Afz+R0NOb! zz_b9;aRaU2#^p88#pYrSko}F284y&Jh8#)_!VC(Hj4TWvK&L`$NVNDcFfj=5uz*h3 z;b2<8z~sQ9z!1P7AOO11i3POaf<=jei9tw!g9)X^LNynYC$bS!Y)FeVI5aghurLU) zz$y_JCWZqo&~X)fK2v34*ubEGeIOlN);aJ*fHHyrsC@-)Eifp6ODzTtP)8J0qZ@!b z{9ND-_zVsV9E^}$2s*t0pUvoU;yCXKLRUFd_yP=!4GX|+Cs6spz$6d=>M%4hGBAL4 zF(Mxkgyy3FMvew1MlVo<7EK1kWnwe{m205B2B>kxpr9qd!k{X^z$V1N$i%?Q2|7ap zR4Rcsg0XOd>}LVxRM6oe;Ia-<{((#)h-I*DN+qamFe?yX;9znAhl2xXpCV{iITL6L zBdCGI1Rk@(>Uvnd0!f1UHXs@qb8t2=^f0i18*!Wp3LFidhKfxboSh7+3>x5@&_RmX z6c`j4IH5%!hkybDBV-FVxMcxSKn#|sAV$?tQp3u@0qRAA&U64f8@#U(l!C!LJcI`a z0|WT#M&#H5#VDwm1up!U82AJjx&)NCI9M1!wKoHUKm@3MhZxEP8+-v-4-$gKEQ|(; z5rf%-iBUC_)J$MtP-swK1{ECO`xil5Km!<sra%G@Exb`Y)&Snq1#Sm`w%4J^K{)~k zGFTKuSOl0jAo~#-KwT2>nJQ2P3~b=qgNXrDhJm*Hg8G-B;Tmw21XF~cmVbp`e#pr- zn1W7yTHtg5w9^L^F%ub@6a*NWE`Y-t5;0gk)xyxk(AWUp|HuH|<Bq1v?4Ck{j7HWZ z2d*ig3WX&|L_sTtg^hzrfJv2sgN*?)=;9D0As_(WhZ?}aq5|4C&mtfoK~l4eL15U; z$2S~exDw7GA`HqAp!*nAoEWaOE^uW69azxV$bkFIA18(dVu~T4BU3>89}zLa!37FZ zMh1m*bxaHbtn(YRg2W_TW@vJ;gfIyNFs)_d09}c~)xg9N;=(Dw%EHGpiGhKsjg5ti znSq0eiGhWUiHU&?+~7bMijTz(+E0W}!BCTH`1*+H%JmiD*FoDB8A1CLlbXIvUwHj; zV*_Z@Tm#NHX-#TcaDCyGYYh#cI|C7S1TsM5#Qfj8fAKcI{@-7<@0yrQ%)ELzmAZJb zdvWXKxJ<d___(%kah14n287GV$;@J5U}_8F;Y%0Ma1!DXn<mA?!Uo#QN@Q4ycoV5` zs3>dL`l{>8^%d7H!{X%Ysje?qR~*0A*wDbx*oZq$zMKNxtr-s5-^jqoh#4nl|K9zR z)2f<&f8M@2IjfMl)%*6<#q9~>UN6RF%EBebeT9jmBuq0PTux4I9vc%lPSgXqn1onF z#N?P*NXaKWpn=n&65hnQ6SPhngkh>dY!HU=anT?(AdE|%!PqzybRQtceb8A>s{}!n z6{sKbpCOciAsF6P`v2d!9Abp=84&&d523ZFAXUg%Y&SA*Sg=9i3c@hYfY=}m<KqG# zH6V;j-WYTbA82(pXw7!lDjtRp9~c-oJVHZP{YQ(F0*Dd9J|H@j<Tw#O2humJFeqF> z80IMu8-!teTr@}x2;-19W-vB`u9F9;0Ikag_st=3!VGB}gVxR8N6#nr@U{COjfAlv zJkJqUJ494~!WD#J&IPeS7{<p%gVca94tZk+P(A^*=D-Tzaq|B^D4$R>PQ-EERSvd! z2olKq7!_C;koGl-bb$6T;_?!@KA1X32GAMN3=EC}A~V1j$qO(@fF=wbTSR7Xxo{i< z?Q;a}&4a09U=XkX>jm$3R0JJL2+{`@B94&5dWQgUdIzT}<b8~w`xBA)QJ{T`xLgfW z2igw_(g#xq;)B=%1`QFQAv4InMFxRFhlU6i4kj_sK1a|VR?sL6NF9Sg2P94y8lZDA z4IW@|q6t34xe7$<8Qcm@85j@p8w<eiUo>T4{>=Ot)HXuhFNN+4Q&WZy{KklT9AWaI zp`d!5fdO*f)Bo@Fpv^$#_4R)^6BzEDc?CV$@IM2?`2Yb2@V+^4HN(*HAJj1W^Z&zt z(4K9WX~fWor8C5+9tvtqKk%LnWkB4&X!?Pbm6eqrw1*H>2jKLAsi~<cLnvmPfbOFM zX#!1GnVN!bUX}%iykHpvL-hX*pfO<3>5zg9;2XyO|Ns3Tw1ta-fdSki{{P{_|Nl7m zA%V=r#PY*!EfIt1f7`#I{}J~un*RU#_3Kx{al-%K)bxKSW}1e@i7EpJ10+st!Epi# z%b5S5C5w!ZIN@htIKc4z|NsAxe8TYG*aoz>k@S4R25n1&Y#1Kcl;7|pzcJ$eMN@uI z+4UcEA1mH`!tjCrKff_(2Q_Lqz{)NL1~vwM{{Npr?cx9BAd-RM-kDa0h6YfZ>pug- z`wt2Sz_EphlLiKI$}To|;||p&qddGJ01bBBGh}#m6Oa@DPskBaG%Af00<e9HFd9jU zT1+Qu8AL~O2G<xJ)k_+7aXN<ONVN)WQ6+jXpi6_+VW6uS<x@2TNSMun*vkYvGX%oL zN>V*@Vzp(+3KFW3VQasM_MkY)u}HMh1FR6eM4+;BK;Z_$R4^8_gaX+Z0mOME$igUW zLJ0vy@!;YhoQ`0T??QwbpnZ{Gb3k_nfTrug94ZlPtEgnqpfE-Nv|kOpMMQu>0JOUl zH1YxELmUX}SU`9vBzUzCEY0Fl2bOnWU=VD;r2@rF@D@9C5kh=UtoLFQ(luh`K@kDE zY7<;)A+ik#;X#BZxoISrHw2Y}(>2)LpvCTB2HgmR-6&QPj1?Zxz$S{8VaNd;{{+Gy zuc59|#m57w#>5Q_9SlqgOyCpfIUtLHF%?lJ!h&(v24&_AUftm8f>P&!$}W%@pu1?` zr&E9gh{gnS2?K*n4bi3zF@@kX4C`8fZX|)YA2nw{#2_SC25$?x0kjkuw5ON>bQvHh z=YcRpH%=04EV3-YSP_4Stb91JA*DHl1o;cW0`VxokiDtkSO#4+i`yh}Z4_RD+svUS z1KO(yay5j8q<JO=&_*iAet3-iKB$Qpi3e_2fsDe$jG#NZm>QU1=c%A9tH)GMx(L(I zzrTTWPgAA?yq&}Wyi1V@w3C4{)3E3k$ipHyDugEl1UNWcSQr`{AZO~p_W$6~K(Z9u zagw!+a19*{3<jX%QK6Tf;wj|F4Ja`Z*G-aZ&+yR)sks$6KwA_c)jhTSCe}`E6Gwu1 zpp#S>7#Kom<5#vrv@v&>n+j<eK^k^c_o~>i+aFK$AVM=RgbTE>yCEcmiy?%Cfq@xK zni|~Uwoi*1VS?8T1qLn!7L5QV5X}U-ml>~WawORvkfUoP>nY*lDhboL5MIK~Rl>%= zK!Y_VY@mH$BRPmk_In8zR|1>Hg)mUu&?rt;lkBKb4Wl728UmvsFd71*Aut*O6o-H# zg8&By3xgAkrr5wy11Jsw(Ediyen%Khv4NuoP#gkWT%n-_4D!aH!CUZM%M_c4Zh!!Z zd+pHmk32poPM8^NA#nn_DwFC#Ahwd~Mh<fWy^|PR<QX0qtEsTCKz7hk-NVE090{ri z5vqZ*yCyl*Gq4+<dc>pv+LnzfOAQ_y&hxCOVLCm{U}J(Tae&eEvfG2|GmY%@U$RM~ zz+zNL0GkY>!5lgeDxgD$scH{9^iWW$8ais&Xb6mkz-S1JhQMeDjNlM}E%+YjAYk<$ zXcvsyKQcoAmIH|EN)V@tw2&la_Y3dneZQmyE*Yv}DS}w765x}-h*do_)e+o;GswIp z{BDprh?>rU47Lz&A~EWTQ3E;&ns{3=REnEo2n{;{V)F^H>PQVBQqAS-Bh`kXsGigk zo%((xE_*@j<tc&Cqa;;BfYhvkV!8P6y9*HEKa9jlpp^?%k5?5`4Z;%YvjmH&Z|10p zqaiRF0;3@?8UmvsFoZ)ui9rbhn8>&vd@%W&dG=s(4JB?-Vo-vfpawcffRUosDCzEi z9gah~PD~x*Uogdnst71fhN|0<-7P@MATqMXLB}30F~R`4nSRiPE_n`u)FmKtv_2s( z1gWoUv_7GJn2wqVy5YWofk{EKdo(NtM+mflF6821U|>=Zpk^a(Ks`762?Ikz{KfAK z4B2j=8+!;l#+8);bR`eCl_N-4IR`^Q1w$pn1gJ_6h6xN47#ai?ure@kPoUCl0Qi6% z&S5jpILVcPL0Izpo(6{M`^3cw69)q`=$by<;fXQ`tiUisqIE9AB!)?oCJ0Or*v8<+ zFo{8c;Y88|0X7BPW<aBmFm-@|fdzDRAcN>_a55pRV^jsvApna>9;gl&AIc?2$uA_R zWK;o(ApjdffNfcT@rg8s!+-eQ!AzvrN0re(1n|xl(%(5G*yu%rb9G3tepD#~=E5UM zz2O=xL${GHykoe=$pHF`#N+_(lpr}*ZVeJ=lu4Zsz*m(aMF6f|i1>TzIAhclJRyK9 z@S$4Jvz6fJ9#d$@j8dZ^Fd71*Aut*Oq=W!^lYmo`6y2k0sTl&e#wIylQ`44Fv#^E$ zuE58tn1Mk;8HdEEFl|DBh%@dbM)#P~ChRdyB_cK;nj}gg^e8zR0>e85&?^vhK7QZJ zkKQ4GKY*w&3mfr*(HJ%ekT@}kVZf*WdI-Sk4Rjh^9ZvpeeS$M+MkR)I2!IEMVfluj zPX$K6*&YM~VPF*Cj3bV(1LL4!Y%46Rk!X~Bf+UVT6eOgui;s#@5(3~jKr#T#hs6a< z9GwpnXW)k%01M}jGDbsSGz3ONfDR!5E~t>~LFXe$fteWcY?Hv^qr@Ny0Z=6)**i!a zGt8WUK6glnkL-Q%(RoJX;Ghy4Jd}krYK6`RTZ1GI=EDhz;e1vU+?RA^AeL)EY6?Pp z495usVF-;1P#glFS^;7RWd9Z+K13~yWE_nXSWt}8qaiRF0;3@?8UmvsFqlHXg@M(9 zftA65fq~J1!G(bVD<8Z&3nI_p$ie_p4{95OFoWQe!Q`D`;T9zZrUnKkP$!XT0q9II ztb7K9JcP-_uz-O<p$QZM@{l$(h&hT!Ltr!nMnhmU1V%$(Gz3ONU^E117y^Ux{4Qpw zhtX-M@KC3~oe(^pU_=aAVyGgd7hMCX>e2NR;?vrELiUf!kK7QD?_*$qjPZke`{00p z@xdHC1hMLH89}LjT;>jSaVgkgYzzzx;2FxH?xA7ee$fU*{(yOh*m9d#bue?$X-f5@ zn>SSWu<`*hDU9lJ&J(D7WFAZ}vKT%#sp|2Wg-wpu=3}#yd@;}<BSbf;X;1z;MBgYm zctU^+X{#Nsyfk=RJ2G6tJAn5ylA5OnZHzQPOI4)w4RI?}9Ks=<1Rcpuyy{Vv*g}9n z(BqOu)HtYyiXTMfkMhU~0cfbB<P%VcL&ZrnlKl=s1HJ2$VN-S?f^d{I8UmvsfIkHA zjOOCkh%U<>iY_wBCou$Y#RV>L!p0vUtYlOLNg+V-u%Y-AlI$B*OpOpA(6|vbqJ|}8 znL%-SBFolMO`{=z83F|B8Huf!nnpz^3IT#)4xdECVU7I2m0dVoG~|R~aR8%X9zf^A z#0k;^cr-fMZYJm{`YM3=0~d|%8U;f6gtr}C3*GoIKhVuW8d(JM2QC`ZS^~ph1K93@ z`2o|-qavdrFd71*Aut*OqaiRtLjW{`h3s{7KC&E$jZa>}6Qp7kQy~P<N3qcPL|Y*% zMzm6DD<s>^)OHAk<{_qMA$vp7`RK+Y<k4lI`~hqWGcZ8>0CmYwrV!0~NY?|MkM1{w zJh}{s&sqc`2M$C0FmU#d+B_NpqaiRF0;3@?8UlkP1Y8_IXRClPBLgGod=)f4x-+5b z(S;Fw**FB7zAVrgF<?K?*IMe^rqlo$d<S&`nHZRq7#Ps`=r$tc(Pf}~34*O<s6tv$ z5I@ku<WVz6Ltr!nMnhmU1V%$(6pV(zpbG&OFU-BzbaUhgUkQoJ`=IkyaLLgvZbmIa z34sAzON2O71jR+8oY4>%xDdcKPa*Ms;M_FaY{oTHg-aZl|3~jQ!xb{4;-euj8Ulkk z1Z0~B&~+$#{0Gn-RI(aZy@5*{m$jqy39gVC6(0?O(GVESAuw8>4CdGwb=znNjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjLZ;_YaPV?CiMX8 zLF|=Lrw#28;A<Jg?jOBWY7j@xsMAJ6U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb8|N1o#K@{fvYHVf6k+LLoFNPo)r$AI$eN z67u8d{f&e|XjFbQ1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq) zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S``50`k9xgLhblgC|D)Fd71*Aut*OqaiRF z0;3@?8UmvsFd70QJ_PuG4~HP&7!IBo^}}cgjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EwBb zARsUtyvoABG90`C^@IFpC}U_-97EgrsIKRKiOL_UJf@-Q@KJY<hQMeDjE2B434zf% ze(*)4{ENZoCURWFNRFmKs%LogPdFHcRbzONrj=1=jfTKz2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70YV`l|B#T1AtFDzDQJj9)X;RM{GXxeZd6wf zi;c2yFUc>3GlwQaVQ4yg)YU^P1o)Q^E%#!$wgE$A$P4g481i0#cwjhf)PneElpI7M zz`tY=Iffjk46h~^Bm|-$)Q}~?^~vyRe98YGvax~WaB##R@rO23{`t^$Kiu`hD_Y>* z8fA=zz-S1JhQMeDjD`TYAuw9UlN)lh(>K}{rd`;QZL$Q}ItP))1_p-V)D|9%lR=cW zMx8Pm0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8Uo~n!03KP^1_Xlx<>b6(=v2N%^nSb(GVC7fzc2c4S|6R zfzf@x0~hwVZ07%qOJ*pE53l`<Ln-7(-8vcqqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$ zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?; zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW! z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$Z zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( z6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~ zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Euoc zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!3i^L%@K6fsv_UWw-(Z z0|N*fFf=eSFfec-v6(^aQ49&6!4MA6IAR64#ehM9k$E2jg8{<<Mg|5}MgxWgP?~}9 j@!GnjAT=Ni)x!&7kK(}-m!okp8W*E!U^ER3l{5eVgTf45 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc.tcl b/proj/AudioProc.runs/impl_1/audioProc.tcl new file mode 100644 index 0000000..b6a9879 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc.tcl @@ -0,0 +1,289 @@ +namespace eval ::optrace { + variable script "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.tcl" + variable category "vivado_impl" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } elseif { [info exist ::env(HOST)] } { + set host $::env(HOST) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "<?xml version=\"1.0\"?>" + puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">" + puts $ch " <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">" + puts $ch " </Process>" + puts $ch "</ProcessHandle>" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +OPTRACE "impl_1" END { } +} + + +OPTRACE "impl_1" START { ROLLUP_1 } +OPTRACE "Phase: Init Design" START { ROLLUP_AUTO } +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param chipscope.maxJobs 1 + set_param runs.launchOptions { -jobs 2 } +OPTRACE "create in-memory project" START { } + create_project -in_memory -part xc7a200tsbg484-1 + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 +OPTRACE "create in-memory project" END { } +OPTRACE "set parameters" START { } + set_property webtalk.parent_dir {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache/wt} [current_project] + set_property parent.project_path {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} [current_project] + set_property ip_repo_paths { + /homes/t24autul/Documents/SAR + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo} +} [current_project] + update_ip_catalog + set_property ip_output_repo {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache/ip}} [current_project] + set_property ip_cache_permissions {read write} [current_project] +OPTRACE "set parameters" END { } +OPTRACE "add files" START { } + add_files -quiet {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.dcp}} + read_ip -quiet {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci}} +OPTRACE "read constraints: implementation" START { } + read_xdc {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc}} +OPTRACE "read constraints: implementation" END { } +OPTRACE "read constraints: implementation_pre" START { } +OPTRACE "read constraints: implementation_pre" END { } +OPTRACE "add files" END { } +OPTRACE "link_design" START { } + link_design -top audioProc -part xc7a200tsbg484-1 +OPTRACE "link_design" END { } +OPTRACE "gray box cells" START { } +OPTRACE "gray box cells" END { } +OPTRACE "init_design_reports" START { REPORT } +OPTRACE "init_design_reports" END { } +OPTRACE "init_design_write_hwdef" START { } +OPTRACE "init_design_write_hwdef" END { } + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Init Design" END { } +OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO } +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb +OPTRACE "read constraints: opt_design" START { } +OPTRACE "read constraints: opt_design" END { } +OPTRACE "opt_design" START { } + opt_design +OPTRACE "opt_design" END { } +OPTRACE "read constraints: opt_design_post" START { } +OPTRACE "read constraints: opt_design_post" END { } +OPTRACE "opt_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx" } + set_param project.isImplRun false +OPTRACE "opt_design reports" END { } +OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_opt.dcp +OPTRACE "Opt Design: write_checkpoint" END { } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Opt Design" END { } +OPTRACE "Phase: Place Design" START { ROLLUP_AUTO } +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb +OPTRACE "read constraints: place_design" START { } +OPTRACE "read constraints: place_design" END { } + if { [llength [get_debug_cores -quiet] ] > 0 } { +OPTRACE "implement_debug_core" START { } + implement_debug_core +OPTRACE "implement_debug_core" END { } + } +OPTRACE "place_design" START { } + place_design +OPTRACE "place_design" END { } +OPTRACE "read constraints: place_design_post" START { } +OPTRACE "read constraints: place_design_post" END { } +OPTRACE "place_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_io -file audioProc_io_placed.rpt" "report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb" "report_control_sets -verbose -file audioProc_control_sets_placed.rpt" } + set_param project.isImplRun false +OPTRACE "place_design reports" END { } +OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_placed.dcp +OPTRACE "Place Design: write_checkpoint" END { } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Place Design" END { } +OPTRACE "Phase: Route Design" START { ROLLUP_AUTO } +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb +OPTRACE "read constraints: route_design" START { } +OPTRACE "read constraints: route_design" END { } +OPTRACE "route_design" START { } + route_design +OPTRACE "route_design" END { } +OPTRACE "read constraints: route_design_post" START { } +OPTRACE "read constraints: route_design_post" END { } +OPTRACE "route_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx" "report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx" "report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx" "report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb" "report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation " "report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt" "report_clock_utilization -file audioProc_clock_utilization_routed.rpt" "report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx" } + set_param project.isImplRun false +OPTRACE "route_design reports" END { } +OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_routed.dcp +OPTRACE "Route Design: write_checkpoint" END { } +OPTRACE "route_design misc" START { } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { +OPTRACE "route_design write_checkpoint" START { CHECKPOINT } +OPTRACE "route_design write_checkpoint" END { } + write_checkpoint -force audioProc_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +OPTRACE "route_design misc" END { } +OPTRACE "Phase: Route Design" END { } +OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } +OPTRACE "write_bitstream setup" START { } +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb +OPTRACE "read constraints: write_bitstream" START { } +OPTRACE "read constraints: write_bitstream" END { } + catch { write_mem_info -force -no_partial_mmi audioProc.mmi } +OPTRACE "write_bitstream setup" END { } +OPTRACE "write_bitstream" START { } + write_bitstream -force audioProc.bit -bin_file +OPTRACE "write_bitstream" END { } +OPTRACE "write_bitstream misc" START { } +OPTRACE "read constraints: write_bitstream_post" START { } +OPTRACE "read constraints: write_bitstream_post" END { } + catch {write_debug_probes -quiet -force audioProc} + catch {file copy -force audioProc.ltx debug_nets.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + +OPTRACE "write_bitstream misc" END { } +OPTRACE "Phase: Write Bitstream" END { } +OPTRACE "impl_1" END { } diff --git a/proj/AudioProc.runs/impl_1/audioProc.vdi b/proj/AudioProc.runs/impl_1/audioProc.vdi new file mode 100644 index 0000000..bd34844 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc.vdi @@ -0,0 +1,762 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:24:19 2025 +# Process ID: 382686 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1 +# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.vdi +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2194.837 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :15605 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:25 ; elapsed = 00:00:41 . Memory (MB): peak = 1680.555 ; gain = 325.840 ; free physical = 1461 ; free virtual = 14195 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: link_design -top audioProc -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1' +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2097.836 ; gain = 0.000 ; free physical = 1036 ; free virtual = 13771 +INFO: [Netlist 29-17] Analyzing 121 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2015.3 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +INFO: [Timing 38-2] Deriving generated clocks [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +get_clocks: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 2743.359 ; gain = 552.930 ; free physical = 452 ; free virtual = 13206 +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.dcp' +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2743.359 ; gain = 0.000 ; free physical = 452 ; free virtual = 13206 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +14 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:29 . Memory (MB): peak = 2743.359 ; gain = 1047.961 ; free physical = 452 ; free virtual = 13206 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2753.266 ; gain = 9.906 ; free physical = 431 ; free virtual = 13186 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.15 . Memory (MB): peak = 2765.172 ; gain = 11.906 ; free physical = 431 ; free virtual = 13186 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894 +Phase 1 Initialization | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12899 +Phase 2 Timer Update And Timing Data Collection | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12899 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12898 +Retarget | Checksum: 27a691c2e +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12898 +Constant propagation | Checksum: 27a691c2e +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 2f081e065 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Sweep | Checksum: 2f081e065 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells + +Phase 6 BUFG optimization +INFO: [Opt 31-274] Optimized connectivity to 2 cascaded buffer cells +Phase 6 BUFG optimization | Checksum: 254f45935 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.22 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +BUFG optimization | Checksum: 254f45935 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 2 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 254f45935 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.23 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Shift Register Optimization | Checksum: 254f45935 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 27a0b14a7 + +Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.25 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Post Processing Netlist | Checksum: 27a0b14a7 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.3 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Phase 9 Finalization | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 1 | 0 | +| BUFG optimization | 0 | 2 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.33 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Ending Netlist Obfuscation Task | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +INFO: [Common 17-83] Releasing license: Implementation +34 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt. +report_drc completed successfully +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 213 ; free virtual = 12873 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 212 ; free virtual = 12873 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 212 ; free virtual = 12873 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 211 ; free virtual = 12872 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 211 ; free virtual = 12872 +Wrote Device Cache: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 210 ; free virtual = 12872 +Write Physdb Complete: Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.17 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 210 ; free virtual = 12872 +INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1971e65b5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1d834e537 + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.67 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 254 ; free virtual = 12915 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 24479b66e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 250 ; free virtual = 12914 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 24479b66e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 250 ; free virtual = 12914 +Phase 1 Placer Initialization | Checksum: 24479b66e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12912 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1f0769a16 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2d5cde647 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 2d5cde647 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 24e71af8c + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 214 ; free virtual = 12879 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 96 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 44 nets or LUTs. Breaked 0 LUT, combined 44 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3127.879 ; gain = 0.000 ; free physical = 218 ; free virtual = 12886 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 44 | 44 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 44 | 44 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 2d955f418 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 218 ; free virtual = 12886 +Phase 2.4 Global Placement Core | Checksum: 24d73e065 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883 +Phase 2 Global Placement | Checksum: 24d73e065 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 23d657603 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 22513e1c8 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1ea1af04a + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 178715a17 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 2d4f2065c + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1f22d608d + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 146f8e4d1 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877 +Phase 3 Detail Placement | Checksum: 146f8e4d1 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 236af2095 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.794 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 2004c68b1 + +Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 1bfcb37d3 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876 +Phase 4.1.1.1 BUFG Insertion | Checksum: 236af2095 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=0.794. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +Phase 4.1 Post Commit Optimization | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +Phase 4.3 Placer Reporting | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1c155315a + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +Ending Placer Task | Checksum: c4fd0a1d + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +69 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 3140.688 ; gain = 25.594 ; free physical = 210 ; free virtual = 12876 +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 324 ; free virtual = 12891 +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.25 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 303 ; free virtual = 12870 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 303 ; free virtual = 12870 +Wrote PlaceDB: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12865 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12865 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867 +Write Physdb Complete: Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.41 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867 +INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 17894a90 ConstDB: 0 ShapeSum: 1558d429 RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: a8773583 | NumContArr: fe331ce0 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 32bfc479d + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 32bfc479d + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 32bfc479d + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 2d1d4910a + +Time (s): cpu = 00:00:57 ; elapsed = 00:00:48 . Memory (MB): peak = 3387.594 ; gain = 222.895 ; free physical = 239 ; free virtual = 12612 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.803 | TNS=0.000 | WHS=-0.144 | THS=-22.944| + + +Router Utilization Summary + Global Vertical Routing Utilization = 0.000182205 % + Global Horizontal Routing Utilization = 0.000165235 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 1211 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 1201 + Number of Partially Routed Nets = 10 + Number of Node Overlaps = 11 + +Phase 2 Router Initialization | Checksum: 269f51fe2 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 269f51fe2 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 2c245566f + +Time (s): cpu = 00:00:59 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605 +Phase 4 Initial Routing | Checksum: 2c245566f + +Time (s): cpu = 00:00:59 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 238 + Number of Nodes with overlaps = 126 + Number of Nodes with overlaps = 68 + Number of Nodes with overlaps = 32 + Number of Nodes with overlaps = 10 + Number of Nodes with overlaps = 6 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.534 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 2abe36016 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +Phase 5 Rip-up And Reroute | Checksum: 2abe36016 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 2efa28e2c + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.613 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 6.1 Delay CleanUp | Checksum: 2efa28e2c + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 2efa28e2c + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +Phase 6 Delay and Skew Optimization | Checksum: 2efa28e2c + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.613 | TNS=0.000 | WHS=0.107 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 2486ccefa + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +Phase 7 Post Hold Fix | Checksum: 2486ccefa + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0942403 % + Global Horizontal Routing Utilization = 0.118209 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 2486ccefa + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 2486ccefa + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 16786fc76 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 16786fc76 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=0.613 | TNS=0.000 | WHS=0.107 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 16786fc76 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +Total Elapsed time in route_design: 51.57 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: d2e3295b + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: d2e3295b + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +88 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:01:05 ; elapsed = 00:00:53 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +108 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 306 ; free virtual = 12583 +Wrote PlaceDB: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.43 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 303 ; free virtual = 12583 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 303 ; free virtual = 12583 +Write Physdb Complete: Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.66 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 302 ; free virtual = 12582 +INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated. +Command: write_bitstream -force audioProc.bit -bin_file +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPOP-1] PREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +WARNING: [DRC DPOP-1] PREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +WARNING: [DRC DPOP-2] MREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +WARNING: [DRC DPOP-2] MREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 13 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./audioProc.bit... +Writing bitstream ./audioProc.bin... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +119 Infos, 19 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 3774.523 ; gain = 323.512 ; free physical = 224 ; free virtual = 12235 +INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:27:35 2025... diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e GIT binary patch literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt new file mode 100644 index 0000000..7a06aee --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt @@ -0,0 +1,16 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:27:13 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +------------------------------------------------------------------------------------------------------------------------------------------------------------ + +Bus Skew Report + +No bus skew constraints + diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..b6bbca8caac78ab1f5f672eba6f61693513e3a2a GIT binary patch literal 1091 zcmdO3U|`_jkYY13FtpG!RN`|gEe_63Ee|fu%}p$-RN@J6iVq5b3d%7sFmORtPGS~t zDlJwh&Q2{?C`v6Z%_%9K!OW1x#K6GC50U^Gq7al?kY7|H)Wui?X2d6z7RQ5i=$0oI z<;Cac#g}E~=OmV7=I1Hsre)@&DkPSsWabAH<tM|{#uw$6mZYZW6%~{y=oTd5QBjbj zpj%W>fk#DAL4_1kUa=ChuSb;zvjL;ka%L_`E;gt$(cR4@%*E!CTAZ1lC&USN7nctg zOF&{#iI9s+YFTD-s;zmVk%2*pf<i!Ia&}^Rs%>#nx`~B}f<kaXYHEtDuAzcPK#;#n zsB?&?zn_Ako{_NvD5!M}%ybQnHMv5#*uzqbiZk=`ggnDC%Mw%a70QeZj7;<l6*SCD zbdxemG!+zr!xfxLGjmcDObyJ<EG^9x^79nJQ&SXt6Dt*rj1&wltc=a93@jCVT|yK< znz<CXSX>fIQiUXZ^Yg%}42={FEUb*otqeid8<}#6aq&RBrVv~LQppws4rQ)sj9l!_ z`MJ4?c`1V`+MM{45UCB6s3JjWkxAE3h(U@yH#09jH?czDKMXKxuo^H}usgh9_h5)% zOkl`hC}5~yXkcXMU}TuU$S{MEVF4q<3P#2aj0`&%84oZroM2?Qz{q%mk?{c|;|oT{ ze+&!^Q7~hZSc`KKle04z3m9upee(R+%6RINXJH2;^8`k=MT~<fZLeTt0Q-mm<|78M zj~G5MGR|OPT)@P*f{9@R6T=QBrUOh2Czu#6FfrU<Vtl~F@Pdiq0~6y9CWZ!Ph7M-N w3Cs*!pkjeRfI)&mfx&=5ih+TFS0g*mPk>Q^QGr2&!GOVn!GXbpArur80DxUsC;$Ke literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt new file mode 100644 index 0000000..d771ae7 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt @@ -0,0 +1,252 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:27:14 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Device Cell Placement Summary for Global Clock g1 +8. Device Cell Placement Summary for Global Clock g2 +9. Device Cell Placement Summary for Global Clock g3 +10. Clock Region Cell Placement per Global Clock: Region X1Y2 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 4 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 120 | 0 | 0 | 0 | +| BUFIO | 0 | 40 | 0 | 0 | 0 | +| BUFMR | 0 | 20 | 0 | 0 | 0 | +| BUFR | 0 | 40 | 0 | 0 | 0 | +| MMCM | 1 | 10 | 0 | 0 | 0 | +| PLL | 0 | 10 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y1 | n/a | 1 | 773 | 0 | 10.000 | clk_out1_clk_wiz_0 | clk_1/inst/clkout1_buf/O | clk_1/inst/clk_out1 | +| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y2 | n/a | 1 | 120 | 0 | 20.000 | clk_out4_clk_wiz_0 | clk_1/inst/clkout4_buf/O | clk_1/inst/clk_out4 | +| g2 | src2 | BUFG/O | None | BUFGCTRL_X0Y3 | n/a | 1 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | clk_1/inst/clkf_buf/O | clk_1/inst/clkfbout_buf_clk_wiz_0 | +| g3 | src3 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 0 | 1 | 83.333 | clk_out3_clk_wiz_0 | clk_1/inst/clkout3_buf/O | clk_1/inst/clk_out3 | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +| src0 | g0 | MMCME2_ADV/CLKOUT0 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clk_out1_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT0 | clk_1/inst/clk_out1_clk_wiz_0 | +| src1 | g1 | MMCME2_ADV/CLKOUT3 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 20.000 | clk_out4_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT3 | clk_1/inst/clk_out4_clk_wiz_0 | +| src2 | g2 | MMCME2_ADV/CLKFBOUT | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKFBOUT | clk_1/inst/clkfbout_clk_wiz_0 | +| src3 | g3 | MMCME2_ADV/CLKOUT2 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 83.333 | clk_out3_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT2 | clk_1/inst/clk_out3_clk_wiz_0 | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 800 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4200 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y2 | 4 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 893 | 4000 | 343 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 1 | 0 | 50 | 0 | 50 | 0 | 2550 | 0 | 750 | 0 | 50 | 0 | 25 | 0 | 60 | +| X1Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y4 | 0 | 0 | +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 0 | 0 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +| g0 | BUFG/O | n/a | clk_out1_clk_wiz_0 | 10.000 | {0.000 5.000} | 773 | 0 | 0 | 0 | clk_1/inst/clk_out1 | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+------+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+------+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 773 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+------+-----------------------+ + + +7. Device Cell Placement Summary for Global Clock g1 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| g1 | BUFG/O | n/a | clk_out4_clk_wiz_0 | 20.000 | {0.000 10.000} | 120 | 0 | 0 | 0 | clk_1/inst/clk_out4 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+------+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+------+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 120 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+------+-----------------------+ + + +8. Device Cell Placement Summary for Global Clock g2 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +| g2 | BUFG/O | n/a | clkfbout_clk_wiz_0 | 10.000 | {0.000 5.000} | 0 | 0 | 1 | 0 | clk_1/inst/clkfbout_buf_clk_wiz_0 | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+----+-----------------------+ + + +9. Device Cell Placement Summary for Global Clock g3 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| g3 | BUFG/O | n/a | clk_out3_clk_wiz_0 | 83.333 | {0.000 41.667} | 0 | 1 | 0 | 0 | clk_1/inst/clk_out3 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+----+-----------------------+ + + +10. Clock Region Cell Placement per Global Clock: Region X1Y2 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +| g0 | n/a | BUFG/O | None | 773 | 0 | 773 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out1 | +| g1 | n/a | BUFG/O | None | 120 | 0 | 120 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out4 | +| g2 | n/a | BUFG/O | None | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | clk_1/inst/clkfbout_buf_clk_wiz_0 | +| g3 | n/a | BUFG/O | None | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out3 | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y3 [get_cells clk_1/inst/clkf_buf] +set_property LOC BUFGCTRL_X0Y2 [get_cells clk_1/inst/clkout4_buf] +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_1/inst/clkout3_buf] +set_property LOC BUFGCTRL_X0Y1 [get_cells clk_1/inst/clkout1_buf] + +# Location of IO Primitives which is load of clock spine +set_property LOC IOB_X1Y118 [get_cells ac_mclk_OBUF_inst] + +# Location of clock ports +set_property LOC IOB_X1Y124 [get_ports CLK100MHZ] + +# Clock net "clk_1/inst/clk_out4" driven by instance "clk_1/inst/clkout4_buf" located at site "BUFGCTRL_X0Y2" +#startgroup +create_pblock {CLKAG_clk_1/inst/clk_out4} +add_cells_to_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out4"}]]] +resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup + +# Clock net "clk_1/inst/clk_out1" driven by instance "clk_1/inst/clkout1_buf" located at site "BUFGCTRL_X0Y1" +#startgroup +create_pblock {CLKAG_clk_1/inst/clk_out1} +add_cells_to_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out1"}]]] +resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup diff --git a/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt new file mode 100644 index 0000000..77a548e --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt @@ -0,0 +1,110 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:26:15 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +| Design : audioProc +| Device : xc7a200t +--------------------------------------------------------------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Histogram +3. Flip-Flop Distribution +4. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Total control sets | 32 | +| Minimum number of control sets | 32 | +| Addition due to synthesis replication | 0 | +| Addition due to physical synthesis replication | 0 | +| Unused register locations in slices containing registers | 81 | ++----------------------------------------------------------+-------+ +* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers +** Run report_qor_suggestions for automated merging and remapping suggestions + + +2. Histogram +------------ + ++--------------------+-------+ +| Fanout | Count | ++--------------------+-------+ +| Total control sets | 32 | +| >= 0 to < 4 | 1 | +| >= 4 to < 6 | 10 | +| >= 6 to < 8 | 5 | +| >= 8 to < 10 | 1 | +| >= 10 to < 12 | 1 | +| >= 12 to < 14 | 1 | +| >= 14 to < 16 | 0 | +| >= 16 | 13 | ++--------------------+-------+ +* Control sets can be remapped at either synth_design or opt_design + + +3. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 34 | 19 | +| No | No | Yes | 10 | 2 | +| No | Yes | No | 44 | 14 | +| Yes | No | No | 67 | 23 | +| Yes | No | Yes | 624 | 156 | +| Yes | Yes | No | 124 | 36 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +4. Detailed Control Set Information +----------------------------------- + ++------------------------------------------------+--------------------------------------------------------------+---------------------------------------------------+------------------+----------------+--------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | ++------------------------------------------------+--------------------------------------------------------------+---------------------------------------------------+------------------+----------------+--------------+ +| clk_1/inst/clk_out1 | dbuttons/IV[2]_i_1_n_0 | | 1 | 1 | 1.00 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/E[0] | audio_inout/SR[0] | 2 | 4 | 2.00 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 | | 2 | 4 | 2.00 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/operativeUnit_1/SR_readAddress[3]_i_1_n_0 | audio_inout/SR[0] | 1 | 4 | 4.00 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/operativeUnit_1/SR_readAddress[3]_i_1_n_0 | audio_inout/SR[0] | 1 | 4 | 4.00 | +| clk_1/inst/clk_out4 | rstn_IBUF | initialize_audio/data_i[5]_i_1_n_0 | 2 | 4 | 2.00 | +| clk_1/inst/clk_out1 | lrclkcnt[3]_i_2_n_0 | lrclkcnt[3]_i_1_n_0 | 2 | 4 | 2.00 | +| rightFir/firUnit_1/controlUnit_1/SR_nextState | | | 2 | 5 | 2.50 | +| clk_1/inst/clk_out1 | audio_inout/BCLK_Fall_int | audio_inout/SR[0] | 2 | 5 | 2.50 | +| leftFir/firUnit_1/controlUnit_1/SR_nextState | | | 2 | 5 | 2.50 | +| clk_1/inst/clk_out1 | | audio_inout/Cnt_Bclk[4]_i_1_n_0 | 2 | 5 | 2.50 | +| clk_1/inst/clk_out4 | rstn_IBUF | | 2 | 6 | 3.00 | +| clk_1/inst/clk_out1 | | | 3 | 6 | 2.00 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/state_reg[3][0] | audio_inout/SR[0] | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/sclCnt[6]_i_2_n_0 | initialize_audio/twi_controller/sclCnt[6]_i_1_n_0 | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | | initialize_audio/twi_controller/busFreeCnt0 | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/dataByte[7]_i_1_n_0 | | 3 | 8 | 2.67 | +| clk_1/inst/clk_out1 | | audio_inout/SR[0] | 2 | 10 | 5.00 | +| clk_1/inst/clk_out1 | dbuttons/cnt2 | dbuttons/cnt2[12]_i_1_n_0 | 4 | 13 | 3.25 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/Q[2] | audio_inout/SR[0] | 4 | 16 | 4.00 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/Q[2] | audio_inout/SR[0] | 4 | 16 | 4.00 | +| clk_1/inst/clk_out4 | | | 12 | 18 | 1.50 | +| clk_1/inst/clk_out4 | initialize_audio/initWord[30]_i_1_n_0 | | 5 | 23 | 4.60 | +| clk_1/inst/clk_out1 | audio_inout/D_L_O_int | audio_inout/SR[0] | 6 | 24 | 4.00 | +| clk_1/inst/clk_out1 | audio_inout/D_R_O_int[23]_i_1_n_0 | audio_inout/SR[0] | 5 | 24 | 4.80 | +| clk_1/inst/clk_out1 | audio_inout/Data_Out_int[31]_i_1_n_0 | | 10 | 25 | 2.50 | +| clk_1/inst/clk_out4 | | initialize_audio/delaycnt0 | 9 | 32 | 3.56 | +| clk_1/inst/clk_out1 | audio_inout/p_4_in | audio_inout/Data_In_int[31]_i_1_n_0 | 7 | 32 | 4.57 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/operativeUnit_1/SR_sum[35]_i_1_n_0 | audio_inout/SR[0] | 9 | 36 | 4.00 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/operativeUnit_1/SR_sum[35]_i_1_n_0 | audio_inout/SR[0] | 13 | 36 | 2.77 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/Q[0] | audio_inout/SR[0] | 59 | 256 | 4.34 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/Q[0] | audio_inout/SR[0] | 65 | 256 | 3.94 | ++------------------------------------------------+--------------------------------------------------------------+---------------------------------------------------+------------------+----------------+--------------+ + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..7ad442055c36697bfffb8813a132f742b861b169 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE?gOd}0IcE($N&HU literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt new file mode 100644 index 0000000..ba3771e --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt @@ -0,0 +1,102 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:26:05 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 11 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| DPIP-1 | Warning | Input pipelining | 6 | +| DPOP-1 | Warning | PREG Output pipelining | 2 | +| DPOP-2 | Warning | MREG Output pipelining | 2 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + +DPIP-1#1 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#2 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#3 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#4 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#5 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#6 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPOP-1#1 Warning +PREG Output pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +Related violations: <none> + +DPOP-1#2 Warning +PREG Output pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +Related violations: <none> + +DPOP-2#1 Warning +MREG Output pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +Related violations: <none> + +DPOP-2#2 Warning +MREG Output pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx new file mode 100644 index 0000000000000000000000000000000000000000..272d1197018cd04bb9ee4f3d35246d179bad3d3a GIT binary patch literal 9906 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyLFVBlgl)H4ziPb^Kz%nvBa zPmWJ1N{-JjC`nDxD=Mgv`2YX^fBp>a0)`5P21bSsM(zoW43n6-U4onypoV;7Wnkc7 z6kwKO4^J%0%gjqx;&66zcM1#EHPkZp%`7g?%u82*h$tlHr6@T2`?-0#$A|g*ggCmp zD!8N;XQt;V1Qg{Lq!yKArWPA<K@{s6DjQlbIGtwoOU*3FNG(z*$w-Bnlb2tFZcbT# zPDx^VszL$8ph|_zVuj+=5{1k>u*T%lqN3EilK2#`b$SX4&iQ$1ndzlPi6xo&c?wC1 zdD#juBZ^aVQj<#*G$8KKRLCtYE>TEI1shkAuMp<!?5~htq~Px7qJzyxXv#s(PR=hX z0vVZ%Vky)<9grLIi&8*d%vVTBElDlP&CE*$JKIy=AK|Rhf`a^_5{0yUNLUnP<`sjq zg57SQr=Z|j0`_!idU|ScNotBhNxnjHL27blS|!M=;#37_K$e1|1mrGt*Obf>1((#a z%;Z$0C{R~OE6qy=2Mjd$+(SJ<UQWpbc_XJ1WGzU4gp0F6T4qkF0>a3&{G6Qpa!{NX zSLT%@R#<UyfdVcb9xsqkRVYi$DNQw0h(?W=SS|(S@{H7?RG55bG0fFS!H!`hYLWsQ zZA8FmBT!g@`~ZywP{Jw8Oo0R&YCJ&#n2ReYH4PF+AZ;m-KtokoTAW&>kY1XZk_wKc z-29?ch0MIP{GwcNGSl-KP0g_2E*?$Ipd97y=Q3nd^L0k_lAp~bz%xMCP)oowub{L< zp&+v$H765PCm3-+WWYuGCPtUw0EL{?v=X<>BK@??qR_m|l6XUXPz{h+l3A7t<p(>* zC#Ix8su_h$u!*E;(sztDva~XY1!eWTe7Jj3Q}h%9;7(I;2@Wu^fSO;PnUkZCnOjhl zUzVy+kXi)Jx_QZ|dR{}((Vy5Pxx_inu?jFs@t_1IgBHUlHfb(Nj#hpFCMiZog>$T0 zC@R2F%Y<C16OLLV3kE0pg_2XWq4|)DTIxBPaMW%GN39b^)LsMST4vIs)|jqQ>l|$| z^rDt}j>aFgQd|-oCZJ5~j1jZ9K{3lhTFjbQFgR@im&Zk!=^3OK$Rz5bW{EsBoehcI zFPwzS<S(44WimK=SxJjtQ#wa4^$IvIdPXnxoJ}}-VdXM<^xgvHUN+LA*No25OT9vl zuF*?9XXB4vq_P=3c0*aPw3YoqZDp~5AXj$<|I!l77Bfg5++u#mOh$`2A7UhlP38a` zm9K&Z$ep$Znka2&P)``reumnHs{sujD9KMNNzGFzPAp3;Rw&3XPc2d?&R57p8?`9U zNX<(vOD$3;$S*F=Ov*_Gjbo&h=Hyhu`V*j`k<4QF7zJqLBTb<sBeNLVl!p&qlqZ4) zNYYY^ic(W_Ff1wo4Ym{~7iA`;DkSD9B&MWff`&X2a}<hF(=&@pK+XdXDJ7<X*kB7_ zM#Jn(g<1)*skkIDuOu@OH1+}=H-QW{VYM4HI0XtmP_QZ_mXs7_CY6?eJ!`1vH5%a~ zE5ae=3cjA&S5{D?F94&_7YQm@(0gj2f<uc?!2;^9eE=6MBsSs{pn07{ZTh1{%U~#4 zMx$I|gw-aH!UbQK16H`8w_1$Zu(w)_w8VVzwOWk8t(Lp2WVBjxOLIyx3vx2ywJ+7$ zFCZ6VYri1b2&>)|@HS(>lAu<M0%+<gGc7YYF|UL+4H_i3L7EseZOnjXD~c8J^5G4j z)D%#&D78pGF*&(3w=^fQB)>=jF~b9DzCc}A32%<+D3p}vBbsW(pe9tYLSj*>LNW5p zR3d1aNFgb|Bm*=#r2vW?a2pZa9D+5#ia~9^B+&E{Xv|i@6V%M3u*rqPMtB1ZY^9+B zs1*tu00vEFVR*Ax&ucV&gQpomK}BwZ9U82l+&-GV2U+@tR2$^9NzFmk29`D{;c5fa zCcO`?Hb`t-Dj=mKaFL@xq5*hXr=YTFwBo?m3m&aFN>WLvI7U-AbcM=j)sZ?Zn?{hT IgPa}*09W~N@Bjb+ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..2e33c0574e1e076ef032cadc08918310841c1b5b GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE-UF%(0Icc>$^ZZW literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt new file mode 100644 index 0000000..b2623be --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt @@ -0,0 +1,113 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:27:10 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 13 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| DPIP-1 | Warning | Input pipelining | 6 | +| DPOP-1 | Warning | PREG Output pipelining | 2 | +| DPOP-2 | Warning | MREG Output pipelining | 2 | +| PDRC-153 | Warning | Gated clock check | 2 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + +DPIP-1#1 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#2 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#3 Warning +Input pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#4 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#5 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPIP-1#6 Warning +Input pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +Related violations: <none> + +DPOP-1#1 Warning +PREG Output pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +Related violations: <none> + +DPOP-1#2 Warning +PREG Output pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +Related violations: <none> + +DPOP-2#1 Warning +MREG Output pipelining +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +Related violations: <none> + +DPOP-2#2 Warning +MREG Output pipelining +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +Related violations: <none> + +PDRC-153#1 Warning +Gated clock check +Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: <none> + +PDRC-153#2 Warning +Gated clock check +Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..08c9b5d27b624947b73cc95a477237ad4b99bf41 GIT binary patch literal 11539 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyFDVBlgl)H4#2NGwgs%nvBa zPmWJ1N{%neFD*$;(JLybkof=q|9}1r-U5aSh6YB44o2Pyj0}^Qxm|*s6`-bkV`X6A zU=(1MVh>L&%FE14SK@GXb9V|0)-}{J_RTCV&df_!fQTq0=A|e&`}?_hy2pq4`-C{U zyDGS(7H6jCDFhVd7o--IWTqAyaX}R88Y&xFFgTrN^-Ikx$w)0yD9K2LnUj}agl<k* zeojeZda6PJ#Gp!r%wmP&)DnfvJg~;((xRf&yps47uyuM03eNd?X_@JzMTsSu`FRRS ziFw%yFe8dnb5fH_6f_|2&{W7REiO?=N(CENlCKcv?Ch_QU!>sf=c0qnM`+4H&Q8uR zDgqgqjAAL&J{^!7^NUhIUd&fWNi9h&%FWD61v}eQ-yh+u(t?8gq7sF)d`MUnWabrv zw1VAkpr@eVSpxQSX?l8UaY<^5LP@?taY1TwW?Ci4tm0G!Xh4>NqXgtGb=Q>45(Ssk zvdrXEq$p5VNGr`t1_ul@_}oK1L0(SD1bHK;5@an%e}s#(LRw}{ssh5uwEUc${Bls7 z7gy$$Bvx2)ae)FZ9v&}{P*o^P%qdMZRES26m{=|a<?@WwqEwiCW--jwNWqR_Bx;fZ z8*N0uXd_Tqf&2iC1yI5%%S?d;8)`g30+@>{C^ZcdM<8t}kU&FKSz4T0q>x^knUV^Q zrQH0YRE5mEwEUu6a5B^L8cofx;4U6b&7d6R?&mUOQ}cC3^pc;=CBQR4*HBBqGq0eu zM4=$FAT=iwR3{j5KxDv0`X)w~-~ff3)U*<}%p(1?%%ae|%#wIReNYXMSdv+m3grho z$0w$wK&lyqOt6WhY0`I$HnOxbhy`W!ynMKOQd9I40^m+la0w1Dv4EOio|%)QkeORh zlwX#rP>@;#&boQYsd`>R(b1pSB)P;n&anzGO7WlsCW98kCpKv=Nsd;20VXL%M}>2& zS|}>OQOkr}sS}P`BMSy6`h}8Hw4wQsi(2YAnsC%^2S=?FM$}#d<yvOaqSlzMQR^IS zGW4RBdXB~)wNhLX944Sl>x>bzw?Q$>LR!q4STHzk0hh-`ndup%7sw>)qGpLaG@T8J z-7lPk%j7SdsAV!ZdRa+}UQ;?pFZBvIFM38V^_)#OdST@<di34`<z6<@qSuVh(M!ET zj;_&5J!j*OUZk=aJ$6G`u(Xx^L2YHRfFM_Q1^?0#%oa099^7Jn$4o|xIUiyqiB0AJ z9F?zv2FRVZ2AU{sXHZWV(td{8hN}S$9w^CAD@n~$C{8R(EmkPVFHbE}D9%^NL>sjz z&q&QnElVv@D9A4^&P>Wl1&w2*mFDDB!uk`Sp^?mD_!tFf<ReX?BqOsJ+LVV6UX&+- z21wFUi;7ZHbTBL`0S&ekCl_TVr79%mDI}((WP*k~5_1%aQqwbwOF+&84=E+4f!JUR zU`E62Oodtrv8lKuF|Q;u5j6G!9XEjtH(|9KG<cK2WeKB$kvEWhRxDfIVxd=QSGP zBP+ro<qE!@+E-Rkqb~rX(H99SSkQZFpn^k-P{9J~uYCX)EF?DK6rg#XL~Z(`May6) zT1KN>VT9EtkirFDmjhO~pto9#*|4`-jI_jj@wHlvz^#_MtYoxWa!YecG7EAt;k7T- z+Akm%V{5-4*$AuN74SA=z>=U=i~?xtDl;uJIWez<HVqmiw?Ud1G;Pd)W-E#n^77#g zpwtvlvnaJlKQTGEG`BP-u_V7p0Wre^YQ8{SSP5^A=_r(x=OdbG#h@lsu|i@|szNdH z%v2(1nn)ojza#@RIi&!K9B>;E+#G^6z=}a_za-G~5@^g;!4uTXqp-<^!$x=m3~Z&L z0;m-V8vq7PW?^`<SkG%TeS@bNK|w`sgB=>Ipxi#1z6V+QhEyBmv`NiD)drR}DdB1Z z)F!<Tt~N+)Tq+=?Byf?VK%xP7TBo40X|&?N*9#u4I7(7Us5nMbICO=|Xw{KAESpA< zs)L*!hbA+6kAow?CCFLV(9~E<&>cLqmYkEHoUM?Yk(!)s#08TCcQ`IG`K6X%Sy+&q zpI1_pp95P~5EP%6T2T^Ql30=oS{ab2kd9<-UTTR#aeir0a%ze~Ql&zoLUMj?Qf3}_ z{Z3+zLP2I8K?~yJjr23)_5F1el2dbXh*Ykp5CR&(%mlT+OBB-c^HUTcgNF(QMTyBJ zpaoi>)pOv!M^0vTDrgZ_Zb4#l39OZx2wJ9~;Fe#c5bWdW><Vwg7wdr6BBfR&<`(3n z>L`>JgT_x&6`WlaK%ObdSAYf&xE-F8pO^w_@8+i|q$HLk>UoWZDb>Rik~`M(2rx+T z1S2O#@UjdME+Gzg&{&_pLI<lB8zeD(ViV>P<mdpc<baAOFlaI8gE9+BJB!H70%~Sm w0cRF0tt4o2pjK`nXamHD@a#gQ`oWrCMuRmuRd3+3%yv%HEVCUn(T<d508s^((EtDd literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt new file mode 100644 index 0000000..a117d67 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt @@ -0,0 +1,526 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:26:15 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_io -file audioProc_io_placed.rpt +| Design : audioProc +| Device : xc7a200t +| Speed File : -1 +| Package : sbg484 +| Package Version : FINAL 2012-06-12 +| Package Pin Delay Version : VERS. 2.0 2012-06-12 +---------------------------------------------------------------------------------------------------------------------------------------------------------- + +IO Information + +Table of Contents +----------------- +1. Summary +2. IO Assignments by Package Pin + +1. Summary +---------- + ++---------------+ +| Total User IO | ++---------------+ +| 27 | ++---------------+ + + +2. IO Assignments by Package Pin +-------------------------------- + ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| A1 | | High Range | IO_L1N_T0_AD4N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A4 | | | MGTPTXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A6 | | | MGTPTXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A8 | | | MGTPRXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A10 | | | MGTPRXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A13 | | High Range | IO_L10P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A14 | | High Range | IO_L10N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A15 | | High Range | IO_L9P_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A16 | | High Range | IO_L9N_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A17 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| A18 | | High Range | IO_L17P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A19 | | High Range | IO_L17N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A20 | | High Range | IO_L16N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A21 | | High Range | IO_L21N_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA1 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA3 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA4 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA5 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA6 | | High Range | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA7 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AA8 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA9 | | High Range | IO_L8P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA10 | | High Range | IO_L9P_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA11 | | High Range | IO_L9N_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA13 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA14 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA15 | | High Range | IO_L4P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA16 | | High Range | IO_L1N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA17 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AA18 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA19 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA20 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA21 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB1 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB2 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB3 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB4 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AB5 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB6 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB7 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB8 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB10 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB11 | | High Range | IO_L7P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB12 | | High Range | IO_L7N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB13 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB14 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AB15 | | High Range | IO_L4N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB16 | | High Range | IO_L2P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB17 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB18 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB20 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB21 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB22 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | +| B1 | | High Range | IO_L1P_T0_AD4P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B2 | | High Range | IO_L2N_T0_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B4 | | | MGTPTXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B5 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B6 | | | MGTPTXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B7 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B8 | | | MGTPRXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B9 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B10 | | | MGTPRXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B11 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B13 | | High Range | IO_L8N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B14 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| B15 | | High Range | IO_L7P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B16 | | High Range | IO_L7N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B17 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B18 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B20 | | High Range | IO_L16P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| B21 | | High Range | IO_L21P_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| B22 | BTNC | High Range | IO_L20N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| C1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| C2 | | High Range | IO_L2P_T0_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C4 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C5 | | | MGTPTXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C7 | | | MGTPTXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C8 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C9 | | | MGTPRXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C11 | | | MGTPRXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C13 | | High Range | IO_L8P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| C14 | | High Range | IO_L3P_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C15 | | High Range | IO_L3N_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C17 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C18 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C19 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C20 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| C21 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| C22 | BTNL | High Range | IO_L20P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| D1 | | High Range | IO_L3N_T0_DQS_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | +| D2 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| D3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D5 | | | MGTPTXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D7 | | | MGTPTXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D9 | | | MGTPRXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D10 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D11 | | | MGTPRXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D14 | BTNR | High Range | IO_L6P_T0_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| D15 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D16 | | High Range | IO_L5N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| D17 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D18 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| D19 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D20 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D21 | | High Range | IO_L23N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D22 | BTND | High Range | IO_L22N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| E1 | | High Range | IO_L3P_T0_DQS_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | +| E2 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| E3 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| E4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E6 | | | MGTREFCLK0N_216 | Gigabit | | | | | | | | | | | | | | | | +| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E8 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| E9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E10 | | | MGTREFCLK1N_216 | Gigabit | | | | | | | | | | | | | | | | +| E11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E12 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | +| E13 | | High Range | IO_L4P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E14 | | High Range | IO_L4N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E15 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| E16 | | High Range | IO_L5P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E17 | | High Range | IO_L2N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E18 | | High Range | IO_L15N_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| E19 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E21 | | High Range | IO_L23P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| E22 | sw | High Range | IO_L22P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F1 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | +| F2 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| F3 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F4 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F6 | | | MGTREFCLK0P_216 | Gigabit | | | | | | | | | | | | | | | | +| F7 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F8 | | | MGTRREF_216 | Gigabit | | | | | | | | | | | | | | | | +| F9 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F10 | | | MGTREFCLK1P_216 | Gigabit | | | | | | | | | | | | | | | | +| F11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| F13 | | High Range | IO_L1P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F14 | | High Range | IO_L1N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F15 | BTNU | High Range | IO_0_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F16 | | High Range | IO_L2P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F18 | | High Range | IO_L15P_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| F19 | | High Range | IO_L18P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F20 | | High Range | IO_L18N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F21 | | High Range | IO_25_16 | User IO | | 16 | | | | | | | | | | | | | | +| F22 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| G1 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | +| G2 | | High Range | IO_L8N_T1_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | +| G3 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| G4 | rstn | High Range | IO_L12N_T1_MRCC_35 | INPUT | LVCMOS15 | 35 | | | | NONE | | FIXED | | | | NONE | | | | +| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G11 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | +| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G13 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G15 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | +| G16 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G17 | | High Range | IO_L4P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G18 | | High Range | IO_L4N_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G19 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| G20 | | High Range | IO_L8N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G21 | sw2 | High Range | IO_L24P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| G22 | sw3 | High Range | IO_L24N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| H1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H2 | | High Range | IO_L8P_T1_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | +| H3 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H4 | | High Range | IO_L12P_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H5 | | High Range | IO_L10N_T1_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | +| H6 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| H13 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H14 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H15 | | High Range | IO_L5N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| H17 | sw4 | High Range | IO_L6P_T0_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| H18 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| H19 | | High Range | IO_L12N_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| H20 | | High Range | IO_L8P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H22 | | High Range | IO_L7N_T1_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | +| J1 | | High Range | IO_L7N_T1_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J2 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| J4 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| J5 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | +| J6 | | High Range | IO_L17N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| J7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J13 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| J14 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J15 | | High Range | IO_L5P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J16 | sw5 | High Range | IO_0_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| J17 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | +| J18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J19 | | High Range | IO_L12P_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J20 | | High Range | IO_L11P_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J21 | | High Range | IO_L11N_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J22 | | High Range | IO_L7P_T1_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K1 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K2 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K3 | | High Range | IO_L14N_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K4 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K6 | | High Range | IO_L17P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K9 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K10 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| K13 | sw6 | High Range | IO_L19P_T3_A22_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| K14 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K16 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| K17 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| K18 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K19 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K20 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| K21 | | High Range | IO_L9P_T1_DQS_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K22 | | High Range | IO_L9N_T1_DQS_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L1 | | High Range | IO_L15N_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L3 | | High Range | IO_L14P_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| L4 | | High Range | IO_L18N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L5 | | High Range | IO_L18P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L6 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | +| L7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L9 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | +| L10 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | +| L11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| L12 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | +| L13 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | +| L14 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | +| L15 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | +| L16 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| L17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| L18 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | +| L19 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L20 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L21 | | High Range | IO_L10N_T1_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M1 | | High Range | IO_L15P_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| M2 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M3 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M4 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| M5 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M6 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M9 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | +| M10 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | +| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| M13 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | +| M14 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| M15 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | +| M16 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | +| M17 | sw7 | High Range | IO_25_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| M18 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | +| M19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M20 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | +| M21 | | High Range | IO_L10P_T1_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | +| M22 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| N1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| N2 | | High Range | IO_L22N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N3 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| N4 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N5 | | High Range | IO_L24N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N9 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N10 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| N12 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | +| N13 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | +| N14 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | +| N15 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | +| N16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N17 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| N18 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | +| N19 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | +| N20 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | +| N21 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| N22 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| P1 | | High Range | IO_L20N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P2 | | High Range | IO_L22P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P4 | | High Range | IO_L21N_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P5 | | High Range | IO_L21P_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P6 | | High Range | IO_L24P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P14 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | +| P15 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | +| P16 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | +| P17 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | +| P18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| P19 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | +| P20 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | +| P21 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | +| P22 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | +| R1 | | High Range | IO_L20P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| R2 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R3 | | High Range | IO_L3P_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R4 | CLK100MHZ | High Range | IO_L13P_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| R5 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| R6 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| R7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R13 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | +| R14 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| R15 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| R16 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | +| R17 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | +| R18 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | +| R19 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | +| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R21 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | +| R22 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | +| T1 | | High Range | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T2 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| T3 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T4 | ac_adc_sdata | High Range | IO_L13N_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| T5 | ac_bclk | High Range | IO_L14P_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T6 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| T13 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | +| T14 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T15 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T16 | led2 | High Range | IO_L17P_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T18 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | +| T19 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| T20 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| T21 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | +| T22 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U1 | | High Range | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U2 | | High Range | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U3 | | High Range | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U5 | ac_lrclk | High Range | IO_L14N_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U6 | ac_mclk | High Range | IO_L16P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U7 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | | | | | +| U8 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | +| U9 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | +| U10 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | +| U11 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | +| U12 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | +| U13 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | +| U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U15 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| U16 | led3 | High Range | IO_L17N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U17 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | +| U18 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | +| U19 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U20 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| U21 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | +| U22 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V2 | | High Range | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| V3 | | High Range | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| V4 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| V5 | sda | High Range | IO_L16N_T2_34 | BIDIR | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| V7 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V8 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V9 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V10 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| V11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V12 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | +| V13 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V14 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V15 | led4 | High Range | IO_L14N_T2_SRCC_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| V17 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V18 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V19 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V20 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V22 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | +| W1 | | High Range | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W2 | | High Range | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W3 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| W4 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| W5 | scl | High Range | IO_L15N_T2_DQS_34 | BIDIR | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W6 | ac_dac_sdata | High Range | IO_L15P_T2_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W7 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| W8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W9 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| W10 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| W11 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W12 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W13 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| W14 | | High Range | IO_L6P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| W15 | led6 | High Range | IO_L16P_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W16 | led5 | High Range | IO_L16N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W17 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | +| W18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W19 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W20 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W21 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | +| W22 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y1 | | High Range | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y2 | | High Range | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y3 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y4 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y6 | | High Range | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y7 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y8 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y9 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y10 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| Y11 | | High Range | IO_L11P_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y12 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y13 | led7 | High Range | IO_L5P_T0_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| Y14 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y16 | | High Range | IO_L1P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y17 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y18 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y19 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y20 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| Y21 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y22 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +* Default value +** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..c51bad5c93dab857a948718691ec62d9b80b6ca0 GIT binary patch literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso<QEnw(v%5R_V6np0AIfQR9M5JQ6`!vguz H1FDPwn=24! literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt new file mode 100644 index 0000000..cc6bf38 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt @@ -0,0 +1,628 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +----------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:27:12 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +----------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Report Methodology + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Max violations: <unlimited> + Violations found: 118 ++-----------+----------+--------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+--------------------------------+------------+ +| DPIR-1 | Warning | Asynchronous driver check | 96 | +| TIMING-18 | Warning | Missing input or output delay | 11 | +| TIMING-20 | Warning | Non-clocked latch | 10 | +| LATCH-1 | Advisory | Existing latches in the design | 1 | ++-----------+----------+--------------------------------+------------+ + +2. REPORT DETAILS +----------------- +DPIR-1#1 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[0] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#2 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[10] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#3 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[11] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#4 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[12] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#5 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[13] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#6 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[14] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#7 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[15] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#8 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[16] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#9 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[17] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#10 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[18] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#11 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[19] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#12 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[1] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#13 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[20] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#14 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[21] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#15 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[22] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#16 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[23] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#17 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[24] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#18 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[25] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#19 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[26] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#20 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[27] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#21 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[28] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#22 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[29] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#23 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[2] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#24 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[30] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#25 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[31] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#26 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[32] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#27 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[33] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#28 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[34] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#29 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[35] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#30 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[36] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#31 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[37] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#32 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[38] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#33 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[39] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#34 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[3] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#35 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[40] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#36 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[41] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#37 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[42] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#38 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[43] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#39 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[44] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#40 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[45] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#41 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[46] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#42 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#43 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[4] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#44 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[5] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#45 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[6] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#46 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[7] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#47 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[8] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#48 Warning +Asynchronous driver check +DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[9] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#49 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[0] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#50 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[10] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#51 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[11] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#52 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[12] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#53 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[13] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#54 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[14] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#55 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[15] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#56 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[16] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#57 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[17] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#58 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[18] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#59 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[19] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#60 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[1] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#61 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[20] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#62 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[21] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#63 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[22] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#64 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[23] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#65 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[24] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#66 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[25] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#67 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[26] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#68 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[27] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#69 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[28] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#70 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[29] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#71 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[2] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#72 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[30] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#73 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[31] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#74 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[32] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#75 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[33] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#76 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[34] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#77 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[35] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#78 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[36] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#79 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[37] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#80 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[38] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#81 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[39] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#82 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[3] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#83 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[40] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#84 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[41] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#85 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[42] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#86 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[43] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#87 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[44] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#88 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[45] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#89 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[46] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#90 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#91 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[4] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#92 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[5] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#93 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[6] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#94 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[7] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#95 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[8] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +DPIR-1#96 Warning +Asynchronous driver check +DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[9] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability. It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area. +Related violations: <none> + +TIMING-18#1 Warning +Missing input or output delay +An input delay is missing on BTNC relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#2 Warning +Missing input or output delay +An input delay is missing on ac_adc_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#3 Warning +Missing input or output delay +An input delay is missing on rstn relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#4 Warning +Missing input or output delay +An input delay is missing on sw2 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#5 Warning +Missing input or output delay +An input delay is missing on sw3 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#6 Warning +Missing input or output delay +An input delay is missing on sw4 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#7 Warning +Missing input or output delay +An input delay is missing on sw5 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#8 Warning +Missing input or output delay +An input delay is missing on sw7 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#9 Warning +Missing input or output delay +An output delay is missing on ac_bclk relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#10 Warning +Missing input or output delay +An output delay is missing on ac_dac_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#11 Warning +Missing input or output delay +An output delay is missing on ac_lrclk relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-20#1 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#2 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#3 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#4 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#5 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#6 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#7 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#8 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#9 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#10 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]/G is not reached by a timing clock +Related violations: <none> + +LATCH-1#1 Advisory +Existing latches in the design +There are 10 latches found in the design. Inferred latches are often the result of HDL coding mistakes, such as incomplete if or case statements. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..b9b9451440f43d5ba7cd64f0662f9bef25c503f6 GIT binary patch literal 115699 zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DUM}eVBlgl)H4!NNi0pt%nvBa zPma$`Ey>7F$<N78uZ&MAN{%neFD*$;(JLybkof=q|9}3BvI2$*h6YB44#v_6jEs|* zrF;=O6r3|sle3Ezpcc5YFfed13NTBthbI>0W#*+TvAG0z2I(4VNjer+<|Sto<>%#> z7AvF_WtOEDDI|k58*xCCC>vTZI6Y=|2@X)mNlhzp%Pi7Q%Pb1b%PfgE)Xy(SElMoO zEK7y*gPr3OQ&J$dDrDvrl$IzIWag2mQQtY*AXXu>SRpw-FE2H@BsE2$BwwK@H9fPq zB(<nmp**uBLm@FwAraZ>MXAN9C3*@W8JWc(tp!D?WvO{3nR)37B^jv-1^LCrnMs*B znI)A9`DqHdsYU5fsp3>5lQZ)`Hi0yNyz7*cpPa2woSB!L3N{2JmIM|<xFtU?rxI*> zYH_gwhI<r}6AKa{*6JxJc$R=%R$Q8%o>~m|RC0bwszN>}%oFp{!S<lI2<-9P{4%hN z0@#xv)uqL$3W-?kE6G<#Pb~qvHYv5ZL?OSRBr`X&DzPLpKTjbozeu4VwJ0sWC^s=L zIaNoYAiq4dNFgyVMIo^$HBrxNG?p_`6^45(e`1s566ZL_D!?ekgOXhtv=~0I$#KbW z^a=<tNwI)4;W<_<6h$7Of`p08g2c#z!RZN|3lhW8k_1|6(zPTRjb>OSGMp<6{6&d8 zmn=s!s3>7|js|5ztYrx^nPrLbK$InhqpcE13v76oC8N<i096LzvZM)CmKeq=U@c2n z$Sg}t2BIu68ZApkLRm5z&4aQmX@r#}MmWk6Rx-;H(}5^Uj7Q6okx-V5M)RO7OB!Hh zi7}3{gpJIy#B3nS5|h!gWF(X&qtQGl%aZ^60!&h%@e&gpWeGc(Wr_JflqII4Wywe= zOGcx4P?jbCU}cFZj<SS<%(BE{Aj%T6(XwPDlqI9lJSfYOzp%2z3`beQNoHAMIS^%u z`Dj@(63UX%XdaYh$sbr*Vvbpsc!JiKaFJM+aKaX<84N^WVli5njD*5uG@1uxVe%VR zm{{N_Ot{G{OhC(C>AsA~a<niR35CgMG!M$c<QJ?kvBWG)+(0c89&!s4(9&0WudW_l z#xwwljP7gbM`L*aVj2HpHP9OR9?+yPi*q!VwQAr#4llVS$v`Z8H5y$+KLCl$i{7oz z(P)P4Fr(-CX8dIdXc7HSXtM+qKj;fz!G#GQxrNC<tb8>Zt+7T#VKN%c0}#!G3zHwP z!UR{#grD5PWFVHl8jY^69)MIv|CY&UG!H;D6D~}?!wM5D%jm%^69IAylYv<KYBajQ zdH_-x{R@-PXdZxQCR~_&gB2!N*3p9t6G3tdlYv<LYBajSdH_-x{R@-PXdZxQCR~_& zg%u`P7Se+Y6CrX7lYv<MYBajUdH_-x{R@-PXdZxQCR~_&ffXiLR?>qD6Jc@-lYv<N zYBajWdH_-x{R@-PXdZxQCR~_&h7~4QmePX@6A>~C6QhAx{%SP3$a(-$8T|{B(P$ok zXeL~ke1a7wxYp8(l3SPz#PV08(M8q+kjm&^n2bjA07Nt4!sH{YFu}E!UX0wr1ho8> z?yH$b7g-NLDx-g4G8)YT5Y2=OlMk@M1lL-6adHb2&<a?3ucaSde=q=vjP7gcM`L*a zVi|u?0$NMo4P8r*Ymtcrxh2U!EPpi~T}wXziHz>elF?`$oNMXdLz^X__`$lCUXt9x zWFVHm8jmir9ubAfXfzK%G~;iWfHvm5gB2#Y*3wInTbK;Q@>k>0=I;QcGWxenMx%KE zqM2}E@)lN@;Ogc`lUtY!#PV0;(M8q+kjm&^n2bjA07Nt4!sHFCFu}E!UWVMlWFVHm z8jmir9)MIv|H5Q6ng<}72^S`>VTB2<we+&&7A6C+{MC4Lk@WziGWr)LqtQG7(M-57 zc?By>aIK}6BeO6u9*E_y#-od@2OyQvzc3k%<^hOi!iC98SYd)|ExkOsg~>oHe>EOm zWIX_>jQ)kmXfzK%G!rgNUcd?yTx;nS$Sq6;V)?7_=pyR@NM-adOh%)50HT?2Ve%YS znBZDVuSjlT0$Tn`@3r*Cql>HuAeGU-Fd2>J0f=V8g~>BmVS;Ndy%M>F31|f@z1Px@ zP8kkBBBT3S`q5Y(fLO*~lz`UKcR|<E<C+&%CbuLRh~=*)qig90Ad%6%Suz^UgL5tY zQ)sgU6hBzk(yNeLm<+`7SCi32)+3@Y8I9%vh-Ul^6VO`vC$Pc<*IIg2ato7zSpI4< zy2yF}QW^bQCZo|j0MSgiFnJ6sOmMBGS0lGD8HnYtCZm1n0Z3)^FHA<Gc>toBaAEQY zR+!)#Gf^kEFd2yDuO_35tOp>K(Z4VmjphM}X2ONZLs(&gYc0J7nT3hTKrDYX8C_&O z0I7`rg~@0%4?r{%E=(T43KLvw={3nMOa@~4tI6mh>j6k*^e;?Cqj><LnQ&opA6A&) zT1&4*ZecPI%U?}K7g-NLDx-g4G8)YT5Y2=OlY6kj1j|}_Hx>p44sCJ^6VUQkdaqa; z?Mn|pBBT3?wb57}fLO*~lz>*ObwXFHVHq$1mn1smmLvnQ_I0!)JpgHp?j^}+EDt~| z6D~<Qpd|^G(GqY;qDyW`0$Te@?>5P3FM0sd7~M;f(O4dUSSDPOv_nf0EJG#Wl0=W( zk_5EymEI-EXcu|_(iq)KlF?WmfLJD6lC(if5?tdX`s9`*pjEH*E=flBq7OhCqkBm* z8p{I^%Y;jkR%l6r<-h@Oo5X<Jk_5EmmEI-E=tlGbNMm#_Nk(IN0AiVNNzwu>NwAzY z;02nyF(kJn0j+m^%Ip#xpiq>Vo>AhKS)`wqSrnR=SrTukpI?w#lvt8kmI~zuJI5!c zqy(iFm*$ixWabr=mM9ct=8>vX-#L18lkfnfGOy8iRw&6xRRAUSq@4WZY=xp!*e>4u zyqrpfg8bs*)Z$`=;>x__jH3L!{L*5DqSWHl5{2Z%g2bfEoXnC+Jp~2N5{1lSh2qlm z^wi>#)D(r1e1)Ra<ouLWh5RCg<c!3;^i+^N#i>Z<gVp5bmw{!VhUOP3loqEdBx13z zBwrytwFG2}LQ-mRi9&usNoH<lRbokIex5>Fevv{!YEfE#QEp;ha;lC(L4J8^kwRi# zib7&hYN8&bH2K0Qz$nFol4BXP7(TIq=5KnTrHMhT!WT|06h*$E(!_|&(!><B;Pn~Z zOB2J<;$$F-lhKHV<mQoGo`5zAH-pL(R_AC?M#Ng67?WF|fEK+DKsp=UkUl~Rl+lPD zfQZK5KmipfO|SyR5JwBegxmrJwD5HR3Y5`J=>w3?yhbB>w1x7C4OE~s!U_~490iIg zxdjSn@#_Gjv(b&|BcwnXjpzZ0Xu>U&23Ub&jH5s?Bey^St$`hY0%dfm^#G)^foP$O zM)UwgG~oi}KXiMJp$U!x#hly%1+)})01A}R71slh&IY1D8I9-xh-ktE%0F0vVv3_c zu^_iV0j-D~fC6Q7;q?Hdvw<j3Mk9IvBARf4@)uU1nBgc;EXgfUKnr6Bpg<X2e?0){ zY#<7h(TE;^h$dX1{DBoH<~RxzD{>1I(E8W`C{RY1VGlq$8;AmBG@=I}q6rr$zhMQ6 z1&#v6n%n{fv`lsY3Y5{+*aMKx2BJV2jpzZ0Xu<``FIa(MiCLh4*WKHYS)iDKR?5<U zJ@)8wrvXT21F-IXG@b__p79qapmp~>ph;sE=V)B3+HA=!O$KI}tkLMY`vFL11JFDf zjp%`>H}IDypjB-@q0JLe{9s*oZ%1x{GBC?zjYjLO5mKOxM)UwgG~oi}2dqHB)k3i+ zw?G+~WwJ)2>+T02oee|_Wi+A(AfgEuDBocP3a)kc4&)Xn1G7xlXmmOD0Hm{lC{RWt zdH^DtaDnm-R-oWockf7Ufif`5WQ|6bV-G+&8;AmBG@=I}q6rr$Utt9bu66fL<Q6Cc zvrN`#bUF3_q_cr2P(~wq03w=jf${}bpx|0}?@VriGBC?zjYgMa4?sE_hyrCaq6Z+N z2^T1zVFe1Vb@wjh7AOO=Ox9?0Iradgvw<j3Mk9IvBARf4@(EU;;97U@N^XHNFw10( zMwep`Ksp<U0%bI!2Oy#e7bqWL1q!Zp_ikhsDCVGLvh-hfZ#23bdjQheKolsW5j_AA zO}Ie$04q>%t-E(8w?F}{l%@Z=`_a`21CY!HVBP&_JP$xT<1bD?>+ZXu>+W$aLh&HC zG#Qv>vc{w9?gt>54M6i`G@=LRy8HLg<_Rc%u&%rJB)32rm}RoYqsy^JNP#jM(E||C z_!}sojXdvQ1q!Zp_g>@{C<C)h)_AlbJOJrzAX+G+5j_AAO}IdL3oB4?b$PtWEl>t# znXK{Xa_j*}X9H27j7IbTL^R<7<qfPr!L{z*hui{XV3x@mk1od^fOIwx1<Ghd4?si{ zE>K>>3KU%H?tRHEPzGk1tnuh_>;Xt;15u!iM)UwgG~oi}6|6wPweH@J+yZ4_mdP5A zF2^2#bT$wL%4kFnKtvNRP+r0c6kO}>{mCs*24<P8@#u2w0Z3;9QJ{=Q^Z-OO;R59a ztU$rF?mmFb0>xrrmdP5AF2^2#bT$wL%4kFnKtvNRP@cmI6kO}>1IaB=K+9z5zwX|6 zbUF3_q_cr2P(~wq03w=jf$|Jipx|0}A4G0}0$M3c|8@7HlZgY6%m!fH{b)Q7Ks@6w zPC)DKyP)gtam^VAlUte$%raS%(RKF&kjw_4c`_Q&gLB>eQ)u%96hBzk-G`7{pbX41 zS(DM_*dwGs8I9-xh-mx`6wtc+C$Itq*Sh;qatoA!Ste^Tx*U4|(%C??P(~wq03w=j zf$|tupx|0}A4YD0GBC?zO-6gx1CY)JqCgpq=mCgm!Uf7BSb>6T3?-c00%c&9$(oEV z#~y%mHV_5MXhaV{L=!Gh9>NL~T<h*5$SqI?W|^$X=yL1<NM{34po~WJ07Nw50_6d$ zK*6={K9bx5Wnh-cnv5>T9)NT<5CzI;L=Qkj6E0Bh!wM8!>+Yk-EKn>5W|^$X=yL1< zNM{34po~WJ07Nw50_7g8K*6%^-iw8Sfg_sS0tK{8mj0{SMtjx+kjw^PRoiGh4?sNQ zFHS(K+B%`D+OQ0ufJ>7Ya!ZqeStC2ztsa0>HUOo`Xgm)<JQFTWI-sQqmeCV%X%b6r zX#!d!OaHdXXrFojQrQ5MCZq8@0P#$?G--#HCRm0}z@<qXxupqcfh_$?lhF?K0Hm@3 zC{0G=c>v;>aB0#8ElqHZo5Yh_nt)cv(!Vqr-NiltscZmBlhJq{fOsZcnzTYo6D)@n zfZHYs<d!C&rLpucO-8q{4?rp#fYM|%o(CYF36~}<(9#6UNd?-B3=ABM$fXHqh^Mco zpS!N1g_f*uW^r+5Ub;eNUO{PzLVl4#erXAam6Dp1SZTx!SE+1h!RVCim<Lq|mR86t zR>+0w&(BkE3h{GRC`!#qEXgcORVc|<D9K1wD9QvIpO}}TpI@YqmY9<RvMo6$KRH_= zH6=Y&qgYcRKTW~e$J@}rz}F*6&x;<GEMj8?d-xNZ02dzzj{vh23)uAxS`5yh;9{aU zxQr|qowD%;S7LH}VoGv+aY|xIA{By-o|YkkPl8K~LqUL9iU-9v3|b7}RL4wlFd7p~ zbw$M`c~l5K{HcyQmLP%+l<N3FsSa!~gBF7ZDAlo099$+Aj7~{-Q(bYn5fws<4t5{{ zi=T^^Lr{QOiW%f~SXi-A99E`8gq1NJ!ioxZpoWzYB&>`TU}42ZaafrV5mqL22rDYs zff`oAkgzg=hZQ@;VP#H4Seeoxtf*iIYFLRt!pamLRvZ+El?4%DWlo2%qJkZ$VI>9$ zD|1j-nS;u9PKv|I(t^<`-7ycPfri!sOH7VWO3ulqLV(fBqD5?MkOt=`HeoJ74kb`1 z!F<7>#o!JKIxdi4cw$jrW?s4y@oiTgq_(Sp1*20A!LUn7q+WB3uC^hCBB(*82yKud z{KKHdU=0dNZpwoa)DOrY7?e3h)JcbQvk55(MYx1Glm(cjI1-cNA^u>{VhCWu)r&GR z&=T~^&(j6>X;M=ZauQ3DGvGZZBLij7vbdX!AsML<DTSQWv=X<>BK@??qR_m|l6XV? z<ovvnqWl~v&n?(DK0hxtBflg*I4C|ZwW1`rB(Wqlz9=<4+8|aTIWaFUzeFJ^RiU6L zzaX_Jr&1v?FEOXGDm6tRu~;Fqq*wuJo<c!p9)-5)yMu}tkbOm|iOCtMDGEuI3W*9O znYr+8oYye++b1?{E=`U{yaJ3;as;A<L5txNn=Y3&$9Gl%CMiaDg-5(v1oVK@6xOaL z!88S097U~gH>7KtGK{5Xni_<DgQTfRtc22(VJxWefSRTzvEolthOyu@g|**JFinA$ z$WSXy8PPRO8PPRO4MM*`($qwPY03yonwm%;O&LMb6xP8Bf@uo0P=Z=%%9yTc%9yTc zY7qJjlBOmQOjE{K($oY3Y04Oqrmzm;5KL2`>2hkNDHFP;DHFP;sX^#BNSf*=n5Imy zq^W)aY04xv1k^^yI@m=pO@Ss&ZzHwQi!#$Q=-5c7&^lsTRKwbLkaX2YFkKm7NmqRY z(v<-uU11#<B$%#1$I4JG<VkPLQk1TUYpD-w-$ByVK~8eo>IXRqwbjAp71lvig6Rr$ zx(L-mp7aJSMd^yT*7~sa9VA^HAegSOwbu_2NLNOX@(SyKGr@EPI%I-sAy0avmZEe; zT#J2J`wo(>_7hB3*xKy-38X9I*icaW3hQV*_H+dsfd`F&f({#?TF{f;vZW|t5!Y-V z*1m%ztbGI%7Pf}_J^~5LB(@MVH^C^tBE{jDQkGeqUsS2Y?&BEZ?4fI@CFfd^SzH1d zZ3Yjlrxq(@=7CohrKA>Trso-PLKT9C*(ZXU@I|Q#iAAXjh6a%F_S9m9wEWV%6jXhB z3Z8jssYOMw0sGWqu%`U9l2nNCMXAN5IVGUANgggf3d#8?ASdKz7MCPurxxod6qhDv ufQQ@jlJj#5a#BlD6*AL6>!*?vi&GVfK?C-=sd**EdR~LjO^eu=3=IIQwdk_| literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_opt.dcp b/proj/AudioProc.runs/impl_1/audioProc_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..d6e3e72ca4f634458966e958f795ab4b347914c8 GIT binary patch literal 327429 zcmWIWW@Zs#U|`^2aCTl3bvmv-(~*gR;R-tg13LpJLrQXiUPW$BXb39<bKMlhjJ;F6 zi)R~%v|j(Z{=$YVam5)HWs?dgF}5T}#WDYwIMq#I=fZ<0ln-qEUpv=jo)6dA6SMVh z+^Ifi9{$|x@Q=FfdIzR`(n^22_ENa%(|_yCx6RA=wkNSNviax6<*&Iue46wz+BNuH zRs6AMX9^WRrO(TBKX5#|YIRK3;)h?q23Xd=^iNyY9p}18TEF4u6IMniwg^tKDGdDY z8`m}eyL$HM2e;j9ay|{~UN)QiNU#>9sWus&-#)`&L+#7ewI50?EWAJenmr*Yj{B~j zbYkq~S6Y89cSz0odelSYI`48r+f(<rPiPf{KD{~n!uIJWo8K<pDSNa{`^c?m{Y2@& z1H30APs}tpxaUx&WACywzXY?@R~2{lUt6%UdFs(qv)=Uycl5OzpNrYGv~@*y=W+v` zg1t#Ke*^7La&t}k`%G2Hy6DaW#-k_Cg#4Sw6IwcX)$PNtBs@Qbf5<Snr1$IZ!_?U( zt?!o4P!BpW(Mj28&(i1H9Hq~EjIzD6>pxGF6ZcKGCwALBMLs93f9Ij*n`P)%q-<=U zbR%iOltjNLo*%DpycKg@JMTl=DZy!VxA$p3-D6gwmTRu6ZTb7s8y~}|wlx;DJqtS* z*p}-^|7=T_*UJ+qed73H+Zw;7wsnc64Q48~oWiV|moOhrH7rcpayPX1>7C;ZYThip zdP0$JQ`7}@PV=sj|Gyyh=;uz8`*AY+j+8!na{2GnRK?x)$G0ysQ_wfod)}XL{G`Q1 zpFh<yKUQ!z@^O3(KdKUcjj7CL&Hec{Yd-$`{r}gx>%W;1$<4`mP1JKXowj9+3=Dgj z85jf@I2jU4Q!?`dit>}=i%UwA^vb~Lu6BxIM(rfu{M!aRZSVhy+T=A%Uvkdf{L2;9 zc2Vzkg^c#Z=}i-7xUEo<oHWPef8C-<$1bI^@4x0edA-KP!-{8etBYQhia&UE`})o4 zX;(}>xM!x`%dRj;V)!0)c$Tounv;{QC&+{x-ZLS4M*-9KPYrGpTHICVw6e-hQTT7K zTvl~UlYdM8Va{)1WwRcvIK&tAn#n^*N|r5^Hz)Lu%7)KR4$k+vF|oh(VANTzQ#mIY z54kO_Xzs0TUt9WNgV)`4CJWUwF0wa8L`rB2pBAi}9DHkE)AqT-CHM5K7ED>GWLV{J zSn=r875XQeUtO{+3%WUV^P|h(m&c!$TC{Gm-lTJr?XDZd|Jx(kD_8$#?ZKZ3Ss&c% zW=Uyvyf-}Dl6uN0dFNWk$vKnyCi_kDn|yB4F&X_5kxtVE6*sy6P7~8O>dn(u9Pw!C zqcTA=`N~^IuRh-`5xVRxQ~7S4jMxi{7F0T(w~h6`-Tvc>?a$<Wc|{V>4NfM`I;_(k z&32nrZQo|0$;tgEHy?j;EBVt~Lrd-CO6i5h6K1H!<?YMYobNERT-H_cS>u$6CNp<; z_1OH+x@wOaxxJHR*z*|~7{XZ?7=*DzZbk~ETmi@L<aqx>1|moAf7g7sSS_!ybHy7@ z_P|F0O*16or>$FiAt3rv*@=C7-}e0RjJkL0v-S5cHg}F0J}J7au`NdO#l8DqA8(nw z?889|;oaIZIOZIfP&~uBxy|xwNUo;mq8}9(&h9uU$8%DQ(}&YXGEB&=<g~%n=S>!? zMS^&Jjy*0EyE<#z@+Y~5THV)=d&ZUR{u^hc)1}k3!r+WaL)M`uKAt>2?hM8&d14xD z8EtgpxFi^aH}IeMchH8#O>si|f!*RyjuvmfJkzeWYUL%jipGDOCo&!O^S#*HP$zuh zH{;)HtBbv26eFei3#a}$GWC;i_-X$bL;J$3HZIBc%DyQ!IdMGvB>j1-{Us-tg3RM* z;~S1UTsZikf5o|{#ZMQC#cf;d$5);A-s7RDvB>PGzzfUPIn>RqV@c^r3eDBYbBQc$ zxt^+8Znl5Yq+pdz%BSU~OS_!nEuOtnSLAf^^~?#i8)_>U)Kh1$EzX+dDjI9_uq*wd zK59f6-&<C@mw|y{2LpOU6(klV=E5rgP((5AU~vB*ky8<7XyNLl00t@MU`ip;VB)W~ zOWXeMRC?{Mu;;>-==^y9+($RXvR}RLy;yIM-PTnuvuE!--JX;(nXB%r58mDRzFG6z znz*tpw?508zgT~2t>^h|tNtz8ctu)pn<u9&|B=|#Wc_)Lb+6`b6n1y~c57APk69a> z1NQqYez-F$c*-}~s593z&gw?Io^)ziv~}<Ls*S1hjGvjM9n6v!NtI(=?WFkqQqJp# zD(l0R_jvZDJ(WZCw%}deUPcZE1|>CgZ>J^}<y7ibq$I=3pS@AJ`Hy!9{@b@dX~Wxg z9RcfYlVjGuQ$HG}=OlZ3!j#=h+M6A{x15djyfSf$aQVjndyS{WPr7?}+YDwYmQIz) zbB-I&NuReccWLw$x9gX7$f|tID3ta+<Z7~a?!o6dOSvrn)NH)Cbc=XifvVJX5%J`? zrc2k%+3V3HVKqT&;hZJv3bSHfa)*}}EHnO8a&;nKywKFwGSfGz?GE_r8dei!v$|ft zYWeDS-t%f1AFnOnE&lfX_x9#f?X?%wrl(1;eSE^1)0VFlzv9#`Mc%jZ7gT3VzZJ4* z%HjRzo`1CH`v3b<{DbRj4B77AQ<@&OcJ=*xvhOlo15<_k*zVoD&UbWb;RUb5>DyO7 zEs%43eMvuHg%_W7?F9X;W_cEKeVsQXX}4c2`8T0yqLTcvM1z0Kuk&@5Y`&Rd$oKfg z^MXARzW;X4VEdlP^fxiTqx|9)|NnXUY5bb8U#j>1-d#V@KFs^>j-wxY`P%c-A5_#= z&)RU{<KOpwAO7F>lc*^_^x!t<^!sxQe!gv4eVOG)Y45y~DS;6hr`N=E)Vy~7GWGO6 zE-#~5XD^obKMs_hQztz;IpbEtpZ<+My?3|W7dS3^#L)i1%;z(9J(=0G`3-YV$zJ2E zy@duZzSg-INqqS={lkiahtrEYR;}T73~Z23Dzw!;8}=-g?~JybPv6Syd72*Pr+&36 zT%Ibt<NJ%GrA0E!To@OfYFr?pI@iEjL1meU-^(uM&+KYGd#j`sn_3TC+%<XTWJ?p# zPZ~BQ!vCe%Z{449A>^sbC*w+%zf)z)#T~EYHLu8U2~;@8#x4_3sPOMZOGHQd>01Zt zpG!WO@#=fUwgef~#hwCp+YL|aOgE{0^yE}pYk(^2O{b-XuXg09X=~U1Db~GxJX_f# zJ7mp<?=#O-Juq0#_+2sKnpd;s+eGWd(|A?+@&bOYxwDM>p|@H#OJ=0R&M9w#pF}P^ zeBqH;CA;u$C-Xelg`XB1Pu<G9ujzfta_NXqcTOyMto%8^rGH;dU~2HACcfiLhcu=t zm+O@)ypl@nPF0N5*y+>fY1gv(AG^o<Ln<x56<j+-eS|oYc1gDj{E=~){2)!}&~JrC zjY|H5C1(zuSo$%3(HFj^lPr17?Vhvd2s2dls9pRb`0kL!o=U4_#gC5v6*^LA;Jer$ z@!^vqtAkQ!mp#_#O$%<3v^)3M;9&QQI=jw8A1%)$d0bMc^+^9HY&Wk@jsJ1RFJW%g z>DTfLj+9J0q_V63QbEt5UsLxdlyRTvTx`9EH}Aqx%||Y0?<G2>h%uF%$?eJGe1ACe z)!Z`arNLiR?mQ|rsBNkgSbp(EVpHzTKZ^6tPx>H#hso|i*o4go+iUxp?{ZwJJ95Lj zb9Io=;hUe`-o4%-?&+4o_uFg<)8liI4m(ZVLn9k)Gq<*Rt>fN0?Z%0@!c)TjCRE<k z=KHfaaLr3mZn^DuChWh^oA;eDK&8r}b=x$CXNyF#J&pS2)del-<ynxG#nY|Iey1oo zMX_V~TsJ%OQ<IFN-@kNj&<@Gcc-F8=sq)e%fuhxCH~P%q-}U|A{GCP}=Z??GyV(Dh zS<ESK>Bg;R1Qr~BF3q~j&E<u)c71-zZOc8pw%iKa&&-)CbA3^SBA5C(&!ja|oX@Y@ zdTxH`#YbxXLVS7q3Ra&9KbbiDD%a77J}#cG{ATlfAM!~m-_qS_vdhu)xYNnCMoTgb zc&8k63y|>2+c{Nqg(%ZGv*TyX-rlZ!cJesm_5%-Ai%G9f-MCohV9eBcn+4C!yJ*hj zI@9HV()~rJ&YWC4<BH6L>35id7pI4K_f~(l*gj>=v^SF?Z6dbqn3^E6xJZgsnB&=s z>28hF19ezp=G}LfKl{|T&31yprRiVGSaO@U>91e$&>^t@X`O1w!65hPF{`#GUA>mS z=zH6(Ijhco+t&O+Gk-$h)Q_eOA1;cBx#`_pELi{O@zS>Q6-$;otxY*@W~%G)gHzP$ z<WsTVrjJa-T=eeVo?E)fB}`tFNmHXsNh7t7PlvDL+`_C)PF!EMoY3GGwM$NXQuKHA zgi8w_Ikr^jok)#+G55bo(~G#7OCxSOrzS0YQdGNI?tVh4^urCC%vsGITTC~29<<}E z<y)Rb_nKNKoc_M**Nqu-%lnR6rTp3Gm@O%PGHZ3ux)W1HYPNma@yO_}q~@lzr=)X~ z%l;iuxcu*he{O2yhWq_IdHZ^vZ_!r!>ij`#!F&6X#48cYUtZw4yi`+_RZ@G>v0a^A zKZLjc-}NZ0JNbS=fVE5OapC*I?aJ2wG`|;SpUnO)$gXGa^@>5e#~|(S%N@t(Z{D=` zxUQ1hy4gwd*JSr<23Fo(?e+AV|HiI2wG~CC-qkUN-5jUIwo5H34`kZ$ELi4m$#Z^> zMS(B;OZ?Q27W|*Eq(In7W|^Z2?{R?*Pfm(%m$|T!eeQ*d4PSnmvaGcK;3XJ$h?{Tn zm&k1uU(Onqo?ZHA#VYAFF|Ft90#^oEZIAU>Ik$aJrf^i%quX7J9QmevvE&m=y_9r@ z?cpr%3$I#49qnGS83k5KeR<9wr?DdF(!=dHPtVnJ(at!!Fh%g3>GXBRu|bVfLvCxm z?s1UKwM`JY$h$Sd<I0nW<=IzwB7QXd?EGXpd82jxr}oyVr|&QRcBC`uP9Ad<%RKWN zo3_n17v8#F&vaL4<P_T_>ffZVp8xUfw5;qMu}P&q1!ouk;E69Oy2$iy%S*Puaoexo zu0P&5ZA-x)J(kzMd9Ux8<S=c|d@=c##!{RAUuyL?d-39`)9<Fd{}M0qFT8%F%B{cN zYJ<<m6Z2DF{XhQK{=<&{ck3BYn+|jO*Kw3cFfgoTXJp_-ZrY+Y8s_HaKi)R&`2F|G z1s>FH<bGqaEXDd{OpK0*_IdutuWjz;1ainlU*6%Hb2TU}i09Yc=~F~zFIge@e|cKh zqTrs)&wolze70Y*VzJK2$0b{RCWro8UT!OQ%ze3d`^T48FRH)){pIu@&7VJNpBMg| zlVZK|{_H(Z^!=?b7XA7(N9R+{b1Ru@`8~6*rp!}+l)vZX>70FcPG08!GyA93%|G|s zRi4ZHiv4W=dOl_K%X1|=PZa$t{g@nn^7Z_icWb8UJU;C?O?~zJxb(~W_k<=M@wb0F z<$qM|>DlM)jPk$ES|>L7`IE=>eQu9ml;r&Vx_{67<@&2d*}Kv`&Hk5uJYApiDfN-P z(0TFrY4^VWIdAgw$NP*IqHad-GxrJ9tE_)nG_~GluhiXp6*r$`2mO7oHS>&JAOGPK z7o3-0{+K8CJo00(-2dW7e`o1Ty87g#_Jn;p;c@?FRJ0zAOWb7cx9{JR)(M4ncXurP zKB@3@QU1OM$3F7adoTOxzT$hso!{*5Qw?M7#25R-uzf6^X&(D&TI8;nGtau?_wLzH z@NDU4SGJi~e@mx*-2W(f&4!h4=KS}2_E&tr+sTw)5r6*uub8Y~lTlb-H2KK!|8ISl z-T7j^_-Og()K|8u@srj4|5%jo`f=4KChKEn=|;sBcAQ+Vk{;~qjtvr@d+tM~<=?xz z_139gc__Eid1*WU=AS0t_w^roSXogc(eJl@PvLp%za_Q8ajp+7KIy${n?8SCbHo1& zRX!DmyNj>AjhpvJUM%;U=QpR=4ezYKIQGsGZx=MXDQU>EZRd|R$(lFcMdj<CU;B6G z?^FFVM|&j9ZtK3g9eF09J5zA$-3N}V5Bi@x!`{2p^^{q1{@Wg2hvZDd?PqUTcP~89 z`?m4erA6hlH-0Y*-^MTXTJ6*Q+p#wpGjw#{Y?u6fz`3_|<L((7|5?9i_rJ`)hJR5} z$)4uvHt|iKEB(8F<?7iz5HS_cUU}l+*{cF28)rAj-;|VYJhqGThqHCEzC-d|mOm$^ zti94J+YAzOUnUV{{!Pr}n(qO7vzUlwZ5KkPy|%xSrmcI=xt8U<z%u5j8ShHg?LYhZ zWpY#Ng$A(=w{2=N3@>iZpV7=5wZ;6|k9kJrJB+HjGVkv!v|0N7->;|ganHX5zxkd# zFCf-m{(s~j+q7-7chsNh&D(z{VV?XB&fmww7Bl*MW;PG}-;)01?Y5@Kx(8}6=Fi;p zXV%(;N%A{7e?DKfXJ?xBjK}O-X53GEz4WRCL-3z_)*0^o%VZ<uO^&`Ov5S>)i!(WY zY5B?d5&i3!{@eC@&pUZ}mz2)WF1>la*Q*LPR*T->V3BlphI*%y_$o08abL+>JM&r> zL>8}|l*4@Oal&1TSKmZ8h|UQ8l3{hpuZ(|U>gDD4Rp<9|%`g2fYI2b+dGSj>>)XsG zQk!qqY)rhZfBf<Obw6kDgxKBVd%QR^)cXF3_e?eM_Wqwt=UbgU;w~ZNd+FB1cM8*M z=bkl_<381S%(kq;QYLQB>XyxtY#x^#SM>k0ei1%@S^V5{o+Xv98kzU{i)^|UDA-oJ zJMg1L^}OS&uUE|SxO8Zj>m06A4;4%gKA4l4cK-6iMcE(2dkg&c9}ilRR42Gf@A%=w z-oPzKG-5Sh$hI0y)#O$;3tT3#RNIu7e@4E<in{%spA6@|uoUorooaQDDY<yrvQqwk z6+)j}96rfw|0&P?AfMkZxa-p84-7oUH+??Iy%u|S`C;0E-!Yo6Eg0@j->()lh0`tn z)hfoWGzmrDsN0-}0~DAP(>@lLZ&p@Kt&v)o!6wL;ap<1kkAUwF`#FQBZa8!Ob;}}2 zHxD1}Tb!=H+6DBU#2(Gs82VX$$3csNsmJduE?Mane&*n;_W@Snoo8gdw*R;}{e_EE z@M2+K1FLY(GrC^WSCypQUs$r`2<z+SX$}ds0;+prrs>3QxcchA)Maf8Pju#(nqI%I zx+Y*wlvl{M!yK`huh!m>-6Z$*P*HAS(CjPuxeEJY!@H`SA5NaGx;69fHMYmaOPAL; z3Q4$S9Bxt(Kh67iTGt*8&FI9Mbs}H4%@h1v!M1Kn=*a_5>@Kn_E?&06V(uO#Ns)KE zrRC+W3LZ>7xlTK>)<J#2tS#StKeGDWD9_w<%dfou(n`+i`zAIIQ);KHy?9$<vm>D- zYg1fa`IQ>>Wt(>&30X8(kLBf+l)ZZ_gFef8J=ZC<T#>uw{|rChYv0f8W4?SdHaye2 zLP1I0VY%At>a_cfCDmJ&fP8F`P%ChBckta=-`xJ}j_6;<GSz*}x~n^8EIsse^7L@a znY!$?Q@K2K4a~y5PCXV~yg9dQ|3AI?+Pb`lYj<1j-5BF_<+NsO^5IKgY}37@4m}P^ zy8Y($-&niwsH&qn<=4DVKXvV}(2QVv^fg^V*w=BRU4|9g*Ny(cll|01&bwD1e#&zv z_{MV2?o1sG?YzT*sc%(oq)7Rv1sA?nnV&FexBui-VY6@dHx<ve|6FRHq38Sj)|tKe z_D4>fo$H%1ea5o*T(kR8v5%IE*7asqUCCO1)wJ%|*`=O5*VuK>B-dUzl(*ctD(>7K z_j@XlmFW?48*8H^%uY?;7M5k7S1Z=!ZGBDEtz`1fU$^|uvz*PU7W;K6EUnT<Eora$ z!N0jpdX*8=)uh6Fs@kp`5%KUzsz0IM|FL%0-p=;x^B*yD^4ln$-(^y}@nCZGv<h2J z|D1(C3Qsgye7e5ez*4+o*1Xb8rz_{aCRFeFqVq;t_?D?qg~goGOslJXB5l_;XD?VU ztNhH{qgq4A_tcsRZ`8!is{(GTcS=lN@GVed)`Oc4k$x+a3QkVW3h`SNC&T)>c+(z1 z=I^nQ-(^dtm1a6|mpO%mg`VZ#;Mb|@(4*`uCsAI#EN~%DSNGwLx5wBQ>|goSC5rdn zc9S0xrWM^q%XA!W&HpCI#-H>dFn-(FRVrQ^cH9=7t;@DJ``{yEf2k!2ic7RAZfpH} zYrN0<Oh>8rt(kI1>Sn42``fKj@(Voa!)@WOG|fCSeHZ(TUtGaw{S78-FRWrXHgC<o zeLHN||KdFRyW?n(&WvwwW~O}ndek!bs=vYIY7V81VqDiaH@0;y+vU9BvToOmY5lx9 z?MFg(a-MT}^=5th5t03_XI8j|M9q|AnWQ?org%o@naH%Jd>-SZmD9?WeQ-DvYpwRQ zWwFJ9oileG@?Rk$q`fP`p!J*2raiwO-B@;}<+Sz258l?7c@4g$RIWGUO|f4X<gteT z@;MW>87Y<PujOo-pP&{VBq4X>xUK8Gqn}k?)i^Dk5RrSV&GU&)nNQcAZd<ofyMsRO z7w&l<IIV5s>q~#EpV*fMov^;KSX<U6aT@!=c=cP1`_2kpHb@FLjJN2R7--@wYFMze zBb{MxRYU&gD<aFKJxg}nV_&!BW5gVRZA*grKTK`keR##{U%a6nNxgH*HVHTQ*zO6J zd;KhB)%gUYaI4BQI;KmDIz$?^=f7QP=X`ZiME&u$GoO}tJQe6%^XcZiSH?5WOii1| zka9>-def%~#S(8<+c{629C3U3+&4T5!h91?Xw3%+oxHbLNT9ku$e|~O`-Pdz{f5|` zlTI6?{0=+(Fm=<ZqsaxQr~4*O;#NE6QhB;e=5D|F_UxtC6jo?I-6L#1V~ykI>k)be zhmZ6viMRW!DlB%^!C_WI)7%SNc;2*VXwN<T$1qY?s3c`a*H`{$Jsbbs2%ThK#Qpil z=d=V5|F<E>EwA@FScQL_=l`M6^zP=Er>oCMsIE!ha7bKP>}+OxmBI25-+<3c=jm#N zd{*sn6`o$UraDDs+4)W*q0)7aiUoQ!GS((+-&XG1@^H1!yrjDSx$H@QB{`mYS-n4_ zEw*`k*!tj=64JuizWa41DDU(!VR{&I^66fS$9xB#7X6&DX-5~=wB-Iwqv@&jZ<rsw zDqZ$QaLQ}3Rv#0Fiy5zS8pXPv$T_SQUvuHYg<k&lr*#e{m0Kgjq%K~#@Ru?DXr0BZ zmpxI&v-W5RSHHX!BFyVx(tgtYyp(RZQ_9Z1X$OuRmzcKsl3lq+!I`fQR#dOaV3@S? zl!Kg$r%APusl_Q%BN00rmtCu0H8W{h_Xd5n;}zhY!W<rXaxaG(#{wCRr-y2#6r}Xn z*BMP_7YVRrQVZR7nc?DspK?!YZ&Y9Tp7?~x-hFbb`L6ve)x}E}Z+|xT?5_hqj3SS` z+@78pa^kl2(-kXjHDs8`9<@@OcAe2$k;_M2>h7F(F4q?aZ?F&l`0rrZ?f(C#)-RHn zuqRYu{iRc;FLsG`JlDE)|5n&kJsxqZ9KKeeyK8n&UO02w<}PF9&wU=s^A}xvT6lj# z>EjdfD|&LCSI#`^=n-{bd!q^4IyaB63gMhL-xi<vx>z>Vx&C?OyoJhB)hyml*nZ}d zh!?A*hw@gN263bL#_v}49Q11weV%?U>q3vC(_zDR8%`hBx_nHlru}TB?5v5Ex3^Bb zP_V-KO;`GaGE=7ys=8+)b!Qo>h#SA<iE!O<y#3{`oo7m&dnQEmFPr;;t7pZl#`jrM zK6Reh(#c`}*?mphWxopcvxk?)Sj_B<EwPu2o1d4Lx^FAf%NSJ?<-+~fX62bqesN0r zM__ob{vOVbXIe9NHpy%c@{0SdG@J9u@c>YQEMLjvH2v)Uy&4rq&uH^4FZ}QN@7KjE zzS$Elhng9;G5Jh8H<eSvOS+R|^LfeNS9?PxLrmBmV^dA|FZhILZ!s41<n`v>;b2`H z#+JeJur~T+<1!odTcN4*%o#6cRPx;5)SY_3O7S|gm1VEZN81h7;g_oNWe*$0>r75f z)n%(W@xw~>#+u+$X$o_-Gxr+ZzhHW~Gs&hXE#zsPjoaqZ!uIWD3i7`32mLaP*vp)* z%s99I0k2Au=Dcr?g~`%v{~z2E@!x!QhK7HN4DWjLohet@T#7{>x^H{`UhB-fzYFiZ zSvl!s-kJSHs~E&|9o?c<<fqFl?)hr`d1Az-d2ug0UdYbR6|=c?Cu5J|rJe};4%yP{ zKc6fUY<X_2J;B87<CJtJZT@xlxpri-Ji4eN`le-B&NA;6E6S>OZp-=A5+^XrYwOLb z^u*UZYnq-5pXP3y8*ucU)u&~XMZ+{MmxpyezZdp?Q>0C3u+7hBg_gHhnJLWD-n)C_ z-8;f*-uYoG<lgc8tWx`Qed3Y~mPtE<c2?iM?QrNtI;XGY#SIUu+z!TM-j+3x+Im<c z$vxI~Q~cJ07q&&-@R04@wkvE#to=&y((Bb{Lb(NcmSvbFd}|KeJ2Q0Nvi@Dwr;hnw z<yo@WGt>I6u7Jyy&FZPSJrh2bT#C={4LR#zHS5Q=%Xb;~MXUaKu441^%k^1nGguDo zZI2S}mTR1Q!A0s#i`w)Ab(g2F?&!|{baH*C__@03lNTBvc!eGcc=P3oTjk#+6MigR zKlx@p@A=N~^wuR|5(-<>0<0f2-dN$xkXoxL?rSBpO8;Dz@Lj{lylqx<46O6+l<I$6 zWu_qI8*{)f!%*%`i&(bxTn#DqgzzmL*)sf}3U+)6-F`l8wZgm)|HazpI!I?S?!J4h zZgMZr_K9NeZ|8=D-<;HIm!}-47nPghYu5GHX+dvvi1^K8-HwxcZEv_GWzG(hcJIBu zvH$jtqZazNE3R6|vG3^J(r`B~Ir+`aqq$3t{tlDYmp=Yj4rIu!92xVz<F$9(+^vc) z${a3Scr$IIWU7Oibf@-Nv)9Ko+`dWm9$A_;TQy;dz)g#-lIu)wuX(me;-<xRNj<Y8 zhjiAu#m!*)`J7YHRynLRbL)pYC6YT^e@t08ttE5wheIZ*cHQB12gIx<%`Copy0!7L zr<i`gZyC=sGhaQvwC}#~6)X2gMnQk0BirL`+&38or9M5oN5u5}#04_5mCn4GDU>L) z^5jI#gAc`olzNmkEzMrW7EWT`S};2_K84%g$8dv12V?XL4|hMGo8g7in6_P**f&S= z_(BUl#^@Jb?ov8OKF`d(<`%dor^l>bVA{FcYYG=d+%TB_vGuc=_f(C?8xog2IQ=X) zOlG34P0k0SeHr)C9tzw%)Xb*;*!x-Twf_Mj>mN!9iJiH<=BeUr3DX#tLq(OdT`g0D z+kMp@ZQ68plhmfpMCS`?I#b#EN}g_bsrBfPQGtpMyKlH)xL8tQSD5hmGvS8XT&;)Q ztc0e2R#SG}n}5T@{g=?pxw`9A6u($ZnsHc7*_Ac#hKIXWabASAe!69b?8Y^2t4q__ zUWc{R3TC<TO|Cul_Dgahk8f#l>Qakc!q?}?^C}05D{E*w<V!V~^tHTjlHJf+d0*&H z(q$KpH!W()3F<D2FJxkq-Y@zzH?+@Uqb>8Vr<Gds)LtJu|1U&t`zgiiMR!*DI$qG# zYGSH#WAc^uOOSR57AWanwWxv5F(B;oikb=O3e90)C6n2*DkDNR#(i56({zG?tLjQ* zXlL<}#r~7?%;%X|mlzja*LCy>kE-nZ@%iizweo9*f%$oXE>|5=s!jG9&3k!oN<WkK zj8t!d$!f>UW=w0JoBB94|CH#KS2I?aXTEf>e#UB+aWu$pC1<4VPTjsWrzfOZPnbBP zcZ*S6Q_sqC5ob;ZgiH^T=q^)OyxQgkSNFz4_NN}RKV8k@yg&ZC@~=OQn->X(?~o5Y zcB;R5mwcjI_|5aGVr4D$Q^K9X`X~NyyxX6!)L?$ZpG9*&a;5l`9y`^)cb9yiTh`m& zuw&dls;%`?t|v?j{q(b_>a&*ZPF0VWT2FVfXa>*Sbiu=MXI<`;J3>oJrhbgMHI4H| zg@@kXV=hN$f3o#REKz@D`{EzV>-|5EC@H*M60VTBYO<K|=89jg-__2%(0ja5=gFpz z{l6YvUcIT{Mcv*0gsIl^qnMUX{U`)-MBu>}<*(~nBx6%;rf>VIX8!NyWXaQuW<AcH zyD@{^XxFI<y_u;WulLr696QwiI6LgS@|hP}k1g3YGrpT&+Zm{0)7p}AFILN(MKN}% zjk-e0D#v{<pFA^NR^-fKR1ntlR3@aqb;tGnjUre7MyO79ygQSprol)dEOp~Um(6lh zHy5NGjr^{D?xoiIoeYc5JIVA+Sk!+bQrv6h`t4nyVD5Q-=+B-E<>Xby)4Vjh#6S+6 zn`)ErA+qDLa!{DM_~{F>oOul_SDY-~PI$oPvC3I&<!Z)F85~Z#PF0@E@z%+cSt7D$ z64%i#p|iV;55`V75NSBCc!Kd&%kId`sP+dv>psmBj?sM@E3h$9J&5W4f+-L5JRYoZ zIxl%*MpjSgt;f<KLa8NTtDeimwHqw`QX6UZ_r<>p8;lPeu$^!q^6otD2}V~nyCo~X ztn`cA!lD_QYIFPnpYitzd;SOR^$I9g+VNG;Li<5+PkSh9e#mj{Sq&BIKFwi1Q*D#} z`{3M@Xa9aF^%l4sx-57~zN6vncRtq)H(F0-+}IOn{Y>D<oy&VQ<=+GvoSvqzY;}nG zq69P9*DI43-7A^;wk4tJ+~H+=7=!N44`DcMrZ9iCkNT0M{*KvN@f-gH{OmH5n7?^Z zp!)}@OW|C4)4r>g{{Q(Ru6^^aK=%hfd`j&W|1Yo$pXtl;sqntW(REL48SZwzaAV(C z5o-NJkz?ko376y=-g!z~3Y{k0xV!(3qnGddqP>B|O1qA4Jlhq!O4xB<$aC#5DbxQ4 zYu0_5CGh0&zRVkkSN;^Zd;3P(Rs&O4R>|PGg`4Xa{}xOunR-#CK|AT){9pfk&$hnU zcFc@>YG%caXZ5QdibiIht=70_mOUpkh;ixNL#C(d+;(2NzPCLuC1<nEtZC;GnvJi1 zI5l<OJ6nnKp=QM#X}9l~@~RhQ>A92}Glic#BY0xQs~%UQ;B=i^jXmp%W(vfIo_HRz z>hf_@`KI)crrfaB%OwJjy-V#ruVQ*uJoU6p!}FdU`A<G;KE2sH>-T}hng`9iFKSje z3+P|{a7K#b@y=5TZ3~VRUq4YTmZTUsb=Ni9j=boc%`EfUI_}*I7vz>*qbzpT;7G36 zh2Uh3jvYTN1IqMHO+L1Zo4Mq9((I?-P3%g9vTBY+c6{D>IyK?H=KJ3UX^R+l#cn)% zs$NR&&Awl+eX{N~f1HzQkg(`%tn&M?oO64w+H~Ya<ZS-6psnMi%PK{&&+GdlOqc~@ zpBlRVkO`TmV|3oJWOdSPQ2|q%3O=ohXO<j~FMg8PARIo^MJ27VZ0Ejplk|l@uK4;a zYHGfw*8PBxxDBPsJSnqVg+wP58(zEZcrR|-+s$3e_Pq69S~yj9PDf~wb?=*L``I69 z@k(?pO|tfQIxUySVAiANxjQc1-MIGMveiBRHx%*S=Tj@ME>w{#tgPm(yegow<fPsW zPTwB~{5n2e{(1kbeL}y9>x&hKoqI1aAN|{{GT(n^e|pY_vihG)Rb~vis$8e}bDkRC z%UFGX>ayxmb8h2lQ34xp-Z<RGJ^O_Cwo}3m(Vdqr<h%|%pYdw?z1d6eTD)j>6FOYC zd7^pa#S=CYdfqg;T~hkR-&2#Y+fDm_%5G_6$#3hHCpcVY*&rMhu}wg(aM4Y#if5NC zPCq)ojCb>bNpn_lcDRW&|7S;?r?_qWYx7G328Nq^85sCc<|&FZ5(`q{%Ny3lvL}SP z?mB0gscz2sv)K9P#<=aB>%8(jH@8n<&=xRf3@<mI?dtGUceUPwcf0brmG*iHXKE$6 z2Dk`tAC0(nulnG<YS#_>f2N(gGuc?le5TF)=%4%F|M`E$zWCW3<EOiSOn<ayP5(C6 ze!IdmHw>5mw>2yIazE+p@?MMLk~RN3IF<e64C}rqZro*j&Zhd#j9~xS0^U=O2XDN! zF*#YNufJE?w(iT0{e5z!H}>6(jEuAw|9{%bB>azd-387Kn>S^BlV9C)D}B3G@`B0F z-~K6heeO{0p7&qv{kANrf4kw<wST_Nek<f}-CAGguyMMmZpJFvOIDw@2qycfpYIgo zonCO{$3$hfUcGO3G93Rudwlh&kiK=4#fpvbuNNHq_q93O|JAdnF3LjQ5s#k!nm+sW z>|fW-r&m;7Jmi+zJ)tf&u5OD;@74LK^Tc&OzHQLv`18m9^q!6n!B?~PuLzfTyKEKT z3MI$P?FW0A*{)8lI(jkbt?Epp_0a*-;_I$xcb0Jnr^;>h4v+1wT6)NL`Lp0a$CFK4 z!&ioj>3-8Z`sQhA|F<=(bJwkY8-M@)`*mNI%DvTldF82mYMlSX7azAOExP}q?cZ7E zHeQ>JCtizwRWVPP!65VX$o#dvO$zJFx188-^x^tp$2X$7pK@OYzIv;>>(KeQDHba> z-ngwE)ex-~xN`gY9q*PaNPhYzoZ8m$cd_eR+g+6#GgR*WsZ+hjD8XE(E%m$fbDfgj z_GbI9?maynRrZJ9zPkSFc4KezZ*To?b#ialt>eqe$S7ZU=C`Xskjqu)S+8Ds?_s{$ z@wxEI>&bG0cA?K?pL~DVkhp)XzsWV;)v__qWMy<Y7rdFB^nUsaP7AsFF<0+et9Uui z`zzf0*UxI-^zw`?Iwq4u?q9ank>a&9H{sZ|{kr+B!zI(ht16#f+_WHd?X33?k1k%C z7$-Jg;AlHnhG?wt*GY@kI=dP_eX&CNn#qpk)4SY$cV{Q=I<k7gUXvxEvDzQCRP(+W zR)#)Od;PliaQnn?UCyTp9Zc)hW@&dk<lVdH@Zwa1wyk_CbLGPBKbd~<vbB;_XwByb zw}fBS?z&<f)T2@VVOny+v?_O2pBO`T_wJ+f*K$m+TYAqhQ7!J7Y`3-9hOK!CZ!awT z+8lkfR_k+C^YhF8Ca=DF+xNUYcID);E#IU~)>M92u&e6RFZXVf0}I}~yXvm#`y=u0 z9yY@Qc3xXYHN!Pmqy_RiDo(JsNh{58^!UBx8}HB18G^i)e7u$`Yn`jAn-|;OD$dOR z)aKI7_Kja|ecrtb8B2aHd8XLwEO_&5c8?pI+4XPY;;J@s8|v4#?Y}1Qc-NV1r>o9U zvtLQSVv|>oJ+<eV!18;_hd<mBSe#g~_RRVd(t2^068DwXE4^o7osiP`UD|NcpBz{5 zDHZB&+^tKc176G(IV*qVM%AN_%twzu+J4a^*m=V1_YBQ3_3IUjIx2K8+5}Fh$^5H$ z=QHopxa=LzSwFjfDR%xnPhK$1<@|h^f4{Eszm0#;+w+W1&Th@Zg$JZn*DiEEAuX#r zDJ=W*hGmYWivpLsaKFx)J?jatl*yI@)~2(nlahn)da~Zjym&|Puz$`9y${DUR<Ann zTR!#blj{1eG;?!ay)VbyKEGz;KYrQi-u%>uKaPBpz7t`sbKlx_mag3f*L#wI{u@4? z5`KKv_1?@(g{uGhQYuHL7D_E)ky!7zl|fj=LoJ0deQBe{gY{Ai8un*4F#TKSSXz9< z+Ip7y!3j$5<?ZxO>3#Ya-gIh;&X4(-3S9rDvvCUk%a@FsDHZhMullU@XI|yqb8+`G zc+{CCc>k>Ra#@k`+DT2FTw8X}F3+>pSmzhEL8;G8v^rQOFn)2D?zd%HDzztPKXc)} z%51Y_+d9vh8_TREmUESUPwQCOFU6G=!M*vy?3XT|uN)Tnnr3-$hE&J(OL6yd-1qGN zo4($2kI<{=c3zQsK8X|eWZd_#e%+o?kbJlB$13x0uV0=mU9@!7tg<h4_bUCiY%h>k z$@-TV(rA9Z^O*_fqARoY)e`=?OpgA`DEv{(;^LjUq%wmoXQE%Y?7nI?-(<_Wm30lX zCW=;cyS(^V+WcyF<@43&gvuB1`f0v*?@_-k)sH_Oj$-E(HRTgF?MxKU&&^PH&vY^L z)w<)_zs*Cxf0$+wxJowUe$}4`*A&-oRp-kRv%PaTT57}DS*rOnImA@A{xY5>cdv*& z{!{_GXr=a?jDH^%9_P*db2ebQ?Df^>KR;W4Yt!ZnU-z_J|8{I$c+m@nUl$fCzm#ov zyOh=b>$2dMiwgrw+MTtZ{<nXBL9G2<k%jj8*>?5PO`C1?yEj>AKg)0ydEuq3ZLaj} zSl=J>oa2Gp&hLo3mKb;YXte#Qg^W)E<#%0nEWIt8wbV+=X}x8L_tcWP&iz(f_^)nX zy~X9~(_?>b6(>jD`f&M#tJJ9pc70`KTa0;L@;F-^o?y4GtZXZkb3(6o%8He>zvK-T z&Gq}R{_gLTB$wx3zTTR)sMv3j)~-_v-+gIu{#vr_$NrmqrHjw<{p}0-veen%YRi_t zx+kxfA9_FK!`{HQ`-SVi6>ja9F6LJHStoXA(%T7P2mT%?vR&@6CR0Y}zjNTzdq<vn z{EchxTmF)7>xHd+xuq}4+V>S7F|eM?w`8kCs*;LZ?X9QxF3h}RUHJVkQ{oTvyZQoc zXE{D{w>;$M+3z4RSK8HJQ)#2Y5`LbS%!j{!<UVta_0Wg8f0+_J=F7w?@VsPp{&nC( z?H{J%l177?^!*MJm(;_>Ih=nTm|$m6BftMZOsoRW{hRs%ZC_4aW<4~)4rJ2(<N5~v z;v9#|zj3!H)_#H-KY9J>lh>af_)zO&$*j!#FW}uH`$Y@BOwP}JaQ^@K9-mY1=KtT` z^rXA~k;@*1cjYS@#jHcz>K8OV%2l&tG`9@#<F~rvQu%{pu2b*s-?x^;^FR7#)phd6 z)|an+Yh>r|d@fUUcVQ}@Zs7})UFR42_Ej8x=ly?4va8ghSAR2jWN)pzc1Qlot$$0O zgwOx?>Z$t%{wEf%&g&#phWp0V{tsIqeXmHvbN`}~e7r}sKT5XG@H?9QPh4av%iIp} zmyOkL*z6SzPu(tUcs1$5{!nur$EDUXfyZz2oh?72?ix}nvTw(C3zL{V(?74A(zj5Q z@9q6{*W}#R&aGlBfA#d%O7V2|_^!Q5wkg|Z|L2IatvI&-+k^a|PxIv;yY_eg44e1; z$<^qKo$gO7h0jOYY3iSk-sSiu>&R@2;_KUDE(ZG@$^QMSdF{>@t8Mc0FCNO--mmQB zdT{sL&%2(Ks9P2aZ(mYB|4q?ytJe|b3wFc{tG8-dykBM=;MiyI{7}gfOZH{jXKxy8 zUb^$M@<g9L6Z__w+Gk}AK3lk}s<3T{N$O;o@kFL|X|c}ke&tCgK2Dx-$F6hR?EHT= z?puo<rN6#+R&Zg)hwB*|y4j{b(0f{`qV?Wm_tVKbx8`zft@c`R*So1KUSLag;e~gu zj=R+lg}l92@KAZz-T2fT^Et^o7nb?+s%|v!NOGKT<c~sUrK3<h+uZuv2}joTcpk8v zChV0IrO~N*P~f+n?UAa-imyDvAN%U=+7&OqJvRJ2M`*#%CnehY%`2lUKR?dhHPyR0 ztWJ1KQSyaT>y5Vwo!hu4Ks&u{(v0R;AwruIR;jFWvD50*PFSUKj`Q7jUPqzH2i_TS zS{(o6?0JUEKF3k0xLIWy`}gX|3&PVC<fk54rqEeztj73!hPYQ!nMUX8=Gz;scF2aa z+8Xk&T&c2;JMVa}qtN}dJ+cLTqAinV2zxy`l<;IdhugoV1fMmWdB<HgXm!qRR@rxc z2Fsk}i2*{z&Ym&CUydAg6v}R%w?kux?BphuJkCiL>~G{IHx#cim~y0Yp~^akOs&pP zN1^E~$w{dbjyzH5oPDde6BHO>B3?;x6+SGUYuaA+bI#D})J|NbvW(R;hi}r1Ng#i8 zIeVVrS1k-Iu)fCTxrTF6h4A(mRYPTuB<~4FzJN@)raR?GQ-DzZV!o+Iq76cYuCsZ@ z$nc!;Zgwxpo^V8KL$wdnxs8(ogt(nO_sFY!jGJ&|w?U}Tdlp+m(~8L4>~d?Ped-T% zrd@wKIYPwi(PV|r{w5VWo=HCxJd&y=99ioqblFIa@p(k1M(1Z|&o%CuTAi<(3^(fS zke$!wd4_A!51BPyNp8nf9>{dJO^T55dbIj>{=qLSOKUlU)h?RV-+d;dvXD!!Z_E9X zY4_bMYsK#$TkPZ-FQD~n+2>1hYm|Mi?tA`xx%E%W8LMhvKUi>UJJYhP`=$opZ`fHJ z-_<@TLdXl`(Ps*s(Fv<m^4eBTijY?+Oq_6}R1y@r?i!s-7pk0_Io&Tw$!99avC+*c zW-OjDA}WQoAUD01^h%1<=+tx+n%t~%u65<487#r7h0YT|@g)sP1`+E6giasc+|s`N zl}g-!yWyTW{Kt-5DG|yo&lPwasJhW;uFGxPgzuopmhnn*)#z+>6yi=;rSk0Xmvl~p zQ2#rv?M|wNo)eBl*~o)K`rbknJswp<+a0o(n{F4@O*pbhp|iS4C5(0Z<2*=Q>~->t z5%Ee&n{cE@p>wAG(#bRUf>jISCmcz26#AY9imkoQo-s0BNo5m`=!7hvRKdi$S|yD& zIZ4(kPPMSI!1`JWD0RLS_DV|C=v=Act7=#rxJ!N7SzrD$mX6@~7yQza0(SH7wn;lw zrX1O#(0S4zRLD5t*LLP*UP-kRj!bp*kC_ijU*EV@H)>5eGDo5FrlZj2CY7{hlV?=a z8>p@0nzTbPS*W1zt-RNxO94XMO)AgWJ<o7Ynjx+7F=4`yS0zHi@+T||9?EpLPTHX} z<w(+<+Nno=Itq0+sfe+8+Q_IBmQFb042q^V4FN*a+b8W%c+t7^guCiS6^R+*2SPk; zq*OMlc|4j3O2pqL2MC3?Op1_G*{JiPbE%`h4rf)8u+P*Zw-h>$gVNs(e`n7aNv}tj z5@NUNMfk>utx;RY879o#Z24ttLa0#pA4`*nGbx`#6Af%L<Jj*rWv*+NocJu%fO~1B zotMU>XGt@TUfLtWcXvr8>lvZUb*-M4Vt6-)%nCo;<Mr8VM(U*)=FPj`&AaQf<H@z9 zGYVw2tu)l{I)=Aey}0}ICFfJV8LOK2HLSGCQ1`j&xI1AL->kZcJ4{zK?_*hQ^+FpY z*|yT^h4hS7&G(vDTD^##v8vgwb*0q{V-TbLW-kBP$;THis&wyumb!fQcB?CUq~-U- zn&%7qT-_s`u<BWE%gR~XpEg;Sd|t+SHhRBS?SJzFA!l!M1e@kx+w|pczBA{l%I$|j z&faDVHqF0YyC~-B9&Yg$zwevXPENS`cR%CPRloOhF5C6L_~VXMAko?T{zuQdq4nOr zW_$OcDvx5bOD{Fgb_clXXXfn>4>@>g&gQ>oWnSr?_2hO<toAH^os{@)?WxwC{U+vz z?}V%E%x%?3v_I+R^8StfS#x<o%Ogt;r)*&N_#F1*pUbSt2mXcpifdi>Z>CB9&7W0# ze`98OzZ2oxKjE3q40f+#X{~q4-WKAjGD|+SR&GdHe(29q6Z3`JoR=KFy5dnl=DN1Z z8LN2r-V2{)epqMvv&Ana?Jx;n@TkRP`=a2<m*(``o;2y1=?-zxOMCd9hItjsp3%yT z<K7(g^U#`!&!lFwYqL)&XyIzETw;>Gplfl$Hs99DEh**-ZzM9~_&-Nv?kichWA@fd zG5p)+d$G4(^(>b1da?e{foty{-kj)u?$J$4Hyi7?UpIGJ+1&QYZ&}Cl?bha%#no@w z+rG`Y-l8?5)pK%{#dDU)J9Zv?^+?Qz>&oFuNw!&47U_q>ZRhy^jOS=+oXVd*n`bhA z`t6h%pC0Dy7Fbqeaog-r2><ewtA}@TEvvGa@3QmN(?3erBtJdOiRNSfT5w9}$>E!2 z!ahF>w%z3jww-h7&56@H&At@HNxxh0MRtb79mW#B^mhjw7g`tiR@@T{QScW}VXd6I z=D~vi*6VFr72o=zzj(TBm^sgX?rop{sTsz#u`8M?9l7`UhCe9X#M<5xRS;Vt_4z`` zepdbZ$?Fy@m@FzIwJM=@MbpoNmCgZ<OD2oTh^>0?D}a^zvgjU}J5LVzg<UWYIoKA! z+TA4XdZB5NUs!<Ls)XbfO{@of`Ls0NrLcYsU^Q>is^Gcra<%FGCDD@CPYirp+FmaE zCc4G?V({^bO-+;h!Y1&979_7=-TrV+K&XOmWy%gC3nLxX$`pyE=VT?r+N|C#lV2Hh zRrz+vt&?V<Ew@U%S2U?#E?=s<Lpycungv=R2cHG7`Y+Y4uw1dAT3veuU+4zakb{?B za;dS2etD6?YPyhXTbtI8_ga7JYAq}Netna|+TN~pLu}Q9izTeNj;wpFA`c2K<jUg> z{ot^xX{saZos(RBJfQ{0r}Ter=32(Oe*XjIUE%85E4Z};YUXW!bxzlsd-Gn?o0VDC zcfPV{Za?`nb*Fw_K~eLaFNZ&Q7e-9e<a=!}`Eb*jCmW26Ep;yX@MW7$KD_AclMPkI zmO77p_+DF1K78ohlMRQAEp@*7@MYUgKK$tXlMT~?Wv*3p9bR<q$%b9VmO7t(_+Hyi zK78o>lMPHJmO8AyeA!Nu4?nu_WP_K9rH;5S-)pzYhnX%t*)Yk(V&@W*zZ<rhSn3%0 z@~!rre0bC4Cma5mSn9a=@@WT6K78rQlMQaBmO5d+e5=DIAO3Xp$%Z~tOP!3f`wpGD z_T{k9^(PyynI><1VQRUi&X-R+e6!7(c3(d3<jIG*Za&!%VrIE!=Gm%^6=r|SCU?j9 z&6c@#HBCqChFbg7Z6^~?<`zX%&z2E;sMdaU$H~OVyrPKtvt`6yskMvlKAHG3uPEa1 zY#Fi7YVDzWPbOC87ezduEhF}aO+EbIGWl%`K77@TYVE#^lMlaZIGH&6z>^K}2}L(7 z3@kk!iLkGn^Jqb@v1P{-AHFQh$;~<Eo+xx0TXMYg;o~wq>S(#l!sDn9-z>w)%@t>! zEKoAG)Hve9x65d9bBbT#giD%yw=5?&cbt2&V41PyiB~>+lPo7UUpV(<foAZX9uxM$ znI|1n(~2g{o+(q3uEy>=^Q2>ETG51QntYRtk2)r&6$#9qDHD>e#{P5WNyn(PB7r3{ zWkk}tnm_pzPM9@Q#w1;h{o~A&j;(1$0W)UGlw_*0FP!;E!68^iBwdaD<;;_g&1ppf zi)YHTq^Yr2&N}Iszs%yr1rhd#Gfz4;rWH+CG*iYTQ;mJ^%#)5w(~2g{)#NKOIqF!S zRwS@!ri@6Y8hhrfla6I+MFOj5%3MkBYS!{CoUm}F%$E!`_QY8y9T%n*1<ao*W0I}L zE;#Fvf?u%Al?*lZ$XO>H=cg43tePp~lA*@_a@I-5?qwDkS47wYXPtDMn^rVo<xH6` zS!(RMvral5Oe>nOM3XPb^r++Pv?76(Gi9!1sj=Ujb<%NCT9Lq}nKDx{yPA`H3n#3Y zDYGR@js4=Rla71SiUO9+l=+gQ#@;yVkwQ$c%#<uO_LH+tI_^#@64*FXh9yglJ#zL* z$K}f`UR)PpKRD~8<Ic3A2^(h0Y{^k$pF8WM<K46(3+6DHb8UaC$`3ybx$&gHCa1_k z-ON%(Vz!K5pD&;L3AOgkDU%N`+;s9`$;~GPXL5=x^35z|Y-Y>&E%4=Yzo^!3oH_aM z!!0KtPPz4@ASSoSV!N5;i+>{QwhJC9Fql|sF#7UMa+us~cHzl_-^P|JSbh0E*&lTj zTVe5n!I!VeVREz4g(nJvCYC4u`|vGtoZQS6P#D0j#aHAoxmoYR69oYiOAS_EJ}rmI z&1Dy!D6oadxHNS&Yh8Gvz;9ytg2k7w$#HVC#DymciYAsBOrq>-7d%qnGqGI3;>%a$ z*gScc<7NI?4ww18oG$ZUayaT}w8A2T#g{M1adLCkg(nK~CYBz|ynb9<zV;d{qU=u> zJW>!fvCLre<$L5fxw-4YlLZ1MmM^$``J5b&Iy$Vd&|vfByW}{zx$VLe1vL{(4v=r0 zCO5|g6kZV2;ydIxxw+}W69rWhi<O!te;0_G{9RyV@>fARMCM6LoxIL3MRvu7CmjPb z3WB0CemR<D6z%@pToq6lAgaY@<TSZ?-i0R$Iwlq?4NU$nkTdzaz|!Qef>Magk=Cx} zSr?utXq#BR;P&Nna-Q7WapA#|FBiTvPYWo#Afd&#$Z2x(t_x2Tj7=<G@cQyaIZbY6 zy7)vvGel-dTUYb83r`e`Oe|OM`togZp4`0T!V?7-6H5(lQTC$?A1N4`SZ470@-1?n z-2CgplLa~^mM;W-`IwxKI##T((BSpuo8&yX`P+pj3Qi`L96Y{!n_MP0PjM}rutS4y zme}Ox9Y>!igd19(i1p#yB|f>C;n<S}Eru4Forabf$v%8V5|f*+9bJ=bUKeDaTqJO5 zhKz`5n(TyYGwOD)4DZVI;R}*BE-RO*yRmXd=F5nVAg4JDKLj`N95}gfSBJ3c$&<=Q z1fEyrSuu2JmI``lo%DPe(owuO=dk;~Rgr~1wr)P9)A8Bz`f1N_mc$9WI1WyD)Wk5q zc(42qhxdyuUNnfbZ~iUtysB~;e~L|4^B(s?$Ll}mynZ^{F5tNa-zwRo52u(P**P!$ zmqW>7i;hAS^{eKJ=e~0B$gf-)C}UzaY4W030?(@i54(G%h_JuxS*8C%e17p>r^D`A z@gnT5Jx`Xfs2$lEH}Rn|t8Q2G1=m8yIp=rAZG6c*x9ib@_`t7@5dx25*3W*Z{8T+@ z!L!WBu0MNs?piYI=V9-4;hU_j{Qs)NhE6D3DsV3NmVoFw6Xl?_i$3vc@x&MHy>$0t zrPqZ;S{}RIoGtt_T}9Y=JG;26jy;cAf9AtPA%UZgQc9L9Svd>WUBCNb;wiqPjvp89 zP&oHIW_|lh=7VjI6rKcpW%X}4zcbGNu=^_m5%$`)tayRv#p|x0{xI<q*HOnZMaz|& zISSVuXM4A{;MdX}(+|BYzju%8b-1v`&*|nBk(Kvm^PkwiC-Hqwe(ts>GC%vyOw->G z^Rw*a^z${1HA37^KL_f#=XT0?E&cRw+R3>0ioX|4KeJ)`ndJ9MU+W&si3pQl?lCv` z(fiFW9*eC!9CJ=sVYkQJBSrgmDfO4!6joU7F}Ja(QC`{c2)l*hQz!Wc71O&}PUr@u zFNt|GooyjQ`DaEEv6x5Vz1C+`9O5Rvs?+<*_)gJXbb_{@p0L7x4_)n@yO}=4Os@VR z`JiAz^(!yNs-NKnN6xP)n*6-$&h7HUOq0HU@;-d3=leItX~lc``8W7Is;zA}D*FC8 z^O-r7_3{Z`rwrv2<W95yU=Vis*U4|N`pNuX43|y+&Ez*Y{&@axhRN#xTKPBJdc@57 zq3cRW<8O|DfF;jNYXW?}Y8)}C*}yPSY3a6y@mv8BOZIu!c=(j+pF8sN!i+s%KV6u^ z|C!Nm%FcYBuY7%TcHYsKn#J6AJVgCb!z|%C9={EiFPTs1Su9O@vZqr!WKqzS!&Co> zo=MtqN?$5iW!<;CDk}mV{HFXU_|FyAQRCv%%dGSArw8kks^0!j>pcp#@Nf9_B)O(R zRb2ix^P1H=uIV!fYrK1YUD<K&e2r-~ckY)Tj_G_|YtAWE({NLa|HI~EB9qvEg{w#` zS^uWSw!L07IF|J&o4k0iZP$BI{=SvJo5M}`KQi9iZF7aaVrJ1|{tw%pKNg*^zV6>v z23OZthY$O5o$!}3|801K?@^+m>4Xi-4;`*;@D;Z^$bMt$!}~uNZkyFC;@|N7p!!dS z*~)*S<rCD-?eAVW&57yI{{EGXEPuJT9|_s481TUUNBD;7gKO&;Z`^xe|BIoT&*l*O zj0>Mn)?JLy{cvK2l=X~5pEY7SS9Qi-kv7~^`ew((<o_bYURReG&C*{nS@m+TulQou zCP~$Q=5<#(IF%hvzg)G%y~peF(yVjpkN@jD?!FpsIZM~3;mHz*1rw8#{me~;yPoyP z+OA>>@C#8{!!+fyET=Z((-QAPQ+j+4FX=hD-2G6?n$SaUKGv_Ev^6V)Q)PdbgQ&x& z+gvK%Q;X+ioZInH*l&8{uSGf`b^97!dQ-DzN$IYTu8G;Rr{=_g`=?}7r(e#$abeet z9}OOb!u{X!Rj&Bgbn$Qa@+|o8?bff_^Pe&66jb)`Z(w@%|0CnJ+#mjS2jaN;zcQND z{Wx!T;2ih-cg#6UKS<ggh~u9Bk~t^mLwrrcTz<Ld%sIUuD&!O1x2XSMkQS(!#=pV& zVEtFdZ3aK`?GDJX|G&$AL*v2b+J?C-|JwOCTs`prHS?PPKj+&WP@47sDf605KkwTe zFq-q9IbhATmo^ta3YI<mDYM{F(pLU+lT8l!nap1;_^qjOliQ8nr<ziU_EVN;wa9wT zTPFC8rE-_sjj4|%r4r+N(z9CTYR+3K_^qvCo7;`K4=tq<>sy{@wa9YW`76H>{9w~t zu;{?&#!uZ>Em`z0a9ID@6QDeY`-4*NhR5fgXSdW=#jO!6JMfdKcSHU;Z<9moSoN=R zq^s@W;i&Bm7L8jccuuXN)y-n>18&npKiT4!2>LnvNa`)<JD_cP$dfsKk>EL{im7fE zYae)<9-3z#zf91N^+!=}LEeGzjF!o)`+}6;oc|mumH2&Dcy`O*+<j5XZ`3|Z^=`O- zCfoGTI^Foig5T<YM)hv!KK0t<&^(@a_K<)zev>Qq?rZz3wl7Y3j@k#d-hz7vq)iX4 zW4ph=yU}f;Ipb5M&8|#$XPo1Cp|-$dW01<Hvko_pdTl<jJ%c4wIsY2RFS~n8Q-aq_ z$=f4(LO1M}@x5h&Q_^czyIrU&ob48{`BScx<NKrAvsps5?=KYmvZiLaTR{J(`qJ(N z(oc7r9OAUU&)f3tq0YSb7de)Hty%A8@%h<rlS3;l?yna-clIY^Z^5Q>{8=rZGwVE* z=OlbSEcLKww){no<q!U>aI^4!cHE@tRF6FSp~+pJlk1$6SDgLfsbJ%NVa3N_DaXG@ z{j*ulO4u(Jyb|$ejoSt1kHu1su1C*jv&i!0U*~uwTj!|!BI$=~?}B9yr<*kSwZ>oL zcqLZns{F$3M`-T?vxnDBn);gKuXDWOuX9#@q4mSJcfqj-+fAC>dhWALS}giPws*nX zN9CqXle+F-=7`d+i&xf|_Jg^1f$}4M(?gtA^(-yvtk0kPF%&pv>bL%<a4qliYkyX{ z-8lI8e8Z;;N(;`L9=a#}p5aglqjlDwR<|2^kLOAy3ip&}w&-g83sTNe_!usgIJ<5C zHICUXe|p_+{Cb#g+B9wYe&$1yxm<PX*9)3#wz>FK@XF#Ez6mv5jcPBm0~UPRDdo8M z)MV49uBpp2SbEj{uP$|vJazetX@+~{F1LVvpLu#0^gf>{<!F0;vq{swdFk0Ksha06 za{Q8?$KCRqU6gnJazT?q8-9+(>{^oZ)(dVi-Q%FFAym1ruQ_d^cqYqJ`T6Sww>0jF zP}X?#gQ<7H%*U!yj-kiBO`FVmr)RPpmC(P=VPzb*P;iPwjiP{?n2AKqM7Mw|pN~3J ze0E%YPTTa*dbS@z3SVqYe(mAn*vlenAHPbl<X_E9w;!qu@#_RlKGwAAv7YkZC*)!G z-XT1bB~*F+HI7|Q`=XRrG*tAuUEuo|)w|%-!)%kLY3=K;a%f4$Ef?HkS~J%z;LWEh zg)c%Tdu#T(1^oTQ*1LfFS+A61>}m51mcP3BmpHg$_XR3vRQy!yUC{D$wrNw?wET-4 zSyA`a2!7eN$5nYnSmkQB3t6AcdKWx<5^dVFZsKi|rcV>|FLV5I+!w37g6}6^?}F!# ztxcOA_5WWjXwq0S-7P@k<6Vazi=5q$d}m11aZEk@J&UE5_x^IhD~2`u-7b9k!0aF) z9B|`9^Dn6_rhg{51zh-WSjut!;r<sE8q<CR*7ELBsq;}@G4Ds=Th3lV`-STrV~_Y} zwcIcK5bPka_QzBq`?ZfhM9bH?DgRm2KA-i_<;MG;J`_tm47d5S$L&X~aQ=0U{TYwM zO%CPH{NdXBL0hfPQ~6I=XZ$se{XvhyO%A=E{3EpY!)cW|U*$jlI^wT$obsz<<|ya+ z<xv-`tfBE!w|BwDC)-V%xF+Af$nndqE?ikd;iqly0`n)|O`A6L+F#(fW&STfdBx)& z%DoHBpZ7N!@Hal1ZGVwtR`$OT<rVXO8uu=+es<op>C^P`43=Ka`h|j1cI*jO&Pc4B z?iSGSX}XkS^U3&37EzV@wSpxbe|p^lm_BV6+WFLPUitGcYpuCI9^TPw-PPZ9<nMpK zh`SvVmrMT7+~D#v@Bifl+dFI4tf@Wvf77Qu?9=Y;SRC<AHUHkuO!vZV->2TTy~I14 z<CA^IC97v&J_>8JYyWoPlPLZ*gEQH!NA`37#kI=kq)ean>|5;CFI)KS#AWp@ebTkB z-U~g|Re4wSEpZ}q{gsV-N}qHTK0cS1>${~dvp0C&4qwUBz2RE|?Do&DEqJor{*}ZO z?|BtA#?vG3I<fu<D@!|mO04UHwQRwftc6Z>yP5k|ifKLiZuKDIveVH&Y2O+rMz9?h zdv$F0rtjTfq)I<U3aia2{PknsqadZb>Q6(I?2=O_KKfX{dcyxixl;em$CFlP9iLhh zR5bPN4h{9bjwMe7vLuYxz1K`W#w-4E%dy|{3ZK0wR95Tx`8q@1{QA~D3DZ@XUw&*p z<|nY-JkmF{#`lQcwys^DZ+RTE^HPak!M=aiPGjLmZQq}W8(FIz)p&RGeEPdmLxUOi zr(+VFczW*rN!k`_zvNV={=ReT_=5{?&AuLeOf5rx-#sHU&)=WePTvrDdtCZ$lD5zm z`~0mvzCk_PBabb6!LaYD(YB_#L%Gv7PW=+R@2t_buDWB}qmPAMKL3;N^bM(BtoJj; zqbDz7{<+s9_Q{F9BW7FY8Ku2xx%k+vKTGc8bK~QEVfkJk3%)-*uf#hsdCln+S(!(d zzgDo@Ua+6zG<)10_45|lPWKJh{*xBJ&i~Bt_x~49S^iF1Uvh-I`pFIZ&aMx$-fEvQ zTz)+I_?((+n#(UK{z)us-?!K^^5(*%N7oa-v&gDFbDnuW`qAb~)8pUYNH=$Vn)Gb; zMxQVBbL?N;5K&Z}JxyTqeZJl58k)<F%bwaXCn%}!zJ5{5R|f(41}?kh8*GXSe(#P^ zpLNUs&gr5?e@R=vmX2MQzR%OzS?2I0;<eS$7>{pWqV=LLz1}^_jyOA+dv{RFk2P06 zEYTBHRI_ORJjH4CcE?pseGjDH+*3IzWi@I2)^6X>i-9$ZQ*%sZ&zeUb3%g{v=cL}Y zMRWR|o-NzBQfk%H*}K18^W73)|4-@C(nk4k=KX!;H?}TT^7~uqef^fAn9|ojsn<^l zZ2r%7@9I{gBTLE|-oLrT?Xc$#`={%%T25b%Da?zwv2eQaK5NfkqWSL+m^&>glc}Gf zE4I+0IB&av<eBzUM?DwGnz!&zj@cw@KIONU-qpVBC!h8zr9O7=Jy7p@QT_KLBiZxs zMc&K4%!`@yUp4%f>3;3?y)wTKXZ!vsGwkAtpX_S(Ir?Z=^Ojw6+CG-;W{i_v{_syz zYEF~i199Et$zP=FP8ylHs((5?ZKG(3(EcRJXwUsm!*`qfI%@s4{EzQ5Q7yS^_doxZ zo&Blf<@_0kw|vl^DL=Wz;{pGlS$8*W+SL~IlXv&N)tbxgKSfX5C~DGl|9#N@?sqmb z_rJZwW4F>yRr%*`y;P-pPZt09?a`i}Gsz8P*6BmGuFF5Hem8MqSD%W+yJr=9Ciy*m zDR}yUmQ`>14Yu&h67w>pW1RmV-mKFeX8O0&e$$#AHlE2pS2LY{Q1r|A{T5!oi!c9d z%`KR7?m_IfgPc~A_iy1{w_yJV^KA#Gn)L18%DZmS$3Js(3ru|<yw7dUexWlj!}8Fh zr(eS7rAW&x-~Vyvwu79%to^s~`dzwdbJWbj_5XvF+YX9;*>7{!$Rg11{}an?2Md3R zpWn*scVYieo7)dm{<c4N?c2odchRN&dG{*ad-ERI&42uX>GT5;-=d0zsRgWaUw=?K z{lIJ1n-4#y9Sk)&`tazqgI7(iKIGPE&d!*1*!xY>(HryLeK0!xz~XE{#m>}%rZlTP zhm0&dH_Pn1U}WLCS$5wEqa97pENV8U7O0*rtyr2`AUgN?2UU<U=O2bnJ7{Wh|DpHW zrpZsY9C&zIxB2mw3lFt*nuWKVc&M%0Jh^1w;qXYd<ry0fuhwnWe!<kfejCraC8~Vu zH*&AjS-zC-eyZf0C8>P(vnA&&ddhb{T{6Z|TV~&VqaBm<N`5e&exSAM#6x!7=G8Bg z+T}O#tXnvh&pt;yCe&}b#h(?a1#`kI|IA1&XwobFQF!`+O6;=_!qX0F?z;0(9b_3- z`}|Ej>y~KC*4;AN(Y3DVhve=Due74xe#oA7@M_7{!|QLeUO$rAdpJLuO+91n;r9`2 z>My0*?{DQ<w<KHk-vOf?UDquCBraLUz1!ibZpqxk^KYpJNG|`%cRyEh&Vtvn`;P1F zST)Pc;?J7Y0^Zz`A3Ht%uaikS68(a$-M(LDO6GFm<L<MM*q+;VYU{GLypkWux2xBf zaSNABK0M#$S6Rw?zEj&+?!NiZo!9<%a!L1L{n9)3uCra+ckF+2!m)hPwhsOF*&AmI zuN1rW>Vx*pnYP+d8M6=Tmmk=?sWnej_jN<MFZWi<J+{03)$UG};N5Py$GCW+r{SSI z@xvy?6HkimI{Z-I$hu2!*X4(@Gi6stz1aU~?Sz{fceTC~a^_C1e)A&OsQ2853&-^C z+<l}xJzG?!|FSLL{shT63#yNDZ0GU2RGSnK!M6NGo#8i~X6+Y@hDUUpwO=~6$8Y6X zx2XL{`(2|Qv*g6&%T7O#sygz}{7sYa(=RIy=SQ*~e`#pA^n|U_q&GDMljO>O)UJ-$ zSX6iDVg8$>>=&Nx`?q$9zJ9h`=HER%Ge3W88^iNjW{&4AYWiYxCe16Ym=v4CYWw^{ z%I+IpRrelhhHYGFky~CdEjFhquApLDY|f;(l8V0AoUXW{igmF$tb5;ls4Uw!^-I^` z&u@}ezX)xAe*0+H<!nCv4c%)OUYCu_5RDG>%eSc67n?KZUP;Bq*qo+&ML(EUM{K-M zd;4K&*+$bZeTSvjCapgGGPd3P=25kmw(a@bx_vMH=DVLH9_=V^vFE1Nwq<kdN`7qH zQ#bLjwLtbdmM>2}D;qvOmE9qe8{M*A{$IqIy}KrL&akLcN=t~<bn@A0FZy}I9=VBm zKVDnjTDjD&_{W4=W!u~TL)G4{t9w__rM&dt{f<lT!kw&T?7Ui+{!f}x7QVmW$BBCr zSNz)@dMozk%MTY<Is6gTe5?1S=tqa#r{f--WpAeQg=?5y?fZM`q1Mr`t;ze#eiR%H z+mgJ$a7Wa}sc&!O%dUHQL5L@7n@FwtyM*J@a#n`veB38~`a<9D<r#}kpD)en40n9K z<4?u$Q|l%y&YyI8e%T#+$LG8LgdG1n+2rQa<<{@!FVfVjzqD~%*rkx^^It^VoNDwv zQ?LG7;eQq1@}HjHC!9EM^lPzl`i?(&>~n=pE<ZK5elcfBq~89kj?YETmi?UDx82aM zIOgA-t+TF755F8Ueg4*H!70}-R3?Q)pPdl=)a3lrnPK_=x>U}VS9ZqcbcyZQb46?0 zswS~rHFINgRCS9g_r~Uk&VB#sYuU!DTW&n%4cmBiYDwei=r>8LGdfR4zfD@5x$^Yw zH%ZwUGf!`iI(ltM#?I6Kw|1{xBC2=)?o|GYRjqm==aa&_R%9&fJ|aAGdf{R(i#VM) zshQ<lj;u*qdRqSd#f$aQ7ghD_Z*06CwzDR8ZPCxIeZSRT1WmvHdPn^vz2cu=`+hHf zQ8fMjiyP_Me&IX*Y{)han54Pu($nI#Y>}I0tt+aW6`LcvwybhhYz}Yi+fOB{Z**}* zJ^p00`-WH4>rX|yZ)oj0_EfZN<JFS2r^Rm_y>`KBy8foFwK~gR$HZldOE3Sb7oQ|P zd%^42xK#1kOL+C-v&3gF>eY)+6OVQ@-%+zOHb*tL=qDG*oQqGj-zKeosWyH6rtY;1 zXUE3nibjX}ZQrryn4X#6?AW+$@!1P>_2QGoXD?Z+7oRN}ExSBd?|z2(>;=)W`%Y?Y zQ}fH!yPqdMd%^9PeTVhTJh$(zSst6idiUX{*s_hGCCg8Lzi~9|a((Q+>w4ST-WC0{ zJ3Vuf9m~GkPk-xnPY7Q8No?2gr|h3zDY@SeYm5)Lubt)_tEGI;>HE$<0n<+j6rcYc zTc?xn@I>OZ(VzPzb_!{3v8PloI`QxN<1zh|$F>X3diJ-L8Xb}OnK)hkebD?L_8;nw zJXPOibmfR{-Ib^6yMtO@OgtV_w@bTv?mzvta^*i~u1g8J>EyrTPfd8&imZj|vG?X( zUwG2Cu1<9M@|e1tchxV|me;T3v(??V=G{O0Z|3igyp;U-wd8y67miqe`-A7ZTHp1@ zh?>8%+}QGO;_9R&_U}JElV7s*{m-(GN%#J`3jS=0SoQATq8(TDyUrhcr{AUiSM}rE zn}1ympTvvFZ@3#T^8Kyo^!(d@T?^ggN_Xad7hfIy!gQm?{lBgo57or3`KJ4Q{i&C{ zALm5Bi$D6(_v59_Jbo9VAH7YGoU>&6QSF097Ow9*rZ=V*sP5H{W1e;}^vk@8A8(r; zKmD?=BJFLHFz2rWPs??hCx2OZ`h67J@)yd}?{B{Kq-Xhy<mvVsy4No7kNI~&Z`&lh z(x1w^Z)p8G^Ryon`^D4kH+HXGD63bWC93UqTwJd{Q9RnuI`-ckJu|=EG4~S1XD`u@ zy_YFId(rxsd#U2lj`6$yERD@kt$q9{yli9Wm$j$kpNqNfd1ff=#&7-m%*83Qg-<Gl z8#J?-^GSq+&tGt-$>ZIEV|6E0ESvJKl$5DVx^5FO!&zgwN{~g_nUkf9kM~VY73uaa z-RkSBzEtCQ_Y;#Z>sK#l$~3t;S-baH)hW@oKc{6rwHQ|X*kF;Wt?Ve6s<JXba_W;# zl{OyxjSIUz)vlh^b<}l^?j&W6ui<VYf8|lPM>uYgOEzO<V0gxaegb4teqvDy%3)4( z17p1p8;I0?50ANUT`%v~l<gbK0wkhes%a=GdgsM%$Ufz}YIXAeyCq%1HxFlUJSix) zKWBM;p}6Tysgv6`oKa7jbxvsFx#iq9Rv(&j;(Jc=_7^kc@18zpuG+meYg<I+@oA4` zlp-dY$nEAh;U;~$Mz+<<zrsoI*9TQ?`M=u=m&{l>Q|tbb_Mal#)m5&AO_F=F#fm}J zl85ch@3<fqx6g_Zmpp7FpKn&rkjYA1^<L!OBIe~yPxPMIypQ6EWM5e9^n=$l>8^<D ziuXmC;lZlgPvmdX>A(CZNRGWCj5qLuYevbltyMdV>hJQ|GBqALbZ@)qr>7|sRX0}j zs25zZX}J_~w|V!iNzY%}R7W&Ue*c4+bLq26qrUSzyZX+}$+>K`IE7PK`bgW_R@r~& zJAW0(e0_8y{Zj0H&v?}E?)p*k$<C9JLBW%efd^$fN=05M=!j|138M_$4qx^ooiJ)- zU|?VXI$_j2G0EWAw<O=S@4vBmIxMN=@v*Kv{OVwto7msZgk4RuzZTC47c7;PWAVS+ zsTWr!ol@z~mB00eM$5PA&-PKjcBRheZi`90k&+m&cD90FuZZ~fuW=Th99E{r8|+`r z|JnOURzj&|TF3H5dwM=UKXEsq$LP>`L4z8`T^o&K?-)K%@3RS7>LhHt!>c>DS?X<B zZyx{6wboM|KhMv&ZgAQ0nW1I!-2TK=E6a!1&pL~5cS&MjQ{BBMG-Z9VM@7UmmY1Ge z*9E?r-lD(c-*(-;#o0nuGKGOUT0wpD6&{*QdTumL_3EXkCzr4ARNGpxuYR$%p_cgr zog5n{8wqocqP0F(k~nVt&VLx6UA<m!pZX8RJ4NFDoBI;XRU!n_R_u4X+r<|oyW^?R z+vyvY7w(8+PAZf7sE}0h<a@(~7Xd$6{Tmr_wF{VD925FqGV3?<rtaLtS6b^%KU370 z>b(9no6b95hF1~g^E14)bdq-8-}&fJUhR?(U0$oJKP2zts~1<cmaKCPiHLak>24*b z!j0QHF>dxx=9iT8y?%A=qGSHS7;(3#n^{>B=H@4F3U>z;hrhb#Qs&(w9hk`<?_J=q zc>Coq&sPRaye21Ebotu$6Px<{K2H-@HcyK7xVxG){AI}8<aGPJZ$*}Te&fQywcxE~ zjQE}9y6?251^3I|SP`<(aMPVB(Z>&##=mgd{P6PBB=<j_^8(~T&&*R>@JCc(I;*VA z_V*g?#(S3jT_>II(!99s?ujE(R#$gU*_eLr$Z`#@cc0$x`z?`Fk?C@G&j-&S7v_Wr zw{Kjv+mn_rEb0;F`x_Lva>LJ;sSc;-sE9J&(OIVWuVL@ZkCT6d{!4sU<Li3GUqsa8 z=+4HpeO#sAe45)feX&@$W$l+=HcG!T9^P}-R@aJ9oKx)}B-hU)G|9pFy~>&A$z~ia zsUNdcFYi&*&emMyU4KYOqkQFco^5B$Cwz$Ve-g^iqnz3IbtCi6gtaRecD80u{A_fT zZRO{7zO5y5*XOUk<#=Jn@5GN^%b(rJF=Y*y<*;EglYbUZTWW^v_TW0-e;$*zcEqka z(U@ZKhxeM?!pQUdD}OOwTJDpS{b5bsmMov6Wm$Qw8L{feH}<N2-&N^)VfBwAhK7w% zYb-5Ki%HA0c$VC0n|AGGoQ3M6AC+HY<F<dc`?=J7>gBRd{;)?ZQlAsEjgKt9ve3rw zTgx}i;$y}M?oC##48M~6Qc_MV5}tEj>El<;2iCti59xoOs&kxOT0*(8Dfj)cL*Iqx zGC0hCpP}w~*1U7OnVrt;Uvc{n=|-=*RovAVo_~=4&n1??)ov*%H`gz`f8r6_|3t}I zneUf}Hs*e~W&E+1x%-+e%hZbE_S-)EyA>X>E%@4OwzKf$Or;xU*9#}wKXUv#<+}}A zpY-KZVK+}?`@h||%Kx#?68D0=&UL->r-y8rnVR*}_M`sugTLoF`qo*O+<BP3fhp#* zae#q%(TBpeJ@s5y^w(*fblJPl+0yFP^ym8pt{HQ@?Q}oa=vb6J>+T_Am+DPn%S?}i z*sZaXT*TI`wtH6VYR!VaC=v1fQHNN0F3t<(3{3Xh_p;gfmjB(kZ!TX~pYFKk_L5(T z631=w56xq{o77n)aiUCKd^VS&Z&S+uHIHw6n0Hj&cg?bp7f)xEFIn}`?5>)zM=M{% zT7{Z}%MY-%^UOPx5Fu%OU@5otGi4pyRT>Fd`spjHUe-266dk<IuX1|U%LxSz>lgxV zgkMRno^UB=9=G4uETf~BopV*V+IO7zk+)$_fk$|1$NZ}&glZ-${cZ{Qc|Lkt-?Biz zJ-$n4T@ZDRyEvy<s7EOEnxNMVS4qay_s7Ne2s^$!aCcg$^+dkXfHMJ~4%_Koa1h|l zHN2fSk2zn0^V)@3t74BFnHQ*N!Cu~7VwTHdcv0Y{aUCD8H2aNf(F-RkRGj&$9`^Sg z(-hmlnN5dp-&(`zzx&kJi4JjrURP(U`!}_%=qa1LrkXG9{p93?Mp0$!zLYPw-z7Ot znBx39#^w3fjXN~r>}RC=)CAuAW0Q07;+GAD-@8w)*q@y7{Dw(^(?;8qyiJNH;&Wpk zT3t3>U88Q*ntFBPwU;LTk1lIz$h<e3HfgSIp0T_6Gg<cM4mTON?oDS+S22`X=bK*E zW6#2PgL{orOYW9?Z$nrjte#8_&$;p}@A+c!j(d~uznj!Q|CaNdbA0F9>pzx#v4~sp zb#|8JBmIvXj#b6$6#eis2&*+a^ts!wMpUxc(d_3TCZ0`a^Op6Uu(0Od!tMP3^Ut90 zf6uZOGIz9pKe4VV`)~W5^(%KzUvr}IOIZB!6YDqGvOIg9d!#^$ug`h$=fq;M!-*4@ z?2$auG<Au7+#JpQI;+jU-Po!3_t?MrvZ1YW^*-1APX8KwXsIPjDentq+nF_`{zeWq z(Hq5dragE1tI*(};j9zwYxQ)7K6n0a+mj3Vwx4^>-uo;jHFD?D<4m!M$4;1ix9S&m zYTDV5uMk*JzS8=M+E0sYM(^Xz*3ZmN=s9!Qvre;7aqODrTi=+R#%wp?mc>D%Gkcj7 z8kx2RwL0wa&y-e7O)uMYv2)VAH+!!B-hQ@sqqtO+0`u1|2B&A7c>eIhBcFnA8M>Mq z%@tN1`)tJagNapT=lyeAo?T+vymw>z?)BA42CjL}R!lIkxWSX~*FI`Sf?%Y#X}7ni z$}6*thZavzKFqsXd6hd`+2l{hTN(Ze8p{6f6KmhNHYU#S;9^bAQ&#hSByQ(95p_^v z|Es^MJ8m7g$y%nh#VNV%Qv1yR#cC#Mf`+mNtA&k<&KES_GIW*A{32?aH#Ic9=ktsI zi;VSWYMz`BHTlP#uJ=<MxdL8!?M~~H+->xDxv+F*Uf_G_Y5Xl;1=5PHKYhR*;Ikp< zv8MQqXr;q8UkthP*ElT?uS(E0*5=y3kEO6GJn6vNIWpC?cAl+yLEJvBPBA}cTwwdx zKH;u~&8aOFftuXRhH{fWY5r(_d+cwv*M&vFU(06hs(cag&`Yyt`X>QXXSuIgG3hB~ zL5i1;1srd`QZ691dBMZ(@N$Kh3v3KRo_|zh3E^9Q^GV9d{&t7!`F}K5pFOy-k~PTS zz4f*8bNe&zZ{q&&)?!btT6^x?I)gl&h2pK{6_)?Y_G&*9KeXb8fXU|%3m@~WP1@T# zCFo+p{XNfwk8V21GHa=P+41jY_m%~$=2+fly<n5m>xPWkt&h6BjF#V0E7<qI`%&HB zy}YY){s&)OvGK;af<=eolp<T3g}k5ptMR?R)5CtHL0Ixb$6v!w|Ap`Hss?J7UYPu) za~aE=4H7m+@!4G!&NCDZKCE(ke7<SUgDlox_5r#L98vC<^QP{zy1ZhY+12j#?^ms9 znVP!$@a5DAxn8}sT<Mdp?O{1-@Uv$DgSBprWP^&&ulxm}pN;oCdKbI;$){4yudD3~ zt$bg5=ExYhv{x#Ih|5ksx8?X5)jgc^Z<I8e<r!@|mb-QDAuA;t+qKuzx6D*oendvZ zrbSnAtz$;&ECb1N=}WFCwD5MD-pFhekUEtdCLSOiFXIrgwCL{slO+nzwWCrqtY5B? zy(@U=#mZBQCOm6ADzfEt<D;{mPZ$esxyZrc+~bg}cT-O&Nn4|m)x%)Yl4oYp@^UN@ z>Mj4Y?{M1nC@x>T;q^b!JoQ{-w*AL9R$q#~CT8_d=Sj;3v%*DhuG-gGsn!V?$lZ-+ zVA#$Odq3vc%Qnk?O-qp-S$3*t-|#I-thf-RZ?tXkL6PI~aWgJS<{uX-uJUxd)pAd> zV&#MB?S9-Ttp^)RE6mtdPr5R*U+90N@?zuGk}W^;T_$Z!U!Tug?sCd!QqSb8LgH&K zx!bL=WY~7&SQEF&r#HO|I1*N!WtXg3wd>?fZMj8W=k-f=`-dL6t6zO%(q{hDr62Yu zmUQoEW|xs_wRGO}V8!3hf1b{jycQ59<0Ny)Q_l2O&VifnPfv6@;}mh6FaF>OeRkGg zVN&A0mduTTM-H4gU-z5+*5@17Z2Gv*IYgGKX7vl7Re7hRULAU2OB?fs5NR__jS|CW z?~h!1?+~$|;;&YI_sW^vwE?T@XS+X9JjwAUuB*Z9L|2FY!T(o21*A;AP|y199oL3O z3z<U99Sb<Wi|AD_{ePwVOkC%S^s;l#vmfXC8l;+?b${F5KFegPV+6Nx&e8Of6T+g7 z?R;;Pvzz18oD*A>)B|0+-f@X4UuALJR2+43-hcahC2SkBGW_<vQMgrUR^%jq_hn$~ z-#LG|OYe9uaCeVrpTc<dO5Y|ql@C=)@!N&EHtBu5(_Fm#XWaRXek|7~ZWB3rD$B)w z*}giqy}y(<7oK#pOr0gl+uG+H9-^@4)zf*Y9*?=U-}Zjk7<|__y2)5xdHoYT<Km<_ zX;wZ9AH@|h&)Tjpa?jGH-fq_cr#B0J+Z|Fg_;Peo%@nTa+lOu@znW*IQhV#pl4CZX zR@NKzvOSHSbMe!u_a@!j0`&DHt<~Dr^zrgWuH>oCzrSPRoj<u8kLq20jL+BRq;8%b znQwmFpzYIamkm=UNTfKVoqpac%$BOjwdJjRQCH)ehR%s+d{f>PUNPUD{gI*g#DYz4 zvKETxt+aYEE5&efE9Z`RvpMS~wyfEk(C2weznQK2c(91&+Euq&_I}y&-r9rxf$Fk} z6N-&hcJ$h}uuYGfU>fo~MthN5PJ-;bokeri-;2~GewTb5z57qi#p`!8w~HK%)ZFks z*-ENa>OhkF`EyIulfK%2c=T-Z?zKC)cil_9)t=h5s6DDGrTF^E<kHWw+m1c3xY5&J zJo&|^tEV57O>B7obCO7(+wb^V=g|J%#KYgyzO9dV#q+u3=gD8Z`!(1tpPV{=@Okre z?%s^c^CjfJzgyg}l(BYtFT=8%U)x0z7cAWQ<-@gU5yt+HOlE8S>&XmQUzdINM#7t< znE{WA?p<ogPu|N};@~G5tfdz?Tj9C!Gl_Xp6P;FT$6r||cUYeL%Uu483GP2bzlR5< ze7^5JV_DWJ=jaJv-w9lOm8%~r;(yWe-tWRE$$yLH#$FGLV48d5<kk5HkLe2jn}7V5 zQ%zNqn$n8OuA)hyE2XDxy~uOfKi!~GU(aUOYl%fWjTV#yt3)1S`1j$nR7tl=#qyGl z7_ZZNHeYwQUy(8Ae?zmP!1JW*JzpQTINew|dxqDW4b$3KOMjFfSXDgr>f*xCv@OYp zR<Yl|7QJ`X(cMCMj!E$cR$oaFxnq=&>tKB;QfZ<lH&<w$<eZN(3yt2gOZs_N>mEBA zT`Cv3FDGF0iJ1*dr?M*d?_Ox1Ub}K%&>FqDQ|IZeyt}p`c8e1$TLkB}*#WcrI9$)3 z?iJ6@%)2$gxZ+5Ps<ghc=*x{qQ<vP_6c%W7DMrBY(yI>(7e(y9VKiZ?B}drOuZ;Ji zOy2E&pB*2rcX3k9?aWP<Ei;@a3254Kqzm{w+vsR}?~b>Nf8e5(v*$eCVmMz_h%0be zaLXaZ$Mc;%^;WO?$HvGuZ^J*ma{ivbHoZF<q{5!MnC9zb=q!Bn_-5EYCZWZbwjFS` zE)<B9s+66>x{0Gp|DW5WX}6QFoon#wyyB|;_SlM04h>h!zti&Hl<m5+*GWICy?^=S zzYo4G+P9JOG}qVF&C15PDk_!*wtv~!o6@cL?Xm?vOktmVcJl4gj!n0!cl5HgZ8z|d zJH4(st}9|rN8YrXL3Kr+H)b<M9Oycp=WNaJalPcuM^b7YtT(vb?&n@qj=0lwaYkld zy@TKt@x~ag3XT(7l$iF#ugoYi-zhk~FEi)g#qeFC%zKXMyCvQ4Oj!RjFy49vBlF8h zzqUh`pDpICSt#|4?U8x0Z;#&1^NAcwE=WYOf8}Mk9&LC*oO6HN*~qA7IpaCWhigw= z*gSzZJL}Qg`RQ79OVfp4c74AUtg$EXlz3%|&|Ow(ZnrCO;?wrJyShK#^i)=K`-0~- zshswnYG0amvHg(fV=U(1`YT}h&Teg~jK|9p^yc%(J#y~8JaNksK9Rq@XRIc%_!KwJ zUARZ&DmP2QuDvsV7-%pUm_FTCl8}1y!d%U+Z%cIqln$_LZ^}@wnEE-~=!oX`rQI{{ z3*1jzmwVYWX^Yd1#hOJO&wUH~UnbUaRwXGgvt_jP3S5%@GW+YaYu%-<3qv%{8(5ew zw3?=u)4=WLDzU*z)3*4V*i64a8uwgp?oxV?ck20W9q#+itxwjyGh&Q;Vz=}B?v`B( zY}ds1FZ<R1vF_SA{hcq`Tl8}B3|F-s?De|x>%pXml%3jTpJL?vw=Pe<63~@>>g-!h z$=EMu`8PA!3R_D;K5Q!$JbohD>rmF#*0W6B26<EFKlLgU+i`rArr1Qu>1_A-IRaVh z7O^b6eJDpT$3V9Ea`6m?*`=2*7aW*>ihbw&wb}pYzFo&WF>2vkRxTI0dhWvTzuI2U zdaEv}xu@&p9uErLdF%2Nnd1>z?z&Q6J-BL(8v_>X{rf1Kd-0{f${)LLt$ANN=jP$x zuhdfZH7{8v{bs$BWc*{lD<YSrC#{-oxh|YDA>3)F^!zpb&dd5H&-&O9K5K_m%X|ef zZ{}^Q-%a@OE&G|G=GPk2?CU0KlDctMw_DwQu5L7e-?gQ6#gdDT?QFZ`9QG}qF>&3Z zJum(pD^HkuxiFpc^ljHyf8yD@yC>H=EQpuhaii>UHBXu4V#&wuNk7;vv_i5Y<z_84 zQM1u_H92LTn%fTP+=cOf=e{qVvtXBYz_V?i_kQLto>Oyv@{yI67U9vF_V>R}n(=zs zW1b}|)~%IXpVq)NVTwcd+P_s5Y0vcZcj&%b^K}`AZ{t_p`0Q(&Vk{$7j^At1Hdw2E z@?ZY{%&YsaaII0>o~F!j=M8t(f|{plhL`>Pe^06D*lTlr^#-NX8J8byut^apYravj z*S)($dhu-ym#kQ>6^9=fKMdNnGJWQZ$4?kiB-$rb37(ko^>D|l2X0KRq0f7`wsxHJ z@>bj`9L>9WA)kuX2@#oh`!(*`Ff6Kz4xYAZ!OP-n6XOJ<PM($jUa@-l*)<ErV{T79 zV#`{QVDd^@p<`(kYk019^R?v0$DZ4G^Y-q&yEH@N0qarD&5cH4j~Bl3TYE_8&cW=( z%g!<!axY%}zjSBf#t8lXyNRp#ey7DP%uhZ$%buOPj`4!fgQk3@VhKh)$9MJRwF~+u z3upTa7yK4m)g5?Gg)wG@<trb*%bqenD^-d;Q#@K~vIM>uygFLeqqFVY?@o=pN7_cb zkN!kAOkD7ChwBza-RMJ~`<J~~Fh{p~W@S%ogI}y%!4&RK(!0Jp$%&WS%-7jr(&v`( zl<)j=drp}Hm$vY2f0@tko22;M?mDm8lik0aPW~{tCFc92diy4xz>S5UXK^i=Wj{Ig z`+SB^SB0NPbndvb?`!9E?$wr-8BZS+ReK&t<t^!15y<>)ZRi^Zc45}Ty$du%xV$It z&bcQj6ui*stj}lu3p$glmWuA)=zr>!p~~$e4DVn5&6SQ<@U^i2VB{;lJNej;Z(CQ` zOJoFChPYjuwtWNRnYhdBRnuLYzW-eEBUEpOMfHQdk9q%#`SO|+cf{{j-+94+?au@e zF~!sWo-xijUGIJBhZjR^f0L&!b8=jzg_WxARtMkXTRwj4XozwX*uT2znV!vwLjGS- z9(nh>?(BT6b@SUatyI<fTfRP2*-~|W#*}~OQ*Jzq&)YcX?}e`%)1<3{WA)8mEDpYT zWpDW^SsO1ExrygDtXWX$>-E(>UL?-Vcze~}69M~KeR@6y+XPQaHT0Cr_<47V@C}Rd zE$PC0^gFKkwJn)DS3zn*64OOK9{E!(UE*(~0^b#K9)7=x>HI=z_Pgzc3I_A%KV4z4 z&UZ@_*EGFb>c1s^T4-o3IHhOU`hA)By441;v1})V6AP;LUjDP<HN%YO%UeE}oQi9H zQq1iAUh2If>z_wyo624sY08{ezpS<}zpU#0itMGPNfv_3h4+R{_AYa~d+b=socNu_ zXU_O6JIFEdo5?EUz=MHJAxmsGa=2Q~?Kr(VNaE2=x3q4@i>{i*cdy*vd8ATts>%e9 zo6n6;e+gS5vHDrW^+{gG*Dm$5bjpsE=esOn9T3CL^+S`RsypIw&9w{$!H+Th({w(B zyR(HoPT)=bdar-w%9R?eA(KvNzj)!Cprd@*(e3%;zE|oiH5d(LZWxDfIJ}p>$9^)_ z=i;*U&F8MjzOafqX5-wT@abUDqgje?Ts~DPomJ`yHn#L(Z}(=psp4OA?UlIl(Y0%C zY`OByt3Pag%b8Ba_-k)22aB+E*(kXktu1j|u<mHxou41C-Kb-cQoSHH=ds514ZF_p zNX_PZZf}0%gUue*D5it=CqIvybbgue=9sMqH5WIPX~_60D*MYn^3pbadQY&{^`~Ft z9gi86b}>3)e`YPOy0C25@fH&ShpHYY!DXLLCf)e=@Mu=)xvx$-Z&i)j+f>&l%}eX* zpPX9#y_av}?fA!Hx)TjJPPEI^znRDHt@=A}-iBc2Z>MUR)RZDLeOgYrd^7mNm6z;u zW$SahD~dh;1Pm5GnA~Ev^h(*?rkLveQr2(vR8}eW9NV?;<*~~Pk6a16VD|pO;`3)4 z{jHPNTM92zn{8@dyhxq%^S%Aq2Uc{i=6|$~MJ4gj^<_$Bk=0j9v>!&lc+eeEU2VML z)WqWYlW8R<{!PDbm-K51YtHKD!jELm>OFbepKlhMsJXE2|5;UeeVfRDl<@C=ZJAl$ zhOe~E;EU8Sve_VA`gyziw~}*#JH+1H+N%C(^4n}@n?=XH7%YWtr7ve~-r)bvJ$H?% z%jtg3JI0S%w2Tr3a*y#_RlQx%w<=uiP1ppVvwUn`_C7B>?!R8M)Q{&-%hSH=Tf?8< z-u>&!yhP>;Kh+ohDH2?#C4KvPduFM?${4R1Mg7;k?&^FL`BHr2U*-7}&H(pc{whHo zFKc?8RqmU;%PUn;zRlDByk*L!4|h~l80?L<pX2_W9<xXDs$ET5o1?^&p81(J@8oBG zUX`D$*&BLzN9Im-k9zL*eDRa#qJCt>tFL*st+vd|EoF7AjQJJ~kzKcMdC2cb?>aK| z;w68l>;)G#7TmHf-@yGncJ|V`Q%Uh#vy7uFn$Mrv^?r?7+M#d%UjOZRT{exOXue8{ zc(a4rX78z*PqLJ^aK2XJ2s4{+wnO)-$W{-9{G+q118=Y{lHJ_2jB)+KIq#Qmx@|e* z)9%>r<ozY$*CwoqcJkdX@%IL^+tPiT|Gho1rS#I*f-`fnj_JPHaNB;uyh;5hZ+Wc| z+i&W(ghw<({HocB0?{4W%M5~3+?RdSy&zD%Nyq7yCG*|FORf$#z8_<gn7hL2={GO; ziF>!D%NQqU%S~FiA^36BA&ItJ>vR8=?@PJ+ZE4X~N(fe($83`IH*1PW@|%ZdVKLTT z0@F5^8*YuB!`+|h_-Dqfgo(iq>)C@(+9ziP@kwnv^Y_N1U#iCYXNqjzq-4R$en#=t zD$k8&zH0rRxysI~?nrLlzH26D*Ok?IHoSQ&XJ&4;;C-!;e(u*|gI0CL8_j211KvIO znx^X$)Sl0s__h6uRN*YwTMEln>;LIIj1f5b{$$sIJMprcxQ{Pr@G7)z$a3)YU)eit zEw|Q`iP4?&mmRy8@A6dR$by1TwIMe-Ix?r1E62Z;ExOopz?MPKKKY{fHhb+4{uVvv z6`2KBZd^G%!PH~d#e%sxd~y#aoQ;i>((HEf`7JH8fLrZWLDBu@?{-~xI}g17(Y39U zRrJh*(%cH3re0xwGdY9f+TWJ?Rld(lV|>K)f+K8dCByH3!Yo^C-!Oml?0du1vCf{4 zJ>yw?bNrHw*U}7uld`o>F&r|s%VwJFu;O|5n~8kNvmC?Old5lPRBkv~HPK*Ko$@QA zyA{FL>|ZQ*Z0;`cF+K12|I)Em-DT^NA6QN=|8&0B=&wu1yOSBG%jciidGCg2{M*wP ztL~o({a&~|-f-vir+L<^_pjz!Zznciy4!j4iV}O9;Cmc`>A_FJLuzt6l{YT2O^`7; z8~4eATaBysv|41~vY%VR#eD)++pL%rti8eGmAin+&Y+fOWrvrac5nD>>-y>FI)ejg zTbiOL*8LZFw^{Dai+EG_e2>}pa;2i9S&ru1D-+=o-EuM0c9nFO%$(@rU)F^JcSEQ9 zoJ{qSkZ!(fzvta^DKW7}?8b9v7XMgnC1&$BpgVPP#)Fqz#hC8$`HOTdwbuR`JK^0! znWeA)7gc_IDzVT0QtQrVs&>lGQ#f909D9@P#pZhd%yMR1fw%wi8I?2FpIxy1fYVpi zR~z__F$x4MI<Wno(5mjN{XCC8?cvdsxc1v^+PX=<6wbNHoDZIUW7o<zb)t^Kt{W2P zWIFh$X3pr-u4<VOHh)9#-jdlFC)-`GGrxY8xBL1z(aw98U&4MGuX$G}`_@vT>~v;J zbav9}sj|y1-92e|MrLVJme|*1ui6Ql%3L3utXKIWpAf4oU8C{;;DNI*)?4&hXcuf1 z+B9FIC-JxNU&p{#O7_0OQ6?vLcYk{yz@}r>nz6>E$}Nq3^UWK_RbzXWn8<FjGM6pB zdFA{n*31w#@6K7)4eVjlx>By+7Y&H7_5OE~_2IUx*VES~T=Ef~<RP;pN!ep(#<sUJ zJ~Q7falbRE@kLhq(^=boy)Tf<O@9?zZT;ZaGnxG>7qG5MUU247@O#rZ$9W$M&u#O1 zcKhTvL9Y{LXAd<yJ?);nX6~uKu6E_}o2DJ#uN<p-e1&CVUAricCyR3MbhaYPUB7%5 zw*{U1bxz`p;hX~b=%voSbNSPh-=54WIn}f4=ao96?#Vl^@9>}Z{8VvZJLAqDJPfBd z2;FPFk^TH$!K8EAR^49;v($Sv-!dNj8>{j+P<Ca`#N>i#+1OoX9@m-}^JabCV!Xqg zZ&mZHup2kr|J|_fx@-Oac+u3B6xZ6FQxAXKdvbNoy}aVi6`NN%|6yfhJ-+_aCDCI? z-yX2&4e5EW@u)fVN7&rcR#RV|-!a3<zJI4s9qU0?iC<#RnAWUQ^O&RlOppDJ5QpQ7 z2n)L=hE4bV4tzeqANPpeyt$sy<5!@d_8aa(p<4!b<mb9BSl{V?S65^Eo3vFn65N8! zZ{|iu9<SbcNMm2Q{L-W~FJpBqKeqdST&n%cq_xg<cGtU8kFN<ur_L?sH_bGkYP+?! z&eG-ntmDsC?pyiv&NqMORTH9*PH&m{)jcac*rHc-N^s@3OP{3<S^hkJ(dhI8p<<c2 zjgeo4)I8LbY<@i{{%}fk`$~zp8F|$ooi^>9<}A9A#nbjS@3qiy_DQ=#KPTlgR{Zms zcE{7>_R56?FV{NVjdNQ(o7K8OmRs)OqQ`vy1jGGTcmDkxUCP1eu<YfkkM4C&TLl$< zE$K}a4!`s#i--G-TmbvCOTT|l$@jQ*<n3*)Xt6g8izTFYFw2>RW*+=0nc%~abGy_? zY4?v$2KN<i*th@K)bi*6M^4z9wC@wjvi~lae|5ci(45-~GS-@3xM9%zUQtVF?|iMf zt>zmi-4KwCsdrj`>uYV{pRl5P#i749zqpXTseQ@huD@+}PM*5L+irZ{r6PxM%MI^& z?z6UhT)wqEG03U+=M>>-vnqCKKU~?L^Y~Gd{-Vo2TT<1Qswm|#HEvyaa%HxR!7S(F zI(&AA0?(b;&9BWbm~(p7{zc*QMW5(xoon~%D~o!~7neD6e&s2g`Sp2*@Mb;#g@xPS z?O*ZSV7can)xQ&O?3{S_n4g~I>UB(yRj*BY`TW<9-Jde<o=v!sYBWLb{F{?6rtiA9 zYSWxw=J#e7ZdQ=ly4&39=60SRT<yC&x%;>LS#acI9$!`OUmweuHvi31u8V9B6#m?n zFT-K_+M`8{r8xY){yqt@jEZAYr&CV<o7n#%W?$Em&0e>74{)jG_O>6~dr7m@dHS)u zCsu!dbR3A7C%?(_x5VWg)8)Co+1l#fslPNK=R*7Bj}1>v=X<VF3H~YYL~FViGuzAt zh77%*3#X`OFPKo-V591E^|Zl@um0y&UT@GpBy?tRh;(z#syB1wR!@GWvY?ACC0zFC zY|Hzz7ff3ITlLt#yKfakj!hEQGTF!1vF?X=;_G`=H_unGcQ^E0m+zh**w2)_QY3Nq zU;8JwO|MHR&XJzb+yCwE54QVC#}f_+|FyX$?Ua&h*nIHGeuqE$1_2LEoPBOfKH4=w zz3H`%n!{Pa&LZVmokw?f2(vg{`LS33!AaZ4)%K+>d@kKaTz_X?baP|tQ*TU~eKRn_ zgt<plMQ_K=EiY1ENrYQ=)IZ%8XOa>(S*dVx?!<X#0(}-{-krGMokxZ5kBA$WD<^z- zx@v0HF~{X%wN}LuGamIydU$MUFQ1#r(dV*lreW@H`T5tp?oB$;@_}`hmO@IF*lxoE z=5=4Ro_$)Jx|!|n8IBO$3p12g80>Ywy?)~~iBp%_!d|;CkkI&7Fa9=n!{PeQimzMW z%Y8Un9MSVh>*lrYjsy|GGx1UeOPC7dmFvzfpC-qBbk>viU0h)wXIY3IXxeTQzWsRD zYp3_KD;XD82=3WA;m7gc`(z{U-%NHsDp2~v_v=?tn_tV8*{)N(UeP3dI{Bfg^ZbBw zhbAjXOt`=D&g>kvMoo=#D#y%3Kb#QXFiYaj8OPm;aUSNcW7%?^T(owWyGv&AA^y@p zZJ)Xxm&2L2Jj-u2t0ewNW}Wr<ilWCRo%wu|6`Aye=Wfwl-D#-na5qP}<!0&031*tl zSogF`tAu~p!YnSm>^zG#`!kg!7uj6a4jF614X@U{xE9S=Eb~P&_}&BgiTX?4$NJsk zQ5N{MGb-k)&lLXaJ%Q2+vzZr7daQ9ZFQuPj<K!Cwn~yJ(?0;!5^nZKLqk>tESFhLZ zEu1kc@zAun{Q8!{k^e+iG&4oKuzab%Bh2%%yT?(6ud&u!&V^i%y(GD_LHz9U%_3Vh zik3TYf7IgjKYpgsAisOt%MB6lP90M;i&Awy8ngUwWsj>0*Jb8OF=9QHe9@6_CM5ko zHS5ZYmN1#vC#G-1X4VxantuNtUYd2?Z@aeChA;)e%wQ|IrhZLt_A_d%Q|{WQyX^fT zC9=kU*Tl)DlV2>kFn`fAH?O<vd$o7F$}I}L%-C}$s#)ozzzX+U?yXS_9FBWJxfIQh z+?w|CjrL>3ma~<AXKc)oUaC+Qn9%<%&@}F7{evaXPHyJSyVz{|Cv)}t)sr18zey_c z%0D%&NWYg{5`SOG>LF{e`Dei*?$ixd672ok?<?AGeN}x%#rOSm-s9U&%5*b4ZcOrU zt4tAi7NKqDDApqN^ZQojOM<uk{#NN<Su;r>+u?=``?sH}3X@M2mz2+);g?<;zwUuT za?;NJ<ljGozCAb5_?-NKd%?%{nDC?1Cz^WZ-p~L2K6h{Fnh)E1I~B^OeHBgAd+;D8 zXLqH@)U@flZp=UTZ;8#TFiY!wukBLopICPK9}kU~+#LEaw#If&Up-q}<$8v-S9a{P zzqoM!jX<X`y%n`)3Hh&o@uoap`JuR3IohOrDyNM56Vssbbt$W&HmOeAbwbtFl2_lc zT|W5Hfw*rE|8B6U(CaP}@hG@0VR`sb$-*|F+@n@(9~jGTUAcWRQ`B|A`I9m{W($PB ztjRJDKRNY8_{Dc#v99d-Tg5yYxx(%py(#`=-=lvkyT$f6Ngr0pY)=mii9UWhGh|Db zNl(EHcQwa7lP4`R=6i74Trs1f^jg<s@A{n&C5*L?Rc)JmGAiZtlX*oGwN7d4#5;vg zo|XJZ?AMW(WwSNbu5sSE&PRah)10ojLzc2jJo2Y;?J9}fDD!-S=zYD9Nwao6jlCf7 z=02x_ZP&H4p-#ttooLUPc)0h(Nw&9NJ~REB+A!~BwA-U!J1kTE(tcO+2kp8rr7v#R zFCJd+rj1;8)Gq${Gvn5wH)sFHOn6ipt0-SnzH0B(gzSf(B`35UW#!1N?SAfH^W@Fv z{hxXBoF(ix^7qYqlVG#Iy-wuX0+HGsAEX?vIor3Wm8CrGiM;bBPV!RnvL^i;=_?bO zn1u}9EWf)x-l6Eut9+*vi)UW;nV~|5exA7X&C<-TZ_58!n^^@~E<{AHSU>s3`SvwI zt3Ui^e3@GE(@Q0zm0R^e*ak*}ryBw}R>*!2Roo>WFS7LZ^pfThweypTE+zf^vFM1n zK)k`z>mmL-E`7gjZ2VZMY|SNuZI4QheV@3iQ>=_D?+w5H!kPaiWxlOHwR+jwlk<1o z(YxfdZnK2bwW7@IwzCXVcv`2vJI%-USR~zc!SA4X6}u0%x9f&@AB&ULef&Z`>Y?g8 zZ`<6xXEshKpMC25{u0^Es`=;k-Jf||+<n=0DK<8i+<v)hrZ)Lo-1HiBC3~~IWd5XW zR=i;NZ>4;!%w7Y(9)@>zy1NWI&R=%g`lV%A^6_Y$Hns0xCfSIb-~W{<Y`XXQ2Vr%u z1WGsSUEa5M_tXy)*PJfD`q<aQT`pTxeZPj)r9bY6D*QJ6XSOs+sgczEn>#T&r2X_7 zou(T?3LGt5XA>hsrf&>0iM_Ygwb;p8+H+x0_33}<2^s6-_9sf2Eh?1d)8o2WHo;kH zkwfn8J-ZsdtvV~5xO>HnifxTAbZ;G>@p*$=FTd!tjlU=Fe<-AJ!RNe(RK)YB4-5YA zORcNVXf55hgw^%ZNk@S`mi^}xUjO@5YT0vgo9v#SDO)alY2?aXICEzCVcYIwoU{MC z>rUsj6P5b6C~v~k5XtEi6esRqYd-O~Q{1$~wy2tS#n%s-Yt*&d&bV2=HP>Z_oBYLZ z<xzemA0C>!N>!)45Qww8dc;rQ>i$Qs{PT}D%DiT2s%1R7bK^_tl+OL~L8S)|&35@> z|E9<2-3*!EA-q4hKUI0TNn2mzS!R9C>9L;HpQ(W>o}c`mFyoBs%l|(eFC6eI_qL1G zt-lsK)92pP`I5r#rpxb}*?0Ysjlh*1s*{bvPd`YSDcrhiQhIxd;f0N1@3!oFQ0Lv1 z^6ST~X{+Wa%09l3E3Bktld(!6cb3=xRT24#Z||iYwFs>FvPRSV<&T*kZ|`66@{ael zCoKt83%sg|*I!#-d^Kz3cA46M#+M7OT2~*Ll<PO~FVi8}U-6I5n!gvEDSlt-h>n1& z=mUp@^NMRan+~>Vv^Az($mj^YpyOs~`Qy{2x>M)8eugtHyZO|Adsyc`Z7n@b&X}Hs z9AA|>fA0E!Esv*&qiF7|)0@uc{rLV_`l;e}gK4Wf3o0(0D_zz!eXHT^V%sc<O(ovn zdldD!1VuPnkG=O(eX#rE50~^!^`*KmUxgPv{o6kE+|u9wYGx!|$ywaM)vpttQ6BPi zR@^4hBJbJkCydjSs?+o$dby=mT)k7hf#n{@>vgr#JLYY9+O*9t;hxYl0TnxsfAhC= z=qNm0Hi5H1OVVijH?G_^2DJ`}$K}>v5A1WDF?+62?Eej-TNm+7{(j(?*n<U{DV>c+ zFHHPt=lt8CztQC6#HQ735!3uWHOYPuYSP#+w{^Mrk!=Q7Zse^peReND%~pMm+%AR2 zZ#6#`2QxQE{$BsfBS=|}{rS;giGK`LD&<!~xVprr%C4@@5VKg7=F|3OVPA5(x8_`a zNw<p|7aOP_VSH~~U9j%^pOWoczW49ozyJD*uk(cdS%+3XyI)Xiy5LXAmrK{Z5_ec_ z_{(<u@GgD>{p$|Tt}m-j*56hed4AeCMTMK0ucDRDd$#5q{Xe_Xd70!Tml^U`UO%6B z+<j5(mhhYxLhE_gO}cWsiplr!vGrL$*ygTU(zzfrx2Anr?LI}bBZ;hcUOtz#_@o=j zcf|h3;s3EcS6*lN#4WsMvEZtTVqeDW6`_31dESik_c=ey$lkI}ZD&#TEWiA|dBTZj zTrJG`cpq70FWO<+ctiTM+q0Pl7rmA~X=F&`*Ah{ZzAZWRm#xV^m)LrS28+25KM8fX zZFyek8}~2Tv0(2{^<{r$r}h1l5=d=+v`$dXd>iA(d1^sc9Zpw0*TvTAG!^KqK9=>R zq(^MkQ?&_yx~w9WNmy#7_;&MdyQz2g(W204F2%JkOB;JjQ=MO`XT6Hca4R;NDO=Uv zU3b<jpv60WRY6a_wY$KI1M7bui+!}dRp!C#1ydiog~wOa7+n7ElmFdNVMmFOoOaH3 z4u{muX9Ry%O%j#gn=v=tyrfp;__nQCFSh+D@B7NIY~JcJjn&Mb|76$c6@I#V^GB`e z0$w!_&Xo^thB$m$D<o6Jv|lVnku7274f9&R4_}uTo;{}Py|P3wz3cD1$gX+UGa_|= z8GT`mHs1g9<fp16A4?rW_7^wH=7l(jg{eA3$yDt)efPM-EzQ3D7gk-rIrC|-ZqVu_ zz0=g&47@CDo!K2GNUybdZn-QiXxR;0Io70){;@@MzP0JwFE^$sn$P)|kmNp->5$u0 zK4*T9<W>)6|EP|L*CD3Qv+m5WIIZvLpR}UXz2(k|GPb<jACJ=;Z%k#|C+f4en<27i z>yI}+qWxMqC#BDyUjNc?eopDK(?>r#KI-B0U&8Z3TzPi!gm3aGzYL|k7c8AuXfAd# z#QN8YOgru{&JvyK_Rqr2vv>J6^A`*LSjyGO=bX>&wyPtt;Ny=I=ieQ^Bzf5-f1Z2M zb`jUypU%P$d!sh(ILnjuujJi(zeA5ttIY0SazHb>P_$_4j;R+{Yai2?n8aVvKKCJe z-Y;FPPj_;cr{7ijW#1lTG41I8kB@Kjt7bP8`{Xr*eBS!y;A&QFqxnV~=LxQ3>uBG% zz59!~i}hn$JvQBn)Mv|YJMKL!@-Ibs=akvyrFLJN{8xRKS80?#GjUGM^vdw3+0VZ= znmxD_VbQ^2VES~ese(il_tn-Ifqf;PD_1mBy>;$U$*7QW_`e|1dD89H<qe<YL(S!H z?^AyBa+<}vOIKO58geJ)W?lbh^Zv-!Cu$o{N_>}nadCQ$W#;i}xo?FQVtZefZ7DXe z_^1BQ<mO(7*J|}|RyQfnHnG{-e>qApuI`{+wJh($S1dowOv~?8ESRzWQ}xNN_@)!d zNe|ibN}nIB?6aC{D9FO5HLp!ICF$pcB}*^sh#OdHe9(Am*v+NM%|30@nso`yUw5zY z?6SYceAMwj|NO=8#5d(iu79%U=7M;R>B~-MTAn#seEUQ*SIw#8x|0^TMH&h{U8Hi0 zYafea_Va7=jOtt;p5$n|YVrI2*6Z>iKc`INT$nAW-MEJ(dELBYOl-59o<)nU-j@A% zy2Ym5{oQg;Ccf~A{PUViS9xzsWBs+<a|?GJ+pv;Bhx3lFh3+k;J(W8&P8|#UWF64> zpg3hGYr}JmB9HTiQYq2e$tA|0olp95{69W>*_wRYRE9&$9AVoE+V7jDY|Hs@<xN&r zuS5G=vox#Fd<nykb8hPInb3Hk?j4KBS~1zPEg2`DsB^8~xNxss#<z}}oJ*c@Epypl zFMTy-?e@#&+y<|Yw=WBJP7gD&aZ)c_vfq8F>i5<F@A(E?UlY?_M>KXZL<<FL-Sj-K zJ^iyDo8BFTZ)dk{Q@L?{!PW4o#|s{R{Ac!PZ>#^8L<Lz<zYpI(OD#DY|Lv^3V$a9J z(-v&*e4eu9LfrIllkMs)p{b=Z6>$L;E3$Q_nSVVp^;Su6L!e?)if5I-|A*=Fmm6HR z9X3{+pRN9VZs&6ip?@b0-jya>#O0`cTO(oIyyu*G`5IIG+p0dCoyT%FOnVU|e)M0@ z)?bG%uhq^7c{|te<-e?XrE*K7elOI0y{YctFTLq(27e5sB?Qcjd)YoT?TGP{D)rX2 zxpd^=1nJGKLi)3pP5-%sqio+b-@J1_AN~lwyyBpSQBZB-sX2S<e?LEJ5!b1?@7}f> z>Dspz#TQCRYUxdijr7vYkmfJ@n(}Ar*KK|eUI}cUv+myY{lEJ-j@9oxc`|)bPL+`C zZ9B2!eJ>YSbnKc~Ts!Nmf8^h=1zKH8qU4^j%?VArZTR}0yRKYJ+UE!N&!!qx^1KN+ zzi?VyP5-{Xvo=`mVB3<bu6+7ZWq{MPzEe^^?5x5#?{I$?m{{L8{hn85WAgNmGh$7% z_PSqxv@@@lWqF;?`<2Ip9{ddQ^P2o;my?E@{ig+`X=_9sKRwYGxubCPnAZBdP;<9z zd0WYOm-cUnVGw%Tl_21-FzfZkE}1olmP~Wlw&~B`jm8ImmqpZdINDdo$+a&o__5yL zWnb8YPnvt>j(BZ9vF6`|^;}UaP0lFs9(l%;yP&kD+@o;Yg##*kZY&oRdHw8Ddg%PG zGMfbgCrHL^c`vy!JZABU(DSpkB_{s-`ClR-DS6kwD4V!^iS&Jk7nzxVIX>0(_@*Sb zYwY4J+K0tNO_$F7eeSDr{LL9BosG?^3~ye0ur9jPMZ!7YlkkqPxobk)WOQ}*Im8Qh z-CO##PfR{*#u~rfN}E-iI$ak9^e3E?J)Nv#<RaHnvwCagHqkfzk1LrTcisCthx_ox z>g<cZuif5#pLOb|z^c-xi^A>{NFF@uzm0pl@YUCqJN$g(>~<a9{83`7`6MCJmc46I zKkj}st7(?)=jH#tRi0#3IC(SmJx9R&DO>U<v*;||>{D3t?%dhATU6DR`aaZUy_DnI zdNwXEv+?!oz>d2~h5qHcKRGPETG6mn<s-`hAL0K|%S1O<T<7L?n6T`JPeajb&GfCi zx&@DYIFe-<Q-3uiCic;*$Ds-3%hzyk`+jrHfm3?I!SzWimVVT@>)~mB;r86V*K0yr z{j{q8a&BhYb?jWMvz(W>+ncW43XDd5-*(P>bA8F7*~RI#{6)D_?^-Y4df(h<;>WAg znP+JK5B#$2_D$dC>6e)TGj6i1yu-0xIV3)*tusJtt@#P-BNZv~k+y#Vq<c9JRyfqy z?$Z7{C1RJ1%DIn4weK@z=CXPoahMnM*=&7np47r8JA=D7%{EE!e3z@TLAzk?nPYAe zzjnl33G@zie492k$VI!pUs+%9`{GsCN_w0AF#qkm;pSbDd&%esN9qFWhN<DwVRfOR z)3~lwKHEOy1Jk7kj*m9-K46*do6(weYtcdewKv?fqz_&D6JxP%*TDc5^D7Se6HnB< z&Wu`i-u1xJ;)M%q>i!t?T}@aT>ZTvJcGv6c7AgimGKJeil7c;5A8Kh`w>js^{h4Qu zvCQP_3zJGFYuwJs+Gyy&^jz}yn`y%PLteg9C>1TAsd{?Wrko%*y8<4TyYIjJN{Rj< z;2^HNRA*J_8<{0v9nUOVBICe+EiP)S!{wPj-x?n&K6(8@MC&^?rde8<M_-n>A4`0r z7R)8B%%kn4aPj!9;sbX4G6mg7)ESKomN##z;(YrmCXuJR)k)y+gWiJKr(G7OcFZuH zcbf52ZvAT^v0S;N8HFEKt!=ozpkn&@9hdUB=PVc5_xgU`8pe5FgPnh^*)Vaj+w}Jb zbK1Uc%FOcf`*%fTc6$8thNPb79NXuJaQ(kGudMP{^1+uGi=UsBz984HrJLKZPU5`t zPpQ7$oF4qlX7#5GOFxBe6^**D)~i3=>$di??EP8`8Sm9ix!AvHf{)$N%$E<l7I?R? ztZ?e*KkzMaX+vLYPPek+%sJEkrNljDZBpX$IPVY{TJlc*f5D9kF1L3f&yJq03q54+ zGE@JR%}RAcrfp|0xL)({2-268Ph4W(`P?&~<;zn&F(om>#e3Si*ExwTJ6_|rI?qtk zsj1xZ&Me=}8e#dj=42i)33$5tjmt}kvoj9f<YX^b`#ICwKvn+Yg|!y~-@bp}WSn_< z_49cte1F<SGa0O|3g5ZxykXzu#GV-8in^71Rvl_PfAeft!)Jj5i`FN;;$OqJS++~Q z!;0gO<KmF#6H^V(C+sZs+5PTJf}8x3md$A@TmRQIc_hEM8vZ2OZndP`o@{3Ar<TIU z63rVIE^Q0RvvkQ3%&TYibZ4CJ%V5>M{IZ~S=JkocY`5lJe3(1=MQOMBi^B!0b@#m6 z^Q?8%j-z=?SR@|JNUm1A=f|n#t@gF)LbtqgXLa`?N2ZchEvE#Zd<_s!I%gug;Ch<X z{6$AKja}3}_r2elc5e25u6^^la=sj_*vhTd^Tt?lZj4adE+6H0F7-0}ds^(D^2OL` zZ*kPNS<<K>_;5{&h~DbS*WVbO+pKPsl+|CE9v~=MFiWiYYVszl8CO)N2VC-%w9l2f z<Cv&D<?8R9)6J(u1|MVZ`gQ93|8vi?idT4Ty7*hx=z|>x>$Iv%x_>%tmTm5m3GOPs z8FcqwL~_Z75RS^(74eE+jV77Ou~a?%*`3Ca>%qYmF+t92;;v7+(;|56SA^D`D&OYJ z@;>CvtldkT0^7GV&sDnIBegF;+$(;Hh0Tur+j%_<rF+v3NZ+03WUcSFch0)GY8G8B zr<b_PsDvNv;`#fANyp{9yGhU7>N`y8OLeC3K06f9S(f4(YU6HU(X#P;heoh(x|;VT zDaS=S^vsv0IJ&%;VkvLpl~MN~;^i;bJ<q+XwoKC5ekSaw^J=G~U6+$R>f-N3de8ZD z(B_i6R8vrYy_`|{mU%D!3n%w<FE=(w`f;8=uY>t*qNhUZ0p3lT4cpht2Z!&!m$Plk zZI7Q{CMnHTxt{y4`Lf%xm%p`i6U^ifZHbz1S^dAW?#IsPmaOM8d$`ZB`3SR~DXmg* zVOS;CoO8^#=_0FKzV69IyDhU0N4b8Vb2!U#VcMtG*z)~qW#+!KA1SC7@A_&~f3*D1 za$~=Y)g2$_7=7$`d~UVBt1R!0)`UYw;tYmQXL`=G_-s-&d4+0*VdBp~zjpW8d=qRV z8au`Jo$mecJ#Q)d!_7xyCwz=%II`QpE7kGXi8A%*Eh&H05)XKnO^-JgIR5-Z=Efc+ zPX)<_nU1r5mb}ju*lzIcP~P?Gr*pQxnEU$W{A;ruF6?U)VajvJ;QHLPx2Ys2@B-)Q zi;~e=+rKhO+uT>sk-n>OX&S@MjbFp;JXWfIw?1&MY`gvBQz_ZsmG2%<IJzqLw6(8* z{gn6lud9{TDO^&UY-PF3^wplOCCB4t`f4t&lZ>6axBE_W%d{su=e~MvTDkM+ed#cc zV?mdapV~2{_Ri(^iT|_b$n!n#b;{Q8-C=W&SjaqY^PN98Cbez9Aha=6I$>h>R_@}1 zJ8LK1T=4a_>xx-+UQaa(RJ7#ZRC5-)R_e`A`#LY~*1Xnzlfv%oTJJWchG&iqr~8T3 zPrXkBF(+~=EDXJL;Z60UqJX(mJp$yFzMZ<h{p^Rw?E&XrYOi5PyP^GJb>rVAhWrD< z1uJb0ISfix&ulR271g|_oZ_{xPaw84pXbf1S-ja_Yj#d;-I&rS`2E@^VY#~SS83<- zL)B6^{%QW)c<MzB&yj6sa}q)$SnXx=lU+1x-elh`IC@dBv~*s@q-RIwuzp*ev$gA} z^ltW$>mRwh?AQK^Xj`-+&6h)~_H}yk$qRN1!<kR^I2C*s?0Mc8VOi#vrV?`R^vB&- z6vA9)<+bg0`sTb_^xTii3(uw6;-+z&I{JFImSRwG5!cJC?33FRAMCXk*9l-au26XJ z?Ec-mr{oybXx79ZTdQvJMlrF6FQ;OG-BA-!My~1e8FE%RuD$NL#HRQ0w><4oKmF#Y z8>`cwaplN}*)NQdtP6dSSXTVZ>4L!RcUosZvY!3U(SJ;M-5#d-X5UROoE3c+az43f z^Z8kh78Cx)c24<`I-!jBj7m+Q)Qf6{BMdgClOodji-RN+E^y@?mC0U{-FEWb^e19m zbsn$37tX#~x!fdi=k$4T#W!9*xw;|FY?fBia@#NKr6Qk5XDI5;=6o-(xv59LA!2Dt zOP}z9o`{^)Px-b7tzP6>Cp0lX(X8v*A=P!!xBgf*_3nJb?s26m$Rh5z*GZAWW9@mb z{yaB)?CjPxn{(a;fn?=<qVIhB0ynHakUMks+sKd054TNz{b8HoZsyaBE0|3DPuex9 zHRx^elZo8$$Z(7Kd|!t(Q-hiG?^_FMGu^%uSLEcrW{UBH_F&`k$GPz{AO0<9%Ac3{ z?W1}6tBD_l)?V3QV4)MaTvlP;43@Ts3vVAZ=$<QFpjVl{bV^sy=Jr*)uiXE*vn}W@ zU)^FM?{<kfw<5BS+MQLfIhiN-olUgjS-nw_V#leJTJ50i|E+d5NU|3hpNllm+wipK z|HV4CUmrGhO+WN<jpnL1d)&m2JO8k5j?}fW_Ly!ccC1r|Z(Dr#5t(D@4ZO#WFi!RO zcjT$r-K#MZkIhk?$##9ktp?}wj~UwYY7*~FnXYl~llA$t8Qaxgu3uiX&^!Ou><>kr zt%;&-yjv$5EtZma|82_cmosl<2Yjm8`Klz+Syt8g%Qk~JhG$21DxF-n<)pp!`&izq zAMO}^sV-|4uGk)tDA=kUz#zLh=F*$BT+&Jhdk+@An`d+Qf{fK){yRYhj!|;0x7Qfg zge?-@lD$;q$o@mGqjfBf*4)vP3^U44l~XgFa^NU)w)SV%@}D0KO|M$WzrNF9vEN<j z+do&`W7capT8{2#t=hu4#NJ$bI!mEm1;d@hz)df9z6|qjC^wl@!trPG#|e*L-;Q$a zSU5G8LDAvfevZg@cDm*vPJWDzAMU;XI{7+h&xcPB?|+=>#~IDabkx?p?O?<Cgd36t zi9Kth7woQ?*p^a%^67&!E&h&Qr?IT~%)92t_oKQGC2~6#F4k_ZI?iEr;Ft1kP8p?$ zqu&Cp_a_#wjgU3cV>*8|=x_1TP@74Iwj6#l?~IYQ`e_qowtaIZFo-IwtbL>_Yj1mN zfk<F{_mqd7Ek5i`m$?PC4sa`WykE5JiDCcmci#$jm&PxRuXws+R=PvgH%5<VEit*1 zlI!dq+r<@SU5!ZDJ7vbZppb_>`wrIM{xpAS``>V`vkhquJwIif@(SlU^>#)*lbFZe zmi9>D%ay2|-WL|B)t_L0F+DDMlg-{r$vUxwhl2jQrS`F{J8~<V-`m#WO|QE(W5LBW zk++S`y$$U7_ifU)#sf>g2i?D|>?JG`Q1kWi|F;pjN0<U;ag~&*v<O&4m*^J#_*MQU z$L8~*B}bdMo~P{HJatE4caW+u_dMONs>!QwsUDG$aB!9`Xkb_RUOi39y1w<~COIal z@E#L+&(cPNYjHE@vQ9EeaCybftsL5@nfgerdH(j8*v}RWB4<zkR*<*tSDDCugvrU> zbe6^>yH}^8Jr4R7{?9Sm9c4LZ;g$o2g5M4nuQ|9pgO72-mm^<hmQA`N=yPwob?>xa zOvfC1kGWeioc7?3(JQ*wxc)J7O-8SX_s^FhkNM<Ee{77BwA|hs{?TZ6s_{Rem03-5 zSLk$X)GAWiZM&^t#lBY#&OtM(&1}C^B}z|Tr0N&W8DVxfM`dP*@KxdJztv`zNrpXI z&vVZ17ksa*TXa#nTPHQo<hrojtg{bdUdUF8NLs}e&o9XQVOZZVFYxUC+1np4m{YXk z+>yz-d)6)Z%$8YsaIw3k$|Cnij42vPYj00@*T!tb-|zS<HSCkxX6701S>yTGGEe<{ z_eWyaiR_-E`>x6Fp0_#rxsTcm`TqS5E_(y@8P;F(o8KSwVQ<pH4PVzisd}1Sw)A^k z@9ht_TI4@|Tsf2D_|j&%r~)xVHID@+*(1(un!e24{lVI-BWnJ+Pp<05E~z(sdrxKB zT_1Uta<{*F`pgeEvR{6;EB5>SYPO}Kofj;ale5KdOgh*W5#?F1WZpOFrMvp}Rqno( z^Qy@sdC!_%Uw(*wS3kvP6}8AS?b@Dpw?2z0=h)6<p6$Pq$WdNa$Hb!WuOhPCknhep z*{;K?X*y5#T=*%Rd8;<x<6Y4Ce{Wdd-<O}8H@#heqeDC8LmjVdap+l#TLLj_f8EGq zyDL;E9zT1BYsrJWhunwHIftz;e9Yr7woRJh*t>OgY>POfzS~%;FFo$Jncdl2fpJ4b zcZGYC?(Ve7+Kz1#<J5jx9teBV?!NQpgYNgtT#iRO%{MhP-rVWr5iHOs#eYs)*Mnu> z|NOH5yG+V1FK^u{absJ*`Xtqb(vP*cEOTN`dL7!B{ztv8+G^s*Y6i=%ib*0HDm1MZ z@6zu7uXRyt)8^X3Tmj|JhLsxb-AWyPr`Cn}umvwMvp9TEbMBpcT~pXo7&!MSMzRF7 z2Y9W$Sz<JMMV#n^7rR@I*}gk?*XaC$`cHCYQF2CEv(LVfEGpI%F}yEY95VM-NzPTd zx+Gcmnd%Q;w>572cC7oYSoZxjsu7%ry<~YGX1ud!ZS`+E);E`9J0p+q-nH*VrP|(= zzGCfM%Kp#rMtIKtE$x@G&vrU*di1+rEJ#84tcKT-%!GYc(!Tdy;8twBnEdD7ldiB! z_v36^dvDsEe=qXOsYv76#q>?Pwp@Qy7cH;xtV1w6EA9WUwMW{fH!R!I{rH}6fS6r( z!d6k&7@=!Ud4+{StOws*-H^VwSj}?YqO!}p7ac2Hvl7m3Ry@ub5-YupcSokh)|$t1 zeMcnnW9u}975^UCZ+Q08qdIZE#B*N?w|+VraaQhRx_^e#vM;K~vg=oVxx;TTWy*oe z>#sT6IGw0ipsP2d?I?@!vb&QT{z@07{<9Q#!?WaH`p(sF<+i@uzu9=@O~Iwt<omUF zx4fB9(x_Mc?U0tVxL2|~x2J%iw5d;ii22I(M;@PWY5kfUzI2KGf#Zwk&dH2){XfH4 zM63K)WpDMp%WNAq#9iE*l92ho{?+w6H*Y5CFYNnbbJ^Z<>H1%#y7Txad~&N>eLA`P z+1b#8v$8cB!q*?THsR;O51#B{-%MRHiep0;zA2V`*V{LB`LpW(ffGJ^_$NC4OFtpj zI-|ZhDJkKJQYWi1r+xOx{5w_}Vln$?v3fQt?f?Gw){&`oW@m#AxlX)t(tEna^yTXc z&3PZjMTxA-nx(IL>GAf0O}`e1mn{-`DbVC?Wqb5d|D=v5#{yZheZIvvrT(_#Sz8dl zp(W|mErAA=pDHIDuM54}#9g^aLGNqW^(80gJM>IkQ~Li-aslU&+*c<~_g@VR)-IoJ zf6j38gxAiRe?BC~Uo`PrDs}YI_S^IR99dS?#jZZZcjH~n8_aL`jHb0!m@H(k+9PZ5 z^^=V>!<>kN`nM<TO5w9ws(Zz#@eS+WmG=V|ef8xz^nGi`?ahW7Z+_kU<5f}1wP>=_ z<4<u}74J-1d``Rkf2jXZOzE=wtR0Ns^0KTBpPL-C`0v++i(lT}WmW1BUUA`Zfr^w+ zux-u}mRC)(n_jv3?>Du`JkGUYg2K)QULp180g?K4R*D^SVz=C=zjW9u<g;(u{M9kN zTfQqNemzq7T*UsY(@T%}Z|D2xu?U*>WOo-z72fP}UUaWp>Hq(Ixo^4dmxnKYH*KSr z{Xdp9@{D&^y(?u~UgGfPA75eAh8er&St;Cn;Gc3+`N;N@SC2;?S~Y*a|I9lFLif(S zWYo*7C7|_M_RKzu#%7)ln+Ch{9&!Qm%ifoD^_(x6KEpWE!%@cK|DuStSBE&;-hW&u z{^f4|l8!x6`b8lsJG7Vlt9HxTFaN5dTDH@YKfH6VzO0php8GWKeZ~`Od(J0b{aCr& zZco#JpasUyH=MO-`TR9Zr%hmuuR_|5q`NY0_7TVGt6zRvb$)T^jdgn+w?u{BeS2Up z<ELFF_CCtH8N1lLth2t=dgv!yOgNKtm-U03$ZFSq8>ik^A6(03*Vr#8mDg!sw?nJr z*4#gTT9oW|{4QoZG=2Y`70sFc7q~97{)r2?JZJwR*EddknhyoeetL7S#J<0eQonhG zpELDa#*=q<X87u#3arIU-zKieQ=7RVDb-*6sM6fxLuJQ5P2BeGzwL$?)>DtP^*J{0 zwcX)(uzTA#^RJrA?j+^JJ~*<{?^AX6MCUz|D`)?YPg+~}efx4R)ApxF*M2+B`01v= z_k9YT2AjjL1>a-PRu$%s*?UFzetBoVEdS3Fr)B>oH*A^Gx_$2}WzUXt-W}Iuegw8( zoqO(l_A=9~dmp<lMDP7_W2qOjh{c5DJu0(*PEo1ztL5l;V00q>(7(@G=Vzn||2g+j zc+~;Pz5hG7c=UL**Dya-Z?g+e(d_Jq+a%`Yd@_F03}bV%cfT)v`tiAK?Zvha{?YLV z@9cgr!6j(U_PT5TDuM4pRo=zFx5Ry(l&`z~XQ1)9=9K=%D}H|~=Oh}|ol?3nf6}jw zrgKwdn72Q_H&J`)E1Rh~SM^HjiznqhJf~oyR9eV;^FyQTXXf}-Eq!ZFeTdI6PB`3h zIre_t4JIpYBkgO_g-k!Du+{Y$Z9k(mDf-dtUHeY_+M02WtN8iTe9bzxV;48rga-0U zc%Pgzx1&wvtHv+h-V+bF_(g7dKYMXN&C;^^i`j~8qK*s?J>?JC<#&bloX}MkkX7D! z^y$PCFJta1D%j4y!%*^K)5kliMJI(sFYStO*8gOzTcDHJ5GgA4f#>TrmPbzKrM6zL zoVk0-g_*m5aeSAXU&E3vJJ*@hGFa$J$J6_FKkT=@;O_R!sq3Uy=DIy*)9b91YroYW znsb}^TgJyPi<IXCFAv#Z%jWu0{!VvZL8V>e%F2r^vl5cxZuAD6%jEdn-f&NvnZ+fB zwQPPVgU*4ZH5XR}8{|Yw#oc-lYxr~T`Hp*atPHU_eGVr~Z!5?%9eh>ozcL`~+UGB; z&0RLEcW}0f4dy>`cB!Py%k?Lotats|_o?*L#pdW;Pm_uxy0-Xamum?2B&eSFyyCg` z|ISE5zCA&0$`2<WGcGciHTyAlY}H=#r3yA~SxphUirODcPCK?_`jO0)T1KxX$xNE- zP?*2AW+QiqAm5rp{<X)NKGwW=Q=6c3bHB(WrA~dZw_Fq78GREum$?48oZE!=Wls}T zC4b(y$i=C<vgf2Hho5}eY#GOHnV_nRo+~5fuQ6GD>NIQ7qeGv9X8R}p@d@%<Va^lu z!+F2IjPQlv*G}<Ir@XqH$bPBf%%!Aju6NxoF17xsWpG(%*SWV2Q=R|yzPqn|UvK^L z`F!^(W5r%eZjQ7#aCNtTR$R;z`*ok$%x_DaxZS(C@}A14u;T$?s(%)Dl|NvqyS;9E z>!(8*>~*UIbHZ7#^%{LHKG`^_GuLu+)4J#R8(cI4p7l=dcyIKf>f9XG^BdgOmM(lh zeJ|4+C)R^4B~=rusxwztzL%6`JZDgz?wo!!@?Lyo+yRBEmgMc{H<#Q{O}Kq%*|n2A zT&9KWw+<}ddQjuvgFox1JkqX>To=swBWv#YsgbY5*LU66^4<632{S8pDYZWVoCTV% zP3-rrUSUyMwbiw<)TPVP+EsIDqDv3E(GAlJlE0MQ_n&$aayr56`5CJ}DXPy3+RYbx zxF4Q+$K-s}*^i<3@;cTp-ygYRQ=?F`FGnfcqGFyZ%a8W_c2^}cT*4%_TJ<T)1i5N? zi5YE;7e2a*eG1#P=`Y)Rr|mM6^8P5ic-v;B<A=E=_eqFw9I#<YnwB8Ytv01LA@t3} zewHUr2Y2VcGtzFFen+^wVf7B1>EbN5KNsf|l>J<s_<Z&Ow`!T4jQ9N(pY=YoNh(ys zVJFwyTNZQNr7Dm9$=!ZyN2=6;^O{qCGB0XR)4X;+y0A>^V3$=R-@I<q_eD<&>g7sg zeytRnUA9ZTTY8K0)7-<KA8)PQ=sN$>zCWvaUb~yTu$q77(lyT=RVGXy1Fkx%+ig2i zn#Ml=dSLu3RS(H$o0KO?%Fp9z`L<=F_vYk?`VV)!?j|?Iw#Q9Zab%wMbKda=7hRX{ zZ(7|XrtK=(pUM_!%CO_}_t|9`zr^+!RjprNKKsg4@3(s|3fOc>3O@c+P<Zk5=Y)Q@ zyXkAMD;C@`{@PWz{q4m58)_bP7yB&Zk0w7aUR8d=+Wzs|^wqB)_d77j-4k|in6{4b z*3-B1q`tqs^5({dI{7uSFE+4elxW`LPE}fvbzwW-x+5kgjf`6#i)z1dh>1*8)^XeO zDC@S-UEX}vB(>iH*^V{+SN)>f%&euowz60!%{#xwEkjBtzU}y=9n38)yy4Sq9BjB4 z{{CCd!0)quLzdCw`{!2~@_ha9H2Bf8K6TYa+9%wcs()(EpK0@deru8Z$`)qNjw9Lg zBnq|H2H(?Iu!>nvoa0zS!M8mx<y2n1cJ&gk@VUWjdg`ZI8?)=nfR?|O=6`?rJ$Cx< z|Kw%KM0<%HO)Ek!`yLHGA={aK=8V_B$wE#OeoS~PJ*RQW&-^d4tNZm=KDzM9L}C4V z{mK93)`mQFsSh)}C;RU3ji?1ZY}@yEZ#;R;BKG1krdJalx_?mH;oN#@<O^D8_r{ z?{!QxqhF^ixVheUk%8UmpE7apmVdfov+cmqe5IXlzPkrI9yxerZHC|`0Ueuf=|X#z zL@u8>YH-=gWx1bIy|&MWwH-eXui_SWyCdbcP9mW@?39F_Ns)QV#6xXoj(k*;zh!@V z{e@RvUwrB!&Yrrx+V%VMbRM3pn=8&P4$O)-icye_`MdCBjLB?8PN56wf(P%LP5E=^ z+OmonX1nc`_J7Jc_V}5a#JhuwyUi3D=PnEnpYiEx&_XM&|3z|#kFtNh=w4W+pJ}ci z{qMQ(pKH@T3*6j&a(#>FmFpD`S00v`ki!zQ<KKK4kFrL+<gb4{f2CR;pHLz8IxM_) zfBo76-it2<|1w)wIQ4?jk2CM|8>W0X>S!l5i|xplNf}2sOrN&?!AjpgKlk|u{Az!+ zoHOvNYO=nv;Qi;V2fo$KDQ9FXSiRHb$FuM5%AG%^s2pE;-)6z-@Y_bS*&nNaeYa+c z|2damN6(kfe62gp`?yK}RQ^+X5)SO^`1W%bxy+lsUW<=&n&SnT>q~01Rvj{4HB->$ znZN{9GmY$!8M?2Zo^`X3Imm8cQ{*w%C5SuI?ClNSgO}bsbjmuGI$d2jCh1r9=_IxJ zcZ_cM96!O-c=0i>tL#G8eD_!PV>b9c+j4rg$N_Pil`OHV`*yBAqcy4M>a3?s@p7#C z{J9=df9ITUDRcZ>aBOQwMd{zWTf~g^bcj7aa!yL=lm+w4t?ZjRgzjy;aPNTXvF?VF z;1;3uZRd9F-qZZYF}dzU?8Qmn8qZ{Zl#2QF>ad89+f6_H@Cl8k8%}1k&VS%}ZSD5$ zJ=cHyRO6|SkYY`$*>~!q>!#~!N$Yg3|MA?n$n;vVfRChr$AQF`DNYK9ADl7{&~e#g z=N&a`&V%a7A6gzVU*Hz=nWwtVYpx~x-RE}>XC2Gm{6Um+>6rz8*q#(y`;?}xI1n}S z#O$(DXU^T|>-8<4b2{U;I?Ib`wV$W)JgV4~G`Z%em<?y-{Clf!AF!LQmwD;=>E+Kd zGq<W{zb(`dsag>C`QA3&wOJ8Y5>rcF^LRRh^6S5^XIT7B+}L3MiNoC29=vT{cZox) zR^jec*L@oHmZyqN*cCr~dAa;%=b-~T)n%r)*SP9$ycT2fqadv1_0IILPm6<cTRY1e zLbmyE-1%60xBu~i{@{mgw%Uh1a*j8iNY;27qa?%Mr7rd7r@zO!@bxq1ReWERu3`Oo zo>G5eaLtr!v0{$wfv0||*_;(}{I_PQY|z)#xBBaCdiVU8F?a6r3yfFiy{SF$NbGmd zNB;i&FnxnE{xf;$^K%(zEMD4>7#t>C{^M%YkA2hK76va@6gV9Eprk&i{gY?!-+Ah8 z)*=z}C6d<MUv@RC{aLnOzvz^@@Py>ga>D#S(hvA76jZQ1_QAF6tj~sh(c3m`^6qkR zIhm-lL?ilT+3|0l#{{a~>{H6m8QAAbTz%;+R$MBzt}A7RUB2hB9?flKtD-cQgk6YW zHhfXY+1MQ8+IRa}+FNPSuprG9XExU>2;Eqi{P1XX@L_J#Z+&m)Wqz4``s|HW(XUw8 zpZw~1vv<m)N!NaVPnr1UcZvFP>8(#AW;I`4bUEqOsS~Q1F8^~kh%qnjF(~li%NCY* zy4mj3VzasE=;tCouDg3YlYLS+Q#tnky}0Sg$;JCwJjxv|d{oer>`klqGkN;)?=rOv zfp2GPvP#75y{S;Ot$6Def$kN1XPv&m+pll?_<7$XaXS^kwwW1cUz=CI>T=sHCC+{& z=#))(Y(~4+&SiyZ6_1`zsbTzjV`|1K>+MoIwp~52I_pbMsqD<Jk2VKgZ<{22a^n)e z<2zSOye%(M6Wb+m<oqs^6?dnLyg#~QbGXqI;Z=@r3KD8I*4<vz9C~Z(>+_f17(KAn z+_c&Mc<4McH3h5X@eJvA>i66-E_4!q`Fh6$-do#EUd(@|z^7_)#-?lX8MbW)KFJ-v zQ)~ai#*^>gV^!S^VZ!@(W;$hwM&2&y=Q%0&f8S(TNvqH`N?Q}GuLf++_WLE$IjQ^Q zgQz3+Q@?Oky_?OUd#-5SV?mW~8@`xuHi&&*T9$N2=~el=SLRJ@z0s1Hi=>&RuUD@y zsuS!g(mKlavvFUuh-_P?-fSk`Z9cve&VFuw+Hmf;`SHodKTc0yq<_-rXN~F%lfS>( z53cyu&y~ButD<0+nrGj$X)8AvZN0xjTw%?P4UL}a$ENjZ7`<7T{cmaFD_s_wJ8o8Q z&wbf#^|`|8sI|SsyH5v<$^wM{KF`s;t<Dpdyy?aKDW~|V^xR+nch$9U+Ebr%{HylE z;*%xS7p~7v?=Q&bFE{PzlKyjTwTnyr@8<VA)#U<jE9EU%V|JgLV{!Y7qKEk}J-PSI zeRHks#{0=^aR<M=ja{jF$auN#Mz01z9jT;ALNbSUT8Ww7(p0m_dGNOO+eh9Fet#^s z3NA@7tI=??lVGspSiN>0qXV}uU-elAZjSwltLH9q5#c!aJfON_wR(+w;c+8Q^-68` zbD=Y?Ukc8C^iZm++x*e)?Flo&w1o72rajut6lLH2$lPIRXeQf6p&P!2m1!rxJ-i+G zed)W;hm1b@JH3B*OeA-iso$&RYO~sMLWJ&!|GTJB5Ogki``$I`tEH~p<SnjvAgsNl z=yjY%TB6L-Hj_AsCLX3$pUquT?wE+2{W;0#!qU4d`OeLD4NWjp5BDw0xh7X5sCYbd zmdCnZ&CUHDXI-W};rnxo;duMLY0;uNzH6)Nj_odO?)$QRGs~Qv$q&q4Mo9#J432xA zy!D0LrXzpc#qKltaOj+ueimJEGJE%yT`O~VV&CTaKe@l4>-w}=vZ}oHFWYmrl~<p8 zxJ0@3%+wz-6%`UZ`%lgP8pQr{xkS(E6+0hi`uC~-&XNzE^vB|<k9$DpO?B=~-qU5i z*%-@<umA0H>)%f|bupGDHf>&~Pd@xyviexHN5W^zuL)1;R>iF92<%ATHaWPfFqmVG zrK?+gwXj;h%>B-<?cB$m;*IWxPS>cYS@|MiqsH6A6OG01ZJD2W{>X=&inGtWSF9H9 zVUK5+Z)-Mr8*jK`%eo>v+bOZ9EbRK?|HvNg@R-HOdPmrPTch%}l4<P~juLH|Vp{Uu zbNH85bkE6aYPh>>NBE+vaXxo79$mP?=a`aXu~<xda@XopoxIzXe{(9e&nthk>y+3p zPHvTrO7}Q)dslwECNS%34*OnTFO!X*dv_gJAMvNx>qu_kLQCNnPT5CewTkD4Y}J~+ zV#o6>`TMr)e3`wY<U@qHbDefg;b&7j%h%22n}y$qJoo%B?!xC7756CMn_+$2G0h_v zoy`__wFrKcuMK{B-9R8uR8REn@+BP{a*?s?ZeCyyew%Srl(#Iepr)Ywp~I9(E&-R# zS8kb6#{6DBup(wz?T08utt&Qxb1f2;G#C4@S*R#Da_^b2{>H*0CVpP)H~~hXRZsZ% z_jNn!I?v|}-17I$j<0(cmL1%A(#~K<#~YnlU-JB~b6!gBoD|i6i-GZdkfTDp_g(*+ z>krs<s!0_c;o@1J9J{eLXpL!j;L(Qu>&x$?S-sd&?)Gzfa%Wze_vgur`fe(;t;*(o zc$O!;oAd6)V}~5PEIZzP*u%7}r0$HTU9Q|?k@q{Y7_Q!1w=B$JqxMg|WHVWh!dstR zT(yt2EwIm@>VMzq&YDBf&-qG9n-?t?`N5a}NJn(G&BYKgQPs20Zq~7Ou~q7CH%qzm zD2Ziy+N^-Bx_jkLI`FxC?0x7|8?>#~Ve&4SxQ?%N63%;z_icU8Y4_*atcAIM-SV%d zZrxzA<e?Sk+t8_dHMN(8y?;~Ix2U>_xB2k7NW;>+9sTXOi~B<}|B6Yud?@#{5W6vd zxqNNj*QML$8Qu7;)4QW$;pg)`!i8%Vna}gJY+JifZ;$mXrqtSnEBo}n`5lhje*Ng8 z{!g<vea{h`yy5x0S92eJ>e(@&Vxdz@#T|v>Zt2Jv)~*dPp^thdoigLjik`l={_Ul@ zv(dZu&wKGTo8hm9k@&*L)p`L(&HF`bZ&$}8+dAI8!&v%(&#xi3+5Md5)cCK*3#!~N zSnHo+P<LBhaJ(<5-G6hH&&)T@-(*irnU^jmxOuPAT<<lWb4<6a>zr`o`nv^w#UErc z=H27}?0wd1(dL)N*E6PSRc=wR2%NK`dfzLLDCgMn@5bMl8o#UyZ_qukSnlty4HIPf zl;6tqcx$@o^{A!JyK}7XulK93*V(7|t~=rM=+C3?eXP$v=$Y-etob8j|F-?pwln&7 zIk)J|UdX%9z$Q5BA=}xq*=CWPm!EE^Q`~$*+i0@jyscXTuQfC|eJXU!SZ6Q%xsT&% zeKM2x+uV~6Zd%Jd-nk(r&xv8h%)e3T`MUGc+p^VMjlQfa;o81Nwfm#!=6vt;rHm<Y ziP|h@s^gZkGH*Vvz4L{}{U`nLi&v*aD+?LU=-r`yxWwM`hST07H+P<jddWKV!x2fb zv!{5BFQxA=NxQu5#%|Z^vIP&j*p4??yfNS7nQqy??Yrn(R)dY_=R}o1X<q$CW$~@4 z&-}}m_sxGaZ)q!|P^$Yf^CK$TGNoi)U4>RYwp#v~xt`~+;f-&9?x+9NF`U7C(^k$P zGUK9u)6-v1m!E#WA+ltJrdGF8aPsY%;<FJ9*}XAQ!3SgJoYejoEHvN$z8Fu#wCF1$ z4*%q3xo+>tc&fVm-J$&(rgS>EG_3e2svf^xWh#qN2ygql6UQy<j$i#IvC}e$edle4 z{?)r;wEoPWe4Ax?WC(khbu5FOb$i3-!qnz}`yJW0NTzRG`JrxI_}=&$Z9Vn_g{@Z0 zez`6cy36==nIUK8)6JD{oF`~;GOnH4u{rxutH9&q2aX=@`+e(M<y+}&27xOb&-2b| z+?Pt0lihtXUiRUwmB!_d?iA<LtG_t&rGhK@)-DIHbN?6doKZR#`fqoTW?${O!j`&) z$(Ik@_I}B}$F}RVWQLe<uSr^B$hj`b3(FULeEa!S*3=6+X_^_?Wu`oTf-gRBUUx0w z`K*aiO4Cox(k-f={%VKmio5$NHm3aA*th+}&$)Y>{IA!YeysC4+odqiI8^A_(U%<s z=c@m({I&29;@W$3DqHvcKjOaG92affD%n5BhD1zFI~2k7al)^937zXg$=>lM;_}m_ zSZt!MoKQWSpWS}%oO+VO{dNhZq?*v^f~#{6Z`=0p=}yBv2EL1u|IXc2q!jA#X8&xb z&Ml4YJMT<T?5&aB$#b)Uee0v^6Y3gXdSqmz@U2;?=#k#Q>3DyMbj$|5dX=3Ks+WYe z3FI(cU&zmSvYSb`^TRsP^QZp&(h@d|No~<N8UAyRxzpvf?BW%(|9Q;pJI+%1d%@k! zDTa@eCPa$GIxLI%b=ON$;!u`PtZ`g(i{i|V*N6IN>KVQ=>!}g)`q?+>mC@Zj!uL;a zj~9<&cWbj`y`Up4dgSHxzmwOjTQ`ldUp?k(+TTcK25y<p)(-2p?3dBjdf{32Vr@s) z!n5@ZVv`;%(lWZ};V#0@BbVB|;fu^KzM2<?VyvqUeM;M>c3<(P;EYCpaeHBlUdGaj zT_Sr_gXS{z+Z@zZzi;%X=UKhR@mq`acWu6M)PtYt<J&iv3f0`_%v<>E?L3u~qw_nP zPu+d`RNnoD#e}cIdc9iyZ>MH;&9}MT<n(sxK_ji(NA~i3Hs1U)$?XkKZQO^BymuZm zwy#N@etlE=CHdZ!r=$+~*|NN^GK+6-sgU1Y^uF&2<JO?-$*NlK7gUu9ZCLTrsf>YB zWNxPDqOyzCXL!T}8?P?uvEF)kh4|FI=l@rxO+9@yU}c-p{KP!bORN{3o-uj!A@$-V zpGGS^xi|0XFND<IYbZ-CdZTdW&~zD#HCuj7U{+q()4WNkV&$weA<LxXjv1Lxbns5x z@KSb0{Pi+LVdwjPKbiwpvfJ<9;$ruK!LTc$bG0VNn-#|!W?oj7z3I8P`GE2TnYAxw z&s{!MR(#s}Lg|^_^SM{nPhP+4wY0;m9n<Re??3Hy!ogajBuj4F7k-`CO^bL6T_O!i z74_dW{T8^8Zf$C|ZM%u<hx4BAy7%qUyKq>4MIQ65k56_*uJBY|sA1IL?$4)l@AuBN zVfTVt^_LZP_%@}yza%)p_vqZUjej3MzV2oD;a7;>swLv>yf%kq79LQ&82`ma<Z&G1 zj%i1VKR!Bn?M}3Ay>*NuYZs%zBE<&D4-qXluYOS2^*`=n%i#|q>Q|h^9_7kuf2ezK z=yd;li?WlJucw7<FFu`MaVq<BV^DqhJ*$t`s+ZpQ$@s_0#8d4dtKz~g)zcTh-Mn~g z%0=&%HFDaY%?dP23~T4C`1^O$r2BlKF@YwzlVw8#uPPs4-M(iDyY_#Bx8h9u*qba) za$U}9d9r!crTMI$Up7pr{dMq|Y+1_g6^9#c1zok!4H3E^xr=RUg9z*S?ZS4iBVAS> zG+V!B&wBoZb?tjLPjvg|wTW}-mg_5D=*txSb75%Ny@y@u)57)P?diFRPnGw?W^M3W z7_3)(>{Z;ucm0ixD>CaHF4|e`Z@ReKp+INmbN+QkC#|CYR#rsMQt8_GRw-^H=lKI_ zS8wh1OWT<}^}CDAC7~IgRi#cWocL_HkJ#15b?)xb_LaQ`X?LbBE0AE`esG0zb++-p z*7%O-t4WD7ty~%Q|NWY(GI{>Kz8K96dw~nOdpVY0JnJSjB_cvEu0nl}go9?im{HI4 zB0rW5K`XY_osnH_biLmGbA-<$m5c3lbCQ3Xtx;(TwOxO3?Yc8NryM%C>@b7!I*)Ya zkNXt0y?%&iSDHNU*lJY3Agrvvl3l}AcRqiO&wt~E>2JRaJNJKHT=D77-~X};8#kTG z((|2SFJ$U%>5@Fr=h*#L&faC!4PWFe?-zQhE3(8Nzcr=b^Hk3AeJtiBD|62rbv2z) z?ohL0QTwf^`1zavI~?-<zad66tI_61X|J<y(d&RtS(};8&yFY9a88NcYNOm}n;Xx5 za7%)j^$ekVHkwUZYKc0B@9H{F^Q%nu)ZW{nxSHeX6SfWOPlO71J6fmRDm%aDiOe2l zAFUAKN9$rf^SaF9Q+mT1*0lIi)jQtwjGI=q^POXs`z(3Ns%5lDsAS&0Q@I&M3s@z) zcL>g$WmuKg#TOe~q2Kp4#j(>jGEAw5pV$Ak=*;Ln;WuU)2QR#xv~vT0;9Py9BBc|v ze{n8dT6xs}sQjHepC$>;?x#-EpEyM8bIiEBe)W;<%o~25O|R+ku)K3x;>zdryOPZo zYG(!RHf7{buUx|;q|f|Jqvz$e!1{p6*UK($dBXRx#E|1lQ&wx+?cScP4ND%XmF-`& z%=+IQ2D6j4|4%O5@niB1@l9OS`zn@BKO8YHN4n+g(yPx}bp6V@uks$xdHnBLx<`tk z=Q~bU%YBob%he7o>kJlI_2|#fNY&%aMo)z*|7<^LAv`%a<CDw-uJe1FeC!yk9<IH4 z`DLKbYZi{WYa6E7e)In>y1q*HO&w#_i~DYY6${=n6wEwz{j3Uq&FafP|L7@&Sf;14 z`d^n_op9af*qI<5o)+f`e>Q$M+9_+Xa&b|wUG$%0A14Q%J3S$`L0-?ZK<UFpWx2CU zs_YuCUf!MFno|7aO%Jp8{0+bVsoZ^+zpV9H`nH^+#}{hDk8^6uUr{PoUg|pSY94Q; z)dtRM>cQgQOxMZRhpYXYQr>@3^U3=ttp&>;9FCc2cPdAce;VU%ucLEnE<BpqbpA@7 z?yHvlTZ2MH8V%bmGY&sGy(9gs!ru6~DW-u6|72bDvSJ*<T)gU6vHaky5iW2S_J4C@ zQ_q8_Gq3Vk-&{DWrC`73d`6huk9T`iTXtk@y#Hh4&)}>3%>FEr*eR6U`N~jGj5~Up z$4!;&2YW@Lmae@2-o5o}y@pf6i3s)oFF#h?`L1ws+JC!4JQa5)&)ChsR&j&B@l=<} zQ@LG2^CzW=DjwrrTXI1=D%K}2&+LHve8a^VzMFS)+B<QVI2<(VT9PFm+qTZ>yO-I6 zCJ(knlP7QZ7yeXrwM>xtOre)A*<zwr{7y6~(n)7lF3%R`zPNPxf|l^j!oj@mT9u8d zT>&qqo)0)E6@TKNWzqI-=D&J%CdKZ@7d7unIeBRcV@!X~;;*UIcUrP~gF`uQUirRO zIf{L$Kv#X2`xUpR*{-=a6q{1~ek%OPxU_b@Pipa-`e}R4B=9=^{w(%Va=P_yT|EVb z#e65#5B|>ou`g!xuhnWXY)`XK>DS(SH1U{AU&Xprix$e4v1%T9bb5BGeeK>m0^gPh zXPo&Z8n{INOZ2o4nKHpas%)kO;>8EHf2o-!6k8*uCpfwBd+)>lC*6LBaNM}g|IF?` z(?1s5rfT(qD{Mcm<hL5}OmND0>gX96v*5qc-&V`@o_|k&ySuP{?naxLr)E9w*;qS! zR&*e<dz)J9-bafr$vujED$Hf{+VV@R<cyk}`={R6UPxn!zIJKHT$XbUG5;rQn3CGX z&Ru!y^n`=`i%-tnlzNeaF>Z2aXUP%Q4*i#xAI;X7<m<t^tRaJw<0dns<-~(i`ve{C z2LE}}wR@rciR9|Dfu_--o5bS9RZi@%XxY0f?`uSjLW}Qd22}&&Q2Q6+-b@D;Y%7rx zw345cS0z^2>Q=}uf8K15gr`$smHb?$jo!*_YZE`%D114<{MJ45xvTi!<W|uFvEy@M z!@un3|J=4IH9<N$)lg>{^GAiiAJ3(1Dj(?i8=hXT{C1*AiBRCrHT_-6qNfYP6ek^! zy`O!$wcMiViTH^`wRp)MWu<7v74PrN4!OJJ^oC{n%RMeL+mvQ%tJu4JPIN2E%KlTe zy_K;vui>@gM;X!F`}Vb463wR^&Pfm2dS}9+_`FR_+(FAeo2^LJDV!KD#3LIgmm<jB zbt3PIcfzx<irr10F7>?n<M@Tuc~W*V*S;dd-Mm-YejGRTy6r2^@Z{jt$K_3JH+Z&H zJ#+Zb_g;Aaq|~P4hc+-@w43`y{r~dI4cz-zRNY);(z3qfsGGO&(#bBTgD#u0EP3V< z$ie^N{p@eMHJ-@o78JbR_$yR#=aGzLi-|!iH~VFo+Fzf<_kFUii0Ra6LTNlpZl1N; z5wpURA&p;|S4H*h*_Vtr9P16=$4+N%Ojc2_Jvil7L(y+X$NYqM5-Q8>7FBM_z7t)4 zUgBV_`qYh5U!=q<ay1VB-kbY|)zf3uwq<Sp`+whJyK1&YVa-x~d8bKBh50OY{4M=3 zv*yrg4>7LKGt})@ZCAH#t5MzMv9>uUa_j!+fa>Z;2Tt#_tYvK2QQq?GZtdcyTRsQ{ zB<ihR=2;La!+QAB_PtBC-ZYnFKQep&>y>{N?TTa-%~T9X&|jk?dV~4F-u)UgCkq7s z30zUzncO^`?@Rl91J)xpLDH9Qcu0m?=9aOGiq5=#aw*5hkB9G_N;+?%n$NhzuwJw_ z{lw?v{FkiPwKSbkw-q)>dRY3(cTZp7+3Sb?Y~?d}X%syF-R=t0@Hh<y-is^tvU^=i zG3Hi%ceLr~)3e!I^Q~Gp|NM2~!5c=?kd}IVSGl)xk=M6ztSxZ%iF-3!v7t(SyUX`z z)|D5;W{K=tvP`b9xGh(}_wa%(P1!Pwdn)IvR|LuEs%ggF;ySvx%Szj5g}cI^yxgOy zC$qhd>=C+RcI~kT|1Ym<RqK-59Q~)wR1V!e{Yu<ag+0z&>)Oj`?s>nS{`?#=pWmay z=6}qz>qkXyY)UP!6x4W_^Ze!Vnz^Uc_In9UYE9DFG3P_gD&rFuug=?0@wwlx!)wpK zvh2>hMTz@m&p%hbURbJR_~?GK!6e~*m*1B3a7{HVj4C*FuYY&eoZr=TO@)VMtqA5w zzgg|8u2TGWf0*2lrGksNs+Qy|n*Dk2V=<$ZLK;uHuKx8j5dD<=)kko*`l2jG&g}(u z7rybu+C@A)@U^Ox<ICF<9iAS3MY?itpY&LsO1LGSw4v|#%IHlxA9n7v5#_ULs&7%W z`c^o#`_PJPlLfBPFD_`WO*yw>b7`{Ygs?#Eg(|0B9**ZZX{m1zvYg|PchLX8Qerb4 z7ldA3|M84thEjJ~!HxW7yTqSfUTReMGG5qAWa1?s_wSBRqMkRMN_x0hDW7G+BI&nl zU%#K3BJw8M+2WSNm+kz<5$`S+{G1_DlqX#nJ6&e|d6T7!cdOi*6}f)`cgXU2jN<2& zcD&!des%b!T{q^PxTM3r?srk``ia$7ujU!ceE4veeQWw5ooAl6^SNb{oPzFi?EP=Y z>7VQP?cCelnhg6HIt$nfn5OeDFq6n?*tsdvaJI?{q2DD-{)aF2I8?K|o5dsQ!R9+p zlEe;P_-?KA(c<YD&fDR~&Yx3VsMEit^K`j~*N^>9j~<@dyz;4TGPCxNJE{)n-|w@_ z@ZQW+u}E!CVc;(vuB}?#UDJCm?B_NsGtUw3KQ?ueT~y2um5nD@4&HvPB=0ff`E-tF zlRhq8@T_*DeyaPH@V%>UwfICl+v?WYbye|d*Oc40JyLB<lPWTuJj-7f+*O%-_pa>q zeJAg4dGg=U^jx%HB=hBfgvAxFPJaBm_e9;%CEo(KnJzBut@YlpGUB1($My(UwhbqJ zE_uqH{WvjSLX5>P?u6(>^CT<DTXv^UX9%*~WakqPx$Nxw<4yghsuK@7W@RjEpO*iq zBKw6$PrSnwn+C6qHi?Wz22*w&)A|&+HnQimx=nBFW4kLe9&I*d`>Q{D2fIzh{)YP& z7Pq2nK5yR<`{)Nl^y{W&^1I&5c*SBc;q`p`#}2Kt9=5Feecegv<JXljKLi%@PHR^B zw)at_mT+vB&yL^IpB-FfwTERHv)sO^$ENw~#kNh8X`beDG45`2T%tnGhce#~=Jh7? z&iwj3<=4s0OKg^}w)10B;MvsMxpCS&2T_l6KQ9NB-I{pp!4+1K9}nKG7e7$Pz_Kj; z_J70R505fK78gr!B_~!GFsu|{fAy}qUo9dt*YlF4JjWfyGp*4A$sBV|J>0lZ>$>br zzNaNqls7XSQ~PXkw`ytZuFK~=AL%SJ>Z+_$@Vm43f!X57iFxtbFMj>7?{r^1Q{weM zo4D)BbBz)&?M#z;G=EF&3*qyBpDL&ptd~>$c<1Apr<3>(hRnM1eNyJ+%OOElYos@1 zXlM#obhNr=EkCdF_LZ?pUqwEDcWTGB=KB@(TnTBX5^isLo59Hwyt`kK?=bhSU%!M) z8mwg8W^cds!DfPR-l~RgThnAMWJ(TQzj81&=!R*4fT_ZcU`<~|m&IGBuc`E}R%LIT zmGPRhEZ0mZyUp*;;S!gs(-Y2>@Y;6XiV<9Hw>$c*l0jtF!|GShRTfU3wQYr4pwEk& zTM9Ky#G|DCo%HEQG<UDg%GWRO(4YUw@Nh)!ol{dU99AuL6b_!mZErK})%V*`|7<$T zRmA77`uY6Cp3`5`MVH#<%nPshV7_GL1^ezV2~Tu)&)rtJKI7^lr4zH~Y1HVx2{%~! zurRe{(VB;Gg>622&t6Rn<(ht8=69CekJgkX7pHSsP2zd8<NoY_8+dL5C;yi#CO=D* z?=}dYW1Sa2S^63K4ZeHDUsXTp+0H0>C$7PLy#9?e%lsRv@_S0sGOdm68mhhPg1;{B zZJ#Lod$Y-+Wnas7o|nkdcays!k$2-u|FW{*F=@Nw-&=<l>7Bek|9H*ue-l0m@ju(& zpYrI*j@27<`Ts3ClPq<7%lAC1K6OE3$1M50V@ZtBkGJ1@{94cDOybx2AnVW#Py2sq ztE5ldVy<!{>zLi`xk^t{!eW{9+_fDF&zcn7(Z5pvWy`6b4(2EK2z`9@+WM5j#LAG! z@Vkn4n?*l!vt;btoTkj|Jt<{%V6yMlm;cksjjoh_ZCts1=hUm6A+Jv{o9vI78~jjp z)`p!s*Uda|%jmlX*9k?fifT#QbFs%vx3o|C_gUvO+xx?JZgVdamQs^BVr1^|pw)fO zsy05e3wie+GT)Wy_-=ImIRClG*jbaz%(v{SDquHYU(;~1Kvy&%{zvbDgCCAec9J}o zx9Ghk^DN)H@wYzrALnHhRxvoIa&@hwgt(;ScKJV3m#sU|W_eXgRY>9EJcR>+WwE<n z)Zb%OniCZh70=Q;^Vqe+yOtf^u<ZMe5AFgYw>QpMws)FTL~)X7>|<dwHaXu%Uwc{G z(%84Vd#THPRxtf=?DWIHo8m9;D&Jag<KwaAtq-owKbqq7+_$2>K;h<7p4wOXpDQ2i zOPX_1d-bWiXW74fVx5_{w@|gEE2j8wugdD)K#8R5FITy=rz^c!;=BL#!o~Ww)q?I% zG)ucr^ro=r?%TiUi(yMH)AeHqqdBj7)kx%=Joa*H)}JEV{M^0MkF<R+oPYn!NmYfY z=Oud;CEQm|KOq?ZS4HcK;e#9D<>rrHci7f8$cwd4m?>5xH9un3-=~Gke)r`FAGrOE z;mGS*!R)V>gnj0<ma;e6<Mx=-jep-<%fn~Ru2O%M8oWbx)d#8ko_8LLtg;nnty{ic z^>tn0^7(6DJNmgVh+4e);ofT5$oiu73eG)B(g9NqmdO{GToj3pyvlP~{`SukvK@O> zE;Yrxc(QLxnDv$`mtL+BJ-*<b8ISA@Hly(E`RzA<9%LwFEOE%(o+B2OvRZ1xALXi5 zP5j>*#Ba~#t0`<-^WxS!tK^l2Q{SFmcJajNE9pO`Rc&`avb_AZe=paOFoO@3-OiI$ z^^<e0*1uX<%*)p$_LfmR%kk#Yc|P{*Mg0=@?fj!~BIDTBx{}~4a~4@_JLQ?Z^mmVc zP1T+N=JJlT=;c9o!kSq8wF;)Lsd{xXz~<o>H4WRcd0dk;FH5GX+<C&aX!0SA+O7IL z3A@wEPKs%*XXx55eI-wTYon5ta@ejw_5IBcJMZUge7gJG#dR@1WI4V~Td?o`>5RNB zFWX=I?=d^_dp1iT16zKpdcxlYyfXy$Y5#iF6VGvNrG1jc!Lsu1SFaMdZ<q4R>Rd~y zc={$Wes=WdjqFc1y6pI8%CNp->a*ONmnZ4OzP<Ew|A$pe>T~D&)_hQsl{ns{elGX; zHZiLS)r{#kPsG?)Uy7XKxoqQ!xppRoK~|Y>{&##<Ug9Wn!6<P_ue$FZe;Eg%^A0vQ zFPX$FU;gJE(?6MftM++q{Z>=%$nQ@uIO&~fJnMbK8keP$cdy@J-{|{?O?=Wv0p>Ez zf5i>Ip6MTAlUgD&)#{e>*QA$oJC$Pe6CZz*Vd!DKF24R)%dc0F&s*{@M(%JnEowW_ zv~2n&0sY81j2*M}Hf@t!*Z!k8H+{xy-PB`E24-hgT|VQx`j?||gf@qE!HEOrsxfDk zg?rZj%e};zWo*xS?UJA8E9nElY;&H9m^xi#X%Ak(Z*f0u;e%pF=N7S*8m)DrdUki8 z-*>ttvHtYJ9o~)q#3Vy{6*L^CS8(bd$`)KH$8>VisYef{2kF|*KXD{MAf0o&X@KJ4 z?+R~@NnEpD@bUS<zeY={nEu^qw=AwX^kM#r4}!N87w)hLkC|mQU3TrMi7!2ytnVc* zT;I8{)ARI$w*QyeSvM@?tUoPYq@X@KDd4e_{+=(%dk&iZ|62IJhpDq^t;dIUxwiW$ z-ETX%Ha|2G_{TZN(qrrC{tKTbe`+uNx=vMc?tb|<`+AlJ+%1p)Sy)pmx-a+c%oVoQ z6$&4&ENT_Le0AlloAGkr72NaYaHR_*xhe0Rchs`x?A)1iH%l(yTOQ(bV}H`I<yAhn zmZ=1XC$1M;Jxlqk+43vdKR4WdbK>4ydoPQX4FX5r$jMo4PdjC^q;H<{1(kIg^^CeI zDvv#l_8g9@|INE5Ha5|z$39#8>fd5_dG|BxI#)$?ePlNkZtdE`_2^MR+sPE$U!1E& zroLG5Q_*8mpmXDr|N1)*z7gb^A8jb>{n>ubVTSu<AH9l0t8J#soZ`E7&SipJa$wZL z9}>K~nHhqwm`Lrb+A#UBLRRtQHi>`)d9&$OQOCuO=Y3cC^|iWnz8d%Lr?r<?F0!xh z_KU7ND#V$Q=V+KVNl^Z3jlkFMyWFpypTQEc#BJ>asZ0FgXG9t#o!q#Xr@T1vM*H3R z+WacX-HW<T?T<K^Hj~Hwjl+`H0{3RGwPAm;caFf#TYRyiViSU<PHs$!)E2hi$)0vy zThx5Ad0L6)<fE19>RRlx@=mX9%(x?xe|^&T7a9q>K7O}NJnE<6q3yBwZhPv}UpIDn zU7x$MrflQ<6KhOnSuh-4^7!h)?=~B34Wia=a#`Z3t61yCvGeEZKap<^uZ)=)(L90E z#q#OW6X)VHXUPb^@9WccZ*M<P5W3_FukwsX56{P3(QIAn&d*-NwfbqoFUh^4FL%6N z(xTIuI_X%+ft?@jx&M7H_V<B8!o{1{_xA@??=;N4&-$O`%&%+Gr_&x7O<&vBxA<Sl z&orx__cA{otbD+)vt9k7ec1x5&p+~xXC=l5M|N)%Rke0-*L-sSVs`Dxx?m9l55se; zEvDQDRSh-PRI@G8w+i_vBYl5k;qC6iE63L#Q8oz<Ui?nj^<DcKZ@c9y^7kyfP-^(b zG@~t)*O2*f`^D{?V$UxohsOrFwm#pm`hiZ~x7pPjoKh`(&v=LIta-D3k`e1YJyxGJ zr}~fi2QzzA2u=BItN6BRwqZNF;j#nDzfON~Yh8No#O}EBOXjRP^JB&4NmZ<?PriNC zU~lJcEOD&gAkgK&s}GyDKRIyo>-tx-Q(EsGSi+h2S>(vahTU2((+m7{)9N?cFL89( z^!)p(Fr%8OJDoGU<NDW?W|_JjdHZX7$7=OUH<JT0jCf{;+@AVy;_E-AnvXQ?mVVta z``3+mHT{bh)ZaO>J(V$H+Ec|$*SGI}{yB2p_^;-Yrnzt4^{D1tX?50Jxgba-XUG0s zaWCb(xq?4ET;V-4JN0&@^5sp=Y=x)ts;A01uCbP#`k*^GJhQ4tAg%dIamS-$JHHF$ zmuf8DxJxqP*~7C<TD=Ks;(RyKAAeQa^>Ej#r#E|3?s80YUpcK%BV%c5X<vFzvH9NP zw$t1XhN*HcYD>j-nYEdTxk1`bJ?+}JD_1Itgs7}pxzsAnh<W*5Uf*EHSKgjg7dBSE zy4kZb%R0LK*PGmb`%H=^e_)PTa&Pb6%(baI)!z!dRp@6)J1(ou%`Wxr>7B)Auf^&| zS6SbfxcT~{ZKow7uH@-nv)$*_W#^-N#AxA{_esBRzdXe|wY0VGYt1>iiZA_f>uZ0o z##~zFD>Wgke38uz!vk`w6m8cCwum1+_Q~Q@vP0$HK-Wp`J2eH%B?DS+-Ml!<a_Q-% z!REf4mv0u;otYnY?a+A-OP=e=iD#|m8L6$gZ5yGoe2qbMxJuRa<$2n7<(U62UU#Lp z<mmG1cj@zvA3AZ?yV&Nb#ms5SJ8I=$oviWK3h=yRe&g)dFKJpqO{cdXQoj=Wcbbcs z|5vu-hgf%iUvGDN(_!5oyDm00*0wQ5%)8PQtG#l`K0l+q=L|OF?6E4pE8gN{_^aAr z2Z!ggFZzf2w(fnhRBpn>1C!o7-myz*LygQ+3(J#=OD>e%YwOdrD%vhxeaUO(MnC;! z5?3U?KWHo!<U7b36(-SaEPACbj^R4T7uopq<`%Pr$0g$XsuIGkEr0apI!pMC&rV-E zKI<19pE|FT<C<IHf@9ZL$_XAT6RN(jUZ(8*<Rcf3PqEfmHTSmcG0VrNGP{3WTK~(O zt@1iwLsO0n^GW$L7c3(-y)Wwi9DRQNm%EuUY18-JNUyr`dTQ6_=~B#kj6!YuIrKEP zbEGZ0{_300Tz^)<pfJ^*#fCC6eT!Q?P2w*;_B~!cJupq#Fz&#P-H)^W2(A6__PH*P z<cFgU74gp#%d>sXMpOoGICE9_!snIh`z`Aq3o&kel2GWqwKd@ToCfP<UM!B1D=+tb zN}IQaUvXlU{-x^gtQlV=vZ5a6=x#`uJUJ>`@S*T0hZ*@{o-6CLdHCOW#!T1|a>6^) zdk*VSvkr%&ODdA&I!>_WuS)HV{k!61;<caO<U2jtdGnnn{QeZOH*nH2XUjV7DzRJE z9?q&8I?Yv1vlRLXt`;>e{W0~|BY!rliAGGW_f5)LPCLa&&+u8_oVSA|Wpa_Wqh+Ak z3Z_IqrT<sto~$bl+age36lE9P_apmwh+)WuNR`V4am8X=*IeZ5St}{enscSX)mB-i zZr_hL$9J7wmD2t+ca?jq-nw~dNz1n!vzgmyA+eKR?UP1UMvcb5fTc_G|LyK<aY*d+ zF8TdIm`kqX+WS?Pp0g*N4YEj`@uT_I&l{iT-C7~rmUuHkE{OR@kJbH3A9I_yDu+K0 ze=o|M`)yND#<qB=|G$`&<@nNOw1jHyU<fT1mv}PKb-}s0-xE*k`A@i(x2|<XddlCX z9WK=d&F^F`3eCP|)^hPm(nQk>w`2}yDI{wP#dT%gSy+ANzOSsN*uLvIdAc6^7sZ~B z$ohFV>bN(*Ty?=3ACJXVb^jLm7Jd*8sNTW;LG_!O(8EswPoyiK2X>v>en2*8#imIU z=Fj$aQ0aTKPOhinj)7AA>BOD?Y&Ms-)@r2v{F)QDOEi1UNdxz#mcqi*GCHs8epA-I zZMA#%+&w`&Z;PHxe(xIn<aLG6?|GVAj%u^?y>R*RCH1ZHmwV>#cmJLudGhs_Q@8cK zc$k9JAHS}?+hSB`r=-NpyW73*f=IHQ-`ZyR%%$(%{dfGx^B}UEEh+wd;B(Hv$*QLh z3yGxcJ@Cxm*_(I4j6FvLjxPDLK)?BD)GcN{reL<;9C22j(O(nPuN+{|@SXc)%dWqs z><Mp;7p(a>$L{l%+ym_2<+Ag)^q$o5=PsMmeVaddnvL?5tBb^hWyIy*Chl2nbGc(m zjN-x{*R+`aa$cRXW_NVabI<*cPv<S*F*#Qi{fV<zzOl9BUaDQu`YH|vc84GPSG9!l zWb$9gFq`Zy^t)DTnN;`XEzgu!L|!=~6lS@p`GD)gM-pNePRdPF$>Yn~D%>F~$J_tq z;kIiAClV@uEWK6GuW%#Wan-E^xicp5%R?v1|FV7f``_D+>2f`0frlEUp62Ony!DyC zQafr=zs6qs??O3yzQ4FsT`J*X_ixe<%iulbmyfzV=X~<!>7p5?<>l>M>9?ajWgom) zXspcp%GK!TT$h4lXN)wiZ4LT9bxQE_X@W1S=PdTRvwnU4)2y#gnHI@z6#o9ZV!r+C zw<eD_L~{kL7P))wL50TO`sH7iI=R0~&s}+Amt?No>Eml>t~EP8Pf#S>?C#&l<plyZ zcbjKyIvZ;J=7&F9>|S9fy%5nH!^aKtuYP$YyPjXEaH(6?be;u|?B6W(%{Xe+WHqJQ zbKibuQSTQMZwppmS!Z;iWqW>~ruVyNNi(fNTdyqQUeVX0EIDs_jkD|F*~_CPitqZK zm)hP@*xXPxRrtXDOD@;nTQ{t~c>C=9zvrG+E|&2*&hD|@^i92${4I^z-I-H1oyz68 z^XAsp)2@xD^7$5b#U1E=n=Yuxe)bk`rSgK+Th{VfuW!=b`|tk!C$DuE%lChg=im`; z3p}uCf(T>q(Z#uIF20%_d?Bi~Z;IR1_I3t|?~nZ|l-XG;69WW~3NQPzM74O%s^%Ay zSUyG0I<v{V%WdwP*RssvUzuOZoc!J4u%L+LNU4=Z+>`lrziR&fC`+AO)ahgXqTnQ( z#)7-sr(XGCv#gZ=#eTi4YPW|*p2v6Ru-^F7vCUR7*=;5p&%9ltsrT+by?Z%mSKP7W zowdTBGB=d$jLo`n@I;i&$MeFz`Z?!zez^N<z3gM7W1M?8y!y4Zyll0Zq~E+<Kjf7< zW?s#3|NJqa>Elkth9|q5-=u!^W2ov*?pJKB%s#j6|HCx`lC9f!iCx~_q|$!HopXDf zvDcF4Zv+=T;!i0TKDge0(i47j=O@m0Uc7od@%IOv`Crt{jm@v!j(p|%foFnV`u3Gf zP4|~)&)R&<DquyX)pBFQ^=9Y(J>8^YnCbI<iPiESODnuCy8Yhpi|ymDq`LyicDziJ zT<7mHUHRDNbJiqD`~8P??JqvL{c-zz#>w`KeuqCSQ9I~*ZNYpmxuVy`i!5eO(YdC( z)8(R2cvSzJmh&3io8<TTi%W-3y<Em{Fu5S^MM%c=L;Oq$8k3r~{C-ujZlkKwwtLzf zOxM+tB6$8EzVh+(^`keIKGxOue(>f@&$*Xz!R5zV7GE?pKVYfg{H}So)1sWSD-M2) z`Vj18^fvrt;Dsgn{1?5?GnqzC5}&(r@<DOebq{k{{wz$?`zG5E;qRLGZoQlc-xbR> zQrR+T9)Hzl=`wLXnY*dxlX-lc{>0Lr(+7{f?4K_bYP{r9+3pLm1s$g(wupvq`MkK` zP1O7SsyhFb-pZ(_FASRbYhrTaBw_m)XGuw`Y7_Id=R`hiUh4Cx`*viP$NAUU8HZgR zgiq&Wvuyjbs3tCY!4;#f-MdsTmvP;$Hl5Bn(>TY?zj5h-PYw4P9d+&~nk_qc$M#qK z6z<QW`zBmor?Z6ptL#nntn*H%1g;7PPb&#p9dIl{VB03M3=^4Y3D@P<{nt~u#Af!_ zVBZ<XxP+f+EH?GETo%8SALaB+(mS;!b*`?+&6?C%_h;3g(#<Xo-LC5OT{)Wlz(o_E zjenzG@^}Y|E7`uzaN_Efdc(GjCyHr(WR<MmYtw*+54HWV9I?lhTmPPlIll30iJ#fw zJ4ZtfNQB=H(R<qQ{*LvbklsgkWLh*C7f5G+yZ-#}5y@rh7V3`PrwWX|-CxB0VYT9P zk>Cf)3fqi7KEJ$DB}?cX=ZsCK=jE_z-s=!qbbQ5Q$-6hL9-Ujt+I{1yciPEh9^Zt+ z^YfSVZM1IuE-*Rv$g{QU6_q#ChSc@*E}YK3En{tC_LUv)e(tkUsnXBlw0PRiH_>>? zngj2qEWYusV?tok8Q~bsSNif_IHWkz&n{B4Wb!cDdf8SbZrL@1D5c%r6T9^)x0=;d zTwP;jbL-i~J+|>@i}*6QRB!%d>@z9aA8GQ-sqp8f9p_3<bgaH1ACu3x^YE|J{vIck zgEh`<@jvu~z46E+!SXqdd2P4cCY9tBZaLPl$vJ6$)R}KvYtBuYx6qbRMo}eUZcR!2 z&p7#T1vibrH{RbI!i_o}oT~NPe?>uz)2;e<P{GzaFD%p#S{_#me$IJzUvp6=8|TH7 z?;C`-t=s>7ley=cOO3s|Jz`a|dnKfveqOBV^Gu_2=De7v?JVy<hh)aT)7FUO`uUcH zpDn{rezNMWP`+i~tG8b{v|L{1l!WsP_I>Z2-Y=hH^K^Da*3Bn>Hl^=;rgi7UQo|}y zu2~toJy&ETh<7j?o-fJq$8zdo!|VJzQ{OJAJyv*kvuN}!-nHi!-fZ6Y@r%yWB^P&D zF-*4pv3St}uKmi#BidcgU0N9AlPIiqG=JaI0E0F*Q=`=l<?OF6zx}B>Va>%{rvK|Z z{%;kXKlAodkG>;kug$Adl)JlI?C{r(yVssBn^v#g-nP`%TIKPa<#WUBB5Ttb>i2sk ziYizx@Gkgn`Q((JG<RYsXL3^Isox1pxMOo3Kb6`Lm@ql;L~O{K1(({dtok)+4*%u3 zhqg>|y%qVn%D8gL3X8<{<m!`k>N^*P90`1rq-LVR(erqfUq!O{k<ckjA2?-q=)G#1 zVkyjAd+h$lwhM2Ib%a+LKlqsN;z(X?Pgp~&)Bc?)aj&$mPg%r%+JAwp$m0uDxm7#f zEvq@?ep8!ySxv{oY+D@@-3R9Wi&ox^oY<Eg!C5kS_pc`pTNFQ*N9mgDI^D8fJ-_qw z)Y~WTO|`#z_@-Ue-QUd9^B2xecqJjCJE_0!-&U`P1rh(998b+z^~E`6>w~WcU#dS^ zC(P}doN-6-<i|C+b9I6*Zu8!HMc3m`WJTSBH7i|%e7;I<KcYQ7-s0nn_Ro%Me=j|k zBHOg_m6+n)>ePw#=W8_zy7Fbuz7Ab<@8IXi^V?&W8$_s0_W0JkD^~03VsAeqhYOd* zb8p%dXKb0Emv_-+)`QqJbIdY*EOjqPI@_&sQ54<T=C#>>*H+hQ8<Tfy&eO2WJv-au z`XTAR>3Rzjjd%XmS-3Fz8t>*g%#IqIr*>=jyp)L1wG>$&uANg8VsnALc9MW<^kePx zW-)y}1xs0rSz%oI#&-P<Iwjwmw5~ofDd=81XJPJ{bDNKqT&k9S7;5&JS*YLeyy>pw zWhd{Pej{?ZwJm?{E!KP5#~z+u$(m5h?&5eN<yE%ZPp$QnHy_x^`Ts@@1MAy=&9#Lw z>Pe4knS6KdagUFvi1FplHJoI7OyK?N$|JmDYa8AAzjd$9t8`i9l$>oZqhqzO_8;pa z9aZz@1Wtpz`>#``>mIwai9d64@U0WS4}IL((SNl4cyV5V<CQ5wh6|=_`SxJOq-9%< zOqrQ_rE&hk2t_H|=uhs9tTzhQonNg#d*W0(wmA<nGxG!{_a2rEpBNN%HFk*u<5$NC z*}0BI$724Tez`~fi_iA+Gq+VI%{uw1>h#?wC#o`;&IBKwzi7prH~I6USJl-`OqP_E z*0PSA-TY<i>?!{bIzEhks~Wq*X_DTfbG*ubTKVowy%+m3I=j^3>&A*FvX;I%jHmvz zSETId()@COlSg*Fh|Uk&k9!lAt-TxMmC3c!zu0E#^QoR`Ur*=7ocymiaa!4gvy->} zaJanv^8)oYo^5ZtY8U!<E}Hja$=O-9@+;q7Pg?vs>&Jy6kNcZM|F-u$;#o0gj!Si3 zo#I2GgN!^=ZrW^7y{F~0>h=5QDUW(MpTC$cC8V`+?!tY{#}|F|Ip3L<bm+ES)nn<? z7C%>=y={{xvCq&o?$=Z<uldIxpA`4Z4rO={vgwBPqluTcM1?6xsCGVmpZMCqZc4GV zUfjPG^RC@}x`So^rynyn->5&}D<Bnjt%q+_>xy@AuNJJ`Z@Ylu&o0xq0h`ZUKE<1H z^T3IcU0b=YO2j5MG>RA~9Envi^^N9Un$pKH{e|r-!@1MtDhzIX*!jgcbj{Ip)!e;n zlRdK%bzjE6ow4YKLj1<;T{_?D)!F`DOZ{@ELsO^Z&@>I@PR~v$FJU1g3AXRgy4K!$ z^=7Rh6KCdOnK`pnmUMB3>z|si_i@MEXLo(Ic)4zT3%`~qq2k;AGOUR8_O`g6OCAOB zZAnn&Tf<TD@8#ER@f=I$AJW{pZob{MwW@LszmIfXOkZq&yGqaWjF3soik-_k86Sph z_-JEsYsQn(zp5+#3ixjPaB^j?)rAX!GD`pXPIOK9#IAO2mRfwvk1faYu5P)|tG6{@ zNU-Mo=9xEcbR4;A{^X;o_^H+9m8?(8G&jb!Y&So*m!V!OR)fW4m1MijOy=8DM5J_> zm?HVl>k4%)NqMg_IdHMUE?zC2!@mt`!cz-vTfgkD*>J|Hb+u+PTjvF%Darft-W^x5 zTOwa{X3ujTk6Bl`o^othB^hyX`x)7?mVkHSDpjk_Ub+@6xz}pKNA5%ITt0gwZuMNN z4!>3Xfrm9tWTHWn<)6paDmHvu=DxX_d6MzLUUum@QG6f6F6w>IioYGGm^I~0bVirU zTbWKBe(orx3*Iev=6~gNpXPMqMw)%^V!t<WYQhUj*Zw=|d2#x6;Trqj-2EO4PH&gs z3RciM<ew<N`{?1gIEQU(X6CfUd*@HzW1_`sl^7|>{@=-`A@+E?`}&m=TCSV?JbfWF zRY5#aV7ZCZ+|@;?e<WsnKH+)jZ^w%(lG>?OlfrbO@@GoUch&q|`}4N{|Ca4r!%}lQ zkAJ+JdYEtjqvhW-<l5q-@-Hu``EauK#sa4D;4_?ttoIUkR(_c_!{}RdtI#x)GdCHE zr#o-FrPREB&WtsDFU7w6DvrJ75}ePKRLkeol78Z2YmrpO*;L8;K9+6kgkNg(D|c#_ z@%=q}`=OU<JIin8OX<gM1i$KEA<pU?V0_WE@79M(?axA+r+xctQI@=NlY4)Dz%?V= zY?A~zzGFTQ<ii;Yl~bZMbpNq!F*90mx$4al#jTIed^v0Wwq1Mu+FcRdy{A`n-kte; zy8SuR+=`kk*A&M1eZOz&=KP+eS}eBxy~)!z8*=AP@?OBs#c!xO`_vW>={sk6cK$db z$l;UywW0otz|`Mz2ekM6wqN+#$7wAa8-qaZLCr3|cT0_%I6qujEWSmvXUFuFDZAVj zP3=EczBzSf;QJjMnQuQi8FJcL-FA|?JN59>Bra91&?Sr|7sV@nJ>7d|;VT#CF7+ci z=1b=#thaT$erh#GHut%|3ewDFpAF8=h^uGFb1e>cd34ZOBIHt_^0YToX7>NK<NbB; z{Zfagnl^^d=gWN7u={4&%DTg1k6N->Z|CEM&u=`G(^XuymFZqwnu`8rxuh>E{OXn* zu)KcaxA2eX6xrlkOzSn)e4ZJ2mHTz9{@f(1`*&g-z6I`?`KkS2jHcWApNHR0>zi@p zf@H+O?RR%?GqGgLJTGZDQR#ozG6{YbUNx?LDsTVEe!R7HTFHjOX;UgpZ`}~q<T$KX z8M&zK>;{P$GdZ_>jW<u-uD#%ac$(7-?z#`Hit~fd>Stt3?BBXsz43;?wTH`Pb9J~< z+1B+nrSa+S)mgrGz0Gv?ABh)zo~--Lah+*j_$S|(y=yM6i#hMLKJATHvx{DA==;XQ zuZ%vNuey<`F>l_^BM*NIo=>^>*)3$n_qE4;Tkgltm|i;f|J;mO*?%P0R9J7{T%meO zZ{C8kt+gAZ_-)_!{=EL_Cr3hRRXU6LHQ)XG>|Zxz>WWKmUE3qw{)Sgb`--mqyv;h| zX{pxBGu&tTIy_8z>c^44V*j>|?v6B}ji(O2%}}|er)7Kb!IyRWH!SD4uC&|D)Oz#Q zTO#+fZn%l>J+eY>;j<^(Y8T$P-ZWF#cYXg1d!YqW+c_-0CBN9+a5|{$kgMEAo!%V_ zZt%Uc($Z!77Z@jc<Bcm@MtG1vx6Oi#s8f+KX8)EYN=;X;j468YwR3OPy1n_wxNX~+ zZ=RjQb*A_E9zm<E`#Vfu>dODSt@L}%gvC*HzSYqh9zRxaJSbbgzG8By-5qtCCEpJ3 zi_7`wUDMdpd0`KGQoM&<qWd9_mC9#l{psa7-X>zc{P5;0%SC!m%{^&vRhk>T^wpxH zwNtjP^m}E<rCC&0dEO&>3G+px8LwjMv<!H?-r1_ZN--}|XR4F%&AXdaG>0#&!HUc0 z@R#sy?wcP+uFK^9eE34<>Ge(()jxbqnuX>rTr(?~qf{_1El_KFM$p`<q^;lQetT5Z zWh>cS8>^eorWHD^gWWUg$*H&!JBc)zlWh}a3aiTxwe3@o+b+ISe^!;^%XX^+$&yLu zPdFIyYE;PXethRVM`vu2_))1<uZ`RL@1`{|iu`9?7?9RI>)2d{?`FIGHQzoxacE^D z-=XrN*mDod6GHYbl{MWaptZ5a|N4_vZR!tRec!Ro_UXdIYDbt??f>>nHHd4Cuj~D@ zf6j8V7uZy)y-Vyd*U39(`C@kM;%U|_Th*l&dCI<Z`xgAVo9EHX;<<05-i3M1+jMfD zp3b9PbprkV&MIpJ-sVW(K00N4#2+_bzpdP%=7(HA^Sv(9i;7aN)oj>y^34BIUgzmc z?&crRc3=NRHSnYBq4P{f&z(D5&(0XBQMy=Z)x+8xxiu#inkT6FMDn*SN?6En?Pbj^ zS*fQdq!;af_guNln76jz|Jx4lzjaUcZP=|h*T(PRx|iGA`+d`oZMgAlf9h=aTl^2M zY+v?Z>jASzKX2!RtNk%q5@5>RJbykX|F8JTHd2-I{>`vZ7v8jGi{|CF@bhN*R|Q{6 zv1`6N`(5Yo!lT*mb6)3JoIhltbK*wlpM-g$ck4H@E2`aAe3>-ub?!1Q>HNcse@&_| zs=r`+Ao$>>zol$vo2r#H-&=TEZOIf>&TW6MHk(1md!Fg0n9j1M*f$5XOzPRity`29 zf7Mfed4$dWpmW+Xjkx$_!IK<ZdFQv*ZT_a%WNz-`dNAN;#?GhTu3mFYR9aAIcc`4{ zre^)8qRIKermem+d%9F_Mcu9XX7*Te_N_wMS&UEe=36y*y|U-A75_K=QuwOx4z{Ov zS#}vro2Bq5eb?iqOdgHa?1hVS_OHBm+10A8`KMqo&tHD`*o2QeFaNH7nbqA<s=lGX zQBZxQukFRVMpaoKOM~}!DJl5t^0b}n?%%?(SLF=XUtJ3Y$sWypGb;I&H_gxp(^lJ> z_~}U4HWs5>tFQaAZrX93nPp1!#hv$lEYEmz@Y{)J@rktsJYWB|>~z{K?RHxB0oR2o zuS!n2Yk9Zp?B8<g=Q^+8yk$53N1jvAEccn<=GdtxnVqfFf8V&d#KeQ!qgU+pn?+%A z&TEX;&pw~+rLW}O9sMXo#BYbu8r|ZuY1;i)Z}mBD3+7RY{I8LCP?Du9<*=*8Vv}PZ zO5U8Be%bNpyrZ?AYF?o$d%f7Uo)f$y&uQbk<AtL6xm&9=n7Jl)niL#4$iMQ5z_$bu z84d>DdDS<+txtG<%-U_C=e$YnrKbGzE=LDf-*#PS*HvnM-qrA%$eMLx5mUri&gQMF zxbpVE_q9UNsh7VeH2vS?BL2&!G~uS?s<3;muJ20{q&M0${fspiJ8<PQBd5KK_(4X$ z#Lv4g#})J)hzjad5tU%&{gmo*>f+Zxr?qP9G~Ql*d3{+<v%RSFB!y=iubX#j&iMX* zRqxVVsfEIM+;e^wss8St_AbP~=}rB=-FpqEuPKSqX@9UWJlJ{r>Z56e0ZPp?Y`-yQ zcKm1TS$6Bm&#<pc>e6RzQoJp{!E)b?rD^e1?cw`MXKN^L=3<rlDPelyMVz{rLfY-U z0jioW7hmRhpS;fW|3QfxCL02oE7pX3w3oB|e~phzWtrWb6VZ7Zlb&frPS2?M<nxnH zYGcUa043*OZBw0x>!eu4UrAUvWNWsySsZQkjEPM=Wclw$8_VJf{R3{g!plUmHmhmO zp4j<V|B&_NdYQML(M1Y<Y&J^q>Yj~ERb5M0&EiWl`1o$WYV@-G+t19f`Y$axpI1yi zaOHD}Le6T1mb*sjZ#G{3|8Mf6(^pqM&s>(M=;SZcb)sd5&i<)SpWnZ7>(joDlg*V3 zYZgeHc=ElzO7h-^aHE{#do$#i)VJ?uuaDxiQet2_-e|XR_r^bJy&;ufZX_LXTlYV? zwe(WuZQk-L>t|2<b?=b)X4BPc3xvO2-<>@x@IrC^<hAKrFJ687V`;}_g^r$b<5^2{ zS2p*scw6vZs(RSw`!}-i=1=1uAEBm2>;7=JtkAx4a+mWL(+`~<^B=|Nt0kYh%qvsU znB2TyO@C_rv-3<GI!up?UrRoDF>%>Rfo`cqsdHUrxVD(Du}b3GWTo;`Y(wy&RNJ5~ z)5uM86u&y_E;ybe{LgUtwvLVkUz?oLuG+o&=g8Hc8+@jU$I?_}$yvcoI=PNJm#TlA zCbYYTQ)fxpmdSfWcWIm{W&g|}!tOUA;-7CGuZnuG!RH&t{KemJ&V6HgNA7Iq)i##r zJ0@jqpI2r0@2Zm-dx84@gR5Ll`>B~6U;p>PG3VFY)wpF#Uf3G^7Oi>}*ni(K;Evnf zyU$GS?PjuATeVd0U`ly!u1xN{JM~}sFC;lKpIp7t#4kD~HZ545@9s&%li^~C?IP|g z!*oSs&tIDv8h&+syw$f`LV?jH<!u_m)>V4U@9i_p?r&xdRnJPAe{*K)bN0;Av?U2G z+Ue`_Hu9ZYqQg7kXYIL<O1DJsT=>4n);iG7^wDg;Jjq=b^LKr{{5?Ru`D6Lj<~4zr zylcBUtDdLU*@{l9t8%ZK;+UhA@q3<E<vl66W{;ioyq>&y_H){l#>rpB4u>x-m=u4V zC+qi}y)F;pUnSLi{BJ+$qwSi@=TDbawxmt?)Dv(iRnGQ=P+7q88~2X96_rt8HWS$* zKTVQ<+dG%|6c72RxskUEqIrHN>@d2c)NFXlPF#M1)l2`Wlec{9oZ{s#6aClbSytIY z*VU5Ub9blim3lVGJpB1y#UGE9XB2wnE{;pL2{`$Zl`BHTIO%&)%x1SrW{Jhic=Z%t zdK{lECfocm{L~)%)e+VI<21G(+E#IhGidii7E$-!tD7H1<=P)Q(w0*u_}P5fulWmY zR>r7g87L=-ENnIEjqR8k_vy!y)h+RFk9ZhsUO9NJqj{dY%<e8fu?ZZdqU#>Gwzms> zO`cU3ajdDjCiE`XWF7fyy(&Cv(`zHoho~<}nt145YPqlY`F$$OA6n@qXz+)|>CWps zkhoT+a(l4L2`)kZ&WG>pS=Q7{?VO(ZK}X_sf8#ITja{<2n|HlooZ_pkYdlRSY>UB# zb(g*W<_AB>cM{S%mn4&RoByEk4aNCQc6{d?Yc{wamF{)^zDDa;=D`^pj|wJe>|6hl zP2*d|v4fVM^{3htOkBvi&-3q@?TNvhKNJ7%Y<b*wdcVx}Tb7-<lP|4t%U&jC<huGu zF6YsXEwbHj!q*?z^FhKo_ssJHi#3$a6+5~s&6&lqeBb>_f4+E+0M-b1hf|G_N$SR% zr_Xu*b%~k(Yp*Rk)>dRa2n`T0v|?lUr6}}tZBgdt;KOT=`Z^z6?(5DN^jl+H@wS<2 zzZ!h~rCsmuxu~YT>xcG?`4hL@D{Jcw?cDJ++^p}r{}rEvEbGa|U!HNTth;$lt~Qjd zkwZyk+LncPEZ2nIdAiQ3@K)Ear>9b50=>M2b{#dmk?~cp|KBaX^4kS2A)04v0=cGr zGd=sbjHN}wE_j#G?bMskEh1vv_iTN=>(3AO<t#e_*95w=9KHM`XY!lf2KS%d=-=LL zX>V|~;z(opE`D*1nO1&%Kl8$cXGKKm*FN|1li0QKpKIa-_xPvt1FFmR-z)Ym*H~e^ z($8K@P1fq!yF)%^ZyGwRPM=6jyt~j!GDGRYMOHS!%@3JWH%zJh64Nk2Q$kZmN;JPc zzvak-qM}~Yj^`UH+A>X!{!i^uy%14t`7EWj@&7wJjkUo$bt69N{ma(Br~01z<B9HR zd;SSBzBG+dYCbe?lKH6|uelQ4duDuNl)jvBB)lMK)%=_9SU1j^yeiV;l}K~kLCw38 zJ?Co<CKYwv%+?T6Dh)d#HSIX-*UwMW{+jOMoaCY1@_*fb{p!cP+l1MEMTW=CWAxZ# z_VS@+O3&eW;i9pIsW;EmI&W}2I(32F5`~{u$NkioK4p9=X~?i_M#e|a%7CX1OWz+2 z*#GFHXPWo5fY<dO4!ktmIB89#3mf0Pm+7I4olaz5-Sc_f#p27qz4lHQ-}K+3_*<sa ztlZEh`z6;oo)*tqqLkC4dTgnGXVmL+60fq>Itn^xMtV(hd;8w9!YQc#Q~4I>NeZsN zgTo}O->dGQmJ{6m)b6?U`y?L~S%HJOCnHlIrtN9hmalTzEHAqKhQ-rj@f`KSoqG=5 z@A|Bu?A5Mj`hdlQecl_<C$fhQ&Ee~q_0J|y!fj(mmZVo<$+8cZGdkzJeqp4#FF^FT zn56&Jh$J0*hveqtQv)K;%eI@Gv@-tsbFX>e?khzxTPxK?<{k;~587ZGZ2SD!+3UCU z?&T(*IH=$f?<c_8qMpp1CAgo<_=Se1;nJz~-_7hl{qBBSzsX-DP3yTvxA*%ss-KjF zq_1vx-SS4yW_e3tiu8<UoI)24X`HWI=-j26y4Y`9O7TJ^mpbh$5&l7&PWC(u?v<Lu zw344^zt7UEZNc`A-{SN2j|x}DK8>teZeM&&+tNz*V(uq<q1k@XHFD?fI=_fGs(qPH zcV=?yg2zpD&o&EvoojhWhi}4?UYkj8_>a!=-|N7yuB4uKjq&P!zs3A@0^INJ9kPEZ zeR7ho=;qg&`%QaZ+|qEI!ai#O$IHk0o;FtdE(!)SvK-?v^%mZr+htLITSM;G_PfD* z@(+mbEndB(Ysa4r0ke+;->VkYex>#ALF<kE2YxNQ`u{5P?GMUs&(^B@hOzAQ%ToCL zuBod+k7xV4)!vs^y;whOVr2F=35B@I;=>$sz62{wm0N4}?A157fO=^G|NPSv4_sP$ zx8#Kkn_-{VWHyETIo@T4MatH_Kk{u-f2$n)ld^e%?pCgsLRYF!3*PD8Tm6d7WuMu0 zPsa;qx0&XdI{aS8u}5;w`lKv9+wzOQBL#0BSlOK8x-y#ouKoV)`iXiyVP8^HKkW~? zzb>Xx=uiIftM(t8+HD_tI%w})lk%nMMa}vdzGZXo9bL=ubdBkmC0f&WC-a<(oR)M- zw{rF2dAGXNzXY4#dB_pI(d*FtA4}UG_WrwW?OA#!``?uBT&~`K)hE3EW9<4t{oUIu z0^4RW%JZuBS$(uneR@9q(D{Z*;a8##c$=7ICnzN@*lhpY<b>zX6`S@jd}?~2cCwX; zLybf1idxi72DYf3n!5@Oe)6(yPFfXe@h4NY^-Q!^meG;Pj<28Yetu;^c>L0u<R-&Q z6BPvSpA?8V{56n=dD5)eJ7=jbe&QBd&~V}0+&uXYb2;04*B&?+A?(I7#bwU3>(QK^ zZMHh5_fKm#Z*sCMsr(*n@Tpev@<E@U?vjrr^*LL^mt4Mf@BYHI@42eNH$At|=I?*3 zVs-anV81qN`K<U4Z;q|nw$J;asp<KPrI)+<3f5a_WMp1g!Jh10DtIDxwH{Ad=I*b& z#Zr8>$vJC%n6E1M_sei>m0*g!ZRK<2c-O0m8td4umw!7i<s5%ZV6$P;JYDmfoE(Si zU#D&iiYyS@tGMhjYr-E%;q{EaeS6zF+ME?1+CJIVp)!y4{hRNfQ>6?F_U|&<S@rL> z@~@2hd-qlpud4a+`d`Oe^XQ*va%MM7zJB)F;U%ZHE`J?&?#7(Ob5`BzHe`Ig_Ric) zgGtFsM_n&eUw*gt;k7#^^HP>9Iy<L>{fge>?O%$Q|M#Ai)h5i5V76f|*GXmvy-&}# z?3nJzn15Afja5xxTK;M2Wh?F*{jYB9;Ql^+_x(fNaw*^Z(^f?9%Kc#?f9{8;q;hRm z>EowYw|sq7ZgT94g7h6bTbE_a_@-*UWq-Z()|<a#d$!kEX|7py#CP2UBS{m9+qzbp zU)^Y({9M^lO5ApPVBV*#OYQD{(EY<#=QZi|Gyj%nrKXP_2JHVkagws8{etTsYUlax zKN(b7ZRM1f{O5G-gOaJQyb_gv2%q)vdA7Fhg!9jDzs@-QtyrJ8UbkS!9;tHm$ul}@ zW*Ke0`YU^(#oFapW={|bt-rmIC1G)*P*mn#d-dm61Nj#HIJ;(}KF9S-)24iWc+dJ( zZG8*xF2{H8@*Yo_+Sv8^+s=s+>DE>AYLqs<%bqGF)iK}kkJho%^)pwnUY>K~oL5xo zcc1XJtL98^{+3}{v**SdkMmC_zc^)C&U7SiUiUTi$t}q>Z<3D*Y-gUNe{uaI(bqSg zF5^{_VG;Mvcu~cy`AGlOr3(iuUtGH&7%Fk6EnGLnmE*(*Z_VVn+k=|z1oKpwzvo?w z2w5t#XWcD@<xXOrH$Qgnza7VUF!_$#`B__*>9^?n{a@HPOaID|U(+uw&zvKp8Q9Qq z^8I<K(;IV&HcL9`&U9$ICC}({bai9PMRBVw4?g!?+v`yJ@7*rPmIB}NvzC6XGupss zcgj{UL~8es1x6Lk-vpRG9(VaTO?%ZI_eG!iD`uUQPMNd2<5lhCE%w_5&dj}SSTn;g z<k(LhZlBLr<xSW0b^M!qdJQY%yV?!mwaY`6memI=e<EI5`k2!x$mg@kHqoYqXQY;` zwI~i(syq4lcgu3gw`K-!&8m3!B={-&&bP|dEBT+z)N7?%F)NVy@BdY4v((q_)m=Sx z@9eU)7-LS>xSaytTP;5R6aC3ok$q$0mw-sl2H|zfjMsmQP+V~8YsWm#_Yu6;p4JqL zxO>cF>swPOfBZ$tuj}W|u5rJksXII1+n&Enrce9tU8!V>=r-6@GcV~u)|VAd`nz<0 ze!QmfC_PK$#WWd({Zf^`l-HDfO7hy1Im4czzMJ{!Wn+tT`~LLKn|VUF`^>w^{-uR- zs}C`qWOHQwRKEY~gV>7Q`qk|&1!vYidF*s8Y0c&=<`>_$U*5idg*%JzwlhaBdNEu5 zSH0Tv|D4u@FXG!Wn6e~#H#r=f&CIeuCAY6zx8lHzD{B_cR+%J!Q)o-*&m)1?`oA7| zExcKJ`kSnu@jHTB?=L^2%4V^hC-X^r470(cJx?#5Pd%6Bx_0_)tFAgWNiLQzo_^(# z7LUS?=7xW+yS=@=XwvPFn*tNXOjOE#`K4P;3qANZSy4b{>c4Mai&t2ym0VG@e)1u5 z!Sp%3SsPP+NqWs(!=GnfX!JSo(BDmKPdZeVTX$%ltz7%)tAW{NhGl2<tK*OC*ql;6 zcMf}klW=I<sRFjV&N#~rzFYcd#2?9Y)|`6fZ|C0j`{wWeML*Hb-Ml5v?Qg`9iAUPF zivl+N>VH^jIM=<x&w69j%qdddnvc#bUiQYanX{(o@oCW~iyIy(v^wq4Yc@<@sqk;% zM#Tx9EgQTKUhrcP{QmFMDp`X|Kb-j;Vml|Tx$($^*<P~Ve$E%|f0eOpGh8HWUh{2^ zU9iyZ*5BQW18v;$n^M0miRO2|+sc1O_~N(1S*PRD)TeK&omF!FN`}&-__a5`gqKBU z@N2%%Nd2~Q0{bfOS*=FSO392}weMXov_(B>s+i!a@Rh62e%raHCD#I3Z3|BYx-4wA zc=bsB@JSxS@&%^ehb`)Rw#c2FQh4iH$#tenlcujZ=C%0B((vat=~>ehR-1Knolmsg zdH(!+j&&||kCd79Cvu*BsG{jpCo*yU;=6yv;zE}c<+|}atI6k_eN{tw+SQ27iz}I4 zyKHuxXqRc{EV^J-{BD!X&H~9pIrFo)9tJF8kuE9W66Gs@@?%2E1mSD`vKzvfIr{c{ zN7e>2bH37fxb>CA$`+N|@s=<C%r$%UQz&{{<%f=Sj{<wnrY>GHCGpTstDybI3(iz8 zV9*uo|EBeAD@(AQZDResU0;8_;GMf^<=JPCH}W4go_5=pQC#Wt`&{X@8qd34%Us+4 zs7Ort`MUmnla>pp2>ZLAZtgffkNcEiU3KS#iPz6E89ZN;x%>Rz)u+-UlY$u|XKc54 za_RK~PS16Jl72nDZd#n~k+3>f>(@;A#X0lT+%;#toTbY1>R+qL4+j&$dlqR;@--qG zS~C=1-n{myNq?%Hu=>jjSqZ<V)NohZEAA1{mQ+#nt-tiEe>2POoegyXjN4gudKdA2 z*wz1U>s!|geg(Px#{>e*mQHf7e|_Qv=ZDDIU!MNA&OgMR@=z}9o2IR()_Zl)YH^L_ z>Gf+$cXNf!JGx`a!VZOb6BAO}*Y4n&G_&aR$*XHZvhQ9^6+f2f^nTXk;%KisJ>k)t zdAXJ(Mm*RYaL_5WHaTSZiqkHE0l&;_x$-Qg#4c@E*Z#%hZ%Co{{EK({Jmx5hKA06e zUH;hPgh?&8mtWoIo3u3fQY2d}cjntVOPQ!8^Q*I*QWpHU8~L;;&Efjz1C!zxF|s^3 zQZ&V5TG;M7X)RvQ>!ll6wQHB%-;vktdqU?-v)W$P%eTeT?yoHSlyG>$^RDm}`l0bg z3#)U##w0D&*z%htTUR^fe5lZ0N8YC&59(<ynaDP=aOU<Cr)xsjE}CYPx?cQ*?W*{= zQ{fMO?{o4p-1PDRM|<!Vrd;iP42P9Venq?uxo0^0s!RI%M^Cbrf7|)L%R~R^bBh44 zRRUh@g$IIOJ~#V*MfQWRhub^h{zDrC)2@7(XfbDIO^GY(L8Guu&s6SZ`0wD)VSD{l zweEy>?EjP>8x)r@gj{x#?q=wCG$GZmvh3o@hzp0en9NL0KKyl^!s=)7n{KZ<-*>ys zBjcjXyL-)R#pcD7AAK|@^^AFf`@X9;BOX|1F_j&bT)(PdM_Gneu<_BZPt!G2Cd^&( z>8{4bf9`kv>V1;<O*z(ZbRFN}*1o0l;^i|-t;;00o&Eiv=MTr#%Ww3O+V;<9i<aKb zoKnrjT2?u8LJLQu(+qyLYG%L9(e8G$bib_0ogbnm?!0LJpJThkBhvGCI>z+~A3t~E z&ykxuJtGXy_|<zE9ND+PGsW?2v02J&p%0Z4w6xaQFV`-Zyt3mLOKFg#k@&(kGriRe zb9X0Rk>Py)Yxb`_Q$KBNGF9iDqrt^}t>?|7g<{|1zQ?4lt*Qxp<{t3p|MKPt=RaG8 zIdUS6KOT`$J3X;7GrBZ*uJXO!=d5$~O!Yd-Y+L^##b>|Vp}y;Xc_X`LESmc--R8!R zxH|^ziwx&khOMmQm3_kdVC~$6suEjj+Rupp-OjQ;_P+t^v&Yqy2gTMF-(c2{PhY$< zaZL!TGn2FHzHB~^E!!H7%WSyhKL4KIHaq9*Tt*i%&NeYkpF8EwcFBN8T=uVdc+RUe zuWl%_xw_d+S*zNraQ+>K6My-iZVhpk+-7p_acSs!#WFt6Z{9`u>Bd)j6b~x0f9Oz2 zeJvfL`_XxY+s67+N!tT1r@p!>aXkBn%Vif$(+|86uN-a$@IF&Gl3XwCq%di*tC#g! z384+#deU{**Dhi@E-#+zzHmcr;}YZa^A5+~aqAzBdwy<*)*S!P=0gUSE>Rv^w!Qnp z+3R&aWBQknt<8b!rwUkYyZ?Ujb%ok44ND38B^zdTm0q3b_d-6Uqgo+HsAbyEM+Nyh z-MsnCEe7BFxHcuGd5N7a{paV;!<klo->=Hj>*LpBi<hK{v&yY>HRC^$RM&Sw{$k<n zgTLCt6()s-9Iu=I?_|frho3U@XV3VoAgWQrc{k>r<k`ue&1@Z)zd0_+)5cX1=9N$z zqOOr6KH=nqWYL7SW#aj-btZ^RInZD4*>&@p?>s-oO|f5jGMuLrIP+K7)P}uE(w4|8 zRk%In>AGJL8Q=fSZ2M5}Y*qP~dzsRUd&eX1El@1%k6~qS+Vp}=O1UT1YjXchHj63G zt(HaZvj5=n%2Tb)`fl>?fWj@Z&F;by?J*C%+4wbjuHUqsJ^!uw&Fd+a%^6$j-Csq7 z95%~!UMBRJ<)&e7;DJ5I%vdD#rbk<yoOJu|AAy;+uk9{eQ8^d;$iAe?e#g4w$y;R_ zgezBh&6l%K=Kd7G-F`d$^5@>(*GCu_?g+5Yx_G~WyWw#}#XG@wb;ti4n{j`4`D8=R z1Q9hIfrQzsYZotmSbt{8pWQ!1o?jFCd(tlX-HBkYTUO7Gs_eXFx|!ShHz)t*ZS!Ib zPe|nax|Y0|pI^pge{QC@yQ3N3dzbBBBRhQ$$u68+x%I;9m1nt`e=LmI_h8?wEy2PE z+pg|0c~Y{=yv*conS|!U4Z%;3r?tBrm|o$mTHT+xu~q%%>W431f3#h^P_<(AYc`{D z^919h?)TR(`Tf1*_Cfft&yq9~-9&-3-Jx^;`~B|^Z}s{%$v$b~ud^E!rucB{y^LL8 zdgrh<ueW3YW7x;W6NmJT57kNT(%r7y^<!i2Q`gQl9Vr`mc35-EonIgI{O7v!N6gIJ zj&HJ>bc3OeyYo?Bv$*+<g(uB+DNVOhK4ofe&Gr4IpXNvH%va)%+`W1Z?>c+oe#-lK zkGPEVUj0<pRG9yyEI}dR>z38K9#o~@ObPM2r^zUAR3pjp<=qWi4o}(seDj9Z?(O+o z8njQgvWja?U(ldt{r`B=?$UL_Y;In`^1Xud6}_Tu7}-n?KJQwVFj?cQHe-8GT4KWf z>dNjN{Hr#;`TcTL$`yT~{9jEw{=WaN@Z*Nv4i4|KPpKDfgzPhsZ@Qi|HE-dk{v!We z?a7tKRaN3|1({++6@PiXf92Kl=xB8L4o}zOMZ8bG%Nc9$*eG%@EV@Rpcj@PC+SC3% zdJ@|#sN|WsLTaTQ=bCwK=^34uO;e2Q0v>DK??3jrpjP|)xephAD{VL(pTv^4=5l0h zH}kiyTmenpKc*kgE!^|-*P=d~#0i@u?=1;TTrL>VF2p6{8UJqS<b9iO)QIL@>eIZQ zk@`PvrRDRErmWR3zgf&Xs;0e2K4JZWFDF~R{62SGWKr%Dhu^{?VrJX@H&~VXpXF<K z9U^#gb;8r+TKUk`R(G}^xz~}o#bUkpO4TbGIZw>)?9qBI#JHyYvpM6@+?rt1wHtr# zNoB7K%Gp2R;}wBO{;D<8`5pA!>PlACT-N<D$FDt!_0fyQh-bQ@Yu9Or`9>v3@2ug> z{jbEF(=(yb*5Y#sPpiD%?i-tn1seY^X7V?Ez}vUH`3`5})5&ee=O=6CUaogPoAv6i ze;(gi^$ycl!ngNq{rW9GTwzj_&)eGQb0yab-%GIk|K58%IBAP}g!*?Yf4d@&umr8N zVjgY7r8_H5slE&h_2=XA-u}BZKqf-;?fLn<U266IFMr<5U2paE(yh&LZ|WAbvTpw9 zyFz86%I?)B4^_(!2*d`4H#^*F4{Bd;aO~4XFQF!n?EXoCI(P4|{cD!`RC{V?2yf}j z5Aj(hOlHDsGQy&SQv)9OoLU`gx|aKJWa$0W$vV~C{M?%Y*1J4<zs7%UY|rd0hh^(5 zSl0$GP7m6?_k#27rzQ)ZUXJu}e*Joig4DTa$0yH%PFgVkF17qCqvQOml-XqN2G>Ut zSK~w#^t&cq%h+P?8~85jxaqg++7GT@&wJ1x7G|9@?=91<Rp!gi*vbE5Sx{~hx1+h> zLF3u@hg*7*TFyN1ZAuaU9IECW_s{jgn(Qw-*!KNhuzS~Qy?--;q&B;){Hk!B?P1iu zrB{T^kJ~0(;JU=!<DMR^<g3nk$2Drt!6!xz-|M2?Q$3e5-rO;3!JT?>rVG;}Mf-Pc z;LiDwu^{Z^H_rC+#amwWUNI5)KEr7G;c00*=X#y~dQRQ2I{(kMvx~3#zPA?UnZtg@ zXn&rl=<4kyWiNMVUUCtC+M?5+d_v^lDz>+4_bAW5|HFKj-=?pTVglYLE3aR=me=8O zL}rTj>ubAu)j8KV87;VJ64aPF`N@vlmyRp%iTfN`)8}AwZokef$)Jtr|1Rt>mv#8P z`~9T@fh``t%nCQ9{ARp$_vIHe<1=~(S9eA|?)svBk>OKO{msrNXV%@xVqMIs!ZKg< z?v<=YmLJ8Y0TZ6?3aa7I+p_BCY}ru0ZyQUS{}i7TFZ`KhtJHOOzeHzZhilcsx^uVW z`77*`CV2%W@yyFS@Z|Sg)|#7^MaNj1?<D4RNNm@YI?CSXYq!T*vDDgFZ@-FM&+?$R zUwjWXyw~1xdTC7VOR23tx7SDnd-d_oNxsK>p}n2KP_AXdOFz~L-H~nPn$jX77mqr~ z+dP=G>0#$3u8!&*>V6-mXHI+5!T9*he)c)S)>aFWbeG#Nmey`s|5H0GZjHfY@8HeT z)&73Fc{HK??$mnr!p~pt#C=f8xV}t$by<+}lc^%BPYW#wx?1yR#m=%P)xOF<19Vp` zS;=|8&3!7ThSGLk<9CaaGIu*N?{ls7eI>G$H)PNC6PdPO<pm9A9(#QwXVYXenF~IP zq7?SM|LztQ&(`Et|1qQV*?(teC$>znQzBDi3>MaTH@n8n_$&DF`YGlw`HeA^_w?iZ z<NoMgu3L3>#Xp&UC30_G*S#`pD*7I!z2Na;|KsmXL*{I6;MJ;NG!@ZZzxJT<$4fRW zLd(jIhHo(LU)<Mh&h&D(=62g36EFXa{_$>`TDwHFXtUnh_|xgB1-qk{o-ltEm$kvC zv}?=HcjqPelV@@U?kx(I&zidQyPLqIsb(t|GID00R^LD6VB^`nW@b+&CET(VKA`#` z^Za^->h$}cOX7sX+EupRow4oel7L4q1<$Qs!+LST<4WH({xfFzZ+3K(U#@@r@~Q+E z*XUQhH5>Na+0?#ey{;c~fY6hrV!lt$Y$^F(dTG*$(@{ak_D!qL;deP0Wa_p>M*Tzx zUt^5R@nZ`zgzV<d*3_Qfbne@E?_2U^?T4z4MLRRrraykq^EGb6U9nl2!W!&L-y5Gf zZo{(G>rcTu`ME;&8MP-{LRk{;t$+FSZ043*qWdQ*@L#z2QZ{G8<*b7NKbv+~D8DLM zFLbu{#L|Y_Jn_mYEUdYUYBbJnH9PRy?bD8*h0nMSKL7Eg<+6{P)3&t4(*B+euZ=cy zYF#%B{AGS{l8E$7KBf~zB{Q2%j<_A|oe*KJ_`jyPmv?`hbN0O-$3FDe+ITO$858Bl z9`pObgNf$v4y0$LM3=N)EO;ku-#b@~@7<cx1%?Ms?^?d%w9m1>2mUTmV_{0xGIyDt zedKnh^z8`e-P6>6Z@g-D=-Z#5<9uhP`AU|zu0HnOBF>fFDr`>RDl_?K0YCZQxJpSe zwRnBZO8O$%Zx+P)uj)xo@Yl>c;g^D$=Wyoolypg%i$(18Nm1RrDZ#$_P226$N^g=H zd4KF%J9{eo_jxmoI2070WUcIeQq$qyGxHTo)7b}Q>m)W?MK{OqcsjN0d~7VEbF<B^ zKaTFwsTaB0FFwDdE&Myq@OAFh+mG+{-4WcT(SGItTcg&+IU>gnl@-35*37`Vd((o0 zuTP&}k+|*17Ux(s`&G;N#DW!~%GhP%|8~5*^QCoGLi~A;f@|;FW!ZNbZ2h{UCPnk) zi<x<|XT<3!TG_8qn^kAwmcn;F{9<jC{`1=#ew811HE~^6wY9+?KCYlmk^V1@0@e1V zhhEBdY+zfd@xYpSea>cCUzw(xPh?D^b?hA^YfLjeO6>Skjchl?<UJ0pa=5ylO-JHk zbiYy1qNSR7f<-SEY3J#fb{tNTlmBcsE9o7Z!=5)vx)-?S%v@`9?;hjsu8^X|e~+$O zef_wA`Rg6Ke*UaHWwtcg*P2UbAJZ<qZe6Cs-K|<$TRXfL^zGymIih&qrGNG_tIJl7 zn!2WC*H&JA#@M}Rt#`D@_TJ`_THhry$I5q`{{Hmuo$jsXXU<F9wU-~1y%+s=c?IL! z;)9*y)|>ZST+sYBEt|p9GPzNAjcR<f=HJO7mE2pMa)M(2s%L$Bmg&EFZ)0gw+AR0_ zKwq~1U-tf0OSDbddU%JMOsc&74AEPg6~YV8y0xF5Az*deuf}4*ukhF>Z_<2seSdLO z<GRSwzvic2D6bO{I_RZhur6HxfYY&k#XHO%3Q6|lp6+&dVJ2K7I{lw0`=kqc*=v<@ z7=NcFe}1y{>$7=l7Idy_ljIGEKe%6Z=PTbI%O8n#d|`Y1NNeg(v4sY^<W5%x3#lEw zx%cT21$R#OTQeWel9e;u{;1@x`~Pd~CmCO}W!rtq%nuU&S=E2DGb~ba`%|XZ1#v4b zifu_%T(<hs&24L*M6+G&n3I1{b;TzW0f!xPAIg6|-1c?LoD?0o_=XFNDZaMXxU6pp z8Fw5i>`R-h&rvRTbw`Qcq6y($zW2=!-k-nf+hl(=nHERw!gp=HTim0vJb$nMw)eez zch5>Kw>KBR@vLqC7*HgXutK0VdU8#1*X4D4PDdRzm@+f;>N%I~48_mg?X~Uq?)Us3 zuf(<Ma}Q%^=1bLG`ucOzX6Vj#sg8=<c;we>hl&M{Dn4#aHD4Y7oWX7-@6C>rjYZ$G zKkPPR<!^kkXnu-V=!@!q_q|@MIBd6c(PK`5pr?9oUY+dpXh>&V<FZb9!<Ja-uQAIU zmAhP4w!M<x5U*;d-+k66mswU%<llPR<o&G2AD^fTIQ~aPAwD*sS*bBdjVV?}UhetM z6^73~s<tNu9qx(=y5#8f-%91V#m&iE%MBE@FZ;=Rhwo$YTATRZJhZRGWko*k<@sm0 zf4?eQUn_2Y^;yqT(I$p>UFRl<ILf$*ag;J&sW|+1S5=2*L{8_r^mNTSzhasF*S)7E zsLF{+|FfEKY3<z`g*SITmVX{(G12pzm9goL<i@vxpOggV`)`{urz7^y%yYWidmWxl z%4v-BZnds>Be`nATI(C?iw_@Z)cJdE&g2d4R@rY8nWwZ}oaCyMvp6x)*ri9{@Ru0J zXC{-?84l&$KeR4&mt_2$O~v1SMzVUzhrg^X^)b$Mf7R_>w!mp&o0`SR6&2s>wH_Tz zzUq1Rb0EJ^!J~w2`q3YL<Sg#&3zM0&)0@k*JwoT!?Yh=m?o3CXYJ}G1&(Yiw;BhD{ zzq93b_nV*J!*zDX^637(#(kkWQl?Xl<;(Z2M_B$iaNJ0`di437E4E8s-c#+qKksy< z@{%^u@BQL0mcFsNow-Ub_rn1>x7@IQJkRY8WW;T?viA-uyfjrYbJ^vM6CeJn`?pAk z=fyScg#MbhVKLzuH$p{!B*e~JvBGX`zV|L~Rl@?2uU5OKHF+`}TmQbV(#Brww)n9f ze<S`ZI{ZxN!=q>QYH{8+mep%>RBv)GV*Gt8;q7;cl{1%>&Ro5EtIqtSA7>0FD~R{j z@*V5;y0PqD@#_udFDll`#|m-Y)LW<|emm0n-k*|3Yv=MgR&6-+TbAjG>BbMQ16Cx* zRSLD=f0-ROTVMFhL@ADg-a9^|=(%iCTflBBU%hwc%e%+C-e#FElI2X2wzK`ta5hR& zMuO>(!cLz1X*s{6x;Af+xhv*;e?^U#-J;1MQqz}9I)0pW_<jH798+DMo0a?b@d?P? z|HmAip77neElGF9w9FGXlkWavP~JBC+{;aSE2dle3cYyE{~~Mk&Xk=m)0OPQ{;a$6 zYUVxNShtf!i{f_4PxSnCr$6h=Wl<le>nl5SwgkRW$h~=l{p1RDwY;abs@3xnQcHGA zCrox^GTY_xP&&9`$;E{k-16sEiU!)XHF&pX#P*$CZSk&VpW^w3N1t3;j?3;o9P>p- zQnL9%+0@0y;u8gwj?}Jx|NGQ59peqJ1Q>Q2a;~_ywBAiuEd0iyL{8OR9kJgn+kZJ8 z{hbrOEq^N0%KgPc1%D+UF1y|86d*W%rK8b(A^WuIr1(XrEtasZUh~Rq`gF@(r|Sfl zGPt%`KYVxo^2y)#bWg7}`JJHdc*1Y1QWD3~pvd6v4WFu?*?TtZc=9VYH)&U>^4~AZ zw>64$iquKVx$HWcmT{5wQn!_E$lvf)ugn+JE$223Kj!)Kfp7CSrtG}9pn1XvxYgb+ ztvz+6tSLdw&!BFD(9~m3WG^0BzIo+xBdyg;Yi7F1P4zf=dY$%{%SRWxR+@e~>6fWm zS$^`nTG*N82ag6km~1~Kq`mki<C4q^)l*#e>)P%<vSM;oq|5OQAv1QaFy}D0t4w_& z=<wf%bun9GS5V9kF1^Le=jUgy`0Ts$+KOdNwWlW}|696D<fhTHsmdCrmzCE}zW&Ct z(L(95urGtV;O?9GDK!lDJ)7N%c7<-Mz1bW3qs7j?CM-{?VeP3>Gudz3%}b_UTp*{t zw(#2CNrlU|70ul7dw0rAUDjtm<KHT`EVAKeH~Ki!&304g^+<CWh7!$;lttp5;fq`* zDVdk*Z+LiclW0`Ez=h-m9P2+_4SU?<{qgW>vonE4>XAzf?T(1_M@f8K`f<VZJi}7) zuo!vu(oHu5`WLI4^_;zXoN-&|52GpXUkPV^F3Yd|{HK3z9ZMXubL8VCx7)v|s?5D+ zu-tYcN3~pK=7Ed;!kbmL3iFG`pRPNk7xikPzRuK-iPzs=cKGd8CKeNEYsnW^#ArV4 zywAN;I|?<_f*7Cf^VFFyr!J|_6#0=s(c#|yQ%Wwig<nc%rr+pM<h=ebX-3MYy04DQ zx|9lD3s&`gVv<>9@v=NdrtftOyT@#n3#>`YkLJ(sZNFWrGPP%2@z(#gq8Hs~-kf&! z^7=Ku82g@|lX!i0#gSxn{h|Ygj~_3;Rl<Ig>(OD6qUB%Mm;}oY9ekP)ZE?tSP4Dp& zkw=0$E^O`RJ*N13v%^}eLhm!jR(<lml)Y9!aJk1_@resHy(O-0oH6GDgLvPi<$48^ zHy3Ic?qoP$m%V-3{KDlg3eSGMlcgWuu{tjD+3sf3RzcDEoWF{;?JiI9ddlB_p7C1j z)#ypJY!h<JR;D`i>lo+C2b^2N<F!A_F>&#dz<Jfp6*E3g^12<vGV61|-CACe<?nwb zH-#Rsn_T|;vXa(b&X$=+4~a>9Tx-AZRsEDFT&fQ^cHV9+`G3s*ZqFpkmaA;`soKlk zz8);z{bI38#g&GA+WJ;*^3&UI9;k{oRr&Iru{5e6pJk8Qw(e%$UsfW=m+nmvcz)`q z7iea)W}|<YZRnnp9Q*QxD-5q)6WFO;cl2FpV?)xBTie)v%;~CL`#VtT)l(L|>|&GD zw`VQ~%gbclH+7t1JVi}==>g9g--A8XF%s6QR*bAae<^D(cr<Upl!lllwkIMiC!D-` z-tS($H^e>CatB+Ma!hKxr{av5Ii>|UI$N~YPF1?L<NGS(1K%z!JUIE-{!6aLB_{ie zd#)XO_U4ue!#nNSuIaBmR!lomz_VcY>E8Qu^m(7OU$_%fQo6Ilfcu@8o%lv?$<NYL zrk;^_`Cd2GC_wJsA|=t^zWWbMx@P_=esbIU%<X0Sc4XYX6cV~=(Z(Z^{tQkwwyaWR zYD#&DX^KlUAN=_~tNcx&_a+{JfL&fQD)P3PPy1*6^N?QDT`iWSUxV-OzjJVh>xz4A zf=w%K?9M%T{#khIrYo-Bcc%MI4YRARpYr{H=*kC2XPY?Lo{)YxU0`$k)z>?wNiwRJ z^!6R=woAOyw}g9gZn63%fp^>f_&*3K*nR0{LimM^qF4U)d%1;GJiJh&>{4~)<*Ns8 zWv;Gs{@$3qU!mo!@%-s0!X9m3oOb+kRQ<&C`IVEs-aTw9KmTyPmCKJgQGJWWbK*Sa zta8~qY37Y$|La-J6Ev4qdtK_;I5YV@-^cxT6<(D;GQG>|%y?+iLkY{nOo`e09UHn5 zr#E-0OmGvKsKChV{aoo+uVJ0q-lOktz75^bym_mIK-yjR29Ygme}7wb@N&#V&XylF zUKi#(wZ6+$I^lWF%$4S|E<UXBJ#@2b>9R#{Q`hlrJ{4Wloqo#L>r6n`J`TN$>HlU| zZZSw}_;2~<`UAtyuKat~UTxHs_WYvtPiBForgp?U*YL+PmNI_4!p^$?QpKSIj}_1E zczQ-kgkzFaqn-3W*-fnHju`Hqm*d_kRjVDL`nK^@lA5*N0&AhI1?i8u6OYB-e!Hjh z=8U6E3}thfL?u0s6ue$)kfrRYwM*}-Y*pFrhzOS}sutb<CoAaHF5Z6q)Qqn_lN~+g z7VNPTs;v1ekTH3}T2{tsp${%?RJ<A{eWur3;&avZcT8o<2Q^tzJEw2=mfXg?IH%zx zd%$0{Ggs!?y^Zqcy>MOf-V~j=eVR8XC3~3qu|<nFD1Qj%Nswq>Rb!EN_Cxlmj!wfP zLNe;tME%8HFL&u#zkR*MOHVtl6Ag<K4B}m-?w6HBoZK2eGv&AKv#)7<)~upGx_&Mw znD1a%#K<=7aKM?flXpLePby03T9~=}^w9}1H~w|!iLx$iIdkcV?Y;@?O+T#GbxWOe z=t|)%R^DkF?nv*nKGS5vug3Fh=X2&S6|X%y#qC$T&f)%4Rq}3Is?*O)^Csk9IHJ+} z&%$=@{9`LrUl=J`2H!o!(-D02M_c0U?Q;u`tZUQdZVXaf`P6ztQ}d-4hkkMia?A<& zp1*8?eE&-37fXs0Z)%ph+C5$DqHsz1?bkM6)~We_y(P0JPOC~>@bkysvka;$o0Ak% zHqW;Vh?w!dxb|Ulg-ejAkm;NqEK^>sdABo^=_G@%>eVg-6|tF%SiTras2unF+M_Yc zamtEAJ{MCDCW=HEq(7)~zTL*8X1>NucgCk(tC)^Woo^X0$iv1VET3_4N!%;OXww5r zFE%fHF}>-EsZ#sBze`qxMd(HE+xN3t$3aun{F&<7H3v&FL!?)PZCv<4c=ehey*~To zzBgDq=*NHZVUv9)d2La6*{XdzrK%Y^7dcJzjPc%7u3o@5>FnoEuQtCvX)s@e*Jc9S zM(>WSJ*Uo1wGGlPS==AEw*TUKZiawmedqc#U3RmX9A430{IkT?RQbi7OY!%6gtPeU zR^F4BV`5Qxl9}x{!}`se&b3Y=$8Wsa*UDFaFYHf=?bjnUJu4Hg8nH}Hw_kT|WqJ6P z-0wx)C+h#DGe1@={?^HmsPS}0+DEfDyxR&ZmIVBh-*{N#r{Amg$8}7sHQ_sFmz?zf z^G)u}l|{+ZD;9HKO=SAxSw82s?au^pH3q>=4yWetv{+dF)#gi@%EUV?V(%twaJ2}& zHGPxtG8N%{uh<eNd(FAWUR(5L%|wNx`~N*XxlJ%lfh{skB#HH(%#LI4e2vrhoR-Z# z@lUzCa<9mY)j^C$ladcVUM|+KI{4)QCH_P6?{@BdVe6@4JEy=?H9vj*90ft2?O9t} zjP94NP=5V+)-SD}yZ0P^c*rv?M0bYxF_qsd!^MuAkyqyaP`$-mqvesW{<L>N?b|v0 zr>jg=_w`)Lyh-`@-`jjDi`5rr)wI}ny~^-TuWy<6FP_=|(p0nF`BGE%=@&k*OqzS6 zZQHT(rb&vQ_B71!d~B`sbHb6545yWI6g9W>ZQAF=8yNR*wpM`otdobk-n0j=&{<U+ z*m3gIx|J1&JEj+ZvCN*g!!Dq;HR}AquJ=3~Tko`dnsM@8)%D3cFCAE?{@lK1@3Z7( z9H&C;O<wjd&C!(=>Gjwn=r^6+=Ac27XHL$!d9xmQ_#BvIf3!NMP&;zj0d2QW8p}Ui z>=QTsv9-8s`J=QMOx7n}Ke}+5udL?wi=M;Pj_Xu|gsRV+k89*TAM+#b$$P)(iT^~T z%oi=5{c>-%U#4dq!{oFbEHe|6(#|n7K0G}A(_8z<>B1B5ZB}Ge{utd;#=fU>Z(KyI z#i8!wiZ>(s9Jf0z?AH-((v{xYp~BuCm?wQbOKQo#yQf4-*nH<DPCk>$9rUMu$+nem z4u3VVlk}XEAiZ$kMES{!v+8`JjHF6l{ZrT-GoQiUIP&1r?!#yI1%<!9!IhqKtKy@> z5?&FO0-j}x8{gl{meqBeSM=N0)FeI9BX9bW1NSuQKJAvitGptX?Y$wdu1@IHxxNxU zoxhCri;~VLXT5%>vqOjPkKaAEqkI?7e6!ljSnHy|dYP5w!jn4-O#FPMYq`P<)@CMD z7$vb6Pt`QvHsx0N2F(Yi$8D<^pVhD%?I}CZF+cOox2fyAj@mbzN<C`4W6}O+$Bxf@ zd~)Jhzbp&Jl{dU>`PfsIruyl>e!~1qLt%EGI72nZjQGUx+r3Ez{R^f{uI#!Rn=2^A z!o(b0cSbjG(Tls47d?CK)ad#+l+3@pl|NlQV{W<6YJZd3z(-CZe>GN^%ueu$Reoj2 zR?9W5Ywv64!ZlZHtD{}G?>L@dnm0?AkK_EU{6F<K53H84FRyoSIZ}NxCv`2$A9v4G zmag;m`dl;WoHs1~lNz}tdvnp-@cq82uGX)<c}Ko(;Qae{yL^j@*ayLmdpq5<7O3Vb zJ=*wWdP1+3{TCTAji<+Rd5#(Gelc;1P73Eilkz_8|5h8~bbjRDZ+*}y+~~_Rzh*9% zo^-+W(_aoOET4QySUT94C7y5lrz=wuMbcj;d}7Vq^uwXTB2=zl^y9{JXSSLz$oekn zY$0JQ$Xt1}p)BdNrAW=*Tdgc}%buLPGArTf-vXz+3Be_|L)>)k2YauXe85fA`5B+v ziesI1XWz@PbGvM~yQ$_jE5FR#6&w7nFWK{5S1j4v&;9X}dg;?2tPi*<9XR;+l>biQ zwVDe2nrpP&_UWv-l{PI}jP+5j=~KQ>{2o_?Tw6DOpULxbamryawOwmJ|IDp0T>j%x z&ct(fmGjI5xdXoT-COD)^~o^n=^mfp!po0!A1z#=`fiotJC&aa>`s~Ao0{WhIlW## z$7a<f)5Gf=7Ebd@=v82T@@QkvKW}DDwL@nv-cDJ%%9}UkSIO0hi5})h?yIV=Iq^xM zBkYi;T=L3)NBI5N>x#dux%MaJ#@i1{ucm4*kaJMsU!J#DboNG%<ug;xT#G)eHEBu0 z_T5Hbk6VjIaeP1I5Wt!Hah-N-($pU{4te3nvn98$Wtg!;&513Ab*AF4r>d!kN|#UX zU%UE%qr180(I2mR)Ym<6556-mj4^a)*&<7gKYkxo)m8uRx?lKyv)caE0T0gb)I1c{ zR(+Fsnz`|?>(_3TJzHwomLD~`WPAQy%%`inXUnh%t#O{B=2>16zCdTz7TfyZ+d;x| zPIs?f+q@uA`IC%qCe!m^#pirS-PzwA)3_lNf1xFK-<^YNZl0aJz+#VL*D?2uxStm` z@6fHa_6o?lbo<g0wP%85xrz;;JATeuC+YS5hr^PrxgMOUiMu%!lm2MWwXTtNcyvKq zjoGC1#U8iKj~zu)Czor#h}h^f<?i17$%|B&B$p}iJYRQH?%{m3%AjcGXN%2tX4GHn z5_Wf3(fs}Y{H@=0S2(9uMP}yiuRlNKi14+U{JKHirtM!hXoWO<W500XOP<}jh1I7l zpE!DNk}6(z)W!SJm7o8AKC$6jR9f_u*-BE}Ft3_DwJ~q;ycliw+ZSKQyx92EL-RoY zs#zw+Gos2?M>p!ctUam|#wY$K$3=eU+fN%qUH8nJGTGZOY2jMq4xaNbxSs1(S?sc1 zzCls$L-Ma5{2dy`wH;MWr?_-{CxyngK6Dk|%krZ1)$zvnocq~ir~UK2>Q?ym)!A!h zE8aHk$WG+HB5nF1O1P=`O4pXVkJkxHJDUGfuHEJT(f#ejOVSFpUry&*ewcFGxlm%K zTmQA7_hR~6d(Zpt(K|3%!fy7w%A<E&#V$*jFkL<LQrVd0lg|2&Ki_$>?zkqN6%ljU z+2Mot>EFM%ou4tw$NtN&(*Mq<4J->iyMA*e^Yu6-6?#-TJ8boQ+Vn!ncJY_aZQ?E6 zvrnG(YqI?NwrQ$x#5O*QKl7P9)n0PsElYlJ`TVC}|JutJ^=726v(mj5xyo(k!@{kZ z+=r)$=zf2HWJ%^8J@?NoOtBL-O$hd#;;>`#wIc@dvF?X5w%>f5a+x*XGRRR`Kd1e6 z@La{kC#(J`q^`Nmmbtmkx=&Cw&(3s?w(9i2Hj7P><w~#4S_TV>@TP8lFV%Hxa%z-C z|BR`DqPMEkw#lyO2r;|!I!pc7L`(VUyDN=K-yJ%VRDA5=j0s$iS6ZrFH_KVUG_R9? zM%(OGr&9%z=WEih9kc!Z=AP?>7wg~ec=K%C9X9?s4B7U)hU+YMn+j)dF8FTHIOC2n z=k2>sU8KbQ?|xRC>d_?LIi={+H*F`E_t*3{=w6N%3q3jCJ6m9bz-*@X8u<sVO7k7_ zlP~_0^o8f2(YGl^{Vb~UGmm(er~efcPkH>JardoQC%F$hRtJ_dze;s$i;)runRnOn z!rN$`Z$bZ`H}jdst-2K-RdVUeho+NO!r3|H3CsI}b2%5V^SVAboR;6PIP8-+%O>fR zy6q26&AKQnX;x{%clO+t4NRuzbS;E`cbQGvAN)R!y=J#w%ZdA<iiZAN8$M`u+5Fz} z@b}?42}-|DCR=@IK3s9xJ|_70+;#r~WXy$LFwM>8zqIAmw|MEz9@m1og}ih<&RpLX z`DF3l4JG%spI<()A^ueR?C0y3Uz|3{uDkC?+~uns7D^L!n!7p7*-L$=rz-EzQmx|K zBbRWd{6N-ThW}>K{Pi_4ZHtQEsFq~<PCxMR)vZaDdsCcSzpz*HheSIY2H*HvQ?dBt zZ5FRO2e*!j|A%V!AFSH^Gi-s7uF9!3XZDBAy!h>K-@3;dn%rr2)4r`z$<O+>EWG5& zE}?k+&2{>ATUQ+S;`}JHf8|4Utqt8zW)&<h(+Dcwb5!A)z)?$I&7xl89XjvRIuqY$ zGfA?>$Q!(jj7iKkT=Qa;@J~IhwWm66Du``V<~*)7X`6e`lH8>e3?|xz+)dqIyT<9# z;Ypb$sri}Nx+hNl{&w`k7N1A2_@xz8HU<U8ELn2*+W95v%XqB%c3s!>ut;e6U@&3b zqqip`9jn;8{8WwpZd!BK!lOmOXXCwDZ+D$b=6-at`=pfC_qP{6%U)ExdOWB|LZ@w# zMC{zsK1b^YYmSG1-ZpT}+I+5-Rc>BG$()A_n`YMvzc8B6>-Qu>ui}BTYvLQjwJUXA z|50{x4vU&SqtYe*<js|a3_n`g7{xg5XS~-sy6FAR1JRRe*Is_3*2(3^op*%C`ExJR zw;fHJB=k93{Wr|n?Q(%#I%U<5*Vd2Lzy1EK^ptg<@~+!UR1UxWboI~nPm=^?y@b>! zuCAW8)Zxx@^OJektJ0XCY&p`DptE8{cBIDM1DTO`{wpZV2$}AzlCezZj{1jAd39T> zW#<kabe|d2kzTF!ePfqwsKN@<1kZpIf7|!$;%ie_vcRip=kFzH|1L)y(3LXKdA^O~ zWRGxm^z75Ms}9_r_~d%eioc=xyOmaKZ`a>*bz8`VM=d92n9N-LjFr24+P>P;2VGvQ zZrrD(vUU9v_NWrywDNU7<W8RoC^<DlxhGb8(Xq@Wx|j0z*lY=3Y_O4cP8-X{O}n~Q z#<}Q+3FgSf8F9~_w#w4jF?M^&^Zf0FciU2*@jNjxJ&^VHr=@^LezEU0AG>YeMZ7<E z?wDm7V6SV;clbnNo1C3%=RZMi6RjBU)!heObS)-{OV}=bCmQ-J`F-!JHpxg2U;fZb zd&(}|+|j$9!8q*d`eVN)uRZQt@kr@Q`%8%v7emT^&X8VpxnyrSmniSwui~@i&l_>i zmQvx9>G}9|6~k8>PMw<%1&oC2ZtUy#5%}rAtmOOa(Ndks%1<YszQ3;Bs9#Q1Q+mSY z#!Iianu_PAeC`SCYkZY<CS|rp-|eQP>*hP!oK;hI=<NOzVf@sx<I9iY-_MWM=e?84 z5_u-*dm&Hd;>VBK4^LRn3FO<p(tM`}-;XK%56^GA>VCmmTZrjd+Qi)l&)M<cUs$g8 z&-ZP|j0s<AMO0U4YsBnYs+qe&+~wvLrVz)3?zdCr|7k?ue9+mEHaD$*gY%*F+mfv0 z6Xq@7m8s>P`L*==;-$Wy+*WkVw6M)z{pM|sIrHl>S*bkFrm5=<-FeQ>k<@UuIhq{T z)Uj%TinXcA0=LDT)2<3lV*b6}G2}qbzG*LdpDfw>SM8nTgZ33}c6(J~Z`gYzKFYo= zvZS}#XUG0>{sgCQif7c`)VnOG5G-{s?RXKg{-5{M(+%B=Eskl~Z;1Uo-T%(Di*9QE z)gesN*e&^@tfw~GpZJrR6jWWlTIfj1?wlB*6P(_g+4YWeT+rDd@ICD|%k}wZ7?zsu z;qQoCUfleKrSrh*+dHJzy;<;E*t>IKZ|2kZsdIylCkVvw>@zOhpL_pb5X<CO#*e&n z&&`@q7~>t6G{LQr|3I3uYH?m#%l9>WNnKB08l2b?|9*yt?#}s9eP=iAdTtZXD7c#~ z@leC@qD6d{WBB4tW-#RL+ub`$Oj6bRHM_KJQl_%U@++Ivo=ssYwwZEQ<M3X$h+Y>r zS#Ikif7DCYuM4;&z$>^tdt=DoIj&awPaZ5h=BvEWShjqBVlt~{u5<q*y*nZPZj%)& zCVMch*b@5u#0~k<V4={SC-21EgI)DnIXbq7guhpo?$0+hu#Z)5<*m+o<8^yZa+uO_ z0cj>@7x_0Ygmag19P#DdF2(iVBrvD&n(lnn*#^z)5|TBK9p1_xQ^4$1VC!^O`&{+) zl!J^5PiJknx*EnHow55xc!C?(8_(C$7ZuXumRp$E%zagLQz2<FTb9ScC$bCf*iK%~ zvcHX^I^*%L%bj-@KWX^FI(@0lEfp^D+#gvLbpq<@>|Yt03TJTUOjLUC>`_gQ*PkHi z*<U4&p5l7_l%YE5jJ5cKXTQ|=LV|2k^d4zjOXaC5{`*?A<NDXYy1$ymbzF>MAv>p^ z6Sd-HtUn+3YpH?zx@Q}sqBgHfUUKH_ufA<dToM^8Egtj!{T{?2%Qm&jjdi`1*$q8A zBcaESA4(qCJ?D?gBG-nS>Dx4pAFJB`b1CP!B-@z`(jR)H<0ZEgaY&vN{%i2ZX>ZDO z|3%-6-g0}sy>WP_j$(ky{-2d+!-QSSgDbhkR|p(`&iL9>dZwtB^LE7t_tzaQ*Y01X z`!#8m$odsYoqpfEmX`*6&I!3Cwyh!WP4DYdi*Efm>aeVOMN$iI&-*Xi#DfkiHkjZ2 zoRcoT|HI0w{AYgD9oduiF~cG7XBf{04#Ui_8m`dKIc6zGCa&k&>|np;l=iebzgf+v z!;gx8?6F_+GJwnNrOaHGg<MjXSLFR!-s5H>yZC<Vr?Y>*?pn-hT&5}~!n>EB@A5;I zzZ+6cg>UI?y{YG?)Xq|z@z1bo_p(WrJO)t*y2@Xx^xU16@LMl9)-CneO?E4m@b4@& zcI&s^Z;Eg>XY$)PGu!+a*NtCwD%1b%j}YDH9oxEKUio|$*Xq{k_h0SgcyORo$MyK^ z8*`gquRR)Sx5&ILK0ug_+vFSjuD?R+w*qH{1k74?((-Z~mqW;Q5y_IO-R616CU*C6 zulOi1Q~y!!{J?@+t-H_X_ZDyAieDn#+GV-m`<}diZ&&GDW;v+yL-4SpT-a;|tLXEF zJ&xWf6ExyK8cR&Jjy^o`bM3;}uc~_1waXnSl6CBiIFo*K)ml|G#f=uMIsFqvCS2u? zS~D+6oJ+O!*W#003>uCbQXjA>eyLdgg!`Ki8xQ*j<<sX*3cWY$&=-8pCqBFGtI>|_ zPxWWay;ID@(fcvDZ;Rv6yA{7H?s~W`Wm<8!p(uQ@iCk)+x6E=i&K(`v@*&H<wyW9% z+V(y!lM201U|;=}O(38mSElB`qi<VOxyo9tn0xCLSW>lK`)OolUH1Ozv}Mla3nEI| z7q?p0mVR8adF{pNyB<Gb|2y$s#Bs9;S*yG+JYzD|b$aI@c=&mVuXRHnNBizZUxqfN zRQnDVG0v;8b(=5TxptGscJ1$Xw`^)A$ar6`>iGHIZ`sR^ABQ%mF^MeAWoK%ibMKLr z?pa=wGn!FTwS6mAg>QeQwzh9q!^cMqL1%iGT&%IaVdz%0<Myq2vI@>k%13T)G_SYR zZ>??m{yVu@VrojG*}J_DUK&}K^kwvinmn=J`0rx?L!jxstwOm>lDEUH{x?)**e#7t z=g(?#=y@K?_vIw>!crTaCGT{Kujfuln9#EQ*Y%6KSu0r>O+QSZm7;SjwpsP!xuzv@ z-h9k|>)dv^CRp!{c)sNM!IYxS56-;^Wz?{Jy8nl;PpxT&bAkA8b#2kj>+k;JnsGLH zO96+$>)zdaZ_In}Yoq?9!+Z80Z#}wS{<QJW#i2_%6=JXG?Xrz`c>U~Ze5jXcz0Rg* za@%J|)NQFswOL(a#65RYd>E^FZef|c@S01StJ3Z+T@ujX-F;z^w3T}B1W6mF6A_z# z+^pZeuJvvE+vNx57qHeX+Bto8+f3)K4S%%*ws~E=ze$rp?m_YF|F4@pz20SB+qh_D z;NI;`r{oVtiyw_N?B?LRUN-sRVci0~tp4xs_rCgi>yft1d$azg2^&3l`Z+Frt`YsT z>*#?Ezc$PaQd7-HY);)%a=oW<-8K_#fi2d8zqHD|HolhMt8ta}wfE%%o8+&no$A?@ zrCQUbYV_)lkw@j%W0$*^WgIp>^lVpg#q<p#u`1!>mpo@`N$imL-7Y#!E>J$hE$~lh z#T(C@f||9L-+Wun`j{bP`uCo5@AB`StI=Q5)|1KqV5w(V{U5y*akKL*j$QvZGn%94 zz^(?DLrE&~A5@HXNP91HPVqV~Zu9Q`)Mw3pzw`FY_#pC}^Y6oD_uEhG`1L4jq222K z<|Lk@+Y)bwm><6EBqSGX{N-b06#LT5IkUdkWPjuN=a;jYaRb{5MYoknFTd56b+`XH zmDfBw#O`?zOJ2(A{=|tVilqF6R{m?-7Chlo&2i>g)pJ}dzm~AO3h2F`ooJu;|CP?y zk8WpsPM_d;zL4ouW$-Mc+rMrLaPSy0nVe>0JrSzBLnf)5El;e~d}oHlrgKkgKOK|W zsqW1CcI#vA3rZJHv>R)%+zWYCP%q~zrMKGqK~7+)^hLJ6OICCiYY2YiSQ{g-@#fY) z$ysNG)^tWHB{OK4y2M&9G`h9r&Wu@hSD$hyF6#Jnr%WOLkJtg3?hcWtjArenTh^CY zu*QB~w070;F4zAPcO}1wJ-(4M(^R1{ifQ-Xo%43f@_fG0%fHp=`QuBmFLnsrSbjH& zS4(SAy@oWep7xRCysT7#mzwttO=^-~?EmI{x!i64qMI9otkyM5KIh{8G(q6(x4wKa zwOwoOnylHLY`o_7)cCZfc!`+g75o2k-CTc;jdu}m*$<AQ?cC)NrCq$&e?E@i;`(3o z&e=(4dC!=OO`D*9QdXceL1=IEE!BHrlXs<T|8tY^@Ck*r_OW;BHNO{2h+m$=%UJep zN5Zn=iPv0JG(V>=UD=amxkkM#T>Xjq>GyTQ_Ag(WY5t4)$m#t4wwdJo@RRd(^xe#- zNF00G?9cX_g}q_Nysv3b{Fl4yDy(nOEtBka3{Q>mbc)Qb?VNh=cE0#ao)hYC7Ob~Q z<}G1&nzBqt@m&sQ#_X7f%+l^hcTPO9TX<FIhk)(6IxaUN_lIczYMJj=ab@m~G%Gdp z@(z;?>1V7Rs#K<(n(UtYFyWoY1lcb$AA9ecyU2U@u2T$eOU1TkE#d!PApB@&(!r)3 z*lr{-HB2?oe%<b|xTxo-%c}pzJ}MH%dyl`9zh8a0M%T12q`2|*nd&pQ7X-5{JR!YX zc8%WMxsUC0g*oL;X{&xeQoa0ysy%zeH#Hr<>yhu}(u51Py{UYEJG<yh<`YfU4H~ac zpZXwa_mh#^`j(H;szp8T>tj`qH(q0qzQ@UTLcQF7Y5Jm7a<6l%{8_6`Zfl#Nqs_db zgLzuuXW_`T=lGvEEoS*5zITI$*3`fI5B<FR={e(r%6D!r*T0>|%f!-G?)_@{w>-l} zzwYq=EqyWnS5Cdgpn2wY%C37HCryPa`MACnmjxZmOP?-vVU>v2tSG}x#tTA4X4ZXJ zWW4xu%_gU1^Ou~cus(ZdUF<0pfiOMpLvru9rvw??5ZO@lR`9;*>mAWQTt9d`PZU=D zvi&oERzqFl<_KpEE*~|)x${;pKis3d+IqKD?Xn;De;F^^rYx3tI-!Skv9aR9z8qne z|1B<0>T1>|pPBqpGWXHhZ99a#T5|Wro8Q*Rm03UWqI*e?QO5aC(p%SmKIbs^=arx; zuH6hz*zeUH(DGBVmVc$K*sZ767<TMdq-RLKn8l}viK|RI&Fp8)=-O?0wfU6%?Qo@Y z?T-47W}nlJS^PWvg;UV`9V;bPGG661XWRbdbZpa&*A6GorA?SJ*E+Gyi(i*_$BH)L zs)xGG6V^*i5SjC+=1^+Mm95W1eq7uhmcQ)z*Bg?R^Ap*p$JcE#npW{?@613US+|z1 z2hEP^<t}%O7QD5T$=&{-``9YepRt?vS*|ur%!~_8+WmD^->Zz3Ygr!V-+t$J<!Yb7 zv)Y>DtS?oWY})kg4C4M9pDXcS>;LgK>;2G3=D$}?=><%j6SYC8UHDe;#aA0HEPKB0 zxzZDjANyL>d7sSeKk&+D?RAYAvajrK8Jh2$;Ji>a`7eKN%*GinQyT5JT|4!U@1WR{ z>){W*rw9df2?YpUm8$G#J7aa+WZl~%%IN}IUo6vAbQ9Y#Z}Z;QK4s54&R6tRp5Fax z<<y@y=NqN1DLrHCDaLK9k#YAi(``e+aJR1q{G*O!uz!*EV!v0O$=@I}dGa}f#}cy= zugOa_b%&^NY!qM8R`WdX_V%Bgi(Y-H<>|85IN#FMIz=!w<izCVhW8FH%vRceZ>s^X zm;9#|iM|!WrA1G|HUt{oG@5iZT9qUD>r1}N57fFhM$J1hed4=vwm!Z0t$X%vWsfnF zoW1>!*!-lw(~FtTcF$^_;heIe(Q`+%UcJDT)6;{$`R2qloc~#_KhOSlPkzE|rAe%{ z%B*fTnu`tdQx3lP$EsUWy=>;j_~lOK+vQK_mYj3z&t}h_EaQ7^<6Z8ZQ#G&oR5A<J z3gzf$MmCwbnjDYpEiqsF<xzK6s6oV{{;79O&dARGoc=ELW6qUGVXlqVb=y~)s{cB@ zY>s!{(%%tp*38RE_`Ta|!)m3(^`#g3bf+GD;PdoDm(0s$tJU;s-b<yvHoeYLa<Q@3 zq4z=7uiURq>koy^mq>Fm=`%dA#%<fiB==8ghHZZHFE{_bage)X=SL>VPf0-?)qV+U zrFPUYtA~G5sFGrASsr@k;Hs5OGdCLX7V})%x$1jvv`gNO#v=x9KYQjrHc}Fd<x1m^ zUDPS7U6B4&*z)oD#s5;3_@96Nux#bq)q3vR^A#SP3e$Di{U>6((}S5;`;RzlF}Z#4 z()#d7{P2=VkJnGqGWuz}<c7iFyQ;}e&T?^Q8u*PDPWY~#%g_`$S1hNfHdm-G^3SJF zy#0JP?Jwy0DP^2*^SEX{o4@$z>UG_3nluuw^*uNxESvj$){_dSXSMn}x0l9<B=DTv zxYXQb$E{<g3taym3i|gkvhssSVPAg;N10jR#^CwOJ~8p_Q~9uS#mkePNp76eR0Mv_ zVCyeu%}>wISvFTmSTy`MbNU(Esn<i)HYr{<di;J%hoDSW=oM9muMCWuXZJ`loDR!b zZlSR%{@{auo0;qbmt{tX9zN&sU|UAB-g507&leR*Y`gT}=sj~ahbjgI?>&Oo_X#AX zt}E2$jANMaW`=m#gW7FJ&IaDfKCtZ1DZhWs7OXw@?$#==cywrcb!vvr@}8*mmhW;m zD4k0%`c!t~?)JxV%Q_Ceop86!o3kQf$%?JkS9i`0;w?U~iras$&NJ(8)wcWO3;F$f zZie`|uH3*rVZHo>e?FW~Zrz`}>D_{_ZEjBlb~^QYOx*fM&hpL+jt|c!MxJj-`e&!T z>uTQGqN9gJ9J-g^{}<MDeBwii&xV|gbG267;`!OBz97dq<6vj!VzbYt;;+s<mts8m zNvY^@htgly#|Jh&SSs^9z^?H@S>0DRX;Eno)so%?n`%R^&cDNGXP~^OwO6NNb`G;4 ztK`doThDi8iOJTBzudZ`bw_qj>`}j;hYL%(TZOJ{Uh92N(=IYVSJ3)y!p+N9O@5fa z(sRr=H4ST?zA;q&)2B^QbuW*9*q!^fbN0g}rWs9B_~wS)l=^pQfeDj^P}FVXNn50E zEX%z1(73@el|wqB+$f#@WW*{-DXT)3+luTjGkxS!beFr|`<~MFtn|p2B~INfr>Agt zJ>rYrr^WwSr@DM)%ulw9+MmlOTnc*iuTjIDbFSLmLl=HL+k0r6YrK1HiA%!D2D9AO z`EDyEKf5anER@ZQaI0>asCa#CLinmY{Thcg$vlFSRt0$_DW%=Fx^9t?qqLuIk7Qe} zV%}8YuC~{;A2-i0b2%0$JaHY%0{=3H=b!G!JWrb0&j0c0UZ;7-E2o+F&9Cu~UB_S~ zc)W{ybNiV)CDsaS`SpVTUwZ5?U4IU{kna40_mlPRUc0(>ZP|pi-@3CCUO&$CsdfJG zV^61SQJ$H_<D><P=T5$zQ=nGZ%l}vA%#+@K41rR{zrznM>`p%$a{g{+yRlRI#Lq>? ztqLX@ywzJ}JxfDpMfT=<a+Zrf3g2I(Eccwn?USiLJJ-{P@190DKFG{7-g_Z_%csn! zrH4iB+w4TY-cDQ)W_^v*on^znY8~068&XrtbILP?oNha{aK#)tz5QREVNwap_eEF2 zWFA+<Z}a1y_u<8|Ah*=(K?%F^Z%<*eDEjgBf_3QCt<&?)8oFukcs?&NS>Nr;|G#;O z=2LUb=CC}BxfPUCB;okBs?p8it;FdSzWP7+^!C4b_R2@+hv>1p#pkLf-nwxAikr&S z!extdTp9Uy*(EddR-HSz;=bk8-}6LX+S@z{_Pe*O*z(W8rFTphaLhetrMKmLt>%f0 z<k=4r(}j=D;F~5s_1$8l3zM$T^*i*$RQuMY7Qb!cH+|-CMg^C?zFg)uwcyR?6K5lh zPX1gce8MSQG$v74*8l5~95F=&!5dx<PUrl1*RNMUb>VN`rn@t)r>r~1B63LWf^eYI z0*=><E?=^?wyIsOx-NYF0gh6uAkRmtY<_}^^(7|f&MokEJf!&H*}S#8d1`i9pSfr& zHa+fmb&7=N1%4OR?SB>~SA8g4DxbFe@rg;Ao;yQy3f5dG{xNg^-=#r^6F+x;aAi&F zzwoC;;fSG!!{cD)WW&R*3(h2JKH~Ztbw^I7#D3|Go@;E@UaVieeS{SqueU8<tCs(8 zmGzI+x^K%4*V@#p-Poc#`Bwttv_C?h>zSN(y8o`e*AezL=7N}$X{}kmbKF6{Q>#2q z8aW?Tzm>A`;xd(Ag%AC?CtN%iP<i00qh0%RwcNj^Ggk=gVm5nQ5zx-NZ`}gtuv4}L z#`Yh?*XPcbzPwJ^`nBtZmRYk}DjMfciI8P^ACe@)EH9BNwCq{SY|+Y3VT!JYoL2q` z*|3U7+FsmRyeu-cP2@@igR1qR-5PF8Ysx#zTBmPPI(w>c$xp$YeH+fdo$0oD{?iHJ z!l8SOu3V^Ae5~4;)_ix{#_oS5>)N-Z-+Xi>%|xsJ&w?d9@y{!Rq<bfCo3H(LFL!&# zY`00y&pJ7q1oYy5woTk~oHIQnweE?)9i{i1rXE>2F`V(q^y}dlBKKd6T)lGByyVTQ zf_E&|CC*8>w0P5(S*wg|KJjjU@jg;NYl%q9TNdBUGsiA&{l9MO+ShB6-g<pFC|#3o z5vu2vrZH#9O2+mZO->u9gf>jv#x>*M4Z)f<d5`%FZ}iVi2wpY4`T2MG4>pck+%N1@ zS#v*y@i`xCy0^F>DUF$#VPi>c<>eWhR4#n8U;FLBTUEPjv-#(&*gAu4)s%MOn%`G0 zDBH%id)0p3&~@+CWsNX1uLl`>&3`}9PV$i6%@}hdZ0D=keU&Hw7u~eJcUvdLVeh+m zdAD2hoQl2fH9el4b*OyCj{OX!dR70J*}q>1RkrjqsC?%iX&IEeu5oI2&m@uSt6#mY z5lh(e|J&}*^S||6d{&&NJE!6G%N06-`}t$aSc*3rWlX=Gk+xJhV{OgPZQqVB|6g|G ziP=`+n7n}XZJv&-3hV_Pl3Vnruv^^;-z{^huP!m;K9_uU;N{=%GrIC;wEtZ%voUkO zifDHb!}r?c<*qR{uOHhTKYr-ATe|OzCCj|$PH;?aIw|^p`?Nn2Darq<Ch6Wf#(ix^ zt5IIl|MIQb7SsOBX>+=K_~|qcr>q2a0mhmg+Ib6Y3awvDeB3NiJ=fj&!hI%rJ-=M8 z#vjXg3QMo%wy`gBv~$l*ktlqjHsP3Zs)s~LcfbFh#OwRl-urcPr-;MscOI6@R)6*I z+hZ2hwzRl(i@^00k6tCu(L8h{>FZ=qR@SzjO^u~%%DVTK_|%BcZDjMXKKAdf>xNSQ z*EaK<m!4lbb8l6n`n+g<6II4Va&}!WcP*P!z{4CoQP*kVA$RrY4lX}M{(a6Rtp@6v z)4QkKxv!hFSEpEEvVv(?dhw0v-x}6k)OD0n4S2QG(A@oC6puPr7wf{Hz3nfBCb?|n zk0@;27a()HqapS2lXy$ZTbTly{Z}gE^)l4u4?H{+x!nHM%{w>6bzg*3t0o>;u$$|3 zi}KGSfwPxgUl7q%dErQ5{En4Rm*tr1mk3s$dc)AL)W@OXqR{lsjd7E2_pwJ67G<@p z&v2I6HT`{MqobbcdiFoLt!)RFPnx}^`N;2%xZLEG2kT$S-irHhxgfpH#`7y9o6M8l z2dB?-zMmKOYS!1N|7ZVYZ#PN2WVz+w+m}mYu3m7+{HExyoqTs)xxD(_liO2$Di##- z&a1s3=>5zi^U)dyj@O<iYuB%_tY0DY%P4O4X@=Li>T|V=#ln|nh82|jTWYPErgA~_ z3Y+o6x?4_LXJ4NDtJ>t-_pU8_ayMrFQ-1qi|5|}PW4+DIT)tlu)cSmy?wpwBdeArU zS7y7|!=w2Jye2Mj7JJmhXHY&ZuAy&-UE;#&*-P#joI15}iui{Oc{9S2J;nZ6r{-EP zvYZW-%K2lH`+Avh%w?H5cdHgS&#bZ#{aP!LXJKQm6u;sCOY)-ZFSg1e=Vd-b&B-fn zJodVh^RA?}=l!{h3wV`x&CKn&lqRz2pK;0jy?=Jtst6^gL>2sRpBnIUcZIqH_tts$ zIxm$7O1te+G-MXa^E`3n&UPuyD&Zr76YgDY+EO2`TT^?XcBbq^(ZktNSD0^Qx#vc1 zJ$`eKb~F1!^G=(+!7i80cL#rSjeT}+t!JgZwb&xpZ#!!8Y`J@b<c}6-^15o?sA&uA ziD+LYBDko{L@aOt->kzc%LRM>->SW;`19vn{kncTixq0??V{RGY?Ki8b^f?Rsr+j3 zT3Z#d84>A~>>KZ=9nUP<e_;CR8?ze<97=^w-0lCu_S<RcU->g4Z;}q2ba|q#WV%G6 zHM9CpgPv?ln016@Ys=@@CwtA0ZZKzwp15QoM^vJ4%I>;}hpi1Y_o**mE|Ymrm04;2 zGL_kPT%B$$^JFsC`e6~>@bt21z(${M`SzLo9|aFe&ONVk(}O$xRnxiq0&o8AcYjnq z)%R*_(|4w6lFi>AE=iipD0`^y!>h9=t~hPK#bkD8r5DrPNVC=jVfk7wmK|^YVHX|X zTJTctZeiK2{aW8Glnmd+FceR#H5dFCc4)8m!YgXW_il^d@Y`^9$2yI@MUS7&{~oJV z_xFd}c0S%a78m@h`zGw%ty^#9srW$kzpR_C)0u02d0i@bC8_pKA>F*4i^LbbIO(@4 zV8Vs9E2h=I|FC^7Tj$S;%B60<cq*Lv56ol#>T=g(WmWSpf4`M?-S`$9=93G$Rr=m0 zJ9T=J@brUH%#ChW_HIkfGt4i)b!DBZl$C6x4WEI~v(nVy)=g!rxH<B=7Ie?sxORQ# z6NdLpKkL6Mn7uf3xXk;E(+hvoKGx*btLE*W?6B|fs`_(WSB|s_r?@H9>jms%vy>Oz zu-ZN}Rwy)f4!?P7tgVlX3C}v=6;r=>%-8yuG3#tt2><hf`)*SECC+SHbj9V^+w|E# z&TW^i*6bJTUwCiPJ*xu`U;Tf--DCg#-LFpEtG+SIWwz=|#(*qC^VXDEi(`YFJ9Jp~ zg;+o8RB=2OV|G%H=i{ywv4U||Ba&twwZ5}HE<|2(*UpMxx7*Bef}^c}PAt)$wLN;4 ziPn=jL9=dq$pk2_Y&;$Gd-lg(juXdBLr=w|KC?A@|97S+r>I#_>mB!w{+S)J8iIQT zSw*M%N*S--EtnoE7OOu`jC<eN#^e8|+g^7{w3(k&lPc9a@8f}g2kNFio#?YFe_{!* z5+nD=I$lZ5rY}sT%rT~n=T6_Z5`46O|9!T+zIPK`8CyPFl{c{9p8uBrM)HMMb(SWz z)h{>O-n^Q_H-Gy=;VPyta+UXO%8YHM+DtsTN$y^qik;v0B-uBoe{U69?kkg@<*US= z(tm8;5{Xs;$zYLrKjK%+J~y?arcPDx=$a@tqsn~}?FU_cz1!z{>8Sg=OVcm(T70`2 zs(13b=eAIv<x@{9sIt6z;jl9xCyQD5oJ)ME!{2ikUU^)6zx7~pSjnXc2h`1u?2E}- zG$-6{(t(Fv@{f)=GDwRoyAT}B?b5X1%<Gv92lWeYOq~;@q}FY9H%sMv+$zt89lR$6 zyXJ;0xOvCzUe!X@eO_sft66??{^Br;Na~c>Y<({$eg2{fy}C2%$JcFc6KOQbvgzvj zYPNNqZ(x+B)PwILmp@AItlki%__t4TF{^diy8wd+H~mj!->=pY7PdNn%;M>1mZD1& zCe1O>)!XNl{@8xA%hWCMto7F)bIvX2-+kB0W%I<7yQco#l`gd`eZ9%bpD&kGEZS@4 zyIz4;{t&mkI&ZC>)$^EB9n-E!Y+93+dvJ&C5v7dl260Q?Kd(O&wD@-I+_t2x{1zUD z^K`G7C>dD>dpbyHd8_QVzWS-KhuO@2V(!g=Q;(ML-PyT<|3q%5Lg}mf4{OieJ2mm# z!BgK?%;a%>9RBtGkpsJx%X}w>OECS+aT4Dn`qcV@%rxJ2sXIR_uX=5*Fx}uDrui`| zW?Jpb`3JU~J5*DZdc10p1H-+*GW`~|ze)1ClE=0E%B#;h&3MAazg2w0p{~y=b1r<W z<Y2q#z_Ds>T86#<Y2j1<*W7FVT>0qoLh%FoB6pYFI`J;bR;%*uqwA9EKdx-=jfwSg ze*f)dRgH`b!&8B)J8c;s#7#ZHb1X4*-Jg%vlBYf{ejVZz8J@IjPs5cLXT=PPYsJ); zxP0fZu@+b=?px*|uqA$zI`5H&)s`<ZDyOt(Yd9R7@L=lqzAH7FRw|-jHZHDSXfRcc zpXo)Y?e<xFqtnlB7c@@V&J_~3z_rG!(&0rw$@<E`(r>=c{sm7xaqPtg?}Pofdfz)t zoqN3U`|j_XylU6yzC1Y9QhZ)4<HN@AhoXEZ?nxS7R}=QKDm|^H9lquMh22)76LxH8 z^#629=D63pn2dU>CHqd@dD+}6+@c>ooAKsno9QJR86xW6ExLa0+`Q|Rw)J9jbDkfa zJUiTS?!GJh51vf>nBZQ2^WpS0)>cB<JPM4-%CAz+{pnzuEiLMO$AzifUccsbL5HTo zi{Lrev>Ynt`Dxs_%YMF&WBSf4`-$h<^ICmuo|MiJ{GY1*>|sphN-@*6Q|w6xT$(JO zi>Tae))Af3eA6TI(#-7&f;KE(^9?<(Nm(4|`~IM~<B^)E(CSn77m7cbq5AiRY^7x5 zhTS6dY!6B${~w;8;G}V7u20K@A8UCWcZEycQGO6375#JL+0TpHeE+>MD(t!9#PpA? zSmC2n(DG-KRt7HeT=0O=#DBlQzG+v#Cam)~^5E0IrAcqrNzGd_r}FyWZNY!ucqJQa zu^!kh9aLTS`AT@5mCW+V@u5;j?2|lFoR?0@+{pINnmer`b(Kf)2PHS(_a@dZ?{8c` zA<OY5K3_&s;NCixY2}kP7RI$2a*ML7oS3CvuYa8}!cu?s<IazN9yfF+6dzs`bKrEi z&dgnV_q~pHU$k)L;)>;`InrjWd@psR`}8|m@wL-Ke*HVU_tVsd+oy}07d0j9S~0y& zMzMH-4e!4TU+$ah9IJ9DKBdCBsrk^x#wkk2RntFA-Fw_pewM-1Mc3yaJ;(UY@7Gm> z5beOsbm_@+TEikY>K(a|+4t*{r}Nvd6-&3Wax^&pD4nOheyjJ!M=2cVYww(O@RBHy z6c9S{LFQS!c$d7<ZlQ&TCzmgjur-hVRgyQ^cb$s1?zfJ`3&l^J(0d)EE6n`m=ka@q zzH=waZwgZK5_!7#%(+&^S0@i|H4S5bp+18tJks96&HJ|Jw>eo{g@=E?yZ!y1X<>wa zNNK_bmk+BaMSW=ckm$eeqF&WWr<0$>i}Xc8P0UVB{@JX*dq?DHx0Q$Xw;HRv2)MFV z{8q@)h?ued+P%XrIVK5ue+Aqcyp=_Qgev!ywaBkuQ6l(ea?V3Tr9+O+!KW22Px-Dk zfiq_JY3Tz-s}o&RCUn2xvbCKssbiWW^8>5*{fG3EG&=sRFRZQb-n!Ab=HQizmwT6= z%bD|2?$zRL7vlukruY3QdgpuQ^VhX6yAK_^_oY2Sz3`{`i^Y0Tk9&gGpRJj+NSt-q z4)#lYx}PjJ9SO?Z|H*e&^71XyzT{e2>3nu)e*0*~O_z%cm!_MUZ3>JkF7P|Yp4Jx{ z=p?2S^Urx_Sn_vmt_5>4&bUlvy}|#@W7R7bh4cBsQXf`de3<9><&vZ}v)z?x%l;oU z&kK8-Q~&+hzr(*GyRWyjta|=&)7HTC0>%Yxrf#v`*6nU~di}+ZX55-^-|0~3qz2wY z`N^A}+`ritWAUn~am%ZA%@uq0HrQRfymz9o`;-e2Nv=Jwgp7VH<NSEBdfS!6{COhp z7TlKl(cANCS7@)Er*>|AgT+f{;|&_MH}`B>dGFlpG^VVzc5yw0%a``fFf54K${V|1 zZr8)}+w8V7PW*h~^P5jkrA&-&%ZsuryvWnu6f%9SzI~I-6_+c&dS@H&*)si%&WTFZ zRX>A|I%r*Pf6r*kFE7Bm^Z(Wg`>^l53$|&RS;Y#xVhGPE>XcM`xSQb``&YGYyK7Z< zD{Ys2H*vf!xw&`sf(^?A;-^pfw%;bXG`8-|obvfgr#NJ_zZ6lZIUw-h9_#J6Y(?(( zZNIxcwdWq3dP&ed{L{8Fi7l7S_X}swvHjzq@!fpK+YS6Jj{E#AE@&28T`0Tox_SQH zv#)r!zn(eQr@`dy<Y`X?rk`IVZ7ceFLhYK`rxX6ok=${?A|_*9>S<3$H`YlNg`&~j z`z<^>&z)@9_x_WGf3Sm*rP?GF;his%cPGwVT-o_msE&Qsp|B^0rZQ*3E*FHIU-|j2 zg-xu+;iR*>HWUS3WZrml#WdHrMHe;Yzwd2U+UFDB&nDYGQ7A+F+4Ma(;-t8i9<VG} zv3gdds>p2FpBHQew*M4KU1QN+SmEV!@@S6KRKrWNE8k4}^K;(JBlBjQOfrZ~scV;U zGg3Npm-%VzqiHX%p2{z3*?f@y=v8qh(MvD&{l1%<tlpoqGNMLS+I{kEy(3>9n^|o> zC268$tJU;w|4Nbh*YBhoPhRuDM?q-6Z`QGNmyU=T*DAu<_s)9$-13v>y|oiw?u++O z{BvER-S)oZ#7X~^lf(jNO=(k}cJ_G39MQxR<*$w^?@Yb->PoJkih{tU^LF=jZ(p~1 zl)bC;hJK5S$N%4z6_ev)?<fcM{1agM7BY{$j&qT6gqMnd0MnI}L(`+bWb3Rl_~^8G z=~nZbtDMDd+`OdU!1LtRv#R%eCrfw#XEZ&Sag3w9VcVjctzJj=&n&ep&|TFrxxrGE zx9YCKq?Wb~)6{*Y7$5W87r#H*Y0uBoDjgcp8PAeeu9=q7Xj?I1#_!+h(f2;62R>gp z?bC_#GFs1DzGP2LK31hAlcC9<ReYaiX5ja=5vQl*Y`YV?^1#PevCB03qt0EFu!y?j z@l|R|_4T>!H&l<Bip|-yXj9MSGs3g}I4|e@;*@c3$)UM^cdpL8=C<eA!^<73JDQB{ zzWfmy|2;f#$^(zpGrCX7`u+2sbGq6}x+79DD`TIus_y2N#aTDcSZC)yyw{zYy55Z6 z;)k=@HLdbhXV0Za#E7}oaX+|wsDy8Nmd6@{zZM@=zHhl!b9;7cW4lGvCeyDu36uZq zI2Fhpyl2}ALs#KtA*c9G^JG-9+>tshd+w&}zgPcQi<9pdMjblU)7QD!=$&mv-IU}C z*Y+a<7c{EbBqvW2yTS6vW#2B>+G`WP?+e*}OUb|Xr2FQ)I}Rm*tIl3aiRs(AMP#Z` zw6*%SmT6q4ws+*02(aj1+HvH}{mf9Q--_9SGrBA0Ia@1B<fkVH&sHy)Td?(Y<FY%c zS)5(6dx~aAUS+C_eKl!?wCu4>BKdu*IlLO<lkFF_?W?@hwD7&myt|iFRbAG-pS7&B z+-lXTZy(c)r@BnY-sl?DW%bO#ATy)r_a>QI{yuwc@&5W5_i97Tzdk(J*PmK*H*cS` z=<&*3kHx3835w=lQ97Gb=v#2>V!K6-YSa?5j_XlzxrzIgy>ho2s=o{<yfV4hhTA;Y zK&j+|@5bdnFK=4F`_!%1M$_V!Z&<*#2a$(gayB1MD3bC&Jty1C=i1w+JCB@~{4;xM zfo-c%?9A-Gyg6V0h}mqmPt{~;SY+eS_@-R?T1NQ7Q!H<e$xW(vxIO8VKZAVmsiQ_* z)k3qD+>kCYSv6&<(>$XSpXX>9w8}ha-F;fQYY)>}u2sh-xC`ZVuVuYbs1z1HC;XvA ztIP7ES~4O66}g8#u4R_q@UFa~^VO!H35(`lh*nVdU%K>#w9hdIePPLIuhYN8RR@IZ z;@tO>k29xO+(9}yJhtb(+m>$E#aclRtk<SA{&{raY<}*$(kUI9-!nI?`gFO-G~j!| z{E2$(Cr;>HyfmND>6lZb_KXlkzQ%)nVP@a`m`|mLKe%hPd&TPI0?X<d*6f?HW~=0T zmo>+n#Q7#?2mWUb3@KiIggy7PQqP6+Z&WwEOEvs)>kXFxQ{I+aSO2gaDRJCpJn`+h z8=v*Lc#7E?y9`?I{%tj_d9y*RRAKSDwL7adS`4N6+ohEp%hh(zKh5jtV{r7d(dUMR zjGpeNzdjIHeQSSk?*7xux>|*oO`hAoLzGi{3e#rUMJa1;y36wk9+Elmbknpu*H&E8 zS#x({_pgbHdYqy=x}7%rU7tCN$NR?ycLBE6Zz4{ABEn?k4!z-0nZ&C3gq2J9(aD&T zFL^Shk1&WiyxgDWa5wT}%_1qC+#ffdcRf&cFunUz<~Y~aeOK+Wa}Vv4mhPXoUx?vn zT1L_C6?}1vRvB$CxzYMq`AXE4rNQak+*%b^<yZ1&Gi0z`UA^IT#=F%EShh4B<^K93 z<FC{UPhF#cf-IB!o10&|`E|x7Y}@8sUgNm(@ZA@xhvpZ`S_v`qK6v_S#TwT7E&C&{ zh3wsRj@$8xSknFpN9-?ZtvYz~?9!=z(Rp{0R__TAYm$6+zUI=x#HU;pzbj8jZInHv znLe%m3ag_f)8>vsj#Y2|9^Ab1@T;`P6AfA~Y*WoS{Wva6<Y>m%m2WrN^_Moz<u`Ds z`H_FL|4Zpr$=g=BoaU3%TqEo~WI|dhgU@>Aq{YT=cp<v3;p5{2FZbL`EM9jzX|CI} z)fs<oWKJ<X^Yr&(mHHp&Q@9tNn10Or@kcwwMakdY_Bq(r3;1;IeK#%kRO?M`nLlwp zlN!Hito`Toyn5rbX{Y;^rWe~T`SrX%AjkFAieHZxy1&-T=6<Ho!)S4S`})aoSHl(b zN+s$Ire9ZZ_-oAdY{A9z;oF|&FPT>~D~S2L#`fv)9o_vQdj)?eGnk3{DICsMJi3WP zJY>(}vuk$0xMS!NIO)yA-|MZEuHNc0>@}_9d==5O_6&P=`mLD;iFLM&6NEPXU1(@% zmw0ih*vsJ1EXn7$+s|w2az4A|-&-;7bgAK-(CAicp(n3iO=gQO)igQLGpB^Lp&_=W zdL{Gk=<mWE!4*E1P9Fchb|>$V<UM+t>jdM=CvE%Ovx;n1)zr47`vfsva(3IDU$DGt z6Tiizca2)}m?UG@&ic7dEMvZ5=)tAc6V{5l?mCrr#9vW~C+_97lbZ6*vm#e7%Xf9U zxbyd>7d=r94jMf{Cg&m-hFAZTm(kFgJ|(-MsJ-W*M9G5{H^l9ItrQZ(dF)=Lomwb6 z`&{4&6K4K@i!ZM|^Wv?BLtw?SPy6QFvQK1q*c3ivvx{+Q@xLXDZuYY;J32q^bN|vL zDd*Rd&#jwY7^@{_l&HBc`>KtNfEKsjF}r^z>kqBrH4gr<W~w`P`%1P$yAIsG;9Tci zf8HsDZDP--)gJkI87m7IzH4YP6-|Dy+9hFAh0fPA^PhG6dw9G3o9Mzjg*N-Pn7^B; z-JBJ}{JbuM`{!Mkq$^7sEZ=9$O4`3s@LK7e?MAxF>Px5d9_QJ7XzjdzCQmMWILUM9 z)7rRs(Qdz2>^1rny;OcnY%<5E$<s}DT0hiVC@!?&?fOUVi9$AlO<a#RJr7ek$5nUm z#>ST4b7CHT_tP!dHEotzo^f;_e|lltH=8ucb2Cr(9b~mqU8ws+Nz_f{!O=@Q9v{*& zEPquHRG2z@!=1F^C+-$2k5~K&^c2aSko4>|gW3GUMn<1>&%Oz{9bwh@Dpcalj6Rvn zyoTt7wfmxbU(Ymt`BgIK$5#XX8G@Y;b{TV6Ma!A8W+*3ch)sR5K;rYBa_Q~4^AmSo zywmzVq^MJ+{oTh)JsoT{Rgq7BvL2cncPx%QPgTwScelKim+8TaYHmqS0?yocw&6?q zoc+dZ#uqeiZ@r~(AyeWp`}6yoLobHtpK><acdzFD!O%?~eqT$Ss!}MrD13_8z9_Bs znJ#kkB!v&xxTtJ?nQ-esbI2AShNZu6h+lt{cl`VL%PQ%Inx3<FY+n^9qvTvHo{??D zDIVu6-E5rf`E-5dbEWjzTLW0u$ZgWAIN0#fLHj|bSJUJLpTk)C)t&E`yHs~CQD|A- z$0+$=({2O*EuVMqeY9rVgSY$r(zlxNFAy|2WOZqVfH+H)Ucf?$4GiIOJP(qR=5#7} z#L7CyZCoSe*YioNY?t?y114QJ440?9IZ=P{b{XS4#$#($<g-ty?@pej;L+=PQ|{CM zuWcf$><xW4F6K4d_iXyI#$C({96o4=$6YVY&f!?`Fv?RdnEy&jeZS`YxY#5AuPACJ zFHhk=ENjFvO)P0m5$~K!Kff&3)V0!z^4=seO~NCA<%j9HM=o=-mV{LnG;b?^&gP*x z`OO@IW;vGYHocK06*3n()#cA^U%TL+VWs}$<Ly(wx$k)-FT=&+qIpW$n|<3oLv}lT zwVFDQofj5ln=(AGP+qZiYnFFg<f03#rn3v?-`VrR?#lMxGg<QHTK(p+IH_VbyY0<d z|6{WlX3t1}e=27w%ffBvKCNEre1T{0Q~~#Drk}s&<#PP4>%P5^X~Olj=4`#weUA17 zXmv@<6jyn<`}))wTVF1)XpQqeV?W8ggf*mf-GZ9Mk4`9RX;t17Jw8FOsM1%8^`)wt z*|LQkMVGyd-pMRZ&-Y@TBlqk3<lT?hey|JmOCNZ5LUw;mjf%asiuIDl86uPV*Hmv| z*j=*Rrcm2AlT&hXK}FNqtq*QEv)6ZYe^>r0d@)t+)9kk!jk;E3l`Q#n)W-Jh%iAX& z`D!NLGV)!>ow0A(pEJ)a47f}8hS@81WQ4eH=lg7Gvq-My>Ysc64(^}Q#&w^|^s1S} z+Rd3u5Bo0fmUGv$ed95s=iK?CaM_CovW<?lb@%!%N~)iGD(7S28|4T4UOwskHYHs~ zdCTFWvJXz!zrJun&t6g|gvYS-?hBTE(OTD6pS|&R)63x2#j6X~JY4(ecFg1{Zguw# zNS~8!dSmr!&Bep}%#E8~Fa#AHellxDWh=wBvn9_TOk1eCd7tLx@Flx0>o*!tTo}rF zIKc2<qD#$h6@$>j%iGK!?Y$81!|~coC@hHCbloSJnHyv`HGfWMyyKp|PIP0@_k-%2 zv`$2dKJ&h~Ojb$g$(x!J^(Wm=mmF^C3yEzF&t0_9NBmIb)*pxTrvL9|yf9<yN|9a1 zkLvd~uZ}9PnYHoT`lU)cl?~hEt~~qxsb7ILO*-$E?bO=i89ucyuje^&CU@NwWj=Z{ z{dGCdE6#((F2;VXKZQ!2J2iPbRF3a>8SkFnc`Wqup-2-Uv6Ckc@Xh~kI&;C_%Q_|7 zZU?^Ic#!v{=;gN^G4FJ{ii`f;+9wj-Z2U%>IpM~xmSxg)ZP!_L6yCdH=wtbCr{sQX z&**j87SrbE$=18+UY_`xe_h~|^xRwfxt8Z2R5#f4?yPyG+2*3Cg7Ukee;@7rwd_c- z@4jDOEhbAyg{{2e9DJT*{k}B2CBd&wNqVsOoODvoN`1C&^@HuA!k;%T@d=5(wvg#$ z=C>L{#%U(=emv=Qj^7}7?%9>pXalwe_Kflw6)!nW_e{O9y+BXn-6a++;ZI64{kQSh zY!3YTPdux{w())B-E<4<0tUDAmoFByowmwJsZ{P;7HZYJVR1Om-HfNP>5glHcHG#P zXZ|?MmSg7p6Rs}xCqJ#$ET0`<#KkBxdH#hgt}`<im%HlhofXO$b9#FWyK?V(@4Gj0 z&7bp~=sx!8$D**DXGJ@!4smqd+;@ca(7bIOn+rd`)%0FsZ5uG_XuH(1SgYOno!htD zUgUmLC3I<u>CClDej9c^`!4e4p!XDy(w!Ima#UWd>DXQ^cxP%gXZ6j0k5~K-e;??z zw{5)upZ$*KE$^c}cr;fo{&`4pe!!ETSI*x%Wt0Bs!WY}{=>h46W<iFZ_^PxUKK?j# zXy4>B^1Mk~1;k$Uw|w0{>%qCLA7|d#_Ml-}kLCIQGyhbdyeeN(n38gF|GBFoLA;-s zjURVzIdi7{N>*(U|50V73p<YZZ!HljId^sW|Ed{gSKDoNztoFy)@3X^sw=%c-SW{l z!J}=hX$prKe^u_>*=T<4O4!P0C;rP^|6%g)iqo>Uxf_3O6pFa`+JU{_NZ`H#&s}cy zm>DroCg!%wO>0~dv1GoC2=~k2#U%@SPZWo<RO_qxu>9mnt^exseu~i5W5%EQ1g9H5 z+3dB?V{VwEO@79e*xR44dRcR5?@ef|4eH(L_krQqhF!)s{sk9xDrMWd<$W8sJXpl* zJXwRq*CBR;O8x)JLhhGIRb1C&L&Ux;`+ac3CqADk(b4xBBbCo)%?{0CFBJVEr5~@} zy-7@Mhm`*to1JI2E>Ly%-}G}?L1e)7_lnbb*WUUd@L=0dZ~6P8OH@3*Tz5A3X6M5E z<8fy_TiFD4@3T4QIa8F*bQirf$kFDF-x;(seN|xgLye{ChOug`T#jow1Q!a=>5m9| z%D+Tv!lJdiU#2Wr{?7l~294s2{GJSp<rog+ud;pU@Zpi@<6?)<Hod&w4S9<<tkN=a zOgdTrvGpRK@top2n@;As1SjR^M&Hy3VDdR>ta?)1vRqE>^R1-D3(HKRb@?W=>WC&E zPpmaNrK0p^nJ}y5)S4w+*MjS>onus+?k~Up!#ut!ck?8hf~zX&3Hu(KE|-3|ms?qB z%EeVGQC6+1Z&*8S=WcZ1v%Fwr+S)iXO>)`y1Ic_-4)5)>j^1<F@06GGtJg0$&he!! zGviIE($IMJ&+RW$!}*XIhnL<G-k2z-es}iq1b^@4LJrn)rM1!X53NiPzv3M9W9IdH z@A`aScg<j|3<%T;eJUmV#zkz+Y(buo>Ba(cxa`@EeNy()nVNmtEaJ)P>nr1wzM2?1 zAKED0#{Glq_BEMp;YYg`cZlRh+xQ#rZb|gc4b)VrzPs(;{HyA_19cudc1`)$)%3FA zQ?06j-NZL@!t0*iW3~0qmx;SEe}n&l@U{<MPR%RcQnV^=f3Mi9M_Tc&6PD{PKWDja z_xxKr*Uv;xxjb_di-z>8WhQg?SR9D>`}+B6ot&AS%Dtsqi;{1jRN5Ho>-KcYy3ak| zyc!$!TKP=Z4cZh`wx)W^LZ_M4ja_};&*d(bcUF*m)x7){|Dsg?H!7{VE6#Py3HH$0 zdr@$1lVucJSjr;Zpe@s*#F_7F)HmP$Ub*Y2X8h9fU59y$O~cySjd<n;-S^&{e>Lo& zm=({3<ook<U25MoZ8lv#<#%{Pfy;%NOL#pD!WYWVxEI#(qM-ev_-;?R{p(G(RVjJJ z?H7EuBcAC_&8^+P`-@*6i}qu$VLhD8p7$VT%TxF2j{EXopY*i{{8#&E*vNV2`hldJ zpr}t7JpHu?uJ%?f`hPZe`qa>mC3lV5m8balPVtfse7WIhrny(NO``wwGm#Gxp6oir zcKqFzygS@4YI05}NG??4IDhJ752tJEKBjH@dT}5BGRm!7Wz~|eI>ohvh56R{e+&72 za~)knxJ?gEez%vSTE^nw6UScnZ+}(T<BusfbY=X$t@^{ga??T&ma^MVS)&bk#Fv*& z*sRaBzK>l<w%@NpSn+xF>vzF+{#)u>{g$7&-5FN3j)_BZ%ASi;pL$E2v<UNgf9?3R z?TJTHr?A;fU-;^D+rn<^qjq;J-em<&HGP?9E*NpGjX~FwB{DF1q1Z+~`!$DRWf-4U zdu4rmF7U*{bL|v<#c%yCrk<^5GX;592JD{VAf~p-rMjKF=)`u}n<=)gQa8IdHvgK! z_E%kM)@_&AgSs}?U3bW-SQp5gmtOm%(Ns{rBJsv@`&YL)KC8wD$5nqR*uAQu!P(TT z{lND1XLb3Q_K9pP`=P99`{MnvJu%K68_!e;1&JQ*DlL5Xf5s1`^B>(-79@r_)_*=3 zX!C0N#&4emI#iGR<Wh=Q{eALG;cwe^KH$2+l)J4;(Rv+g;G~EcsnwTD()MTEco((& z@xSMFR?8N5T3!59@btvj=Ls&~>>ux$ks!&QZ5hQ9KR3n3v1s9BNzZDYhOT?Rs)|$( z7QKmCb1ry??UX00_Lim`4PLg;=wEcVQuHLdmtQ}vxb(y>_*~x?wxroR&1Pt9uiE#r zVNPt;o~I1_+iqB;ZFzUrF53LDM@8eDImc$6vva)W+|;M(eD)&KU)w~Ro$IRQcn@CF zx}R{NxXaJ6?eN2r#W`vZL;WrNXWA+J`RcHwRq(=IgH##8Nn$}^+vH7aYUaM)k-#rk zGb`_hD2v3LLqg$_3JZ?@En({reDLPMYNh~X_Cu~wUa5_FdrWt=`K}dNmLndese5|8 ziI`YgO7(S-@JBm;L@X*eq<)3#@Xvr5h5OnZHwcDu&t1IHO=GWD&DJ|_zJ3p1&w0kZ zmsM3-TWP1<+qDvjlO&Fx6?6W0_6S$tBEcAIj%7hHnmRf&b{9J?Dp&mDJ58^eH&aB* z|K&8hpC?nZ=3Ud*TvKHs`K0C2y4X(@4%=TVSLoks{Gr04#l-j4mOtf<(xc>vB|Y=| zI6v@)-Jjlc(dW2nXtTzrugfNv%@^6z^1<$mg`QMpp6xWt+brCz%B2z8?y{=?bIoPC zEZ5v-7`JVM#aH$Pciy_Lj%<qBqNH`9p#Jy8#&?fP?{3(B<G>5QR+06hZ~tGPIco-| z#}(zjg?TzJ-+XooH+y&Vtjjy`&1c#_E@Qau8+Ddn^~<-|^{Z=5YlHLRKFk%U37a_Q zJ>TEbpWjSB?Ponbw{*j=|C6*|n_ND#<aJIeL;jkVhx8cF3-Z<Vm9jg&aN4FmCHBYt zbOnybok1F2*Y(=xByaFH@pwLM^HD#SzY7_p<9r0G>*g(WT^Hs0bCQ(pl-)CyFSpv? ze%Z6MAny%V)ZE{SFAB0<PrYSYF)Q+v>y0z33qQR&ck)g5OXi-+O}j35UE$AfkiWj8 zH~wPESt-UZn`Ie9{@JZ~cKDax*K01v_PEP=RYa`5^^$AK|0i$kJ0=^x<qbEC+A4l+ z-{B)NKXSS+DEA(&Smw#BTiWHd_>!6awB2hIH}lo8vT2#k4^?_xzvfWhRb!s!Eg=EQ z)vrtWc)T<;FWSvydaGLdF+bzOO=)FY!^S&~Y#)ClMx0>yc~|1g@y~}YvG4mX-yn2X z&RpcB!O>-(m=ZPKK24kWtbc{o6~V*TKY!aU{UyOT*SOnK(|wn~p);o>o_^$<bgrIX znuRfb+wNadX&bhsTAGP0d#P6SbA`=`3m4CA^=zzGyE6BjJLd%#)%vGA-0CrmJ7W*6 z;?((lWLCEeU%c9tAfEoU%3IcVJZHVDw7V-!Swm|6`hVM!XQ-xXRxOv-weX#)*zL0F z@~tPi24?G0?0wYB{sqO$F6TY|WS;Q0->3ISd^3O3{`2O1D=)^HIL3{98C)B@E(LoF z#VoupJkhx09mB+*%!||)=Pdub)g-97Z1OACO|^ALI#x6&{Chrev){S0pAOmUStoC6 zpK!5tx$CUet5jT$Og~jxCo^r9h;r+~7arH+oh~{2QBt0{{s3?DbBztp_RKty=QMGl z{N+VcZ%<mUGuc?z@ky!24tw{k>p@~a?}p_c?yJ=LWFfWnnZn)w78kc|QMzIFh-uE7 zcTexID64EuJsKeVXxc%^{ugcspKq;`e}3)#&1D&99olQ}tHhtJoTF`AZT9_k5{qk@ z(6f`jrUxyUmK^aduSxgOy-O36f36gp%Bgv3)t(i5yRLee23}bwCskk<x^3;3dd9nN z_pOkb!F<(l>T8uHznecX{;Gf6SS4yKmBw4(Q`hAgdSjt~``zx}VeuE{Wp{}!{FeSU zE~V(uy9@QEvGM5*nvHwD6wZxj|B!wEeYCSio2^sR%A;EZSFJQXc2KY?Fqp--dFj3{ zOsZ?2DP&H(EMgp?Tz~8BYy}IS!$)Hjl*@kK4b`%mVvt?+)AfemMA3H{cf2(o^{MQ! zulaxXhbT|P-({aZY8|g#xN_!g_vLA4mhu^I3!B%`GU<esarer1zjw@e(kXZ4-!Zl5 z(=E<dyjy*zO%d-^WM27NdEH#rBY&?>5bQm&u3(~txh22vT**6nZ|*Y&uAaX5<c)>u zrQfSEu1!%>nJ2VJBjm1!#I_D;MWq?{Cl$Z)e5CbH=$mHP$=@%2JrUZdxwIqo<3g73 zRlgT(tYTTQB$FxPdR#cC+eiB|JA~!81SD(Cah#x){O{oWmxa~K`j$5~FWkE9q~?a- zW$bS=x3sR*)_j-P_hlxpllbS%D%*m;DU;SlvrSgn86y)o*Xq|xw$e@81HLqzj@CW8 z?1S7pj-SF2kMCKTY+1@a<M(pI5BHO9y>mF=wp)3-h{tD(yF0Yge@VaHClkr`J)FOE zvubZp(o^M#Y1aY`q9ZSH7_e=shz+`Pzv(V-)Lg?ETRcTGFPMm=euz9e*W>J-3lZCS z*iTd)lxC@}4yw8O!tLg%h(@mj-#ad615dokTKd`a)|s!23z<D$ho6qu+5fy+qk74H z#jx!^emqIwo^1T%Wkv43X?s7pHnAM+5f`16T=DSG#4RjZpRD)nWieTG--NT<t)`Zr zb+_DJ-caQ)4o{{X6K(AN?k~A+R_=?spO+JtKVH>d#~>FPVc@34dZ_xtzB!RQvhIiq zebhML8db|`mgHJx9e&MYeZihIrk$co=FU?(boioAVqQ<>OY4YSy?tj_*hHGFRND8u z)Kd6YT4jMnW`KM58l^dnx<&mmjw^&jA7A$Se2Yb5VepX(_pN6Zzc~1R#?y4OhBv2L z`F07k1y=DrdvfRWa(As6In`W;4{X*Fy|dcrM{p-&R_4Dng(E!8Q-X|pZ*Y~ad|DwQ zpXNX7?3+1F9?AzQQ>JlyJ#l-<C+hG1_;!lj3)`)$O?zxUI0n4^uA`r_O?lPXx$ma) z9y)M>JNT}(#GFL7zZbUg^R`dhb<+P&-QQ?yqlkG^)?E<u-E8IF@xQ|Vg8gUtgs8uQ z4dwSNEESH03!0={dYZN~?0B$dQIMaH(~S+=XF2#}_nqI#vLv?b!|Ib_n`#8F%j+qr z%NV9Lo@#QHoabE~Gbvo}a&~ayhL0BwB4QuL1aCi)#@)1K>5Glgm#oAVn0|eGvb%KS z!NA4&@;ez{Dw$-~7$s&09uBbb)yTSVWQT64$>x>%)u%q}H`C7S>98^Dta>*6$<;%D zCVUZ7*r!lr6MUax>V}P5CQis?OXs(h;lFKCTozjv#mX}0Qk4CTqw|%%XMg1LYT4-& z8`5}8eafV#0ezhQ5%q;<IR47$9roLM(Qj42tF6U%*!)X=Hc$L0R%my7`V~uN4*&Rb zS4AJq-GA@<clI6ZFItrpB&{uvt$00~TW@JshHAL}=f{yhXYD-Y8?&fHsH`WBZF;Ex zGY^J~wJRAkOwU^G-1$mtaoXneKbi+Dwp%guxmc{<z47>rQx3<J)82d)d%3rC{pV>b zr5z8fHZO{(3uEVsaB;n{Z?BZjw?$iab!Eq2dLwr5R`H2-6S70ScU^PKsyF0yZWWo| zbVYSqQBYIanin-J>DK*xfrT4h34S^GJ2$-Map&8|M;4X;acp06CbP*d!Cv)jouc)t z$t8;>@TTcqt2y{wsOe+6Ip2r4qEpImm>#A~JCxn=?A+=fkGJ=BzTC9Me$i{OloF1c zr89S_<jOPOTPeT%6W5au@$#83{$3VmE5D>W!Svd$dsF7gJy2O8?$NS-UCGHAwwGg1 zUhw0)^kc$`+JZ?R_h%>=$k$%p9rUk%$y)v%hPk(YYs&3n?pklF_4?`KE%|!CTB0Y5 zGd-OYz%*ZU)uL^4m1fx7R+;i`$+0O9H{Diwr*Uw`OtX9^p1rpM7`!H~bC7I3HNXCS zj5R}ok&wjU8>cQr`!BXQx7+EE#Xp~tl;hUB{MxknTcjp#4}br3_rdH-I~=uDC%Ppz zOkDl)JV*W>&xy^al^gf<FihkMWpDgCMXmGRukP12Cq8zjn)vG+vs<%+RnUW{B;51r zOO@tdp@+F&EMerF_&3mVne4~tvy;nAzMo9~uUGkSwWIf}<hvyyDpG0x7VS6IwCkI| z#rU_ZbJJP{#obds?B~7n;Lh{;`i_Y+9fDk+^NI#Ns^vYTWU6_ww%<2PUFcb-kLb-C zUR85H1s-j+U)cCS=cbg0!r_X`cY6b~oFAH~PWq+4U9M=lx0UWb#_0#&7KAtR#VT?v zRNcaL!A2vixNz^T?FV@xr*o!7T+Pwqxnh57R>#~abxNL{59OJ^ZCEYAe$e_9!(QI7 z*=!G{`XAA|aMmU|>%z8kU%m#-pY?am?>R?)OWd|J@++44RTLl4bL?yE`&DeATRRwI zzsxnS{T*e}n!iTd@Km4hw$FzwFWq=m98e(kYfrh@gTN^5xmJe1-pBB`Y6iSss$Ko+ z{TgkX8TO$^*Gl|<d1~?R52nmVio0tC3~v{C-ws&SIk79%RC7;_$uhCs1%E}i`^(2D zt3GQyk(WL<Cm=EMdf4W(Pq%R#I$`(RGD7Xb9kT~B8p>EyykojgSDeULcj9CW_ji+q znGQ$i?2F7RpDGg{div$kz=IDKElS;Qk)aXlq4qfDaJp^ev)c@^uZ5I-T_SorGXI_s zpIBcgpqrO>ti<6(b5f)8lHetUQ$H*J{B!rqmF>x2Z@0a#o^0Us{>-1F7V8AvQtJhl zJ360R5R-m<`*mgR)CKE8k{=b%@R;}gjO#_!heES9Hbh>iTQXzAQ(+FP1{ax=4<om% z+wPxd%W+3UHri!r?)QLwbqCJR@k?A|di#6YTVE^D8Uu!BGkJ;*o@LRRv#~B~63_J5 zRdddyoO+ro#Cc(<Xf5+IAL*-que7iJn*60Q?(%-^_}9n6OW(QuInUO0jOlm0min&; z2KU7q+l`JrX1KB=fAgNlJp4_!8^oD-AD!|{%GkW+Z|a7s^iS58HXag*6A}=ee4##d z(<Wsm8)MsO0o4gJ4%$2^+pq9=;mLXRDeRS;)vvDYe)i(>-jd7OThCqYzIM((Hsah( zmXEJ(_Fu{{YHQWHd}reQMarz-!`Ael{oCfxqHwpYZ?X2maE=5Ek2U&pzO8C(I2`Cb z{hi#W2PX|)CS@EKRCD9zyS_zSF*#+G(ix9M(Xz4+SA;4aPxoaMFJ8Y?VrlS6qg3<4 zo+FxTW+X3i<$j}h<@vTmzXG-swFWx_SIVCFukRsqIYnK-dE=8M<_mAy7{p3F?5oM# z^Zj+eR{rGJBHb4XCua-KaY)U#-l?v={AQ%Z)#cY5_wAn4`l{@`)9;qWxeiq(?^k!v z&P?vGUbpH(*^|TBn)~JUPgVHNu=&yA>yxB<8Ov^@eZRG}`rFly&%8BTzdXCQV)~(q zw)C}gcRaZD=6(I2zuvC1yQ^G&&M&=rBlTqRKeN}##}rm7bp1Ie9(VXukAbmI>AK$X z-k#qJgZ^#n*}}PqLGH=Ay>Zfhwsv;MOc~!8)n}_&ursyj?Rzb^Q$8(<>*o%Ijyo?{ zj$WMlzh?1k?uFlDHTQ4cCe+?G_w=+mO11X{CpOjV8~b#>{bqUCEM;SD+fsk^*}GOK zI=O$J-?zuS?SQ?aORV?R$jhH18F=}-ZR<o%eR-Fx^#9e9cfHY16Dl-Us#^)I;|f;n zo#PswQMv789z$+_WQlD14VlBy@y?f4r`U72n(;?_%3qT3+E(>u%j{069ef8bgtzTh z(v*!9UVZDp>%GaI%eJ~WZ+R{Es^Bs6R%z>)+?x(p=3iRWWaubs$?LZ8^a|^TJ-^j* z7hSv9^yyA$Z~PXY9UGR2a{RmVP3`bpxi^tb%-ZEG(^(#$iM*w$rl3@1#Tqm7rD4=Q zodwfvn%?+-*IK#gi$=}kb5o}2`G3<&s!l(3VE?JDa;u++d{kE#xFR=q&dQ@(n@=Z} z-E!Kqx=3z9`}(pkOLje58u;iy-S=s0Q=iX!P-3xiiTLclG5=5QnLdB*>Xk)5-`E^d z?=|nbv$K84i9ClyP4B;vtv;`FS)SN&?0$2$VWq^%W$&`uUK-?lex$Is>HMVW{(rtK z{;odtq=D{~TZx6$ry>ht6OUe=IO%MD<)N<k`Cb>L9a&_z{))RVY`W0@RLR@jcb7~) znImF;h==h$>zs-L{@+KtBwB^u$Cq$g%uCN{Jo>n)Su@1GVP^GHh0vhR+#6pKIX-^q zJuYLa-Nhuds_Udk-`ls0>&%0MWX`JYiCk{hw%&g8&Nt$vr{<M&eYa!0a3uKlwOAFU zoo0f&J&bt%af<BTa)nb?EyVog9p)?P^=t1g`*P;~N1JwA(ZtzJhp!s^l@~eJ6qxM$ z`i~kzk+1lwRR&5TAG%$pAJwSvcz5~hs$|jg7SWqc&zE|4)ygeVFmLKgxSag*MA$^T zr+IH#{-}R@zD#(j3~R7Rd~nOe+)AsxYQpDENnGWwd&lXJ{5)H1p@PEy`plHubH11z zzvof*VVn4cxHm#8zw|MQ&zQX8nuCCL{?oD@dj(SNIJ->TU~(d{-*zhZ$Cz_m9?VwP zI<|9JY_c!&n*1*<{v!|T`)0$`H&4FbQ(U7GS?zzN<Wo#t&^mb|<G?-aUZ>A@DhmC5 zot<xyf9a!1#9zZKj-R#uFF$CR9iOx6`OkSgA=A9Kpa1LkVfNMDtOqVf?awSX{PB7Z z|Ap#5ZFP3GrdspAwJkcdGvMo%xeRRaPfOn|I$ZI1Zj{OOp!z$j(+h$P&*(3jT>s88 z?~L}G)yZ4c1Rm;FpMPvDcHs5@ljn@Wr^#F14zOe};CVIY&exR%oe#589GniX(7Mz9 zHM=k3*!*qVC-!M<=YQXK=3rX;g9_6~4)@EZuWtuT)xCDs*tBM0o$TUMcSD3`tgijP zFj&BQ!K&+~dnfNaJX_*zw*GhL+Y%jq8@$gX?0COkUv$?AhVS8Z_O~Bz6n(fmIV7w; z<z(4{)%#**8&}!zzn#61d)mwkzPt@@)T)vyUL8?clR9Je@*8^?9^~HhUT(>BRyV{{ zIdFneb;;I#Zszk}mijz6KH+Q0xwdKVrqnOE?PaXn$lrM5Cm(}q#N!lum6D92siG@& zYQHTz>OAE^V^Uk!`hE5p>YEsp*Qm|*)y)0(*XVwGz{!Rv?SJ34S$r+>mj4s;e!--_ z2E}Z4tn=P&zVp1-P^nicNFsi9R{UMIf2@;l-4edN&EoL{k4UM<$Ja^eoO+~EyV+-1 zzKi;=s-G^Q&9B3lHZR#WIrB%X<VF{n4b!jReDh8!rQr3m<@*~Nn2T1e3_1PsSLniZ z)2ipXH_twGqT~1~^_0ElZ^LHRO^^#c>~a6X!zR%s7N3)x<QYFUtYRz3^*cX-Ay{X| zBHb|IqNc~^=I!b(Tr1u@!~246;mpRyltq@(9TWIG3!Nf2Xts;9Mqk)xIA_MWm6cVp z@jc6*?T=bklDXP&eZ6<zx2=0?wZuNmxyNGM!^K_czRUhcp3mX#N8*PMdf((d;Ck<z z$sHr1-T>*dc0JAo2cK%h+-zO#{oLXItH*9ipPj9<U(J>9vhBC;I<fO#!H>mXIK3)Z z=Pfh5{8eVzpFX`k#X1|g`^^OQ{0RN+cESGt+Lbf!Gw*mRwdXKXP3JY1&nH7xS!pE{ zd;i~Yr`uLm<DFyY(-`}gl_qXGPVeUwxVcog_2U%#DBk^_-sPN3-JN;%7N<mc#HQc% zA4Jq&*BACZm@0XY<)!YWHhn9%?u-{kZCd-~HaYZ)PumziYkK}ge)sQF?7Dx5T-iFc z)7M;adv)8@Zxs%&nifr-aAfnPCG#dvKg5_Ser7V4x?W{n(9c=?pQ<}9tFPtHxF@)5 zN&@E+_P;OAJeN$-pP;X}?4N!6X$$dn4AMuA<kWA;<l%5zm+@iuO}<x=^-psv_rEjx z_VLOjmj|M|#7^?HdE`oMPW{gMBYx52L$lMv(;o}`s}AP*_{Syw$lbeT2aaExx{SwJ z^$<^Z&uPCeMkOs7o#8HOyUd@SvzOK7&gbfVbj0p%a@yPWoljr67%o%zxZRC?-=5EM z$JXyVyrbYDGqcCT2g)+R?+$<6WPDuz)%}<K&XNJ=wx&q0UX!#lbK4h%OK)FjwlrE; zElIXoaPKw8=dz_dd%qVh3-pmIt>d{h*|%@vYR~qgM&f@p(>`u|Hd`pEVN=!j))_nf zm!G`X>oEQENxsPw-+Nir`kqd4lKX9@e<S!o)c1LHLR)vUwYJ|n5Z=YVttaJ-@x-d? zoK4R09zj|YSLxNfopdu?UZ6*>vrr==aAwG#-W<X2K@lnk-W|9i`TSDG=Y;AC=FDl! zn?0Xu*sM4`y=!_*S8vn;wXaLxpS-A`sb;b&W?qEq{)fNpuTTGFxv0Y6_ufX{FLjG} zSzI5@nk|^BZ>qoQ*%WhywyaOr?kp=_c(;W)aJ7>cul}`;jpw<~s_c2yv1QwlCfOog z{~(p$iZ>G9sr{N`5%M^|?m$6tTS`}Brjyvp_1ntdxcF|!;bQ%6{<lYR!HlIn?QKOa zQm<vMee8EwetXTys<}(19FJ7l<jhfUdPNTJUB_~Zx7(~;_7$#qTcCBLA-HqW_K4gW zPA@n)QyqJ5#{Vf&)So&j$Z}7I&=S7#d3X4JEjp(7b<xX^iOlt8_Ku5x`uPh?J8KoV z-XJ(i^RD)ib~j0*W6in-(#8Gf5?)+azPz^e%TgWV&*kxYGa8t7Z??2NJX<H2?{WQO z(VwXwYBHFQTJM`@n`LOrx^d>^TNmt?OmjY^vGG=K!m0x&pY@({>bSi~N&MTZEp^BB zj{mY}Tw1+-QuZ&)`p+jWb)HyIkbggLW@^Pm*~Kq(Vx{-SEy_-JcAR`Z=Wg7poIFu4 zh92#6Z<a><c%t*B>W3$v4*PDg8MXz??YZk;p3imAh_}6Us%W{e<`kve)Pt+G*}p5T zNp*eq$Wk;>H_1ZGTj_t4MEuItY%zP1({CTldmi*_m$iIFqfhphPaLXWmMvCQ{L6gt z;Zm<M^X+R&@@0Nr%6}NB8u%`DPVKDQ){|?w!*2hpxH0j0u2R2VWrQMw_6vz1;jaSA zXDf#XMxC9L8>3iV`Jn98mCMX$`!=q<{XKHVd9J1zJDCrCD(gD>t3EdOgYusCx9&|J zxAreu#KEkYwflumlC|>r6N%=B=hSH3&5_w=cJGLaLh8+9r?fR9jWstrId=9JYM1Sp zwBysWs}JW~<lkF1!J#}+?dB7yNXAH)Of!yzqR&Nl?q7QN<GDWj^yVcF>1vC%Ts|}9 z>Xa!ApAIoSIn{G?HEYVH%E##rJhLo4%N<T8CoQ#+RMy(_=H7w#mVClCPvrPE=eDd1 zX>N9M)1NS9{gWNCwMTodhMCu;STdK-a#^?RzFMJjlYLP{^un$U*RP(PXSeuE4DV)_ zqw7<jcjvZTNt!jseC6Y^wZ`Ad_VFa%*imL7y8PzM948*%cIL`5c3BaH$g)<~)xpvS zzZkt@IVyh3^;CK4e4`~RE-I_GOKqPJKG*5llUJ#e)=XG=PdHVk>S|-0#vRf22e1Dp ztmX++^0RXf<@I^yz`Z|DqDxW8Qie4$N?!KEH;Xu1_YXp=Lpho)j}}k;dGdi(Grxyt zXwhepYTqBT8}&<bjx_{-K0NUV)3=lEo0t97DQSCZSI@w>>8Af}fi2!$B71&2o|o)T zy}~l5`}Vep9iL|WSltkK()07#bthAsg4O4AUkh5SX{)nKcI86eYn35RWm9{%xk!YI zlpIXoxoOoq2HS@#(jF+r6)eg3OS!=47d*R5X=&Qexqm-C4t^PVzs)9p^2cRYxjX(n z^s4U=do^u=>QarcrxxE0<2;!RqSvIX-}_ufdZ+O{h02^6v;W8i`hHZKw)wZZp9<IO zur<t$J)8P^qhk~HTUo5V6t_`1zi>n8_jfVu7E_t~uFstvBE47l>yfG&mt&chDI7bs zo8tc8NtpasY;|*B)RBM7-@E#&3Px&te_a{#;H`aQuKW(&*v5731~&~Q`I_fR{O!nC zwAIgE#mu+eB<fKKljk?~Xa5{bUP@k=Ge4zFkn!n~IMwg#(mRFZ)m9vS&^zIY;QK%| z746qXHzM3UwYivQ|H^pz`M>XysW%g!O>&&J<k=fX%M*VtF*F^So3_)`<VzoCzCr=7 z&@MR-g|`>ZmCvlY@GA4dXPc|7d(5|=ypZO>{>1q8>cdx0JY1)fzkOn!lm4W|&RJ_0 zMEom#cyB`Z0gJl%@oV-k37RUhJw21dYDws-eqO1woT>ch78HJ(zV27z#eX?2DHlH8 zmA!Ov@ieWAEV7bQJ~Vt6YRlN$uw7~KNB=)f8Z(|Z&bg%XQOZ#_;E>p6?_EqgnhKpZ zN((6z?K3~i7ysz^{xx6rZ@8x3z2Z)CR^^LKmh8q`cjO&=MU>8+(^XQvbEw#Z<6O4s z=C#SbuNO?KUvud4^7HdUyw`l*Q#(m2Y`*)FsaIJ)K6o{;ZEp1P{Z4;W<m__Wm{y3e z&sF}FFYjlx|Gri0N2yP@)1;zib=a&<TIub7a`oQ-mk+)Ak#<YAVx{*YhkM5~K2KzO z`%v?C{~ED57n4^9^q8F5tnjA&oDkFQeG_;XyZx6|2CBPp=xx{TH@qJj7SXss<IohX z#|F2KU6xZjrle+6t--!yrJ9oCwWGo34lV6!%i8f>{43LI+l{Z-^IybH`dDtTc<W== z{NTCmSK`|?6`y?cw$b&fjmPJxTVEg6KK?OhO>h4`{hp=j|Gv*$QNL=JaD}~r8gopL z+U>(xQDHpBvkd$H)vx9E%I0L8+&I;7`CIK9UtA@l4we3uIn)0tCaxwv<@QP6x9^^~ zUQT=V_}cNry$hsRpB1pC<j&o-_{_(|`?)pZPh9=YSFaEKeEsBw`_doZlzX(CDxBtI z)5Yg6F~>V{#;5jPAwg#Sqyz4G8XoL&${bIpM{g_Bk6fLw_s?&Mi~sIl;Zgn=w_Nm| z{LY=y%z~Dj;oJHWnG4g`%-A*QU)dQq1C=*V&Pe)l94d}UH}0B~GiP6XdgT4Nt?T@E znK;^QP*-fe^lSI+ZJkD3GM2J$IX7iVT>W!M@LTx27VeO_fgJBQ6zV!oc>HuNk0aAX zjuZTgMNA?T7~PzBkNiFG>6|ays;6^&-hVtT%~Q{@!_Bd%w0@P!`5mG$1?FOsfp@lD zRN5KskfLXN>C9~D+=ZIEuW!{_Ib-{A?sYZBv!{MpzarmSV#U_@tmde%6Ia_EEa?}t z{PmGx{>InG5AbZ+V77Uw+@@UlsXZT5l02sNUr1xQGU2t$g&X~<EA}n@Eg$iS`S#Y8 z=5O3?<fk1zTkpl2s_#8DE;{(vGcFAeriGPF>icX`Pn=wTf??ry8Q1Bz4XoaY+<iMy zf=z9ufi(9$kso}H4|%k+F2$y5aQ$O%F<kGe9yMjj{FBk2nprk2KUDJM+duyMceqxZ zesIf0;ey1&;5{uruU_F;{71)WTHi0TUB?8ao7ncRTK^?mn$=a{+V6}!_f!Y=18)Pb zWR%`ZZ&8rGueI^b5A{tKd6Q)xu8er3&-_XI<^_#Fjk>E3f-@AiFR^C*qG+Y@G4qr0 zj1!`D?<X8}IBDM7l2_33WpaM}k5ii+MZ%?zCmu0PT6W!`>3!6S=izd<RoQR6Jy*!K z*4`$1-k0K;_wU&yPOaK))L?bHV@8zoI<`_@q{AN#(-M<S6%-T{49$}bjs<!>38<U+ zQCYB4*EnMK^102XJ&yhiyFdJne#yE#jivTUXg8<4u2b5lLtFgg3N7VXow8IOZRNkZ zzFk**wZ`G+RqNxvrDPsBrhbP(V?t@~>CO7;$ro<goL@L!<|}*m3&CUBxy~k>Z<oDy zU^v26`&s9C=<F_rHD*_>swU>-dra+QR-EEC&+x!s<<AQ2@n4tMl`yMbiJEiL+MY@6 zUu6=%*5AM4^(x(e*;zZ&`7{2;hpyhdBT4<=(N&y3!cx-?zN%WvVzOcR*KK0^WtEF0 zRzG-XdUJa8DtX;~5eK+-@*MVhaC>uNlD({n(r@FH-06(h-=sL7+AO_0ZlP(d=AX|R zCecNOH47~49>{5>-TUAmu`olh^4rQ+VLXoQo6>|NcD`MiHfyb<{v;jmZ=3<FcRjZ$ zJDl9;UzxLa?MyqL$}8#LcuVH>yC2x0@LQ`qJ8n|2?3Zb`p7I5IzfyS+KKJB;KX3lW z&Fekzv|-JmW3R%L*F{DqT7SCQb2(;%6Yrh%&7$jgrWi}*|E*IOJZQ*#?78T&*h4c# zRqyenrLcJ=Uf*(dVduiBcJ8KUgbF|TX=oi#lJv{1>}h=#m43zP>>{^}1l`U=<LBB6 zA$zj6uHo}$`L8p{db^vp%4UPKKW+JQw*-INw{t;&ULUhX{xz%8Q=7LunYQe5U7*kn zSKg?8=D+Stg3YWArz2Un<bAyS{RVH!3N}IO)egP6f2zU*?f=X0_uRZ~w%zTTpVzK) zd-%B(-il10vOM&#-5bX_J8kbqF~@xIUtOV9`&=o4X`afx*QaAj#JA5}Xs_1Bc;`Xc zgo;DnPw#sj`RFa^rMqvTLp$GO=k;EK+t_wzTWsiS`SSO{#2PJ!uqBHth0<?{9(hpP z_wCwY1!;@NQ>!jN5{kEb;B=>A(iHt2A$dQXO>*|9Ts!T2wKehc6NS~`zasWn*{V78 z$*~ph{9xLz=)H77oc!ZAGpxGy@8X@gwt8o?&EDHT)ZB$F@@_gD<PSJ?!!wLw|9!27 z?YHu`&blsptU=3qy5+Q2jn6CUn0*4?ZIPY5H+8b{m*Wd`EvMJLJ;Be@bK;P|&Wh&> zU3CWq6ZrK%7i_8UWjI)1`&04Z1z)w7XTpq+-kYGXX!pGxkr{gy-42&~_G<D5-V<(0 z-`e?Sx}Pg>eQFhGV<&I6&4&4I-jB2kwvvomjtf5Y`#b$<T)F(V&b<SEOEXF`-@G}h z{cHM!+neorSF6nuZ_S@yto7i}Yk@eycbc<PuU#*nqh1;C<mCx9m#V}47vz422Ia4u zY5azvdG1P{4UAP?yWh<TQ{TN%iGS0b<KIm7F3{_gzL8P0uI-HJMU&vd&DGv3*J+k} zOw-;n(d*->JShPMhi4lf{<7`RPwM#Tl&9rdb7Z<g?4g+gtZU2f2NrBP*09ipwc6|G z+!gE>o@W-YKMi)7T6DhSDC_d&6aHF%GdLC!yL;LCZ#7J9pWm-N5*vPZzLp5fu`f@G z8QiuSOb`E*b8%W_rOdNu=8OLaUAbu+a^}(^>mP4b#3uZEbWLJr<Q?ZX7u$;$?|VHr z?0MB$Bfk49KfU>VqUF;mH93h4<8QL}>!m{<D<u{^33+!@`l0Y<4b?XhqPLFSV5$sz zyI#$zW&ixLv^v$8_17;={;Re+`qsf+o9)i+cFvQPG)S>1ce3W5cJ4|BL)7iu4<R=c zPhN4BOpv`$YdLZ8cGcaF6Stn&dF)`lXqQLW%UwId)3^C+94VeJ_vL_0sfge&$$||9 zyjICG)4yC&;ZahwQ*{5kPpIddf_uW5<*kLM1z)XAzO^^HYJ-D|{k@aH(OgZX)@z>& zeBLIIcUdrVk*L_q7cZw)EVq@~;XE-<X`x!bN4&w$z2B0nE*H*JIcu=$@=^iM*ot}G z`y6*3=niYGac62(<X_*N=fuj<-oO88-$Jdrt@G4B{D}#_S-gwYqWb*2hsh-`{`l^C zxh&wJvf$nrjbO_xJHBHj%)-I;e$oX;Cr=4^sWj1V%ZxP3$f-M$WyJj^7RF7EJNRkY z1sRVEGUer)Y+gB+^~vAn<e%lXQ}?Cx(IQSa?W)N&8=p@qURW$~^uOfB+GG{$*_@k# zZ=1;`EaB1&VA~L#$K%kaD`+soQ)=};_qlZ!dK|Y@%;=vf_hNa*%9eoUZvoE1*Y){s zh)TTPqr5g=JDJ(@aAoJJU8`PNSTkSQIq}A!5QX=3=dAZ1HgRuVs&wS^`<;~;y}hra z{pKEem>d5ztX9-Zrs{-rQu)=wm~UT?XJ7rHoT997_g}`2Z`-x~4{38h{UtQz!xyG( z%@wO>f13POMj<QMjAzH>q{d9!O*`T`n8mJ#D`b72exug*EpJh7u-f5;)dgSsXE#aL zO?kFnO3j$Jy{!0*`P8mGu8IxuTNp3uor&1MsU!c_VZw(0G1>ppewEay2=D){usX<w z&!yDy`}b-0qg-Asc-a^&yUF~)_RnmpXV`U$TJKHie0D(p#ewSBje1_k@34nhgqU%O z+IzNTtA@$V6}&hx|HkBK)2nOWJy~;+U!XcgAY&iXj>&G(Kg3dJFs%;jv!3$E>C9Ko zA4#VUtrWR_HAEqqIosUp>&w6Oj7FR{I4<07C`w)uu64+8&AEExy0cHE7aoj=SQ~V% zO{mwzU9a`1>SpcpUNOI}dN~W8;oN#)rl0~#t|@0K=bmdzehL<{#A<Haw72Aa@XAW% zppV;pT)Sl~X05vIZ})EDj2RZ(=QwB2&z|&aEAKmRnfn{&{{OP>?*7T1J@1y*U&)WS z+V=U-+=FFC9W5?jA1tljE*{UFs&?c0%{Lh?I#Uyc3WJyz&D0c>O`n~Ad%5-h2}|Az zg>13z<n_IKxW9JII@w%?Prnm=Cf+JBZcp<sU-`q<q{q$H|A1QgZ9Y%ttJ+<hp69)s zf8IPM9k#6SUZdhF-;-0=4Ql@Ht>)i-;G}Eb1A}CBf$a~tJnl_c`s+gQrJ}Rk-=`V) znXkH>vLt+`-Pwu@2PAAaGTgZm9lhtX>GnylBjRUpSaP%ZTP$xXdl)nS+d-q7<u|X* zY`x>e_A{&1Q|WQsLJhTymNgH*+Uc77i*r<+zg^l<MZ|jAq=@RerDofVWU{Y`E;^WU zqG<o`Ici!{S69DSw}XS9QJ68@=fZDygQqjzrznJ#maejxt<u$7KGFKQ!fhLwH;D`n zf*pm<d|)~MM3A+7;@Knf<1cF)zUU|{uJn&+ZY}1vvQ3}BxhQ(hx=TEsLg8y8_<tss zKlE62y`$4>VO3V=#tFYvrEa_ZhznEN?lV2U__OVYxAq;6ZfG0Nyca$5$@#5z8;U(% z&EX6Vy&leLwfpD<?TXFOfl+I$!~<6(RQ~!F{JNrp>y5}dhdbAfWvILL+-oh&{o>wt zjAxqY$G8A5R~eDbtDY=a?_IS`o=JVWcXltQ-+`N9eEQ9oCmp*UnqTv3(r&l;yq~9V zXqtZinzQW8LI&v%S10JZ^BTMBuKV7ua&PiyhP(53ZJT?3@9w*zSudMj%=*1(i&lE> z(Ni}Xy6Vo}{=n8}oZ!hhOY!ygErCb-1-(x!+skFCk)*XH@WW%(^0zO|`)y7g&=5QQ zEOC|FoLL*{K4ctxtMd0#MoK`^a?i4-H&qjFW|yB5o9_KhbY5Ge$z$epj+J+o^7EfL z@%{n3XWjAHk7UmD2mL;A@^0y?ti!hp{>jR{XS|^%Czf$*ZTx|)aw}KF+*w-2uhKWk z^_bYESt}xA|7=nI!9I=QbQjC7__AZ)UcCHpGpbco=D_6Nv)-M#TxKXBwRBZVow$&O z(;7?f*wFR_E6>xn?3#Xiu6(!Ba6^@U*4!K^jo#krGbLVJNm07g7!fpGL23e<=adH* zU%$)g+n3q5+F|)Azil7Igx&9FNxwO?YeTob&?R%*?#D-2&j|_f?Yn3<)#SUx)$LL* zEPYLmFAOeCY;NRf4PQ6qYR=U9wMC!L@_soS7rgS9z>>DF&4;heV?XO%vb30;LE-5= zgR<!SPv^c@XkGkQId8%0-CyV5-V*oN+Lhtm=E&s>pU?W^u-y95f#Qbm?|UuO>u+7W zwT~&U?C9LPi%YaGgjNgBIDUV>b?DVcVZU~(GxvQi^wFE((9iN?kzVgz%f=X{_Ye2V zN3!Nl_t{`&=)vwG_^FyBZl)^lv0P!pbrLm|u1Y$$CM|P+pKASTI_L3l?{8mtBgI48 zp8QQ*-Cr{&;ptN&M?+V$3#;=i;}7_>J+*QaF|xCLeK%3)G2i>E+t_?9RAw%6h+JiN z>PAXIxcfwzeN*BSr!~2oUtRgu(sa|Lj~C4Ln*4wDwQKkL+3VKIbOx?maqrNDZv|`S z>|4V&MQ63`_PGZlyK{3I|M&JUV0L(y9e1FxrsHqxg=1fjFc!(KJhN5nT4>Al=qp$K z4W^WTQ<E!yY|3zqF_!0F^rDrUxNkU~+I+OEdU?eJFQ+T@ikrQP%BRn*5dD4Q_9C-A z0-HB}nBFG8hn>01^x2vTR%{EV{Im)d+-!fLYx23I=M{NfCX4NsrWgNT#~7NiZjZ32 z*3s)6tJH5LyuS1>&HjoXTZ`(MwL3+ue|?v-jdyY=`pZ9Us?s&f<GYV*$d{Q~Ogp*b z_@qqXC8v{K29{rEPijpsZ&vhYV@wOV%o4UaOg3bS*#Ef7ZRb|VH%OejKC9RDI`_sE zcUlu$&Ii=oym~_FTg;rTPDdAX$370<b9=hL^q#wnW$tVyTwXVhEx)CHeXDz+!S|g( z*BE7{G|k+9f1#a*?Xeo`ZxLN@UoYPB{>S~DJt-C0_xyZ1g;!57ZkBn%x|a2&ri5$P zI&*;r-qeP;CDZ<y=iKz&b3kr_v;4k4cFS+8*z*1iTWGr>xc=LBUITuk3DOHZckrKF z_UMOO%9EUGg_x&vPn&OCB407Row4hjkd#aAvb>(FhXlCZ-**2N`bA}z`|?TrHxxsZ zuRfjrn_sCQCFSK;*S?i<5p8CPwViu8mpm!mlkuPJsp+*X!oQ@y>PI;~UA;lF_uipL z2iACS-4@z#qwwfbBQuA(&ur6ODsP@zHRZ`7uXRar?~+!EysPf>on|O8&4R<qG+j+G z?U{+h6X&#vD}5%`o4<WpH}`pP<Dpe9eD7yAm;Ea<&sALKq`CM`e9zu>lU;XA)j95U zgZG5r%Z)V~9M4z>sQ)qvTs*7g(P!o~*G;-N-Ctd?`=V9R6P9UvlzshSrMkD*Qr@nL zjm$ol?Qr7zyj4XLOd7ZEN!IRudA7Ri$Lxb)PyK(N*(S?3;gpwb)voCRZqpK)&-pNJ z<M8~hZM$psR*_$}Gi+zJm|c4ET=VYd?K&y}7Hd?OEMC32;OgevoUG!GHfmnlda3Ju zL_a>6_vGs5E$-W-p1NziT;2aAKVO<bk;UHP|8Wl9C43WJ{wn@**za6aL&y`s?g`ga zuSHCMBB=NOT%;)bGET2^yKilA<(=<$*PAI(_nd0uQi<OZ=BNB7?wT$y;jsUTU)_sH zRmV@QK{G2j?;E_?*73E(Ql|9Et;aW7d`!zfsovXOeu7!mLR3rRL0XS1_h<RgwJW$K zPfW~Z;M{xS*~%Gek3am_ZgKad(B{m|e_p)V>hvPCbk2+=w~zXM(X4jjiELn(?@)AV zzP<FsOa(TRC51mtYu83F=`Q;h%sz{4*7ukw)}_9>Q)`4=d5?-ZPRjeyVW%tOX|&Sj zz!%+4{fV0vu$_wxpY`u|`wZ&>)$jlNI!`y~MLgVDzhCih>ca0w=60N)@b6X>pWfrX z&F`1+svmgut0DZp{~y)T+rO7*u?Y!<6n|r?<H)Zn$iM5I^JLGSjM-P3?}y%tPFyRv zb(JH_zKo(j)1EaZ6?Qam{k?Yd$cz5gCcpX2POM9hwmCmc{B-oY+-Gm`zDVYdnVLVg z86K2~5&zSA<p6hIU{F-?`&s`Ro~8M)3;WLEpWObx)VlAE@A;lTxvyBGKlmPaqGDLZ z8ZuM1X-?1mx<59qum4rno%mM4e`3{EVVC<m9xmxS&wD}m`PAjA+`bEUnJ=j^5!Mek zFgB5?S-fSY$f^mOdLJ+N6=m>$$;-YU85b_?{~{I;a#z#+4_o4;lOfM`?&Du_!^*cl zbNvFZ>U|rIf7Ct4H+O$+@c#Ghv)4cUI(cVymvh~7GsTjKD%Vn@eUFX=Ikl9DWp0=| zk9`UkKl?n>)_W%c7FD`9y*GX?a{t_wGvWsYOTSFLz?3}KW=^(_w_sDl%C4~8JLbAJ zZ@9MlU78`|AI5tvUm`v~Y`&;#rum~~srlO@N{I<OUv*E<Ss%t1t$IH^%P(SvUUu=P zFpa9`1`!W}iVMQG|I3iRtm<0-vW5LXr%~YMF0tv3J8BPpwfOrfOW%t#Kl=~AQ{~ik zECI$_jkh?@o|`w7=gVu3fKsn_kMtZX=PX>mzT#lq&9&?Jf1mmCqpmDIb&|eUr>IWe z9gXPpU{{9|&mv|&7Fg7Gc+Rtf6V><Kt~GVfWnXI0{HM7*)mbaKUtf5+#wz{`Kll31 z5qE69)<3CAF^p}7@9{;iBi|gJZ}RY!kK^h+_nv9$RR7zT&KxFom%06am}o@t_x}-1 zQffze-s<;!U8*!O()xteO&!sV4sBEZ%=sU5ZA0R;y>E;Daq~tzY?VniW}O@Lia%^= z?+K33_tJl-E=%~dXV$UR0SZz{y#GXV@0~ACyMF6zYwT9`i+l-Q*QCGQUw)`?X~s<9 z(7Q%w8737^;`QAia_-8(8I6s#zizuP*(2Aa{)G4b)RGx7!5R+y($fr6RrsF%@Z~MC z(S7gqJnYg+W{c0u_Juda^KY2FdD714gVT=OU!_zxzkxx0=D8djhJ>VT7mn`P8>{*9 zo1ssoR_)qvamqY)Gnv;_=RDZB@Y)HBQbE=2*3B;}Cn#&56;oNG<!PuhW#0bNCDYf| zNVu&1zx_v4Z``*xJOU@4F6B<~_x|~U*<jj?#LOF=A)>#dE+6Lcudt{wY+mkDd1qz% z)5=zch^>5<dyL(Z*j+UKgtI8FF)^RF<=n&A>5g};*W~FfPQ6s*eIZ?=;6eJGNsH{_ zAMC6t+teecBFn?@H*$8v>#h>!_Kz(5s?#^=nyGJ)<NbHk)qiRD9=D%8GrF%z@n`0B zMAgaZFE$9j&HZWF?KNVTELnKZ&CAf3mfLH(^9sX#*)LDl)&CVrnNVu~On27yn~5J^ z2;9gq+WtXk+TW$^$xXe1rygl3ig4%dcb^c^xbU(h-|iFVW@Q{pyw@I-cz-?PJe5>6 z=4%`c6Am8E=5y(pHQ9FZVV!T6uP~YYFEE;JtSaqm%+~(SocZ0Pj319pIxknT?b4h2 z|L;o?kMhZH_#}N!T;BJv!lw4uhyI1zZ$EyxROZUV)|t*`b5A+nS=^Px*xX<5w(Z>e zt<3D7&ux8s`H(r|^`#4=_Ohz}lPW(wd(m&FtrpDAx(Ul4C)zJ(Xb4oTH<G+@vb3SQ z_}{t5XI`(%eJ*(HMrYi?Kd=4?S|*3)Etc&LpUC|+Db&Yk|F*c_(oH)U#DzT6-&jhm z(Bt|XYA=(K+5U0z<C|Na>{s5|^ukGa^7prQr<Cme@<K#_aT!bD-Q6;ivSLlcJmPi! ze_XjMJMTpC3w_pty+#X)UOtWxIK;~-`15gHx8HC1+y3Tf7x%YMIrsV1H|yTrhc^kG zI4Uo5^UGA8Jq8OB3XkmMJ5{>v-}UXEPH$9lxg+K7-dVHt_}0j~yT9l3PquK97o9k7 z_SNYO$6}Ay%;)l%Q7yA5cBlNG_4QNjGh>Bb{%#OyT#^{OT6xu~%kQ^!iso2qp7ZDs zOFDD)kk{!eI_*L`E-bP&y)c`5?uKcGC*<bNDM%N3bWOHCRQ+0rX4`u&mc8{ecFmoo z&A8m6`&N}Ge}CV}>w)_DN?)zdcq#{QZ)0(qx_AFU?(T32xy36O)-;7a^x9hdNn6@> zZr}OT3+4Cc`Il(xh?z}Nj@o5d`<s1=<X*lOwlxn=E)`k8t;}yeA?;qG^xQQ%*1Gzo zH)PnKfBCt#M_J&_53TK7S$~+6=FfWEp?OUrPF`|BlxpmQ8_^9Jr+0Q8So?a~gq|P2 z&pBQ-PgAI|+Oy`(-j&;L`|f@r{&{)t=6eEf<M*iZ^oKXTwJd%(^~Sp92M^;i5-b<K zahkJtG7ody=|G-?yFHHTt?By97ymnAYqX%7HSecg8?)E3v&>90-uTmQ{acIk!A`0N zq>qYQAKT2^!xNy%QTyl9pAS(yx<32rvOkMXY2EN$xI*Kw=cM+RJ1Py5Z{3|eg+aJD z)ml8M!skN|>*5y%N56$!-<A>fh~I3rsjAtn9oJ$EHcmZxbk@F5?Qa@qjHCKy?%ZsD z-A!`I$;I!B_C^P;Oi}(E&i5g}o0&y~0R)_!*F=declFg!VPF7ZUItEv#L|?^{D7kT zWWC~&#Ly5{2Ikr+iWwSP_5UXP-2YX9QETGg&|n3Rji$YfPbL)~EIyd^Ibm;jkGyrm zx7FM`oL`%2gfrY&e$RKBkqR4!=LNwWh8sK5w@-a6rE%p()z^E~`I@eG&)OC0YPEie zyE%K>tN^L=@ykwTdFrtmvv#m9zjf=#yI7Cqw{9MJ7wfkC){P_Y?m92OwRAyn?&kC6 zIla%N%jSLNm7ZUFcwgH64b|6=yo=>Y-<SB^=gmFc<8!iB$J=cU)}4QA#Z>>d#iy2U z>pZl4TimCWbC26?-8jKN_tWv^+g3gfiN0-d^_-F4R>K=Bza8E1C}el}X^-W%Y=s@- zFTCFVbT`+cAC3pI_i8y>{kn3li*IY;Lg%-?SzZKG^R>QCvHWq?)OyPF>HI5}PG!*5 zkuTV*rMS%UN?7EMD}IMlJRVA${dyAgJEUl3uGG#e*Zdug&irVbn;4Uod{4OB`>poN zpz^<K1Df>?n*EJAe64a_V!GA!4Tf{Fcf8JT)!NPSyKHCM-Q8lRO((>1{odgwz__|) z8vhIKqQ3!a!c{B$g7ucJ^7G3JzWVZn?kX$RowlLsa@&`^N_iZ%+Anc?WNA`i_f_${ z^`~BJTQ0UMlyUCzWtDlCL(b{W+P2g7vhnt`TOnnuFE7*GZkfBPsQdCVjqT>SQAM}C zXLsFM=9~0<Wya;c+akHE3cDBi8s_<L$vnEYbJ5+bl8d=+oOhG9oPX>3_RhBei|rb- zKVP|gCbz0>r>no3?)K*=UCOfO`2^&BJ-OtG*?b?DypJcpJpMM%$1<;4J-GMwWY1%j zt4^{!HZz?Wb!So9t+$!`3bJSQ<!#;h!fbYX-bTw8-)7b4RX>{-efeDFs%gcuUu}*K z*}T)XbXIim^qp6u&g5Q;&)ayfsQN3{c3a=F)y|sFSNi8&R^9$$;_b;N)@qimuDz2c zyZy(px26xbO+TDh%uzN^in054$tB&cvg%z0*-5V3rKESAjkutDtS(RgTJi0}WqE}X z&uzY*&CM$7UbNFTa);^Zysgu3*IX`IebO&YLw)0<$<t4ql>1jGbid@zs^x`7d)o_z z_J$XJ+B3m#R`^BFTK2hTrl0q$c|B*(_ctl;S6No(r{;wks9)Am57bik7xA0r>Gw)e zeRKb0iQ^}=AE&HuSDwD8MPP@;&D~4y-ut?}?Mcv-4~-trS$FQ+QxhH)6_Fjvx!m&l z-LKn!?Fy>7@#<G)<<Ct)4DJ!0E(d?Icn2O@y4n1v(g*G&##-ei8(e>$n93ya#%tnN z7rn+-Z*RSOraxZ)V))@K$as=rMgixW?P3jwmWq~tVmQJs=V4*+(qF;ugTNxjNsLa4 z%oE?WESlTzBW|)-hjG2S>-9v=3R}fpeBRvxodVk7)5I5a$2#gSnBRRszC(d!Tit=? zow=vk?-YGpw|^>=bjyUS`Qq2Fb4aX<xf9v?-mLA@-F-g$Zrch++dZgyvfJi~^&92K z2Ta!WynpvAy8OJ$2JO>g-(=Gc94!PXus4~wH9+&N#RGm9f!2QRCZppGlPr(UNc=MW z&Qqmpp9&KdvKL;O*1fu)$zE?w{D<pbxlcx|2zk0VJiII6!|Rr7C!<zOdAx0R+kvT1 z86vr-rrpu}F?G@F?aO{-)+U-x+&bZKzEZ#k!4q545+9z3vN%|&vSEvv4Rfa{%epnf z*<#mv*R%%9Z)}Q)ip!oRc5PPcR^{x4SByhK8#lOIb7HV*;#W3_P|8lcqRdfM`Zr8K zzJg<8Snr1e%xs&)(st;@bDx{X`toh!${x;wrEU{A9y(rSm-mR#ZLrzMax`#~JKq5V z*X}M|?uG?tx;@Oy9{l2}OU#tn!>+sT{`F2yn+uMQ<)(dl;LIMBwf^u->m}h_$(lkN ztR`IgWU9cN*1)ACE)v6(apy3<&(aHrx(@KSuzPI2{_u$GrFkOz#AeuX``kHf8M8KQ zv#sXL2@L#RB?SjK1o=2-cnHW_70fVj<PjGV{Ixi}SJF_ZqVdp^69Ni}LOVR1i%T>` zFXl?U5W8AjeQu}e-8)$(+au5DJ}atT>rq%Vuj+{zZ&CNB9k#i5W+iT~V(z~E=a6n% zNj3M+lg0D2o}1+schB2tdphr|?)F`$a{G$9r{B4!<WM$e`L!Ls$#)ioXy^HFi7vjl zR;^_A?>kA>+r|B)O`oq!%e!p2U1P@W$*0$LJ`D@sc{VuDaLVo5DxMQRUs2fpvOl`y z_}k9M*OnBn_TF(eVS7c_(YJG3uD!4^y_g&ILX5Yh`rA&^&v#x~ZMQt9TUT6t_QDiP zf6?OEbvs?R-<hX<XI9E~tJd2+hji15swavFTKj)3oc(HtYyO>iY9L?yYHbtUF7-Ag zu0%-Ks`B@Z&f?XZEN9NL>}<2F%(Bd!H1|q?Uz)1A;l#<`-T9=pYxPWCIi+i|#*)d) z7fpV)VDhZ<Cu5$g*jZOzoxm8}*UIohiN&K$K0nC#$pOi<2R!;8mZYEPzvQxFLHdd3 z^U@C-Tz6mUkJ82@){S;AP88|rytFwi!KtBhbjqm~l?C^&-Dj<cmA_ETQ@(Z;)4@iY z`c<o#5;@P$w(Gf&@bcX3FLR1-{L<NO**^F31Ec2~3UB@`;`^QTlcoIc+TieWiA?*} zX^7e#ycQ65@SIQF!DH@m2lqM0ISOb;DBXC<%(Q>gwbeq|2COVKv6|QTyc#Yz=;?BL zDy(0yimPR*eEzLfecjeu3kw$;>Ae$v^T_O^sQC82lEUZe?&8~LiavFc47fM>?Guap zZ`IAkx37A(d+xNKd5cr;zukPg{PvMgcdyNOvwQBwDeujW`R%(cuKqLcd%?u_-zMKU zy7t?TyycerZfo?u|0enEuG!k|_uKq_=cR9XZ<hDv?!JzFxA$6~IDdIww||A6-PN5x zRGvQ)p4080r)M`a_DJTYnLcXMpX$%_KG(E9!N`Wy{h+^r@En%@M5P(xET0=}zV2A@ z%A;_B={d=?8EmI@4g8NzE%EL6(8}oBvR>U?Jk&KyQFOvC_pOVM1>Rk;SgKTKabN1I z8?Le&S18Z4@(OfUe>FozwtLCq2&-=%$7No6D9awcbkTLzv_(sMgIr!NxZ*!ced**w zjyD%ro4n}b*~Q%aZrg(0+AsWAOW0ZO8akG{U$`q!!p?H{twXu_g}Xc@?2qqkv{0Sf zf6jIJLgNc3pYJI?WqE&2w%^4wnq8Kz`paiL6<IwaiEG)9BO+60ED{XnN$Rrm`N*^^ z;;@Lf!BO#LD-P8hEIH_tB4qSG!**9+p5yk5yG){vH(hJJXr&jmz3E!u#a$Xv*Iiyu z$dDCWYwh^DB1N`ujj`hEq?DlRkAhS3E-gPHv&4U*rQ3X^;&waNXDqd=&V02Doq4!; zm67@Lkkof?LQ>DY2}yl-X61=HGyEUQoWp{I#9xM}oV&PO&+p<<(Onh};@h7;dJ_{f zr@OegFiUUUnWIx<W2Sc>E-FkBSAY6w&V(H*^Nk8!edf&Qe4Y5HXoiK9n%<csI}I-T zm~5Wdc|s=Tm*M9bB|a9jdiO27Xm0e2&F%iS7q>-ggB|ZLcp)uZYrQDn`3rByzPA^) zOaC%+zMt?!x?4xn{#5s-g)$nSPnK_*^G9v{$%Qjzx=t>fE|YdLQB-DG!(nxckS1qk z3!Wxtd5b*^eAy2+u*mE?u#oEyQyHWBp_UKxS3keDQ}>S9^=Z#;MeNvSaQ)cRTVXrQ zw62Riy)`RFdQ!G>QLcK7-11vmeZg<9v;^l~Ir8rAC9%gLzfCq)U%&P!<hIGi>hhrd zQ?*yK2rwE59C&28ezob1uh~@(Ezi8zaXRG1j?3pue=t<6yWEv<E??UA_YVKf{kLsC z7rr)>FaP(cFj-gryKTc%P5JX0@@LiLzuq{m^G)!(L+KndA4w@4`2^O!62pQo6Iu8p z9CdVgL^7vds9M+_ZTH~R)KI6_4RY_g56W4~8_wxIIICZE&py8!5kGdEH`sprNzv}F zT-&XKciy!)^>&|x$xhdz*{ydT37?aD#;dE`oX8V#XUlD^`TQJ*UcWx#d+F`5Zo7B$ z7WV((KUe&+=8spP=%2Y8wqAIq<X8N0-F`s_UEMXy4aJX6*_-)XFXF@!8L!%+6(T1W zUQlees+Bo)q)<cmallQ6g!V&8w-%b*TD)nod!kGKu2#9Lw;8LhoUP=W`c{VPu;rDb z*?g_1WnRS@v>%EL6WY)aoz1T5&=JD7^(nL4PhIn$y#0U6N>fD}Z{$bYCG<b`kvX0z zc|29(_(P@@7Vp;WpL!(AwCM=D+NQ&iHyAG!I>=xDHQ7Pn@Xd1drnofqrZ|B)J9D4& zC0o_JTyXSMY1OGxOZ%OC$tu?~m99VKvtM_3pF-G$)1AjlqwN&>Zom5#y?u{M!-1CD z+oT>p_OssdV~79kCH=Qsz7%FlFYdRN|Fxq!@<Qe9YtJ8_(~>Lgt6DZ|?(%to$5+IM z=&lki?dodU<suv0dgF?`d~Q}>zgg)q_voJfh}wzjiS81Dd`n(=K3|aMXR=J<tZMYZ zpuEYm`!X4WO%!A&F<I&vf3?V)Z@KQaTJP&^e7p0WUpZPTy*zfi{;ks8&#%0&`f5`W zTgA4E&-QOj`n;-jm(%CI-gNn9>9U)ywa=2|iUsR;R&0)WGuJ;w+*hN@Q|_>{o>o~b zQ`7!+^Mxn8-nQ%UW54{GJ1=_rf46)roUPH_AMO2X$L6;ekJo-)l=EUwF87zh)ivjC zYwjz)=eBXq=Wm_&=WO@g^K8%BjWa)&9ZNcQTcX-BKYq^BJ$Da>p1ZwG#4hja$x7?c zqI+(=bGDyXc%FOtcx80_gFSPz=WH+JeE#<Lqdmb#D|dfCbo@d~$-J`{-S56gjr$^` zUR8NL{@sPsn=e?t;Q6vbxbNq&oa9+Ev*c2<E=GJ2jdcyKoMJYqGet2{hq*Gu?C=Io z=GpoiZZgf*mTTp|>r{S$x8%Rgt4H_dT*;N2dRDIWs?Do)`%BxO1@0|9nrP|CB+xN+ z>$j%Z$Ze|f+iMPNd~PuD`MY1yyVrOec=RdL^lwGpVk^COmyaFIJ+)(p>5Zdvzjk#? zyYJeuesTBi<u4w&vd12&)w_53oGZ_9lgp__5_6sg?fg;g^e`z@l*50@s+OB4XHSrk zG*)`T&M#wf$S5LC@#RG&=f)pXk`(tPtM^Hprk>iBpg8%Fil?CA9cA`jgEvaNYXuiM z)_uCrc$ke>(ja=>3WiqCNrp;}4PP!43O-(8sLZqGXs9CRS;56FZETFM7C+~kYmuIN zZGon-TF-Rdh!aaJJcC@;xcABRZkX3?vc;`~?dM5nc0Os7lmj_C7cq&bx$8+Vr5x{- zG|)?cy5`Qr&uP7qhH;xhJRf!nDYI}qddy_%RpH1Ws=s4G#|3%Y(x{BdZLJ0|VGEKR zr>J>!%sIf^&S|KVVaO@8*tzM~gPFx?Woc)`b;Fh|*pT9Lf?t+-gCcVdgSL`oPzc8b zIqSkZD>Q?zv2xE8QTOcX_<BN-K`N1{U}D=f4#9Rbzn&E2b8dLE!;qPcU2Kw^u8~N` zd0ET+Ycm8Nt9nlrnWteG<R~C7z9R5YH&@7>2Tn>(^<S=JF7%ZWJ5&(a$i*5sOF^-S ziC;$T$btn&RXlq5IA1lVpPPAereXY+h?z}?CK)Q)m#`dgV@PRWO>k9l@8V*-g%S}b z7cy;)TH989jkm?J;j`;S=Z6Q`RcsnA95}*oM{M#WkxKU#1_r(A4~(3AE+Mf6ju%$H zE^idM%v2!78qA!?cO~lw+y0<A4i~3XYqQn~ML0h=6;=8{`=}ICxLBX(@sLLLDTi)J z2XIZj$G}z`w!=1|nXhW^>Bf##95YIMtd~mhGaHNT5N7Y2renU;{9)GiTeD{vT{*`P z%<N^l^z)QmD;{VrZQc4gD}BMVYgMLPSwW_8x*=9<OO>Rr1TBs0Wm45tofj1G`|Px7 z)24l6UpM9Xh7NT<mG%IZ$*SqMJ~1AbOH$;2U!|(lB*%YMMyof(r6+`i+l05bWLJTb z5&O(K6FFV=`E#2z%%(HUw`2`W(mVLI$nr!HXVq)3Ws6NtdbB-|x7O=hDY^auQ^OO1 zB8G3ARj*eyJ`pHd@JOVj@y7w@4$~i|PS^8!1zn3cL>tODLG4M-OEb5fdhx`Mf9{<O zzY8a8fA6`ox99oOu;+X3yu5k3ch=rJ&u31*E%UkPwZ4A&wNFLK+WOz;ek%HIz@@)^ z*ORAy$K&!sPMog&SFzJqR)71pk45Q{`sQraJJ&OX?dh44U1F5}BIVurlY5@4?)&^H z&Qia4_H+xESvJp>N<LfqZPB_9pOyv3z0!CwY5I4aPeI*#&sfJLN$and{1((+@X^S> zs#AXN9;=JqzAsYWeHOZZQg_du8*i4{eKwlD_ef0Kz3it;J)bV^`(&hF*=cUj<X^F} za?csFxJN=)c%%00$y_pBN@&%Kr?%{S&(y@lZ9eCH=g{fZXX73j>*woLuiU-oOnBTQ zk%GzA-#&dSNz&hb>v7p~%RF<h$7S;qx8J^U;ON_HncJ-&U&#A@w%2IUWNGskWvlD_ z-ibW7+!^Te{E406oXNM3<oM<FUYv7Ba<b)4L$>0g_&rabynCpvZtmB5d##h@&R{il z^QtFL?AqttQJXM1`n|=@&%KkS&s*%&@0qL+G3So$oF%v4yiiFi*`)2#_e3B{)~Yg9 z^~9?4Q$^=#ueJ;iOAilA3lB?Qx8_((WbMvPmx@wTpPtG(HC2==Jj{FDnq%wMw8TXI z-LdIXVQT8*Q(niWir$!dwaerA+j~<N_lLi3pS|ewwx}16{TOTSyqMSjd&}p-*@bp_ zZ=XFrw{TX!^mNVFO|5VC$a?+U5vu<Dt;xwsY2BiGc1O?MPV4`??Ofr#b0+6*TfEs* zYrn%H|9jr8%H8eXKBoC3iEgahVQQ71?IK?;_oXn|UjF-8=jY0Hmvf7xVxF}n+cCMe zq{?r9_v!Arh<&$byxCp5)Z!<f@<q2Ifj^HwZI~!?NK5wh`=)!lvVJx=$ak%~e~Y_O z`}AR{H><joEL%c#^$t$sw^;dldy>Q_aFB6~8omi@C7+^>#N0?rc#-Zx+FMD`Kt$ zZ<_Kvpk{7F{s(PWj+jW_fO)r_K9)Y(es5hoM@*!j|2pT7rAe-WOySpN+pJl|l-PN4 z_Pbw+Eo^)`KPR&*Eo4{C=c+3GE2S_stwpZm&dZj^`+^MKTI8)ZpLhFZ&vP@b$2)7Q z5<cEBQ?=dxb@B7ExG#5(wavTj+c@v`jPs?x@9p&SyFB~(mszikxUVM~T(>ODy!7c# z?x)!NSi>Jb_}F)Enw4M^9?E)1=*Da_zXM(O9XJFVm)r;CIL%A5uejY1_}Bc=hI!iL zZI0*Xcv&9eIW;fwKF_X%pB=^uryWjp>g!8S?!Vz-$M|~3yEKQ#R?8)>zcp?ao2+1H zrLy9@>*aH<w$GFD9xBxpuQELOLO+swUy-0g*+lKruRUA4qwN%?w9h(uIOmC?9i#VZ z_r7)sMq{~qrrkCxuCUF@df4pBBq_ka#Gt@%Sek)Dz&*``i$SD8TSr}8l7oYdi-)K4 zNYlpxA3gro1zN2hpB*9?WxuEIe{oO#??1B_A^%^W|HpfKe(C4Rr*6#;^g~W;KX8As zY}w=ep8|T`JYk+J8ad}`_o`T%7krnbOqMqYWcmu)Y`Li>ChK^3EC1&`cDJs$JJi&; zl`KDVeDa=v6RAgz#Mi#-b4xk!v;PyriQl(3DoLEZ@$|po?t9Bk^}iqGeC_A4=VXYm zRNNOXc@}#ivzQm+c@s=NH+TO%WNWmR^U}1<fxnJ$c;+!bz1gVMR{3wS;nO?HAGtkK z3Rmk-esOfoE*0ml$kK^1OICEQ-k2Ct?$qAO``h2CU|rcs*QMEk?2{e-Yn+$<P(9T+ zX-~=lchhZQjdMS1+~Aj<wNmL_XI7nB%uJ>87fbGOMe~YHJK$-kbA{#op_Fe?^1m-# zmp!*#zt^Ovn<KxP#d4j-!y;CRgkwAPv(t|rG<bgW)4Y&%-dlgZuv;9<{_m~e9F>_m zjx%HvZ1o<8eZCeyabkMxx7MwHg6zCQ7dOnk-@Q@l#&tjA-)`$-6Wf0WA6U+B{kxLA zui}{I3&onHM|biqh*`Yy!PTVLUp{LO-{3TwwI_SJ&Ymz`_WwUjE^hS-v)wDnbkJZ+ zw40@VTRGp2YUTc=o=;Z$-8nY*1iPov%}K($6J@_C&UN4P;>;6EQ)R*B96ldsmA<=Y zc3JYK7ROSCRf}Kt@4q<zd55ad&SxF>{i;i+*C_1kUUhNK%$9!D^MV;~m^E{)Ue4o{ zs!yAJq+{)ZZEEk*XS!u{U(8dO@<^d8eSPwLKfVT^>#70DYkhVvl+ddCp;%$l>D=4x zG0RBZIJYKqsol5iWcS0ClDBiVorzg%eXGu5gSe;9`@}pZk4Nq~8AqB{PSk!|E7Bd( z>}FWBrQ(&`?z^`mEG)X%lG`Te$@qKq_$5CyDyuyyzLQ~gfYOPGE%!rv9*Wr2G0e)) zxM$~oCbsE^gt$YRPH@A<)ncm5FVc>GpQf_CJl{_$Z(59xllM;k5*vMX{%}6djqfJA zUoF#V6=F<Z?I7h;#<h%L0ndkv>wiTqTq1T(;(yKO8HV1Ie%oD(7Sg(QzoqYm&LXb8 zf`K#MDs0Fty0*bYWbz~ZV~3JXNlaoj*qEXd!+0eqb)We@k?5A(7D<o!2W`U)Lp{Fn z$Y*}oboNP<k_w~cQ3Y?Q!fl%#X}!45JYki4|5~;UI|5ICXPvp>WYV0%soLUc!TJxp z+a!;F&TY)O(Bf${eX>UI_7@-J8!Dak)C$4_58esc^y~0$zU{d^MY&5m_{}5r4)IDI z{Bo<HpM^y`Q1zf%)iyDaC39|l$uXGw%l1cP&bC>OUtd>i7;-N@msvMY+k8cc!@&;< z3+mp8Cmm*NW_ic@`G*8MgNFI*Nmu1&InMZd@1}~I^`5<dRBN9uJNxjDgiQy>zAGxv zu1FiGTg$}FU&I}M=hwVBkyhMmOS|gaRVwPuS+|#`gibsE>~K$cckwnk$)i{JCa>Xk zzryYQJ3Z>Dgt68vqppgQD_>9Y-!Q)WyRp*CELMYW#>&Ij+qQh?yArZ+sl=rZS<KeU zmadVOP&#<)>dYmZ9-8J&=soQgKId_($j>{rR-JY3IgzqAmRzgX`t-8u#H3yG<o~Rc zUY=R7!Q(&(uhJo#+X{;o#Bu8D6>#k<Tw*G%z-NEq?zgO|d@YORcKI<{nS||K8kn|g zPwDqKODieO)$&(dqe4Hf_|DL;7CtHIj^6w5SvMj?-As5r8SL&nk(!|3tT4ym*N5yi zTB}`)()rxVWZX2))UbY<`t?C%+VSPL7De#Bowg+IWO%cSQRR=;Ne!P@O(;I`^4zi2 zt$K>(_0sXI7VSUoUi~L}z_ejbn?#kb$YGBE3&Ot$b+~=pkX&VHZk#u<Aa$SYyL*|X z8~)8JlRo=b>Kfl7PU&ek*Bx2(cUw|IwAqCor(=s7k6%A}c=hDRQ}SQ&UE-h3V6paC zv4f6ZZ@0?tq;mi5*6WN{c@-OkPLd9fy>sE!$-OJx^tMYqy_EB{=)<00_F79{*3Le} zll$KxYByulV#ZtdUUfWAKPHlTGUB(1!nBD~YHk{C){=DOeZ6GMv@$J??tfRq*nS8+ zvHjPc`**Wz?x(GJf~Ml(nkjRQSC%J*^to@fe0Xc){}Zd+GB27(zdF9UNc<G*iqKP1 zXI$PVPVJr>cquey)tb2{cX{YEMXFZVMa`Qb^rYZq$t`{NqYt9JnO-ha%nI)Or_DIQ z(zQN+s@55kxz}e*ny^$_>&3~aQ!BQw;|e(2vBFpDL-4f!8?`T#^o81#ZOsd8&$w8# zZ|jVNT$xpCW?z~A;Ev?!9*-WuV>%qmEV8e0x^){qXI<Q98Z5rCo=I+IfS=oMkIufu zmFv<qdJboDxGTRf{JKui&sgi&96c%9wwXe{wmJVTE15$4ysMbbEU&S<?7ifI#rnkQ zX(tYrymkAL>lJgz<)d44a#Y0TtcCNtY<;FoZkY4;;Y4xS`TxY$tyKGP=EY9832Cn+ zjpt^B@D#_HROJ|#2L9oGAlPal{CJ{}eb={`a|;fM^E7WT31m0kFI}0xxX8D#_ha(e z2{)!3{&l`n-Jv!pNd1t-+2zK&S-9SQ=GmRGOlDbj`V{%gM+{e)D4&_7tz@#htuB1) z<VkZ_j%Ch2SMXwI$$|;<(vCU1$!0HOSvJq~^AWeBvmP@&K0a$<_g|)O3xduwd|A@d z=TcI2E;x~~q4LMfYtv?x?h{-tDSSFcyhrx759c!ZW&h_`7+zVfV$0YQY(Kx#Tcjyn z;>o2R%Nc9#dhGY@&34J`nQiKR#4W4s1NX=F)1L}6{6D-ovb%5TYEg|_5j(rS-Rzks z{C>hI|1Y(#eA30Mrv05<ye;7fE2oHqms3crz)4}HiSt-~uKoWfTYc`w8Ow@gOW*C8 zx3uC=vwvJwQ=Z0$vx|!_W%P-x^;lFK^d+u-!V}f!AB)4<ltXIHoV84!6Mf9_-1!-& zFIFv6*eQJL+@frwj1V#1a|^oHF#mLa%yY$mQsFEAbh)Bwe}v~-etfrh*8I;4SlR73 z7E3bDT>K!7<-CYu$&?8-r;Ow4-u-btHSgp1jkD*dKKWD4A9t;|WW$1KB3Hwec5|Hw zY5b+F^xequ)Vu0a-#lIBM!evZ^PBl%Mb`N*1@~sKzpioIqb(%nP}<@##Xv}G(V-aE zsxB^Z=j<7&%7(%de#S`_O?IsE`EYH)XP;}<^+yUdwmv^nux<wXMEmCcwDY_Rj~etC z%PYP6W2w1P=8E+~{gQ;Kd`3AZcqg)yCO$fIDZ)!^5r>;xoQlQtlLC?_Un>boRL&Kj zXYxgkds@UJ4;>F7t{!!r2amW~g1qMHSr*Kn&|f;m;X^`(Q`oz$d#wumW2!#a*ej%$ zHfl;GY;(+d<@7M%K-|5WPu9MMVM~4UwD%Q1c39GIe^;)kM2?c{V(*2Pk1rgo%iYhM zQytRSz{J4L;`*U=chn@u0Cvrcli7b94>&C~%gdect-tlz(Pgbswf{Em<t;F&2{fuw zu;DY$-88{dlKbx*<(9;_%D-i=e(+1DYqefp>-+Auru=6%n-%xt{u<VCz0P}i<IMgN z)+L<p1z+u(Ue*=e<aDbk{`aw&K{kKCac4+yubAA|r*I=>*Y0O`_x*k@FVs_O@_lM# z<gvRG>J|%IeBgZ<-yFAIX#Kvo9IZ-Aw=F#%cg6Y|cZNvrSzf-WHs6>YT}X<VyE)aG zS55Pu!RdlS|20!D3#?|z+V$(v9kV08Pt1)R`uP`iewwy5tAA_t!kCFZ&a)S<P|sZU zq&Gm~_z~k%UwF!voatS9MP#Ld(!xpCSk7xHrYTOa{G-DeE*8=1FBv1DuW`Y>kMnVB z-+rr!;cPL^=XS2_V1LU0BUagC!U1JASF3}Y-s?_Zo4GD^>5QGur*(_dMHjX_>NK3% z`9G88%~NZpn)dJm{}cFrobzq5U+DU4^0o{+CiaisI}|LtwQj!Fj^;X}>2IXsHG3mt zfwL6DHgCqmZZ;YvvnMm^3nab}Qsy&T&RCGIS^WPJM^A@@)O63Ob<1Z--4MRC@ORd& z<;ejudwV8ro*h~9;Z2wGz5vc!(-$|%?i8D*F)Jdha#w%bk&=SD9QBigzgg!Twqp-} z@IAq~LO7?SKcT8vVcmvRGuxL<Ir}HVcgM|6DW^HI8d^`!cxF4zeYlPB-c9Z0Uh@n) zp1qO0D9JW|qsZ1jGnZUaSa2}whpNYNb%*7b7A$<a!828FN5z)9IL}irS{Sa^x6SIa zo~k2oXNq%kZV<x)LGBil%SRq?`|-t`X*sZ3_1<5B1(q6H7FZmg>XX|#`MLL9ySnv1 zUNwH4;&)E#U`+8>v(?WEK4=&pkTB$x)q3#il6(A1KUUx8Hp0wOGYzlW?2Rod^*$S# zYrTHYR>vO`uJYaWX1nxmb_M@K7s)p}czP%F=(<k+eS1#Ylk*`(>$~Eou8%5RcQ39o zYxz=>lD#W63cfJBmX-;3Gg{#~>z?PVx&2ZlZ$ftEo#PdhKI#`|a4M7U(I>Bc>!!H* ze$;b~_qzT~q<kNTgzK6<8MD)wk8Xy1cy`(1kK{qC1+sa+HJkb;I0>D1di`vHEbCz# zuKD&qoi|PNJZ$~?=&FeO{!dRD#)xD#^l60MtAC*B8*gKg^W5RoYt}hm*2Lbt%-AWp zJCtdr2<MT-kvkGJb|<h|Y-wzt+5Kad&X;`*G1jLW`>dZQ-W7}EdOH2u_hgd|@2bQk zQrBE8JZ|$Lli$9z{_d%z2`|%cKQztw67cKZyF*!<ZvPar;4<cNlDz$e<+J;R=Jo$G zbkBb~8}q(t&AIh%vhK$h?>x*Ia`54giBtG)XxlT3nrmpJc4Zn!-H)i`D#^|=7x>S_ z>DYgv?oQggTQk~qv--SwH*Q_2&VPbe<bif$!DdyfFa5I??%%knYnxM`SNGwx^*dek zKiIObkLKL|xGg9=khAhufArmi&B0nBhwVb6eb!8AyS)B`tA4YHlvSoohGY8OsY%A( z1<Z%5zN=YnalI1$wt9v`*4fYt+CPlM5>2%2zOy|LYTKT+^M&csJDN|}VQY&x7(i=_ zv|S50#m+D=xSwTUKwVpunvw=zTa;538Y1g>Sy$$cET7Pw=H0t@I~SC<KM=U{RzP9L z?%lh0vz3>Z@Bg{)|Ebe!+xKQwty;A@?R(s6dAHNooSUZx$F$6tF{Ab6e8K<66BE-H z=B4~$xID?3{kq+>MTze?^(|T1_r=uJIL<At&2nEPe|Vz*ml!+N8%9~ohq#@EBDs9O z+WbhHZen;eySyUdpgChQLvn)<%Wff-?`lGaCfl3lD7)u$aEl*Ik+(g#S0;(6XGU?9 z$Al?|<_cJ9JUQ#=-LpVtg1|Bdms-aj2fcMJES*Iv0Y0*<dLC11PnC#N-bfYYbPS%g z$>Kr4rXxwuj~O!SH}U(<k@x*8eZR?IiMZpA85Nv5(}X29vxmog*?-|plE0#9nBn8> z`jrt8Vs%>|Foe}5?Q7>a5~i%5Q@#FfS-E)E6(!%7N|IuUMOj}$ZW&GrVcN1_!_Qpp z=s=g0jyl1j<@27;-2UbLV#ixCMsYW|;<gklIn*bq`0~YUk&-2{39@eIZhSlUdTx>F z(>J@ClRi%|O!P9Vu1}o9sZbPmR82N7H80pB`8jVn(~Fi9-oi&R+>b_GzOpvT?d=xL zbXomSjleMT8K=rijxK%tfH}7@eKk+}mC!ev`wBK@schiA9h%g9;}Q$!+t5v6F^Os2 z&)mBI?&D4GTioU`>w$u8%;!5_PtD~Kmr(n@?`qcyr_8lQ$?9uD4W~;vNqp(4WnF8! zv8?2dpV;oYX&YTudaf@xqoK`G`{PL<)9>c@Ckq0++k;MQi7pW?EfXmbH(I8>=s`mj z)3hg^xl2Dtib+%yEM4VcJdq*r^S@N?IZ|1-84I6^$;>YE^1b@nz+#($N6Fj+#*-SF zUL|QQoyH|maV9mlkIP|Sy?%FD-JXDnTaxE*^l+G8rMp#Vc8o&MuCPlj(rxQvtB>wK z@?~Fd7e{Z{39UYthRXk{tuHhZPkgU3KDD;wz(PCkkofr<5{o7a-dk$G)oZ*bX7YB? z#wlXLZ|AG1tNxdbVtVsp^-?W;g+~1y5?zURXG)8)ZAsHM5~!@X`<DG(!Th^L%k{c_ z;uWU(Z@0T`mAO+UmDQxFdX;LHmTE=Wm7@z!t88L86aDzTm}Ot^->y@0OFtC<{^FFn zt+c@MbII)~3mXz5lb;89$9z0EW7=uP_@aI4v2nYqmR<Gfm%8<={-TlVBb}$5D|HQ? zp8N6O^zTMX#u8PIj+6iU#2BCSa9XT1I%I#%B<kYDaHpxh8&7>z(w>o8{e8hCwP&jo zn0a|53k450|EkV9P<3_5$79ubM+78}9QSSfacE^s*7SW&*Kb69D0)7ZWy)zq(-zZ< z=iUUq%9^6Xk@oe9kIe4Mw(kBm`x#q~u)lurbc62Q>skuAXJ?+D6B$06=ePdCrmR&; zR>4X~et&s-rrP@Hj9a}0$4*KXI3G}4KHJG4p*z8|UO}g)>e$ss)rG$f8E;<rh+E&l z<L{GB^=a-0TQkj*Ik~HU{d)S~o!mv8<AuTV6TYjp_y*|6{1I|+S3l-^xN7_N7k%fd zAAPwdUo+?7x88@D=N6}Kt#1@tzK>6+|6s>Wc^+Q=>Q&sDCwPPx9`F6>>gncf#l|GR z<XQyRYEk~^=1@18d?)7l?i&0*l`q}mkF#Im)3(jsY2_vsy9FwHCNQj6GLO60O3T4! zS<^rN7N@uti3bKwQ<h%4vSEgs=CO+zN*m&D-sLPZ{v4B4efpjMv+(H#FSXZl7G1Y? z>MJ>D#84;NG5OXj7E6^0?o7IAYxzH4s(a^mHY5G6%R4{M3XY9knw>oy)=MJ76bhbB zIkJN7=+*j$2w9Pb^;}91y4%;;iyIrK`N*_O9ln{^`}4JRUR3%;+f~j#XXmeuNbB?e zsW^}K?Y#9DCr8A^#C^Ob<j-9ubm;W7JD#g9o)puXDv;)<n;6H#pEf<^{D<QKt`%3- zEmY#|6LQI9+Q6XSATssa*$XqzPk9hi;&4a9_TR0IhHGN-S5ELZPYaiR?7zT<{gJ(9 z%Pdtd84r!CH@7UGQf{Z(|4(@CMfv5+D%no_t_Wgz*kllI;BV)?ro@~}?B^a?rq5G9 ztk~yn%QvwwD@n90vFK$>B!@-E%f}55?$~61Y&~J5^YwkI&3{Hlr^=75i}qZOfAV5w z#SPQDKOz<HNh+%S3*wr}qRhyWm=ZqMz3=c>AJ;v5nmE*QC#{)SsT{`QuN_lzQ8|2i zOwYMrzhhP~2haYfWb^iIKKmvUwQcjQ{~D+qRG9Q{;l2;w*Gu|~%zGUltlk&nS{;8k z?6tJC+Pg{5o`t#DEsBYcmj0<~?q6~-@3eH;%PXZmOX5Et4VU$o^j~s#>8b;95{%x9 z+x{v2ytDk~yB#tTA7?7;dSM}O_Rop;k0#9Sf7#NUQS9?EQrz%K1k)yE|DaVKmlD-4 zJ=~Z6z<l0=?1$+=H$o<T-|@YCiM&HV`E&Q@o)z~zc_%lt+<tt0`jqM0ADFm&TlzQg zPP$#`k^`@=f4sK;$hC&v-f#cYO=hl2c9>NDUHkLli_%6dfAVwBDw<1sxNOiqvzW{G z(p1l<o8oV|pWUw|ygcK~qCoq-d+*+jjoJ10iN8@uTSED+y_S)$C);%xum5+M@#5p| z-=Rf|=D#trzj*!WF&E}gwN+`htCpQz6<Az<|MPO^SxYC*xG%iatYDw5{gbpJrf8@3 z#pjo$MLkI1<Wp2xQnQRPFQ7W+z_Z=Y{;0P6<m#Jj)EI5=SW%fju_=%__~L_aeBsxE zuUa)7d)l1);bKYZ(W;%r$-D0*1*mOY5#1@4v}x`+fs+Co3@-jG`~OKOW6}zvW3?%- zjx%nE_LX?jQMaYFhG*-7dT&2lbKmE)A2xEacCgL4vHzx1Tx(l}e$~sC>FX7)&YwN) zx7=+b$#)7_TPwU@2mSM9`+A}FeNRKDJRjH0^a%_bUw?br=zKYeIcU*u>qvnkM_sr# zE@vsp(0e7Myhvj6Tec%}vxJr^&gxwoX6%0Mrn}<C-4@R?Pt?fFn!p{ZI?sIhDQWSo zxmy3ZuNME0`!ItwKDNYWCcm{HkG0@#vDlnleYWeZPs!~1>04gDEx$5<PknCPy7hnK zuU)!*+xkC$#L7<>d@hTqYw;g^)@>J`pIchCZTYga%OYy$3guH*oVp<5X3WpnZxkbv z!Br~|?8_ze+|Ta5=&jqjF)==8otNLcZtO2~@n3m+-n)12#NNF#GMTx5rATJo6<1^L z1Cv-5cl}|H@R`4UpWS}Dj=1~t=FQu;@89K)-RA1+xBs5(ZTs5(H-AUefimeG_8))k zQ(GmXeIZ8V@9RUK%?ulpWHKIc&DNG`)B5!1tEp}6)}57>reA-q|8)J>v13uyzpfPA zIqhFw9v0@9E*Y~qLv7-JNmbig);GO;*4x7sUb$E7O#lD#+R>-a_VZjfys`G%|KFnj z+3#2wNB)|3Mt{HE-#hd5-k#;3Z)GumPi5(<d)#ieGmnYyl`)%n?CbOsdu3)BurL2_ zT~dCro@1lwhuHl^T$>&{ta$%IkI%6>()#3bahWRHZ<9U0Prk`nmbq!EVdp-@kBxuL zP3pp{IX)k|-TeLdvU1;Vr>~z2-_0km<!e9J@2hsFH}q;+D_eHg@7`aiz<2Vm{Zx@% zCmu{<{U6NQ{5|A~pXUarU0-C13p7k5BqVfZXbAL3nHJp_et)84n)qdTv2LNc6Bs;t z+#9<1TwGg@GAku3F$@0xdEy|m^}iU0FCQLAZoN{-VeJ}O=+7Ma%`Ergqw5>}S$A(K zin*JjuD$U62Bp3xqpJ}W%DZP+iL!D;#abFUtjt)P`rS04wA*1vRLPU|z4v#B{F9!s zXhVOf+22hj+h4E=p5J>unc4L3W658vO#xqwTx#ySCj7|wu<4Iai%N^ix1%b-x#?a3 zkKI_ii}QtYqMoa+^)KU3jZ;i}5Wcd-pkURKCsR-E>fXh5%ES54UteC=q&uJGmwC@y zU+uBV;!|mI<Lb({8jVxm@+se*&h(H&%wT50idDuRy`O}*JihgonVrM0{>>fzIhlVu z9v)N)>l6yQt$cma*}D?It=FEFzncE3wTeR}O13n-HZ4J7#?F{0{>Inpx67_B4V1R= zykq0W%r7+ih0u=vE%Cxt(gn}k`kM4zR|R@S-(r*M{j*tgOU0`*^KxG5Up^*qRQwme z#Lv$qVsD=$zxj05hbc}k>5b0Q7{Nyod27^LD)a7d{(ER;NaPa9d4U_>q(`L|*i4#q z?ny@F`LB-+)h2S?+!rqXTB%yeyr}iNzuJyzSwE)eYvv!8xP5jir)H#GR9%$zirZUf z8MUwM)pc~)^)o;qBQ5#R3-{-xWlfb{Q8$*n$!J;3n<xJ9(I39D=E~zW+#%OFIO7iI zADR2g>+b#sJD&;On%rbybI`HBfBOufC~3t!!&zU#pPo5=>WAZzGjj5A6CWt@sGUlb zP0Y!O*`VO|%w^Ta=dN8#RF>Uz?C83-Xdy3)xs!vA#<k)zIak##9hv4Hm~Ya=b<A<< zteGAX-X2!n*UPkwu1@bb#UIfeTCQVIz3#B7VCFIIo!eW2uels}keJQq&f6I2Gu8IC zbadk6zHs-@;!uW(2M%7?*k<-Tx~1knWAmd5`$?xIZJo0g^~`<X*<V{wBm7vSvzq%_ zezwa?{%(i!d=n2!$=p5Cq_MAlwST&OvWw^*Zn1~ls!Xi1K0Cf$yVb(K*uQ;Vu1=`F zMX*`&{-r$f0$G*&rhoTL^Y>GqC_L|DWr6)th6Lr`dD0?^p&MH~qK?_>EL!*{af<4` zxL{$vv`61wrLW0&<r8J!a89^5$G3U`n_X7I)TZB`FY5Zelz!)z`cPv^{1Juz6}k>z zg!vLA$~G!GBv>fDpK~wZ=s%A3$+z#Be($*c;J$FDiiusxU2Dmly_5bjRGL5X+5Gt9 z+BwX(c;=@*esKKYlZ|Zr{fYL=x79t&(e4*g;9dJOH6q;n!;YHgXYS;5+}(fl#1EY; zgENsu>@|)4x#DWew%(76)a=q(z*|))66x$P+v{i5j5$-apFUjV`D(Fa*$3VU<z}<@ z#=0C2|MPh5#}gVJC$1iei|NwOZ`h;u;Qrp}^M2l%v@>z$%89$yAHDeR(3K-^E}l74 zl78*P_KsyDvpsW}&n(<!ZM5T7Z(GvyGv#;kuS-w*ulV8T`!&oNnGBcwo*kLJK=PI8 z@)^7*WFottTn=86?{ja_UQK7_yax_mmx5QSv%a2j+p|pceuB+w_TWse<oh?@`+VB2 z9Hk|4L@fW%#q^@6RX3M7ZoIx}Ci8ux<LgD6bS4VA6eK;}pWE!5#Omd$XmIwNcY}c6 ziiNjBRvTZmtKF(_S=z^|JjF9kD2F%tu{oF1XXy%N{qwuOz0&;rURS*~Uf=j=ck=bl z%(U6s^4{xK+^l<TJ<~Gn=0p8makF2TK2no-enb73j6|>Hw);{>RaOQp{`#+Gq@BKY z?+-`a%Uz%LPxu<W&_(IqMAzxhL_f^mxwU2Tzk^-u)0pjZm9(Ea&RnT@TcXC`u~AyW zr=BUyhr=7Co2Dh1Hy`@n5WaWXw9fTXjbe;>Yu=rDT`$eZ=cjf5w%nD5R+TBzHNPAk z=c>v2cU>#a-Bv3$zb^Sk>yIb3_1BY5HQm@zm-_Y0sm4^L6U9!t{o=;+V-qgily3j| zgZ(7ax4o0KT@QU`J7q8P-#EZ_ZLaZ-wnaQ=_?w~~j(y*=XIW#!?ki#04X<`N`(#Bw z`+n;FwepfXDz1h6eE%;lGJhV}6UQW$)lwC0{5*51!Ku{-Hm7Hns&XtbnY&3gGe1&C z&j0tNe-{oL<W5}nvhuEi`Bmm86Q;UpOC%p;YWp4ZSJYen*%l2;(f_*Zmt{O&&6bxT z_VjkN1>3}93&Km(ZRfT<I(Dq#&GOV`XQpo1v#@NEO=OTxg0cRj1>IFOM=mevnJ0B+ zhsU%{)lE}`cdhQ?x>X*)*y>)8t6(hey3@dBt;U~5u1jYRgy-BjR~&k0+joOA+t}5Q zyBDOTRJi%Xm{d&{d?7vc(DHTnGrrEY@ONBdK7pz6wKv<X$tR6|zBE4e%XP)fTmR4B zk&4Q8VOhOz-jsEd^8OT`Kd|eF`<W(-y2^kmwWi6prGI@leDT%ZV&arF-eRBqKg^ig z9J6Up$Y~2DF_oWF%Ot-_862MLq<Czvn5go`01f*f%|)ADxs+^RJ#+opGP$!>v-f#x z-kX!F_g&}vwQsLJ<`wMDXRwZ0uysYnYwI0z7T)TdeNwsP{4x2d%Qo>Rz4G|QbaLvU zY!5-xh*d3n*K7`DKl|t5y%m*yMk@Eu{IaMho-IFb#qw<)c0c0zc`sdk%=o||!-K21 zP14O`y3c$OmPHjZ|7xWRuEoWt+|#q%z-BGmq^$kexj$vKk9>3C``ku>$Lo{%Z@XRL z%-eOOcmDQUQ3iou|H?$YWo5~m`kLo`=%j6HFYTY%zPI?F*5N%{Z7;qIE1R@?7ythT zRi%lRMnT)$k2FMeL^T=2oQ+xd)67uk;JXtgwKhyP4`U*h?_4WC^U}$`Cql#=@@^jx z`meol{*OZ!`ogP3I98s&%b^&|zjDKh7*C7Nj}Jcoj=7~}_L=da^^b_}bI)sTxyhV( ztyr70N;b2Ht1j6|V#}YB)=vFhS*C{TT3)$&21m}`{UYf310UVHOL%;nYu_YEo;~!X z{0U>&zMFT94!t&i_S~sqOF`Yj#Kd661jTu8PhNWUDJe8Jx@NP%-?e7^Y8QF$b2*-R zq<U4v;r`_i|Mfk3)0i$lJEgPcX3s3<tDGv|S0r~VdoQB+?}7E_?X^O`nvblm^m>!G zxj4G$Y0~LA$%#23+MXr5%>u<3gYJKGpYb8%PodBjo4l7Fqn8)Po<5c6BYZvh*!IIY zTr)HF8;KcTa9P9fbklmD;5#`B565stJY#R(ruHgh!}3u1-CyU3%D+6(R3LeODo3oQ zmqH|u-@!D==MVNzW;w>Tx!q|-Pm9JAdtPDJPLIDm%#*+F=;3|*lj+fq$u}I$^mA?& zpP$V3^V9ql^LV3kL;kJmx4nEMC@7ZugO+`|i%RCj=;kXC3|kf|gl%f%Hz>AC5R!Q# z^zL(Cc*~i#?{@w>6O_&x-E0zXIbY6`dgP^sePThl?*fT#k+`plo>v|kwAih&a5Udr zHz6>6k9kS!&%RuzWiO^2^YJ{jcuu+5_r_m3Sr^?@N)n|i`Ah>g1UrS5t2nsb_@LPw zs4+8V`npTcZU5(H?b-c9-@wQ!Jxce>(f?Wkd!9Vce}4X!h2Q@W{`Ja1<+FdL?y;4< zZo{H8snSq|YX-xl!%8bf?nbqH{68o5t4+!)#qHemSleaNVU?4cEp1QCj&;va*VSEU zJFiPYdfVRvSIX39ssHhEO5eFD>J0zGjNdm{&a*FLi@bD1`+InB;`=9twb}uu+g2~@ z`>I&>o$35>r3nf~%lbaQPf%IS`1|st_~jmZmwkBF<a0XHsr1=D=f}EHUKNpD+*y}y zy`H%4Xm#b|(wpVY-zF|q*Z)}Gxc%k)XA9?USug6zpRCjqvv%1Ehu@2Z&c4{0^!w-g zdg-c`jRI?*3ak|tiF)JZJ2ggU%DtTTvA63!C3xi=nQ?jbTQ<H`;m!?CF03kXnSGtp zHlO-pcYw|2pUteamU)*ozOnTkp7L&4&3&%<Tz7WYZxWDvHYw)~PyU84>hY#Q-_I}l zqNcVpt)@KTe3Me7rmI=}?T|FTZ5C;lO!p+$+)c3Fyj|Ssc}3**)yebb@7B(#d+t)T zyg0D8{(Y?~>%+3GN9*nMBA;@a2u13z-Mjr%oW1g?5Uz{IqZV9eed@!P9gyg#cH`vh zZ+A1-7%xzi`?;^@J&(pBi|hJd6V~4qlhDq6xm^71pQa-l%lP}PUE}3eXsrGkxW$ky z!-hrfO3FjtuJ8w{E8abOSggi-((tQc{;xZGYGSi9UbA&ep4|IYTd!?~tzpBAfa}`N zVy~Rf;+yeT&#k0NM<l#|3h$+~x#ijk9(Sv@wX2skuUk=K`_a8-=Celc{zJ^%XAN>^ zGw1zfD`B|SdO=sxZs*kbw^Y><Cb;ZO+n&Svk0)^d{ns2zPtPcnzWQOmje*p^7_W)t zUd5jR-G7+RS;EP6=SjAhYLxV&9M&j~p07(q4oEl5dujNGN4(qi@3#d3xg~dYshj(7 ziZ)C!;JWCZVIcijvTc9sz25qO{(H~34>-(zvpY_>bW+g6lN}LCH$Tl^^IwHO#i(KH zpUIpry_{}7^}gF?;jle(=aR!h(gy@zuQK#8-p!sSF=?Z+q;>Q}hcZJiW3|J3V<!f# zGCUV!&6jnoXrteQb%Eh)GEZqbm;G9|ZAtHn+V8>4_ur*Q9?g5hlyX<IEH#yRcauwe z{LiZPi?;sHPM#0{c!u@<vxV1={+u#@mZ@*CXkoKWJ8z^=_lXb5;?qns5^s2aGwc$U zZd@w1EqdN|nT!3sl~*&SzA*b)ad~Ej|J+F)M)R5Sq|NKIAJ_59sLb81AhI|v_WSx| zy%(|)=^h(7<!tt;vHtk_ZNrHY<~b?{USz9jwXqxzdM@5#@!r6yGjivwyV*WMMVBh; zoQ)rBawz$f=ewikf$hpELWwt%re1pAyC*y{ak)@kJJZ=?=~@qyq?S$Ea86>+jI_wj z?yl{stTW9#ZckVEq_Oe#<4y7fENdQe`v)w!XTvf{I8fr2&FODq`<AWWYHM*bRqxv= z-M>p8Zr3e79n%u9BFC$Gfv(?1RV#b_S(QK6ow{KAPrT&#p*n{Z2@5uzo3i>#+COvY z<V4M1SFPV0uXZW;^<M1t;h<NUySn%C@O?MT+ZVfZHhbXvZE7d)UP}Ky!TJiH{>S@= z3y&RG^C)bhPt}giRm<lT-QB;*b!X(~T~WtoG3RW^P<^#y!qFRSq5FaxWn@AvGmd|^ zYLtyvva7M;=t&ijz9z2hbbGGS${P>kuPvR>P^FV1_vrj3W)F{}r(C%Ir>k09Jet>b zcg^~_8{FE~&-=z=mYG)hHtNE%2Qmyr3w^atr~cZy>CQ|(!Nm{VYItt?$hv=)JghQv zdG`qiwbF`B+&v2u9JHb@XqZg@G&$pRh_C5`%R(+P1-qs)S^wpWygfnnk&o;}Q(GhH z<3A!^MyO2svFzeEhu2&+cT-utr>>aJ{afY0pKZP;w=pf}{Fe34D&Ps@fj6u5&)Zo% z*x9pjo5)F)TetP6D0Ci767(}(SnANE9v=F2sr-_^zwYk8dO&ynK97V%mp$v$%?+y; zb89su-sI+9G|?&8Qf%^{CAYf&%1ZTfx>w(t)BiS0I)7tYy^iaiv!U6gLOzq6S-vzM zIDDvQ-sI*Vk2nAOx;Zw5RWIH5<KcddGeS?jZ(m)`=e%O>iXYW`reFLO{#$z*@0xj! zH(p-1$YcAp1q-B~P2SM<S>~m2)%|@Ix~{8=8{7RNH)P~4bvbG_O}Eoj)X1IbORM5E zDN7@bg*ibdw`}45ne}wfs_7;b|BW@@q*niM*>x_cHFY^xF{{!o%e~&u%J>tOxTLgk zGd}-RB=7v{&hAj>T88<uU&GE<zufrmxLe@q-nG*OdiED<yjN}gx7Iq>N5XMt-s3K( zU0D%*)!)JntT++)$X}}W$-@NpjjVH{H7`xBlPU81Av}9_MZ@u)S#GAQcbzmz-0;xh zY>2#)Xu{{ZS<f>%mhMjK{p-a4tG_aMu5xe59J_4Y8@a2`N~KNtx31g4hqLF&H21=n zkLJ(TH`@MYliYW+rkOiUoRbZ<J~t>=Klp7*)0FKoTR+Xva9*3F6g;(6>{+T<NR*FS z-i*Zo?p*@!Bc=$*ysF?x4VD*Nr?l(Z-Oj%I9COb-dgO4UygxNH%6pRW%B%`gt?X!X z!I`z`=7vko*uFN{xnbK`;o7!|FPFUxx1GLZ&(E0?V%|LFU16B}C$d3iQpRNc#uG{$ z>D@Zcvz@tK`$-<0|8$jh&s*NAV~d-P9*UpUa#!Z!$Kc9!_a^>`YReOO{y?&>L0bO7 z)azeAez<$-{^f0JMJMq*4_;Jw(D4y}bNtk6DG3*9JqjK&AKJ8FQ_q5#g6V7CHK<M& ze!b{)4TGP(gDB^PnajK;Ip22jJ^eOr8t>_iuTR-GY}m6j%Oz{CgPUT6sQ8M5t_KS) z+J=;FeWlrI+hgo9D|*7w#A8zmbGMj&b-r286v^^tSwv|5&7*F;y%v3ol3%}EVpX;G z?yZ*j=9d@Cx_|BL`FHSC){FP<&r=hM5_y)tvsr(RcRR!4JN#cmyQ|!$Y?G1e;p5wp zfAB)|CGp-3?>}t0e0bR(tHl!Y^LTEaP+|Ra#51{qLpInpUrgwxb*dR}-o|h#KB;t} zNmDadN3>n4yuw`nUn+mgwxlgPt=^U8P1&)kf@#i&nyW|Garq0Ly0=z%`GPcoElIbs z4wWt6BX{nk$kRE}T2_UVJg+@o-D^{P;!&`zw~t(A`{A!{bL$dp_pg7fa>rrWf61=W z)T4aYEJc26Jead*>z4A8igJE`sYk*|-)6RM)wPq@f4I@?!M4u~V(g&}@1M9#h&QVW zPM(y?lfGv1mA?MtQ)OJ)?!W7H&1G|3RoBXu$;<ij`tB7^?qqEVdzT&)BoyZ+BfGdw z?S`#!Jm=*<f0$G53L7uFuM@V!!bItgmc+?@M+D3kcO-;#?OLSL;IRMRr0(y+C-|;k zdac7}sGcvz{rq9aR_=;B+w87aeo)W-rd!?n;pdJ1)Lk6DdkrdYda`_Tcpt9gz%_kh zUZ3%qX|n6wI<J-~-rOF$>sS6-sa>C&tadapyzV&bAu~62*1_8PO?-B#(+wpO8%y*n z^8<wh_TGF}&pB(Ml=4OCdQ}Ud;LATX-@aCJ)m#-_AIxDXdufXK%M}amU;0^8@SN@P zNvj#FrBCng@q1pA?hzk#HKppQ(g8O^WtU}6S6lwgvJYIE8ELpYL*q*5x)sTW;Sv8H zUD~B<+{?N4MCXT>HKq0S1rIOFurjutpJ<f5_|IgvCJ#56!T`l5b^Ev0=+Dq7cy%DG zGsVxMXRWRHPfPaaS5F%(e)sJ9_MZ&q>D!hp<kHSaT^-1!9cq30WUSQcPQAu;?0tE4 z4fzQ@ms#)L=wI>bq}7fo5$AGPrh4ws{Wkm7<yGc0YqY199tu9S_os(avb*xXKuz2E zKbAF`%>DPNoSRMVnwzjLcT>=|*W0_|B6S$A=1X6S%$qTzEM$JVpRpjTsmWbk)^9J) z+IJn-IlS!R^BC`V1!w!UUVYW634TR)_ZzYctUfWTPF_d0?x}l@k{kPyr71$5^ESH6 zZ7^?gU1-%^kSw{sGiQ05=7c@-{&l`t_Soy+8L`@rDiiIN-!U#&uUPy1<JliCHeCPP z^Q2WI?#Zj&;?v`DJ{9oHE>^ngS;(elHS?9{ldFx)TGJJZ*>)^jy{a~>@0?P|iZARH zi=)<PaD*7E%+<|UUhu!7CANsM>U~Nf_o+ia1-pdV{2kstIANo=^Y){Mv+mxlW|5k= z)*$>HYqzMJUi;;pz0aR)JuDN|BgQ0edG&sI>FJE?5|XPgyF5)!`|<ta47Qsg77bqo z?E+^VH1#|XQyF%Ag9nGf_2ow;(q+@a=am19R%l)8`Ru*u>)>7!F|~ZLj_=b{j?L-0 z!5Mbwva%WD>AQ8$XX|(QI^LW0Ezox5l|AuBJAYo}G2UNy-X(>1roxnp_qKNzC8qp! zy;7L_toHv8)}5LMUDL0Wx5(Y=&G~0H$x-CtIe|XG8i9$b2i(;IyAxRVeBC>vbaC;n zsfRo=&vyI2Z!mMsKHmK4#C`rF+h<P-V=^$<vusvW)6qPwS-A&tF8tVP&E6wqbyn8u znV_NZk7b+>H1hhjUw!lOsQ6!TZ2mp3NqJXJ8UNcQ@&Ai(@|nY%egsU)@Lcud_vNB{ zrmx-m@2EeVuzt17ZgU>(nQN8^a(h}>sVL2jx$uA|^Nq2b%Jt~td*7Dc&q-ORvNOP= zE&3Jb-$}|B^5<sTy|-ocefR0#?9WPUhWtnW@TGfBYhL5C@?(YQin-Z3E55U)1m*~= zS@?Im!tBNgEd4X(lk8S(RgFFLSH$i0|KH0yXKs|>tB9(xQRCe>^{dQ}4{?$1qCM^| znlcGdkGQ--9ag*wxc{l^p3kxpPhm&#HSB9R{z&i8*db{#hpRr~&WC$fPVBTyjJa{M z*PXfgM>G5Vm%UTi8D-04)^<LfcQ5+Zsp(Vu%(q_jJ^7@#{PHp7=mHz_*Yje3x0ZaG z8upw2{&}_g>y90j-LU^b!Il#@_)T}jd;4ZbvhLvDuu^a18JXC(I&0=%Ej#-sER*Nu zOfQ4L<%TNzX07=d|7@Gyy{eN39h;9WZQUF+t)^4y&VRGsoxgYdGRe-5^4zz<Q%~aV znm_wK=P!J)$Zz&PrN%qEXFmGfm#8Z&VmYZY!$hIcp!oIc&9~Rx+kVr}{jlBjlvkVj zM2cnDZ^aziQ}=OstmXIPDU)k{yZ-cWQ+w=r^VX;KguAQW%KzHB=ihFYZAbN|Kl>=u zYq`zj@~z8B_g9`P6rDcx=uP1_mWtOoxlXiQIeRnJpChp9W@H+7`MZue^;aWjypxu? zys1gcqx2PDVPpe`#D?Ba6(yQEQU8qZIKN&gpSNlL;luHU(b^}>B1-o!J+i`K1JAb= z#zzvE6+G5S6`lNZPlz>WOY56|^~v8GW`1pO6#k#PYLCK+|J}Q%Gz2wq^!l)uY^;b` zY(LXbr0?**A6wJbPi|-tlQfdxvA&_cb6eK(%Q@Sxv3NhSI%>;OQn=lq{!iAd9HH+k z1NG*fefV#C-HeAta%XE^Jgb{BZ`X&^4QczPu`AD2jTDnv_Q<16)4N~dwExFw&1F}g zdQNL|dNBJOQ~AWHC7Qm8fl0Hvww|e<%Og7Tq2@Dxfz7|Ob~p0vJhxt6AwD3(`1Hff z=-ONE#Z$~4$}Bv?m(?rr^?6jFs_fd0JidvGPSr2ev6wb{`tuKS9(J|5NErNy*5cq2 zWS0AM;g(Ke=J5%cXEfYhST3aZ8+7wD_%yg5nWntFxyfyUpeGx{g@A_v%n65=GDuuX z$U1Upua;((Q_++Ea%`Ck9~@HL_^<NSs}BWJW<-1_da~m11Lp_I#eF#y4le6b7z$OS z&YxFS^9sqncKGzlkhG>3>(_r;`OaPXK;Q1UUpCzPyJq!Vwi6}257&FV&eF)3a4j;z z^5aC#4vQnXAN8MH__z4O+&1akXT#JiL$6$B*|1q=bJfGLhIUyo0j|A99cD5<T1AUZ z_#BQlEo>G#l#=^@WhzG=j{>KG3U5dMa=!j}vt2vy_L;L7H1FUDxcFGv*`wqc^O4qO z#r->1tmYH`Cj9D3>!;++Epnl}zBak0XVV2W1vPW8MjkiX9lc@y-WzjYpJrRD7QStk z$-ccu&dMFuo8tG}Xm*8dTHFkK8!_n}bFa=fdw=@gyE~@Gj}&e1SAUc#8hO<8;xhdv zfxELhQ?Cp8NI#Z)X>$7L%RNt@yY@}r$=`0YPVJnv%(XMuq|S%&Ke6BSYR}uXv%W}# zN$0*f_WI2d;hgJJ&e;9mR9|15v3<3rZMwwy-{BSKeAGG}j#cY@OK3b&<i_m1<;o1s z&G+Mc=ej*R+qxiB^OD8FyI%^=PIFOexpC;x%ggHv-{!PNvT^bLH4ZxJ`?fvs^}C-E zF&jANu$dO^y0H0P$+>c!YYfNx93nIe=Q290C47I+Wc($j-y)6OesW&gSC6SqS>@cl z&s<mjm#g0W^59wCo$`H>e{1_>WZp$x6P~Hfcb21x!+rjxU13L$Fa$kSdBil4W%oIc z@cWNDi?>(Y`=xuT$!lXY>z#>HyW5NPt{LQ=Njzcq%tW#OVnxzJfgtULyMm=-TxRc> zZL9w@MDfOrpF-DXm8z-~7+9EXsFN{p&r(<wVt>7b>yM~~nuLjznvJTiCijF^naK=d za*~2_vK>7=eSQuc*YC3nDY@|psy-KqP{{D~b~wV-S26jMS*vhbS60GtyT!u!Ij-$@ zC7hp2iF`0za@lJFm;9RFnchz7G24p6pH9)O{a<oPt*YnH7OA$X8;3Isgt*t~MO=OK zX4di@tEa7%>t8T8=+PImosN;$jwZ~G75^x=-f*A3sf+S_5tf<n`&K;rA;-tiEuXXf zf8>FtyVD=e`s-1jBwf5~;_GEmYwt#-UfY|{A$R}Zx|-z&S1Ww=idFTxC31`<{P3r@ z-ZO3;by1&sa(k=74nwtf*Xnl8bzC_~X4T@P742u--@o2_Pjqv{x^CqSX-B?&5HibM zm#!wT<>d{QO4sLQQnMOdK2>#Ro|zDFE#2mddBu6Nb7xmSt#L}PyKEkxSnKy8%Q4I5 zj=(SOBL?qG#fse7{{Hmc5u@f4pQkz{r<wC?q~8?pn|A^;Pjm@xobS}$>Hp=<S1Ii< zBRzqQjkdNsiZ4w#too>ho%OG!O}3?!(eJ9L!)G|ZaRj%k?r@*`QGdPU!pE|%f!vDh zzZA;9$at;4n8RU_bZzm{>B)(1r{=%RKDuYab0@)LQ<r^>%RA@&fv0nCDEso2j8acr zY-ceZ*R;BGDc}9(wx?=uUTdhPYH7IpM*HR2-?+=cX|VB0LPy6etCbV>>`l1f*SoEZ zbFPcKq59!pVqfQ(fB$yoZ$I;_b}Li;1E)A&M^-Gc>P(2OdGo4m@4czBryQ-5O?$uc zxyLh=gX>nEo!_{+|MuFlRcybRZ{3KsXK!M1&~JOt#r*ep?c>jzn=9SgmTCNP(%Sx7 zW$rHpo8^bjoj+dI)L9y{MD2;Z`(3Gv@0XXYlwzBp-~O;#=9+cDRG!MYr$5R5Sv6Bb zC1)}F#6#Wkx)<#q{{7)qaj0JB+QguLbGEL$&vAHi{)Y=w7+!roSs;FU>-FXR*F*kJ zo%Jgvs_&4(*&QM2YuB!JJEQ68_VMa-?_|4&bHunZn(|roi;Z@?)~b~kQP0<UoOsNc zo7H6X&tvZ_&8)Y4S#W?!N1{{JV8<u#!p?&o#ovyE?2?#R)!6e|_eVxZ$KiSR57lf} zdEfH;Zo6~V|BNi-rq&0QdoR^3`d?`o@9>H5_k%5gg8Pa}#p30UUls0sR5b6X*6iOA zd!7i0Z*VyfV$~&d<WI(%?SAh=)a~z1h^&*H`%8OkU*FD4CI7x}+^49o`TwHhnWM)W zTV93-x&A!Dx%aYHjM)n9oyPnYlWvLW-4qPnVKwVT@0w}L&8(L^s1p~@Up}Qu;M8&5 zdFm%`INehZT%+&$O7PHw_2zyrzi-cE4o)i+J+8g(m)4F?C!~4$nZmFB-8kXQg1x(D zRd!S^opW&R)<n5?hYY7HY%Q>L-u-)-RJ%lJWQ=L;zI<`ZwKG1&$OxWj%)55?u$Pg# zq@c|5{e1qWUoF;E9F6hInNedgVcH(k6aNG+JTd(At-HU>|4}TjfL#BV)9xDIRkOd$ zh|)S)nlDy<>*}|kGnVqat<1P0&g@+>&3;Ss@l{)Dy7?^kd$}Cj?my*AVy>Zq_OpAw zRzgp@R;1>xF`Ry+;Y8G_sF`cdDjICu8nCzHk2e1`g+qz&74^&~E<H7A!iypvomk&x z>FW>cc+X%_O^mws@Z_6Ya(}e6uRjYvlky|pf8P?%qf7rZ{-}6iYR~-5mO0C~MESp> zXUor<CnCR=PWBG_XTeZ3`(y8?cQwBpb7v(?K2s)s_4~3#@3<J*-`9Panf^AkKIm-0 z{3|c-EKj*37vQA2?eh}u_g*s>SvPLzcJTclvUs0ir%RPa^zv)p^z6@E_6p3Yy|DfL zGXu7JQh754nm01&t?V=t{M+(Qt)cGJ(n&Rv2lO*8KWj=*^ET``EI!@zneo-1D}NlR z_@@?e`(SIp?nJI_63tzPx#6jH$&Y^QaR}noG@Z2cPm7N7n{OKCb%xh&_U~3U$$lJl z;PCZ{`Tu`-FdkhyYt7Z`AqAxyrDw~&VW@r}<<+_HNvE%$EnCR4|EGgw_VfL^^ef!& zd+65(4yXApcJaymELPk3V9QL2qcN){oGlOwQ(IY4vh4ArMd2oz%RM$dd9_9S-Jy*y zD}Ca-bIwc4&sy7Xa(czS{j2@!WR2^&!p}Bd3zAy0_UF}_y=Q;<vhAIHby1yOS)-nB ze#)HOC#@frYD?!f-kC7-&89o;k93z<ubIm8yHY84?u3J_T!N0=9b*4{K1N3!@BCzD z*4cYC_;-W#?tA&?g(`NlN<7G2`e>zHnB+8>-1Ji|3N{-{{;D*djVP>s<6gD3=-uYX zt!q7#kA~cTzAx^>yOZx*BZL!ghs@PByt+<;p<iY;_phqM#S4<oZ|LYdF}wU|QT0uo z5GV1hC$HvSw)!J|spf6l@9!?IoUAQ7pGg?Mon_pv{C1<Ur0`rPjn#sjiEpJAc?%}7 zY6ncYsvoA%HaC}b?j4^>#hhy&zR7At8AU%h;`Yny*Q3JM3X6O+O8VV@#Z*~X>P=sN zrSZP({8?3(ZpfHE&7WPPkam5Zsn0&Pd)@XE+`3kOc>g3cb$ZzL&Y7~ePw96Y<zqaj z{&G=_($v%8|IDS5pC$|aI1+EensfAh_PeK>^;A1n8JB%*y{?!uci)QOF1}}x(m9>C zl2{+dx3K-*#d~EBo6~F+^?kEVtNxX88SLM4(PLiM%x8(tM^0Kd)om~^{q@(d;vZ{x zba(zYM{e;y6Q-56G}~X1XyV~JzrRqOX?C!^)pLvcdrJc)cgjxZy%4D&`tJ9JKT1+n z`->cVmoB|uSF!WJOv&FCPqZu#T`if~II}(Z$K{umpF24t6dU&0Rj04+jGMP{qP5HB zgjS)hzpbC{6t}$93$tN+r+B`tD6z2C^XU`ovcnFK6V8;hGTh0vGy8UKgY}(&5|7<+ zb2hd4m;6<Ir1SdP%g{B4ZwMb>6ENfSz2m#T8F@Yx)a$ObTxikt*8cCKs>lCBliR=i zY@N3&S?c-DwhbnWI<;K9f9p*(IeSpPU{lAIAh(Eu%THx=4(~QvsT(qf<*wg!r(>*r z{BGw|=L;OIuI#%nTqu3=LB02?V||a?#5mnK`#!v!bM=MIdcnSRU)KJW-nD)?PwDc7 z|5J*0_BLKlSorUB@5)7N?8?>?e+jsiXGkk;TYaNw<4*g9bF&35f8^zxd?P46@^3EN z>TJE*hQi?Ocf1Z>Pv2KOH*BhLzU158W%{;)R<ql_B}ratKT><c{gh!u<M$ll|1sxc zB64nUU9WIBdd+%Y?f?E-L+cHJ|McFU*E<-R$DuX3cfO*<LW!sB@)J)z-gld8p~&}7 zXXiXm+E;Mmvxm#}{Ke%fx3zuXS6*%R?&;ZumvdXze+%34a9cv#er6UQxwCbiGM2*g z@;D{eFZ+FW(*K2b52c!A1}=R3FRW+2%BjYt(4Q|}B&@YDQK-=I(NaI|a`KPIHjPJi z2mX4MXskO~buVB;N%6PEY`knaoawvPZhi6d`d{VCo*BRUE+u5!$^Bi#yliP+o!9S0 z`y3bT->&nZSzV^$$BLtDXZa_rtiN>JUf*no)D~BBiRi=(nd5~yZ7JvUWBnWNDBNC~ zS+jk<!PB+jSN_QfB->|P;O~3?Pee_u=(ncdyqWw9E8Pmc${xASmUQ|gz3bK26UKWD zFKkkp_;vQlyo(c5e(u;@I$7=Ot38LFR4xC;zwg*f73J9<j@EK<I9bYwDDQF9y)lpX zopo#RRNmh|qZ;LBB>A5_cJdBavbDrXZTpPx`yy7%HRye0Uf6!_PRJDr<9C@~{i8*; zd=nK)Q_$eLr*tMscISoe&;RtM96lxT&ijJbas6kidls5csbvvZ@cQZ_=@m;KX?j0@ z+VCP(r@l?KAfr0YV#?HGv(Mb@vVL2BQKOKrBR=ewctEHFZ*|d`N2aI6%T~V^R5+~^ z$5WXhbDS%z#YV|(+p7Hq24YpJA6mXv2+W+l@5{_HtAtMLOk2J9-@}fWM;#stNB>Q` z*Y9`dTC6;a^nrz^r{6PcJYepen^F5d|Jn0PUv};;X<=Ht_591^Ejkwj!{3?9=>5}7 zoZnfuc>V9i?zPi9F26fy`YSgrP@b_r%Uwj{*WXn^jq5J1*z)J$xh20`QnhXNg~>6= z?hlRQxXzmH+#GVhu;e-m`@PO(Z~hg`+<G`SGR|}ZbEwuQ*;=WLx60<C{^wYNRQ9*} z9r~oEucTwJ#-G*tOx?k{u(aM8Q#>RS7rtkIYVxdS@^kk0mVN(jMLy=YuWfqpB+B4- zOpN)`*LwCg{r}cnOHT{QT{L6g!95@B*_quZhuYbxsxM8h(VefSx^Bh42&*9J#8+h| zJ7V`9U(~TSO<_lJ-<Rw?wx<j~E_>9yta1b6Y~^25%j0#K&oh-Tj+w$XLHN_cM#1m- zu^uu%>O>DlyI<3lW?c6D#9#4wEKI*!K65!7k-FKhxHcg_tH7M^T<q!cnKS%8elqAx zUoN5DFE6v=+^>S^lYA%FU$yePyUlOux|s^QjVH3)yrzF7d+MZF+ikDD`%xR?!<Vx8 zE$im>8((;?SZVl2tWMqLs0vr7hOUp;BDUTXp%-40lCC{GExuyWqgL^?77KzlX#HQu zDVBaFZ~Mx^*Joz5$K|qnH+5{6;NYt}HY4N4@{^&W)`yESCke)X{PkhM@)^3puK#E7 zwNFboYy5WM^_7EvTq+LnyqeoSrF7vNoq(Eyw=@%c@=GOmtvP)8zPRB0rBd&2FZj^x zG3WJ7$HQCw&R<?>VET<?-e;@BM>UQfGXGF|&?%7F+SMdKal*<!R{s>ruC7!2nL6EL zt#Ay_yKRf+vupK!?9mfnc=Xz@!zXmin*5B~<<7CVvsr#nsQ-8{j?;L97lYs~uMNqP zNug$5PpX6deQjp!`zpZDC*9%2)X3q&KjnZ><9_3=2On16lJC8Lpk=RK--7k*9r_cG z$S%0(e{c1Bk>-xiuS9k&|6pvs=b)R!junRU--{Y7_SoB0{UmW!gHyXqWn*dN=_iYx zg@m?mUKqMW;dR#ToaH7}1u@s`gV~*q=YCJL;#sSI^1}QH3YtL+F5P5iDwbI&b@Vm6 z{*=Jxd$l{B7AzFu5`M$ww`TwGv+s(p%eAn)lz$=eUV85y_nSwp6+T`O=6JBYSa{Bz zIkF!G5*#W@T~wOezJx8`_wns6nOk=~Jzlt+4{0vUu(*1+<`REQ>-qlWmn!$L$DUr- zcRTx)V3z!m6ob>Rrp#AgxT@nto!9GKOD=vAeR(*xQ&De0;_s)L*1MYCHD=2cvK5-z zFaKa8c`kdpVz`hk=b4M)cEz9hS<bGWbADed`|reGE{sq28m#`heqQV+oy8wz*bjFv zWl;}*5R=S1NAI@LXJzBHDaNZ7u{PUWobXk*Y?sd~-MshBk(U-Xn$MrPXZ8LvtIh1q zY~6la#OExW(Y|QAOubavUZvKvc`Q{sC#><_DiE}+Ie*J7+ppfs*O&%vee>ehH}#L} z?`ov~ow@t<fd?s4U%zg&^7v=KxzRGvzI@({*WH3!T^@Wc-K%_i?#5`biy`{@%ckT9 ztoHBo(<u`CUokx<^UZqQncwZgyEK<S+Zn(1irS8|WirOnJH$F8{8fs#z3gB<x4+_< z-?@+7V)>g-oofBL|H*Cl%sVc8(Fa`fG`spA%-NUDv%IdbeE%NHO0HLBr&KGfPiBP5 zSIPSR6?yB(qsKJ4L&v$ZXxd@dr-AiiTlzR>KdW0Bc)rQ+>cf)Wkb{SIWX{>M^7G9o zvAs`z%w&IhEZ>t$`0%}utZ8zeJ|E6?iPL<czDk;XUDTZEuVqh6V6|J_ZlY4UOYQ!W z%7)AAUc5&_BPMs+%U)X9y0F%wqV8Dk)%fd=J9l=J9XdNr+~(z(mn%LAKMdL^$G<Nq zb9+<J@2HHLm(O*1$}B?rqh|-C{dykPb~tdg;NiQn@2~W;UGWOvl5;No$d!1%R5vN! zW9pheAB8jTnBnX=>6Bzq|H0HROh2_R{}y!06yE&kw#*K9?*+lvrSF(ErLU}f7JNm< z^WYM`13ekV8;_Rv<akPO)m`Ac7P!V^xi^PVpqL%kxkXZw_Y2r5_?%Hx<9W2L_TgXF z+q%5lbq>u+`R_Tqr-<QOii1|xolH4{TbkA<=1jHnw4ZR;z~YJX`~yF-)&@RuH4$7E zk(a~RyS{(ANlxhVnl)Ve%by7aTXcMDUzTyiSiy_=gJbo>&&!|XzmCy7y8IwtW=GMt z8P(f$?l!;ke?3F}yO8%{$&-hAwR`OMSlr+8ebe&Gn^d0sGbmJi^{tQRBkRE#sWF|~ z^#1-Z<~Y5H*J}T%S1k|Nf|z%1`I7sri(6g)6XOg8_U7mbflo5j5?#dhrt(h<FnGjy zv&wg^aVpcx3m;fKR`LBc|69^#Vx-o*uKVQ^zC-Vo3No#46xS4qOuN(N_Uf3zzl(E3 z^#ldBYQFi~SDf%6=A(IK$jyLUh1=~GMSWQ_?%2-@JEd~&?0o)?iL&M2m;Jx<f1&xU z|ElLE*lg^Sc-|W0Y-4it(BbAHtLn!Knu9k67e=S$pXmPixMQ~V<$|wC3D;Xr{y#FK z&_bo9;CSAm@L!p?gAX}uD`9ysXX3jGPhY1~sg0q5FTGeqwVgLT7KqNO`~N$0#e^Bm zWgOe52l<*m4cDCU<VZ7f+98gomeIVDnpcxP{>e1D`JU7Hv!DO_#OW3qdv=8UeEwI! zIiOzgU{|WP?fM+$PmYPVmh1H%+oyQeV0YKvEdkQ^E-<VPT6WuZu8HW;eRqP3_tY*A zmTa&*r|JIKP;iRad|OM$86Ho+#@M%c-`^#?q<bC5dVa@!<_BjV&5SCrYw=pOE$y>( zzvEHidhx)olYMXYJ?=g?MR@MnbrupTH$KhqfAde<P3-IW=YA)jo9y5fu8rfInRuux zCY(oUqvc-XGc)!|temY`d~yBlyd($Picj;x-U=-e+o*Nznz>>}*yQLMZl!o9M=SMf z8b4z$E#IDZm#<E5?Spssh1L}I-!0%fqwxE5V&v~<cQ2|WtcWd0Q$ISR-S6bR=?8f7 zcdQcKa^cgqMcl9EHB@bxqAhn~`lH?xH!@Ec@l}K?KR2GQlmAIJa*6F1w>bukg4Z{B zOs_RMX5+J2_<zupX6DM3Prj)OU)peAyW*?Bq*F$3{|1{cQS11$ruN(E-##qLSzb?$ zY`@zOG$F&wKku2kzxKSP%bx#zH>D<=|8HmE-Nj3#m(RPi_|S@HlQvkaUKGb-Gi^uy zQlCp2ZCm@Z1xz?y;sXrA7XNWva9}D!dGEQb4)4a&i`%~NCmmATn(x_o%_u9Y({1g> zgf5v-wT0J8E+0H|@qpszfcj;U8!jGci|MdbpW2|bdH;UP0FQjZ+V881^BA67Vk(UH zE8Nj^qG!2d^n%yCDr!QTTo%h;XxnaR9s0q{q_>Bwb27iN!wuc#&-16Qw3(QE+tb2t z%JzfdDO;aB``){fMg2$dx-{owKh^{ksFoalcIJ3!Yox&GCpHf~#17Wi+&r=%!z6+? zEjYHN!vB@8WSH=G*?kqYcb%rZ4dC0i#HEAXc5~jm$6miYPM*`=xZ>2iN3v?`-&<dM zV^VBwHLd2lo#(Q$IR88EXB^Hg?Y{AsTW;ND<3~m6;kBt!+kZUMNfy_1OHa(WAJh3D z<n{Wi+WSw2t`uE$?#{NOw>q5E47Wa-BE0MWI{UdnuclNlcztS8W5qfX=d(|j^>RH~ zd*b*{F~<W&N||q1UU$8#7XGnp-C~hR^=m7;Prvv5b*RkeYq8S>My)f>BDHzm<}!8v z*oq?gZyfHrFuC)MRCIh%_PMS{0o!g}4qAN7aa&YK)H=J!oaq~u*lc6p(O;4+aAD)5 zrQ3xnYOkL2=lHws=8;8F`<ExGet12vF0|rPN|nH>B8L?jIns$nD(QX!u}3<^1={y^ zm7H|f?mJL#nwWI_LFkV&vm~DcFv=WG(wLX{;(653P0#CBi)uY^+>jZySu*3^gV&5p zzWIIm?0LK)M5#%hg*WP;=pD&rsa5|xdN!%2)gRx$YQ6KDx%jO0(w_DY&s=c)b?>;; z)<<$%f)}KGHB0Z;)HPsbYCL{GapEgeK6TTV(HC#r+xLIk4}s+eEMLBOb7yM%?!U{X z+Hf5YJtECye4xH<uVzW19@B*<^@^(V^Xem#zx?Z+v|ye1Ikx%1U1lOpm&!h@;kmK% zd8)hg+_>tG=Xb5h<e0pAwV~4Mq<bAZn2sx$ex2FdT(YkEsZY4(uG*KsmVdU)GSrXb zc(>^Mj0;RgY$f`ZI@4ZRMTIh}{ADe_aH2eA<qDIh=5t-|8b|)x_lxIn_io0t3mYCu z+iu*Z_Om74Vexxr<;D8***?7yyxEaob@%yO;hkH=SYIACJ(Afp;i0mZ`BcjVi#|=| znSI0l?0vof=6#JFye5lJ>^l{`qC-sO(;5ZenCJ6<zVn{2@akfRLN8yBXM3X_H+vLC zKU$Je&d1AZ{G;!f)n1|0si*b7zcrurxZh(51H1cH58E3-S7KjhJbCT>FUjPCnCXiB zwY$RCecu$c^T=y?yS#&DTU-BV^3JmD{Zg2ivb>48;X%e;w@*wdBAcFHc{}wx_vsb8 ztNNN-&S_o!p7LqN^J=bi8BwmR3!MQk-hNkYT(HOJV$&q8r1Kq@<X6w@G(Px_@e2R< zU9QoFR(HPXZ*wiKDhW_Lry{y~k@-Q@xPx)$XV1FOrzQ5~pI?d0xeQmYpwRBW$Bns8 z&G4#nWw-eG)+8$EXHxi)8%)vvyM6Py&b4xG`<rz8&c?ZKB;s?Tt+(ag-j-{9b7Ssp zv+|06p9@~^n-jV^?E96F^0Oi3XHRdrt!TFN!=JY+jwlvA=x>_vv1+HiwQ$GRY$aWR zC3-Wr95<Z)U#?}r;q9t>G}rBU!1V1rgYRT{)5uCQj=-E8wUQgXg;s3|=WqUb>8<uS zV44x*tQph$!(TIgl{#GY{OR)7#%p)X>*7$}yUh5nNOERuo2l@-7Y<H~c%I8|+InKk z72%!Y73Ccw_1C6u>@obiPp;w%&%O=6UiC(AoGbmX!cgiqukE+ph2Ca--*fi`tO>Oa z2+(`goqV;w)7WA+r|uF?uV=j_Ea|5>AOD^7k8NF1MUTrL&W{@`|0w$T#OKa__36lk zN0V#xAAXQAShF+tSHxTiukUS6rLP=67%FmnWMG~C*T&CahmH5Vs4W(*;o^BR-HO^D z^V`H$##_j}b?mv$A8=~f&f{!5xYd?lyK?uf!u_kFUv3-=^3c7U$-iv*#pN$6xK_KG z>FQj3lcU|dPvv95ykp0toYcQ)@GKK**6LUhvO9OppEII|-wFM3$Tw;#bASIsnt^-P z#(mpO{+IL=KN5K%lol|HvH#Ui-HWegmpov2cdfxyyZA@K>ds5oCmodxy_?Z?^(ni& zbyUE$rA3eXuTNNE?(=62=Q7<dTzwpk6Y_R@YW2U1n4UJ1qslAbWz(!zmqmHEo-7FL z-7QcRe1t`5$8q(TxhFzqyuY(`?bicQ2F5*tuQ(#tq$F&dtdQ70_0da*^ra8qdq&Bw zy)PbhedDAQJM(zS_MXZM?9VH<PEeMxzq#pctXBH03D>4hzG<nR!qohsXa73qC;R0s zqi>zw8&k)0_F!AI>dq|^dZue7))wnm>FqYkd??DRI=!=`ws$6feTHiJuUq^weOG+e z)=c>!m^i&7F!F1q=)|oaF4ul;{i9f%byRQOhhxs+2@kxIVuF90ig&F#vEJ_HqIdQV zg8wr@PBIvmFZ5?WEx+LVqSvebls4PkWHR*I5_es9!QG`+*AAsebS_$LSy%e|ui5h> zdc83f&qQu*{}Wr&#QfDtY_?=l@~e)rKBtZ_jz3mn&59Mq2l@8?@VV&B@#u=e+;bdV zk9U>|u)jWhP_Lx*{+bnrGGSkolJZ?rj~Z+*IA8PRa%ad=DZ%|~vX!fMGITAi>U+LH zR?Akx<inE&1uxH&+=trE8m1i**)TDKFYv-nyANApBp&@<{oJ5L^Ap!WiSNvMme!RQ z)AbJBWtqHw=IPBU?~=}c(y>{}ma5^q?bp&{<;4xpGCRH`YjfJBX-G|Rt_hZ4-LvNB zu3xQO|IYo${WDkA=-?X8U`9)w&aG#;IxcjoCb#MY?V2l)(Q4HC;@eU2zwe%XQH?im z{-j>}_S50IeY<|}c6i%=HeYP|u-oT`iD;1eTjp<{1Z<Y>e{CReO(`+P&`()ZG1S}P zwhNn@|IFETYv(K2>&F)~)+Z~=*v+4_Q}M;u1?SQ)D6bKC@ob}Yn4?`sw5MkdpSIKv z{?G5Ddt7p}rgO(;_N4{hfANFYP|@*uiq%##^9-{klHHH@+?}S+_Vg9^q3RW<Y$wS5 z{rF<S-&%et69Ktp3z!m0KAqCH`l>sjwKBzdqV)XT%ocs$qk?8BB@}Av-c0^EZQTKF zIp64Li6AeT8)dis0?r)Fc##ztyYqGS(NxwKDUZ(@{q=se%U$aoSKz<%f)Z+B>(T@! zd}rWIF;e3`_j%{9w`r|=a?NAr=S*wPseKU|u{pXbbtP9wYhIL=7Q+qp2W$?ULA&>^ zx@vq?wdnGt(i>H8m$>J#i8QTSQPZAxc*}v={0tA3#6qj;zQ5e`l!;k(<(fa4yYp11 zvsh2?&xzU|=sBg4CBc~S<T~Z<ivfYr&CSgRn+rQTCYUFmyz}Pk_lD26d1fu{irwwZ zGTT&%J(_cN(#dMp-&>D8+EL}*snmJTNp5{^6?gB^lw66qm*-CAmEy_$**?)^c2@YC zO=gO%?SD#tJX)f1XYtDRg)bKz)Rb^8mU49eTKkvr?}|fz8@mL5vGH=T+pkRtb><Nj zPYJc%HhHUm<j1*Th2Fb1o|El!ULn)HaKVg%L~))xq1(q_i^l%Q6Snkwvn}k($<`GL zai7H>PGx^~_VA2b#h=%vOzQma-IVl9C`I++#d`vgg_+U0B@d@%hF+E5?|c1h$R<AN zw(Eat9Fk8nuoy`TtCbx6U8*Ik;*!yEIeIC_F`ckizxr2~tg&3%HEoWHu;^STk<Cn@ z?0ZYJU#7j8p?lNcsgQl&qqp39%XBiMeFV$hcKp25^gev~*Hv#n&RCT4wxi!;?M$81 zzk)6IK0Ce9^;uf_s<I=`ZZ39JbL6^v_*zN(wiMRccKQ>mcQ(K3FMIzs?R(m)veka) z>jM{?h5ZUQ(`<R<UHdG@X9vf{qy*RhbE~#b<#GMG<<s+@i*=ayOI=@_bnC0f3diPm z>)B+Vai+g{Sf9cb*`>=Q)3AVJ<;s62@^5*lAIh1<?(Tj)J0$#4&F6nM8a3PUw*M>t z?6F*O-Q_p`_2*7@oU`=f+)YRHGMDJBFAp!)sD0s;7n8Y0(R-J<%W=o4=6yUB3W)+6 z=J@P*apT3ike(^;o}JZNu_q_}+2b!kpOWQgnC<@%swVy9mP68kwT(*@VpW^J9nIKy z!9wDb!Gj|!1gESJ+^^E{%)v>B_1Rg^yCq@4DVM|-3R|>x3b1zSENpjR>0D$y!+C{J zgUi&D38@b*U)bN_-H{T~$-TbcByNY_$H<~NPZk|It=h(<a7f|6s_6Bd0t-LS{muJ} z(c{C08&c<If0^{8XYZLF#e*Lc7KA*Rx_jNNHM0J@-|$4H$n?HmXP*&k7AE8uzCri6 zVc_-7C!4QcYhSzZs9E>vT(u*U`YJTcre9`?J!f`gl3nPwW6O-LD4r0=3|+OpEOx2d z8QE>Bzq;c0n&p0(wC&W1%NHLmIr=c@*bdEyVYjzt_o}k$EWH?Xq~wv%^{el9?-uDi zJ1O$$rj1d3recOyyw|?qUiq=L>-6Jz5zTd%*G4}~*%l{w$4UF?o7r8fbf>N|HOV?X z_5R*{{F!_2+y7s9HSP1!w9odc+c!?Q`{qx4c-6y<IWE8IrDx^sznb=X|K4lqn{MnY zGE<8WW)L;W5HMqiy)nVFYT|S&XDLou23~&7#?IyrR%UmeehzM4PF~htK6Y_7Kd}QY zZ5*6t3)U+>-l<f>cuB72d0a7H(;2&8v%C2DJ{b2OE&h9z<6A{oU}N(Fh0D#pb2D!4 zSzd2?LZEhzL%2_l^52Ta?@T9~C!AcpFl~)RoL0LFbB>)`;QAjH37#{)A6;Re5+mtR zG3l4A`E}z4k)Is~Q&c~nkrd1}<r05?a_{QNpQZQ{gI7$s{pM}Mya&0HqIfd>|1Zv{ z^r@cJnl1IRH2K$!<|`KaSH)<Zonqg$ZBC<5+@B4VOGWnnh+#0A^Df?*Yqej<v04@L zU%zvNyyivjXr8gWzx&<%4Ym=hnQiMd=SSU7O*8CobKG}*`ov?GOS7_!UpDVZ($~~$ zIa1@Zc4P9X><KHjx&GALBv%x@`9e!^tXV^T=#ucm^=TLS_%FFM=sW#=crc{tk<t6h z?(BCt1(ddl8WqT>#5B&znE2)3%H{cgC12d=eiME7*}92}Mh`+C2TIQTJUKI0Z>{4- zCpJ9}+Z->Ix@S7x$8Rl5m7K|CtM~VKW_r`K=0ivR@a)+>r=?nK9sf$^`%W1mwYN(D zc{}afD%#8azR&!%@@laJ9;GW+QlHF9z3^#DtVL@~q13de5`JY{>eipz`{OzHdFHdV zPu?<VHp@IaAo}}2<)UtTyYwYxo6om}3u-1TI1&5ib+XFlbB|U9=i6_#`<WJ!btP`$ zfjPD%4C-tAK5e<K@UZ;l^$@qyEHN9}4n{0K_|o3o&w20V&eObW7vFvVCp);#X;uBP zkN<a7E?UC#-TwHtmAh)MC6(ISp1l5OxdwA{x{{8}B%gQ9sjSa5S1e&O5HbjV{=&Cr z-eaX}U)LsezMaqZpHsa4yI9CoJ*Js*n|Zt4Zk*5*JNaJA&*)8CVD!WXTC+F5==l2V z)Q?x&_bRYYvA=p`^2MvIEED9Tou}(o|8@WI*0Z_RC257;>pdq|M>%i2Hz{g<!=0<i zn%4w8n+;_o{Y5A77oSa0*yZ)N_SiAI+0NZ-Jexkdf8l+sY{6P#dM0Ycr=_ZOTo;yJ zon-tZB(>m)zSBu-R)wcKIm4%1*&Zbv!LWMAedo`K83`qfuUH?xl4%H({x(PKY0%u9 z&gxg<R_}Iutx1VJ@wZ{-MZ>w5yr2KE@QW7YGs<%6-@0_)_xToo7fYS1JUDf7&)51( zzb+X0T5Yfl(b>3^_j#yIYS}u&4{dA9m<?4A|Gmg6bn=CP6U)cvJ1&G2?bhD(GKMpU zGydF~T?=BK2Ud3qTP%DodQPDF=7rjQcIWckubeE5oGkjGgER5x8lS04S`(-JkTnUM z?ffC6^=$Ie1r|SKFP*c$v4`*ck!&A!CY@QedKVU{EwNn{xl-qtxzh!1gI`}e(vx>K zv~9ehow!q?)a#b^^@9wbN){dX*YM%T{!O2+Eu5ork0&9i;r$!`Ga85FcIE9j_ElvX zkLbMh9xr^Sb}nQ;X~AKzF63uG?fOafzkh}38O>yrXSKSV7yQ=u<o)No)-vDCf_FZg zeKMmn<gL}0y_OvQT&d@6AMQ1{67Bq6Y3J)c;TPB3kBhW$W?eP3jd&pS;8NT6`G@#} zKIO-nyPSEv#_2&(c&x;#x4!vdw`8i{s!OgbujajT-nGF0gcy@(ucybS;8Pwtn{Dlv z3!K|M>r$?dmPzuJd*^2wf76%cl#-GT(%*Mh(s^w_(-k|->y|yWwsJQfeOfecmQ`qJ zMU&Fbj+;-V)~IsoF<e~sd$sOQwatAeRC?1JxBr-M<JmpO@0DfCc-PxcVLWqh(teGK z4lfy&vyKn==W{(gD3ZMJP4vB*8_xeqZfM`%?f&X9<NMClma6NIvt~H`6pP${vGcXX zk6R}jKU-;7Nd=}%T<$mPg8Ln(?5eg&OKT@^JehGUs3^Osx=Fk2(mTDtyyc4`lFw-@ zne%BbYmMjRpX%0LdG~*A71;g4P~m)mo=ErQP1;2UJR29QY$)^dN?NyRqQcER2T$+I zxV+}>-C2{<{~r4i_v)MelP#Z8ShQUhXqZoQZ<i{uSoC!tPeqMzsPwuvgZBR2+uQ=A zR_AW;zE!n$%2LUyqYsY%i1T}D880B>?`~yKwoQLsP#@cu8C`F8PS)w1uA*qGTrPG~ z>1HsS;I=RQr;X3sR`^@{y_T8XlBL&P`aJ8|#^Q(On`cIb9hqCQZHh-fhqmBt(Pc{F zoh&NMI&lx4InC{kyFAmX$HVo`ku=q8iG1_L<zLLsYXt9%^7~qycV?-*^Wjx{t7F@a z)s;H0?%Qy=`J{%C8b@n_%*(lHT5tZR&S&mZ398@E_W1q$)4_dBYL6DCUFr9zos}CJ zY*Bh*c1cFZ9kDfn-J*5e>OJwhviC3gZ+P<BqPS)AnWcW~)NEFFTKdt^S2*^gXuhTj z-&gDN+<a%#G^$?;ybYNeZ1wfk(Qkk2UVVx9ekt#l)W?nLS2=e7(+<;|b|mn##8$a~ zy4JQLeWFPlpS;<y*kaSdy^)REs<aee=S{Fo@R)qGvv@&+Nsr=Q-`cP{F$Ni5mMxkq zsG_aoyvZ_S&q1pai#IP6=a<+%(L5R1dDMQMO6l_RhjO$ptox9E=7n?5CANeetvL@9 zOCrvy<w*6#9=dh-?qR7^OGVdB8G9EtFR%IKJxMd{pxQ=Hrz-JAzjHy!WviTZPS-f< z=-qcxH`}4WZkP1WoPV=h|5}D0r(T#!OIHZzZn$`y?WM6Jm&)N)3_lJo=;v5@!?FFX zUU6RR7QZ`M5qsmLmFq9dCtY>@+$U~)@cQ=CrR_7s9hL>l`!T+>*|F*8y_+#jdp9I# zSiEMw<(RZcFvX>1W#N;rB_2v4BFY(2`Dcv!qt#ax<%ga%Z#R7_Sa{EMcD<E)LDm%h zdGkN2&1IWh*FJN>+Jgt*GCVzc_^?&-#O;?Hjn;kLtCdrmKR4v~$I`QtFGZ(Lelq=R zbYx7rUBef}0|%WqFo&+aRpcBc5Yc??>P@~s%j^7)Y*clZ_}9?*`R1*<i%;K`nwtb? zhb`{n3}5V@rXxM)*k=C3)CGZT^4l+{_dmD4qwi_ZYPg*xX3<Bd)$R=j+$XkOXcxKD z*Q%Rwu4Rc$((HVv>I?VwHlLhe%Ple~`q_Um2@yjFEA6Ec4YM@tBr}Sp7YHgAtBEu6 zf8*KwE|%NjT(3+`dtuA>SHAbP42l*{WHNma6eGQ{CnNg%;X=<(O5%c=%O#Qyn|D0# zP?|jF)_ITTy59p-S1xB_J!_JvP;u~mcS(rTWWDH*X@=(eIQa74UshzfEu)*DcmBv` zX?xkb>(`mJ7as||@?+*Axz8uk*44cFQM)6zQudl$yJ%{Lwbz+VKb~c7v3lj3e&(rK zFQZ4>J86fMpL5PmcUm#`m-<`vNq?R`e<OOpos0d{gLz86sVtwiDLXY^aQ$>`?Ug+d zpC;DHdFz}kdu!7@(_M3JoZVjD9dWz3D$VXbj3`?<*~e^^M5^YeBAb&P`^>+UKZ;xU z!L7emZi)E@bM*}jE!R@*T2AiU`XXV=tab(V39*sC9JQ8O*f5H0KEYpd|ANW0zKh%4 z>Ri=63a4cJvfr4LXtKAZU{c2Vx~21^?A{#ADTu$3vG4QEGb{eNUMfoaH0eiU_mUpF z{0&u!2UJ?`${tM8=<d1{S+b$ya^u94mb#X%7u%mM7Ee_Pl1+}5vwKq%=kl!3BsMF% z;?$nRh11K<I&gFNeOBnauRM{({c8G7*Lf@r-#X5SnYe8f^th2erSXt~MbY`U8$H@* z-prk)zpKyOHO1xsx)WDy<{3#FZB07)u-@m2h~fdciX?G?`StyG>sJa)vV8oZf3v{X zJrm0=o9zzP=)E1S6T$0pTb<ETS#(ao{y?QkuQ}rir#ioT@VMLIg<!T0!?YiB52)03 z89e(xX9@><#D>b?vsZsVX>F1@_E0$`@XfQ|2jBWm<tgnxx2Kt9<BNi|{*!iFbl+wO zNc!=;YxUCG&IeRK-kK4%%(DBp@3a>Gt3M8;bRNFWIzQAtiEZivUu6eJlm8CKrFic= zYS2y4S8cy@RJ0~7K5eDx*NonOXB9)jnN7>NIJd{H?bLJMq+I+YXR@|v3OBR8MpD?l zl<FdDy?Zl*-zNwc=PfW^cf6rvX}y;$*VK!Dj)d7*-R%ukp1o!LM91K_7r4F(hAnrS z`%zHr-iK8+;fI&(>YW+x#ut02MaJo`HA`*vesAf+DUCO>az6f(eYHlm{>tjRx&`l3 zUabwC^xH7?7_-d!vr|>p9b;<0_xyT!=VGw~%ks@zqBCp*_cqPg6V0ogs=DX@(hm)d z9=dmKv0T&ImbBK8aou)?8QCdE3*?*2r79x+9aB2m{x0{~`GyM(Z`$Q&>7CoQ(JbS5 z!vCsuD^6<N`f)2uVfB-J?am>GSMUqHJ+pAC<;4RZ+#c=AKNIdbp~CAc^Q|Hd-^mBo zA6vTg_#c;+)f}@IDctRinKmWSS^8CVm>&Bk=2JK3UrkN>SlF#T|HApi+`lHBJ3=FW zTGbp-74ysu7byO5d^XGT`6dfkzotIjp4~AacJd+f>${#@x_N3+g~Yl7PQO<i?o;Nw zzwbP%;^D-q>-6}9Gq0v%Yi<|UO0T<_-Y2IlUU7Ai%Cv767P>vlaeH<~Yi9ei!)vt` zT9hhwE6dMKwRoZXH0z(%>pgb1hZ~MxZnxD84%Xn<p0{BYo1WE!Mth01#<P8MKKH0g zb3BOrGf~X-_wH9QY62yB$G-R|JZHB&Dc1jMN|shza{0-ly%StwO{efo-16+o#)uh{ zo|ovJsw_Ox<I&i~<GSZz%xlxMs}~d<7l>y+W}fl($*XNeo1a{GeXAip;@Z5}&C6bN zy`93bMk7X(dG3UjdlP3qt=e)+V9hB5>*<0Gvu#)Jv3XuPJ2vYQ$H%u1pHBSm6s-C@ zd`@j{)t#WwIkpLRBmP(gRZpwG{$*OV6Zg;P&Xv`T>z~@P)@K&oddPZvr%!&_+~>&? zChcFj*iB$k;IV?2F@DFj*Omw6UY#-f6noXARr|hFGfkd%Skig(*Orx@S6^QbU-Hn~ zZoTB@8w?dU1aekSS6g<)r_T1)<l;urq$g9tgN3i}^gFic*~;a5yc_t7x;Ooo+m}$e z{;{?ykG|T^1o?7bwY~M}9C8vHwb<g%e~s}{`sX!;`#H~lUx!(9KArd}{U%(p?CSI# z4?0@-*gqYZKDYSLdG5<nJEDK*y-+*2YHsPNgQZcydAmMuowHeABl=evPlCtUM5j4+ zViiSlU6mKlK0e_p#=qD&q<GQtO-y%!WR2e}e>5rTrA?PqLh8hiW!L&<T-b4W;_@Q) z3EzEIH?#Bn^HWd1v3JuFdq4Fhel3w6mltl1s6RI;Mc8H5f^|`<l6Ikc`4_LsvJ6@I z_EfxM`JVZ4&r*ZVzBwUN`qb*ZB}?(|=NU~xQ}0|_xcH<wXYBSH-3w0%KKQ83_ixp- zdv78%MRTUl(miZcwe|ltC$}YsFL!dj-v9mN>viTQnr&(&eySL@G}o#&9{Z{%+%m7s zZc^i>i4{xM>2e<1WE63?|BT76lS`QPY5wRi+9f^zL0U>#sac;vm$t!ezRkaWY%5uz z5)|?D?Hr}R#V_~v?3V4T3f2hxT6HUD?Xn%QwUH`IAGw~2eZ_P=XM64nhS20)k8Zjc zgcr(-X3kSRG2tLvf7>PIWzX9tKeUK%D6Cucp(7&5&+t!>>KbnS%<iR}WrwHL>@Se~ z-Bl*gCcfEIXWM_f*C7)(g?~QtGCgv8FSlXV#oa;cX3hTgCo)+&rfJ9Fk52bl@34N- zbICW{=|92N>k4-oFOTNr*^Mg~p9*!}A+%+x>h%o|@3a)I)SSOYD!{2X(^PB5(y5!% z7iDv2IJX7=`4{eH>HX+<>$-$PSBi94{E8FaSvWEtIK1(vvBgCd!;9*Zs*)z_oI3w> z*(=r=`z<;0byrJI`9JwQ=hF)szmz1l7P)99{W{Wn#rS4hb-m|V^&=lj4l4)w=xnt6 z;PND(=u*-(Rcqfq?ZO`QW2;{ltW`hxVDjcCVe$tz-aoWOV(IqgRP`C}6I$-1>p1=1 z;cKn<;j_vb%ZtHN)v6Ou-B4_|k~>`7Ubg=8$0@sOS>#UMh_RXVzS}1`Vtx6fNfHtj z-LJ3IyM@@Nq}}?n-lsygtK-_A$@@~{&*!}rDx21^kmcX$+8M9pVv=Uce+=U>i<t9m z_m*v`@c|PIE7rbGpQmxrbo+<PjT>&SE7WN8sz18&c1n`o2c4fc0>8g}fA8<ZlVu@u zr+e*IExrAb?L_H>;OYn7FF7}Cy!ef4<yq0Mb`MUx-TrkZ&;2hS_0*r)uazs-U$vy> zliUNo>A4l*Pc)5wtvl?!#&}6gQSiinQ|nlGGGa{MM1Mc;{BP>)+d>P^S?(|3Ur~2p z?r{h8))xWdx#yXLug^Fk;;PHnUc%`fs-om7QE%S1x+p1h_U8OwQ(pYLJST%Mj@xXm zwM6az!l{bEy<3H^tj{iE{k~tmHew!&&yzhOyR7c`AKUL8d_A`Fpqr1d8uP&$^G$6Z zyftAKnyC~%>yr3f!HX9RGiI6zR`%@9p2l{Dy|KP;Gi$8#nuAwdxJ93D&8xalC~|U5 zty$ljofd6NGgN2qta$g=Ttje0x_$B%Nyjp$>FecIo{M|adu0jd71^b~w-~>@R&?~? zHEqQ`4B9;`%(ey>S>LKIS-h^Cd(XPXGb&pSHr-VyoAh%{kZt;ZAFr))-5)kcwlvJp z+HyO(X@|q-U&ntrCdGK}o|s;+{uf)}r8MOSE+0aYCHxl7bJelG_<XkLT~YRP@*1XJ zioPyzF}O2fr_2Y(MIKVy-<Eu~H9V_#$oOpCwddk#XFsR(Z;PM*BP-)`M&Rc>kNEJk za-$QRhvzBHuHVJ`RqD^>Zjato`jJ)s@+DmM@++Kee$`DjzW$kes)Q5k%)}Ymhb?c_ z?L4}BjY*#7Gbtl=i=0%pzg8FS9^l$MXUF@QMN(IdJvl#^Nmo9qR*vi_61>#&r#z## zq`tKM{CdB(VsB;6U{lq}DsQHy$_YE&6#TVaPE*3y(JArF0=}gitbROA`)d(*hVPZm zJ6p{&7QN1|3g!ncST47nb?QnU|NW1BqZY6gygB35cC@@VB)IF@%wtmmXU(w;4Own~ zXQhGEKXuk*8>YLCe^k_dF}icc-f6M=*swt>(D?H_uZJ@vBJ5m^gCs=*+vBfJ*8jfS zHh0aOlU&Ys7i;++w%;Uiyk(B~zBlp{C#1RAo3&KOneWX$$#1eq@3pzb?&T$g22U&B zoZWTkbXBJzOX^h?Z|fxjeXMV_f0+HBxk_Pi2It35#Zr4W7k-E`+EaG>e1`LiNU;w+ zO-a*vgYWg|tH-`Nx<l^Pns)&w&n(`XA@wDGUY3SS&2s&fhaM}=*{>c{sN!Jp?cU1F z|IfwQ8K;RDy4G{|^z18GI$iBQ$FiPVBA4aVwSO%Cbx7UikJ5D0&y4!zRzFTKmp`az zaJeDNB5M9Bhbc(a;ftQroA65#Laiw)`rU3a-p!6TVC>Uf_A&i)WqRl4xD=7Huh(67 zvugSKpWS<S<0p=uUcai|+&@7T?ovtFlV>$7F!qnVt0+|auq@{C61~rd)3@;PnkWWJ zJ@}QtoZ1qvt<@HhdY<umpiqdrBjb-p4{kE@vG=D>IetR!{2BA=T{AasN}O^sY{KJq z*+tJii%*@Z&8tpexbS!R8F@E_#YHLh-<4zz*ojwcXt?Zqc1f-MS0&E7d3!iYGv{w# z_C@Q{^=~ZOIDOBo=u-QsdX3}c-S(X7?vEet=VzTW-u<iI&ZIF)-L2)z<lP>QwJkQq zoEJUc1UI=&?`M2HGs#uGA>d*9WLdS<vm6a(uhu^Dtv!+T*A;F*g=d~e)3~mFHgBBq z!R*o7tgj6pPp6cXCT~uBJ*`gF<o&nv<##3+-;^)h^r&me<DeBM|8kh|Es$)#m=&}% zx=Zcl>DPPzwwb#7?R&(QDVx09-hQJjqvP&1+@-;0(f{U3%FYS7KX0M4%;bI1su%v{ z1iF0>m(gNfYx8KU?U~5jnuD8i)D2c`_nVn>d2V=1_k_b+H^!~1a_wiy)>fR<^_^`q zYwF*hcIQ-H<q9#fHf+2ja#(oIwC|oJ7J9Sa8qCOFJ8$cr)RN<#GM9zQ7W8rVq<jAr zl09uWt^Hfn!mXXVGv55U{q-Vyn$w)+(+<D8pBM9|<@55??Q>gV_OUaDmlwMWe`?6i z{LuR3o&VIJS4!*oKh)@d2=9IJ?Br3IQuCNuJ>Sco<SU(sH1+wo?xFvSS7+ICpZ~pn z?#TRuWzXiv$X?NK4&`Jmbc|p9+paYI!2U%J(_NPE?l5>*(#tT>A@)=^H(%l1EzZsz z%))64^**lh-KHJrbM&b}YH`byJu9xgx#{aPA#-Z++ldQXPw>f1T|7^2`})c!M$apA zxbrQzmIOB`X-qe+X*|hlG383|q{Xe#AIiVAZtrFLnJcFtEBs`e?2!wbbZg3+rkHIx zck+y_o%)-}m5aW$G6vuOJo}cO8{hA1zm}YQcu#9mMf(;0xdw+>6_RKC;55}PHvI5m zDxcY<n|)7i1>9&ZNx7ZHCjVP=mi&=i-9CBkw49gIj)Xk=bUJMQj9b4YTat7e{i1>l zbXgr|??3iwzLVa?g_HG}4f5lCk4^8orF+hMU%IWp>vTK2V@3b@*owD>$!_iJRq=Rq zZhOwt;^u&^mo5JVcoJEBk^-vU>(njhx0|5N+TFp<f1ZbBI=|gHQ8vBcr;pWbFQ55h zruU{luRT)oLf$TA*J+);J0lJ}PJ5~K;U24;U+u$R7L}jQG#)N`x~Khb>?x-t(+tVK zYQ`^|b*HPc$XqIuNZoUCij|7}&JzteMN@XOXD$D?CHvtqYrP$_QZIIITqMMFwEyos z51v~N$8Tgdeq8@+`d6(LlN{@wcihTRSsfr!At&S|nRn0HL28+GU*j)s-V>_#6T4@- zUQVbs(*5H;>(>#R=M&v--n=XSiH|QqR_;%j@v6sM3z$vZ?Wdag-~4H?PpmfcPnF+u znfm|J1RhSP3~#DiuI2H5NzN<D^uGZHagCGj$>;rz6_^mWb1&2J36ks9Xi9uIQ=Vh! z{rRQml+SlHUdFYq`BQzCp(K-or>R}=*xO%Q`mDA)U#Wj`l<oYy%qJUC^xqZe=k54k zIahoW$C@d!!m7H>NnJCZTrG|6J^xB@Y0A_24mo{y4js86ap}s+$Val_rRNlHH3(+f zO_jA}UZUcxYpHQ;qLP2g$%xfW+}m27&Yhg`NVH^oibz{&vC@UJ;h$GlN#t^;_jl?9 zNxqYrIw7rpb@;)(0eL=axYD*f7tB3(VpGJdOqP}_OV22V^Owxy4NPNrsdI5vYlF&h zHwEu?D{EeTG>Dhk7+PoW!SDO_tL$Ra*2PTdi+eo(!A@b1PiOW&y%6*CwMHGcd<1)l z<-VyiJHyL1&tKwo;NXO+v%HPs6Flb2|L@&wz`<Ie)$qFWX=28bzs$_d%h?i)1ro%B zSJu4RcW=(x##<AoDW+c*Ra-GbXJ%53C7-<Lq27D0ED9GJZ&+WrnXq1-t7%4OC(Ge3 zqqbLW`?$D69PQRb8awmszn!w%^t)xs1fOrh_Zv9QoZ@~g*nLN@y^KHLTN&GhEsZms z6mGTrN@6PMiRi8mU0|zMr@?uvPg8hpMW&mNEECtVvJ;`+-W(qew4RW>BG&$tWzKny zg04UPd78i0K3%ft!|~a*lj?4U*{L{f`TT|7IaKAE{_hRi|3XqT+3trZ8@PYJ=Uy!* zcQt-*;11mdD!1w*__?wji(fd0i|)T%-0`Hc_~uXE^40GTt!wxf&&+yej?Dha?2OVA zt24G#U!69Q<DYoo*6RXm7Zuj}bKkgCq*=P_=a>IyR_@+)N9~of^3nq{md{{wKk(GS z$5Ftc>g#W_Xmi_F>h`s2mrqPoa!gvdP+`J?0~h+4T@H9ScuF-0sc?8cTzG830u@dv zmk^G`#m$WlACxCJFj%NX?N}fF$?NTn_jZbk92#27u6RiJWEy_(&^S?I!6U}Tno}#) zTBF1)Q)|`w<4cBwkB^ls?_ZXgAr%oiJ|<dLPgFcK3`~S-Yk92__6KlSYIz8x*vJac z^sBU;pqF-dwbJ*poHwhcrEM?K+5fjH`19gWGsg=DZ>}+V{By&kmXt^7Cg<AsoDH*b z47j);Ludb;d7IX5(Eb>4_Ga$6vv0j-Cf@9w+@$yI{(0}YTV5~umG+(caI>!re|8_| zI<Gqt&a=;^F-qM(?6;ct`}BLRiQ%5XiQ-;2s!A(UcAn_JueN)QW^2UPh|KVonJc6Z zepj>BmOAzK?3s|#)RZ4L`+dXtmVB8I<9}=uTh}ALWN*21>xxrTvL@}cy7qDZM5%4d z0xUdk^>nuGVv?VJ+q3RuYE;pb=N`W|Pg-{N-In^TP8GY>@$%fbs+4_4V|lTp`79Ob z4_=?6FB&Wk-xg!`<l4qh!Z{%v!Z|y3<Scr0sJT(6WY7LD89zNdCOlR>$;14v!@=mz zTgLA%=X_L3`7zmz{g>Q3qmGw3E-MokD=jc+Tc99vVnW6Op`X=H{{2;Ya8U4pMzZ4~ z&N(wKbZ{m<T*CZ|UEzDdgrf-}91m}ae2fw~A#mfF<bm#jdaK^51??<>IiAfNoUDmC zE-wU5*IMaEGVx5=^y7iuMkQ7!&X0B(86Jg+3l=J|zUgt<UMJ74ulJDUWs<)z|Lf%6 zb&f{zOh;<h9u(zx!F0f}MMG3AsYm?#p*zPWnJV-=x%<2{xIE{eiL$5p%hQW^jy!$S zcB*n~e89wjCvVi=v{mQLp7G>v{$(+K$)~HYtNHfD3Z8lUhHWdu0S@*C)=-Z&Cnd$7 zj$HL&&jqF3)+j4?3i)-Ig-rjwe)%5bL;RhUGTz)$YE_2U*Z=qUxA?`g?-n8YhmJRe zzkXtS_d2g{v9bBgZ7tUA|5jS0^sZc*{j})0>f+yBQ+;@Y%LSjmJ{A2>eOuJt)t~wo zzDYZ`C(LilmP68oHxu2@w=c>(uA+H$#^$9f6^iY1meut}+`O=*MJAYY;_pd|P8r`h z@@1{i(&D7ELXLU0{OPXVe_sDMS#+>unT_GBLvF2?Lps)NTp94Q`|0&d3{PwBG_8of zclMXlcYFKuaX<C{9lyI)cK@Z_tQP~!1dYY_%)EGiS;}gC?iFlew`Utw#s2?c^ZTo} znCMlb_s4x|jh(_WRy}#X`k2Yx)SFMZ<~++{6_R^f^heIPT2%h6ocr(NpEc^PX#VZl zcX`tNFBkq?@Yv^kug>XEoZj<&_t)I~ptUS&`O_C>+Us{cd(!e@(KCq)W@%kTF|*Q- zZWcSUS<2<3*~_TgJe3mB?dre76}J9;wS2jeZ^-`ZvC^*W(aG5h%%W?nmvilG<4Mn# z^YQJiD%9S*B}kW}BsFxWOHcg8Yj6K7dAB!L=v7V84ilF!(fnldh|KhBs&m73%<A<E zG1wg9?2^U0D2`{3*8P_zJzrhF{BB{ozvcREqX-k<*HWeXUmlNssId2Gului8uSG?k zpZ@&%b>mJi_1hZHSMNS9$;nwY`}OIkS*DtNSD#(o>b6QGW$Jv7$aM)1?>&7{me=`a zm21QQ2`#J)N{f}<rdT|X*S;jvyzqCk(jn#KNr{sbl7ti&9diD^-RYQ{o2zTO(m~gw zE&S5_Aq;M}&q-g-b#lCFv@EoJv&4RDxvL*~4(W%zWzz7;(mrDrvVpzMJ?n(V;SI}t zI6~$Jzh{!teWe?*Y--B-Q~GtMS$?u5ZvQrW-iiYPUZwdr+aK?{rKoPS_R}fLFRVUB zt>5(T{q3A$EOSz3soRqhC*Jdg-@m+OnyGizXTJ9vy-@C74}SL<EOdO8^8FUC{?T~% z9jEgwETf$Kj)g2MeC)F05How}EV%_?N1uFGs`~J>O{+P=q)9VaWVzPM;M>(!`yRh+ zN}n8BpW>vv-FBgxq?JhKvlF$R*Pf;Qw#a-pXRBuD|GB@HpXaf?^Z4VQ?{jn%&icgt zjT2inbNk<#ncF=lZEA}YyZz?Xsu{(GT_>ymFdg^de!I{6@ijNeC9(V;u54Dit=(b4 zwo><{>E1RwMrGakYKPx^`s=@V?)l7*=|34_-lzZP<hS%U-Ek@X-K8W)o9^E=Vt2C^ z{t+=`Y;2Lf)moXR5)!fCf%R<Z@W(s{gT7SDw(e46Fh9a~(>BSYl38Nmo7<P`cj`C_ zdy6bin-{U?2$NskJ4>Uz2Q$6e9-e<*YH-xieccw(m5Y|0zp->hcBG7>LvHD<*Y^5; zb5H1RHkec?Eo8LRB`#Qh?}kgWo*XLOpZafoa$ng-foSiLgp~nY?+&eOV2-YBv~~Hj zXF;FVll8NAH82Hu{j<CoC(3?3<GtCx@M}_TvPG$Pl5H+tGX7P@-}b}1DEVi?{9TRq zeK&G<$%r$hO!)A$ZN2ow$E~^NqJ&!2T^ZKMKWRF7>va3i-4?CldpH;7y>M44XNY-p z^hS8jZw<?nhF*&EA9tVNd@pqIv&~$F@B3!U<rGd{AT~8VZ+qn<-uPJzw^Jrs|Nq&t z^`+0L_aR62xw&1+PW2YKvD@ToWv6qBWqsqzkRt(dHvA%dA12uDG+fd4xK8q+Vc*4| z%}e$#Jh38zDRVxzA>ZeFA9~)}x8w$7Wlt+NIdnosb-~<X^U6t{+?BT{F$CVoUs@z{ zRMI_I_u|!^T<>n`FdekHGuQsVDAT>=5gm~mR+-outq<pCc)!%s>&`y6GS1?4i4~r_ zW}7vi?3?v%sr0ww_RGADd0Gu7PiX4#e!av)AnW_2>)+=mG2Ux5emwv9?Hy<N7cPmq z92xwKk@MoIT-|>{Hwz|R?=kh8)AExcRP@KBIM>G8Oo5l)uUtO6zqKWQ;(5KZ(u$`; zRxNX=Q|8tY$duaAr<;9A?5X&d*gb8Ngi}+JY#X}wXFWX<k(>PX;&}<3jhs98n4L3G z^KhTQ9<jo!{!QVtQz3_5IO&;r9uKI=_G!r#ZGUbO5fQ|HXu9o<)2pltEl(dyb;(bC zSCYBs(y4QsCZt*H+`s(XbcK02Ti%^Zs527OHF_Jav3%7L{S|%!ua8TlhcI)<U;4s4 zw<AG$tyo?rgINuu=EO^1rg3g^F)~m+ntk0&a@&W{H&5Ku*l*9Rd_VKmg0=oGV$EwM zUYJju{o(6l>4*MG2dCY2K0RB0{U52Uug!C-)pPdm`(XTJ_9QNUE&s{kNuQ;d*KauK zle$az=gO7hQ`o-;U$=O9&)%NjCp)7_+hAvNxBdZx@EP?-L>_Xr=gztzdG3mz_s_X6 zi`Dm-b!JRUneLGPz4ygYY00URwyEiA{L|XffBy2n?VHZNlq-^&$Nu<Slzzk9zQ2(h zqW0`-Je{qZv?TC?^|78^x7M_j9`39Z@MJM*l0WC_ZzwIRnwKP(`|7RGqg_ru6E10= zYGmCpF+rtvU6yhEx172M`(~X#(&*FOoKyO)a3}wr!=LudX>U08twx)nve`oA$PM@3 z(mS}8g(^Q|Pbv4+eQ|Ajbyw}1^C{mS`Tq7`h`w>BMS{;pP-NrHS6MlR`~?XzGc4sz zE7&Bavb6p;bLq>{Z*e{EpuK$M>)A^ido%T|mM~9J;?AF;+1GJTf%Dalr@YDb4<!yt zf7^43cW&oe#>3Oyw_M#|FPFsjefNB!{gdo`BSLc~AL1&1>BRH$Uu|-Td$PCs8M||h zQl52nPE4;q_Z)0EXnV@?yU20(&A$$=f8HQ$F`+5P<$5^p-=(dOH+1c{Vfkt<xTXCs zo5#m8pQZbxM9TNCe=^Z=;ePhRjE(s(EF5b8iPtRnEnV}HQ|OmTQ1u3N_g$&-SNaPM zOuT<J+<c9Cq1Ht6CkYaD=hr$27_v#O6tzl?Gk4-RwfBPS?gP&Mi-V^;nr)*k61ckm zZa-)7KArW|cb|Xki))PRZ;5Z!&5wB1d%rT$H(ED%@x8O5V(%~YE2?ry?O1=&ys~IT z^Vv0*Z|Sk;ANut2{zHREo_~{@HtfmZt9u-Jf8W_g*Y~S0-?8~QJuT0B)r);nGp|I8 zY-s&`AoPLsWamq18J0Zu>r+J@T0}2g|6cmvV&R_eYtt6IociOu?v*oVeD}O-uYdee z;k3Y(bHDn2eKR{YW0K}$zMgNay{np59qPXN&oS<+@Fxcw<sP?Bn>Lxcv47=yIY+hh z)cp61f3NQ5Jm$fda`?HsGxL#-OwAqrvd2Z0c&}BZTJ>;fooRkMF-`knU;CNeYqJ}( z*ghWOc@`4&aE*-M%FnBAmph(S-yisG*1QL=6Z|symYVJ~zwG^6CN}3n=)pJ3*8H0x zz%p&c^!a)^4_8E$Et-36UhUdxKlWJMI`Ckry~MsbE1P9c3H|>ix~c4hM8~~LVjnI} zk?r1VzWSdN%a*fJc7<{shM)J$sY@=@fAHJC@_^Q>*~$fR1@7gWMV^%Re3Q&C-=e2s zTFzs+$4yMjz~bA?tWVS4tGjIpWPS2%(!{RPum>^AzlD75-Lft}^Y0TqmIs{cvh9D{ z&Ya}8Bevz!H^B`{WpDI8Zg9EXaZ&%L<hA-0$NQ^n<7Y`64w}7iriGKphnK6blnUQb zIP=s!y3pC{oOqJow**sRiBGHc?$%sBS9w=V6Z@UFLQ+;;&+q*?_Qj^*>!<Ew-JA)l z9{-q9wpVN})5W;r9iErGzD`znz{)Lnzu30@oxsr@i{_N>pI4@BELPk1KrK*Ya<f%Q zTO<4Cwy4Rad;huiY0Q*8{byo?=7O+Jk;N~cXS8ozZZcIV<zQk7|NA{TMUyJT=VrgH znkDmzo5$(o)7XIN$%p1_`Vvwb@bLB8T=loF`D^|cnrxe6aKCxob)WB!#>-m*%lv25 z-(+F`Y1aAjKF7*mZM@4W*Gud?WOMT3EBOn-+kV^$uaG&;e^6cI$!`X!im4HiPgQ2V zU#I$B@;Y<&r6vc_If_ZblXf^B{9HD7Mm+zN_(`QRCt4nfJ~HXSjf9zMC;u7i{PT~C z-1q#PukE=z;?){f0gAS}E@c`zE!GHQoY#AM>S>*yVzv`>f9z`C_+6t(h+&@TH?f=h zC6gwWM)XN)Ds?)wdfo}Ub;EEyyIyKQ_BXSND@>_>>TE-qvgK~1sx4|+C{V_KsN2?Q z_1&DX2`77FVk7O=z3}E+x6D{p>QLJ4_QWLd+0kbv@)_-2vZ!4sE$a4$OVgJ0I-Tq6 zS)o5G##b_?K<=H!dP{Em<nPm*RrmjmoG#b4_|g)i7Ykg%4@ms7+s@wbAyMk?KM8rC z2|pH!=`~yZ<*MJny*+A&&V@JO?gm@m+;a15xZEJWIH>xI<WuG^dJ2<gMb}*4aH-qy zkjFwbi$$%TreB{r&Ya95EPie0{s~UTwpA+o68_4YeADW+TYkpmz|!uHPt0ps{tHb0 zSmmNpw0~p93RV`W%vbANwG96=x!)}4+R!EWH#p&`63gYgHbP=$^E{=aAKNp@%KVJa z{c-PvnQKbY%R`cdFP|#aeqZ@vs#=?tJV)o+pK%xDmVP#!wZB{BmdR8RL;s)OIV~@& zu%FgmvZ&6-E%u&y!_V}s$5u5eT=>afvSVGY%*s#SOD{aP@tCXiet$d1t)y>#Hwyi( zo&6!z%h0@}>^n=~jnw&E{{+<J>!)r07b~{xvT`7&^5%H=cPn^G*)O(yTkN*!S)8TU z)U{>?2SqE61Rmz@mRsxBba&(FnCbdYJ_szA`?`C7u8ZUxvk#lguO;o6s3qd?d%?j! zd%f0OJNqWea$ZfIp5=<pX>&Bi0(yV_{MkAC<)2%N?iAQ;%dmd#$(M0(UY%LQDMi1N zYBzr+d$>lHm#T0FC+v@S5Ln6V?-zY9|M;qdrD+SM%jkXhc|+u5-HGEK((_C$w;bIs zwCm+glarwld2R=d_z!=1zUPpBROTd}>1h*I+DudrHCn1K`SiIATV3g~E7P`aUn)2& z`~UR9%CPK>to*5gF5Rn_-xg7<y2CT^?^LO_T}#UB59ukV^X!t@_o3@jyl>f(^V8Vx z*_T*8Vsza#?}hM5rPD%}LYeqpe4KDjJMHSpAGW@&vo?5Gn%i8A)N-q@d~kT}{jGja zzed~VZ=8SKL*Mw5rCj^Oi(%2dO$*y)rVHJdS`vFW^vBojbI)n~sG2%maZP-Isa8+d zDfM$}GD^NmyEjdKc=)^e`>2ep&x@~|WG(;1_fxRuz+^?HFoQE6ubetMlY3+L#pUPB z89u5oO*}C3%y-$w8T&00e(FAq-Js#TFM8kM`n#pa{=d9;Bu2>d<?Yk8=eYKLsayX* z=)oSLKaO3GGFCh`a5-*o`KhG!bO+0C(`_qfnHG3D9I1Ny(p-vThDQAq!J`JbdTUoM zxbZ)9t8`(-mXw<cZCT$ec8kBM@9kT6qU~wl-luDt9$fwYM_bY;toXpDr5gigy1JOI zc(vABG$jA}Oi9U|Y$fVES&rvl-ff$aweXSmJITtM=KOb(gU>YVv@N&(am|Edar2sP zr@2Qb@4a<r#>_eWWmXsT+4{sxR)1MNzguO#^X+Pzgw8kW#_>|icJzuYJUL<WR3EEk z+dcK|)v;@z`2Lb(7M-^B!yh*RrP51XqIzd_mKaat)Gbt)T5h~3|2|uDVK|HYi7t)} zdJi97bXj-wN6xj5Ib4B$np_*ZHq6_daYaMmyr!UjaLycYrP(`Ll!BJe-~W((rs%o9 z8|2n}ddv}=UE><-_n_injmH-c-_P^59lLVtcZ~ZRqd&D1GsHfKZm->>tPo^=Z0*Df zm9r5h^LzI;Yp>JZJI7kHVCs~fl!A_L-mmWVcgjwZU(5gMmh9Ja&Cd@W;oZpfT;-P1 zx;00*&c+xhyGLm)UF+1{-m~_XiTRD*4PgeJD(~L^y>8B)wWw`c=dBOdx4*w0nj+nA z<7Aq2UqGS7QESRwg}RRt%sd)97b}?CF5h;RjdA|RLZ`}ObL0$9_t@B-dXs-AxhbpZ zt1Q!IgNawyHnO}}eCJfPxR>A8ed!&adlULUXDEMRi=Na~Uw?MtcgD<)m9sU3D_f^M zv8lhLc*|c#|Ienw6U#l*1m^diIRB+weR_OO#~RU^X5QCte=S^)yJ(J%td&#Fni-u5 z8&^zt(YR;I`o+ytfBaLOd-I#&#EWOYn)1s0w08T?z|Gc^IKk)SwS^1B-Dh&Iwh@uM zUUv4$!OyD%<X2nnyWIFA**tebrb*47)SaKc=gn}dK2vTeKi9DO?AFWGEvHt@?D}DL zY{kJ1f%jWIHm>h~w&R+Y-~Kl&sxK6Hw}1VcFloKX<sH|oK5pM9QWzmwXHYJ6>fd7r zTcJ0pYW#P$rL-Qq+cvT2nEg}EmtV@h|LR+nUK=#w%@&1yC5jp;M|JL+E9>%4*4l3Q zre^nkImQE7<pN6#x!gm0J7ienp1t0!vSiANus55$jn<j!IQMEAP1qr_rueb1MC<a% z*qaXA#g7YrtbU#l=)GIvSLSW@>Hyyr)k0xv(^PX0-MMW2KA$O1|3lHfm@6Xr^4B`f z^o1{v&}}kh?v_w8Q?8xL^HfA>%RGNsp+pm1#hqU(vqeH$IK4JVoJeBYTKRGHw0f6_ zz9XzziFS2A`c9?2t@4nI&D*lC_5F<gyzQ0|-<Ks$c#!6PL%;vnQK8t4r5PMv{&(!l zx-t`X=>-(<$UUq$;nv9)C2;dfkJT^b_Y3DK7VZ*Vy@5-8_n!3k%E_!@S>ahz?#tL- zTYY<}+u~j8jz0gWcUPQKonPs1=PjpYb0(ZQo;|O9hVr&`3!9pYPj09%ub2Pl`|Fs` zlB)~`f`3vk*YE94FYmSf-TbHWsWy+u^emOCcRysC{p;Rl&Hn6>BBC3fJu81!xnpK_ zgOpi`Ec3Y%&q+0kWsBtRK3y*?xlh@5k?wB$r90OeTzt4r<;$llJ1QjHxZ>EKSa2;Z zPHwmu)LnhqnsIM)a{i`mEX>+lm#e8*75(P=fAAnv!bP^&-EXESMeu7+lKs=ey-3SE zVS)4FDcT-)qqVMg_RMT9{u<V|^UU#m{w`XQcduOMU*X}tDqKv};nJn9?5<;<45r^L zbPqIJ7_{Z6{;tJ_77xW%J?oiYXlZw#KK-ASqVgZF^^X0&?c5`NuCd#xP$&MQ%BE$7 zxJZRosPp{paK%o&_H~OztDC}(D@^p7CdK49Lr?O6+KYTkj{Ys-s^{3J`L|RH|J(7w z$+%zmncO?2*xd#9#b(df@>(Q3Y0~-qPcQ44TvBQf`f`cS|FBE-??Aa_1?neQtyym_ z4zb}}|2Z+*bM`5hZ_?uT<Nt8Zsi@2D`g-<>zGr~y#Y5sESxUOETlo7IeF~^qc%%Bq zhaXd}Fs*H9*>a*LOnSFGw@c7PUN)DKRi2&wORu`#*AsN`^0U5p>B0$%zMHdlRo)J- zk`iEC7<uc}^P76llVk1WT&A{h*o!PVWbS?QNVg70rMgN<RNZAG&ij&$f8*y1y12FZ zTvKDvU8*SY<(HA#p9BN8Rj~n`oJPW$CzYzJ+bkS-6l5Dxp5MOq@cEplAy*CW{M%}| z<z|%gj*}<P-B@K|(>8I5ly9r%m+Xi(QQO4bTS7S|1@-i_w9K6~Z?&oMHa7E2mm>j- zF9f7@SXw>W9Fb&OZDFC6<dv#1W44M~tdpsen(HF3mL)+?dMy+`awpwAd3y8dhlf{O z&nS8OepVK9>c`OOUwNFbHJn^x_Pgxys;A+?8Wnfd3(x&B^Hb%2{qM}>(l2Rk7W^w` z&fJ-unc(Lp`<mN)b-^8<3c=Ouj9qtHPkX_Uo3n#6%gt$1j8n}d?Hryhoox4bBe!Mj zR#NX2KG`{C@*~5;AEzkKRsI?HV&XN$--$mIW!dafcQ35H%a-r$+x^I9_l9j-%y#(B zyW137BKvw-@#~J#>03|j68PQsZPvCA!E)R$nLe@aO*5O^!d6x(<ePY;ywGh*i|=ZW zRXpFWS&05&C<^=1z$7p`;G~L=s!Qt5Q$g-qS4~>6X#$(O>BI9Ie|_;?dU#Xe(w#;- zwK_W#KL4?BeQv<o$?KWgG9xun*)=7xrNxqS<C}mqmz{=38_x*p%sSg};=<g9Rq;2! zpYnfb{&4rR>Z{-1Jb!zBL;SP-liaUOzUMDs)}UY{T%vICe{#hR-j$r(8`kq11ss;T zaGky9T5sOWU*{)yZtBUH%aa(Ls<STjMc$JMd!D#!JpOg^RM^fHC7RyX8@AWv-s@Gb zJ#K$And5v*Nc#fyTUwFoo8q38gm#HM{kTcYv*-3VwFiO5+m{?>33YVlcVp##)xosU zyZO881w~<VtG~g2XWG4V<XKTwyQJ~P)TPYjVu{UD7ae4I+I?7AX|u<n2rd_w4<8g6 zS>N8UeE%-v-{0DwziwQ3uz=A;=f;Hz3m6?z6ejNEO4Lx$Q25U$DJAnUK(yusFUzr< zgN^qDBWLq$;{8?s;@9aHyGk>-MeZfuN=!>j%bPKC=FFLy?9ypz%hWO@X1C3pIdfrS z+saDY=XVN^f8SepUq))1O7!Wd%ct#iA}<R$3j}Sv!1d^DKhH_YR-eP0RAmGNriXoq zm0chxbfnUgXK~aWw##qaBAe2VYsT*GaBei2vxFgZX4WYazMX2NlGatCM}wcai^bP8 z2|Fkr_{%pd-txn*D{uDLJ^PU(8?QWx?aYp!R~U}YJ!Wa799e2~#ej$D+tR~F>d#%1 zx+?haJkN|)CNs03lvR_i-s*oU-}z%zVM6#CG4+cj&xK`m_J4gV5Gb8jBx?JI;f3%H z%c}c}CKtTUmAWy-De0ZBzr)u)yFH&nFBh-r)7X*y?()^Nl{1B!mn12NRq)wQdevgp zpDeg?>6&ZlmOHifYF1S~y!hAIy1VUZ%aM!kPwo3vo?L3a`^)WF@qczHOM6bW%<Xvb z^Jm88O642U!ZOpB1els{>pi}Dy|coM=<bU%?(V)lP5M4>uj{v3UG;URJbRb>XwKPs zUGm=+aR(26r>gfS|1{r9Ir8sPxv_ortH()i4=_13i>Du0Zs58&C9yZ@u)@<1{Yop| z8oXFDLDl?t<NA`08*;6IZ#L$11*kLfY?MCfdtl`mziEpu8o6ya`pz-xB8MA`>ZC(8 zp{LpYdENcJ`#sCN)OQC1R<C6auVA0xeEyD2(et%?0zbB`Z8`lsZR>}ar8gfu`)#>t zjk`t8n)CHd^5#8nmc+4}>rXMe;qd=Nf0Ty3arQ*@?{8P_(wew2NOFd_`a_<I!>Rv6 zr@aeKKEL<Np-Cp0*>mQ`=(9gEsmxuX+#b7SO`VbA>*#k+7sy1{Z0p#nc|q3i%<0v; zq%>rI@NHXQ=dZHKYvYFh9g*2gD+5Yr`>gT$@;B7>`y&%eL%sBSXXdf;gvW|kJ7^nj zTC9~IbZ?F251y(iD%r7ref&C&UbXG3`Q4NrJX0|}GNyUQZN<BSF0cPY<m>$|`kfa2 zUYJ+^#XRxuuHp^fewF@7vG^OBy20XjTEMLNMM`O(pBrbK-hJ-%ffHvYsz<myRJZG0 zm0z7V<FQ)H`-9#08Gmvy_Hmst7Cl>f&gstVB(v}Sxy2t`=4kI*IcGw%?16RLW6QQq zix0TSG;5<v-;?z#9E$(z%u_O~y`@`t?6a7a$;x+KMJ3(Maw}5HeF9?+UR@%>QMV#w zy@OiZz1S?~nTt7Rgo;a5o%$<(;M7c|wyoP1CVYsV$d#eAU;VCp>jT#*E1ziG(wqC^ zQuB|Jw!0iEhXtBen;D$4`S7K%@<i+Q{(YW?1{&-E8Pn?(rp7K<x32i0!M_*A`J&B% zKH{P8%NtmYb?>ER{J+&CqaG((Gev1~%aMhh9%p-0RP8=+3NK@l_utj1&Zs1IFVB_x z_<<>M4ohdhcQ|+7;rdxCryEZe)mHvt?k%d8*d)lOv!_&u<(Nazoi^5erp<*GlV<JR z<gB8UDD(E=`ltH;-`#p!P`_?j!3B?m7a6}=)M8d&$_g@hQKpdgOYupgVMf=_;3Iuz z3`{nQgeG5{{$B3-9ZAV2<?C3t-(UVvZFYWYjUx}o;oV1;?sOK@`?Y9ufR~53V|jaa zO*2nd^mFe2Ck5hU<T-f1Gj3e1q{NW;iIH&yQ>Ea^T}|O1pEh^KG4B!2HGOT&bW!W% z^@iI!1zNN8m<04H1&)Po$Wy$!b3&#q(<P&z30LnKFVL|+VC=qIG$V{d;K20R%*T4p z8#-5?yjShRR8{nU+pQ>PqrlShe7B}Cuzb|r^!SVy<DQT68jq!mDAXOAAH3iL55wHI z>!yA(Rc(;?EHnMt6d{F;UpUunoG-fK$)yJeX5U|JbAu&mud|p~Gq<CSOT+z;l5LNS z?j$#~EMQvT9QKgWwdCK0^_2m;wHRM19({ZG=*jx%{|!t}qi=22jy7sk`OJ1B-(~~j z!iw?(&rDnHDjio>IHv8CFF5n_9?Q2IVs3H^JeK8JA~s9D=NbF6_^-LkzPpPbn5TEY zE9Izw!`3A+ik3%DEHFI4w<(RW<JekXm5s@jpVw{(kJ`Y@w1~f8?cEO!c2|$@cx%<M z*Gb6Vp{v>^!0}_w)i#Db7bcmToRF2DaQX@F+kIU-X7870sr|)xH(Ky9JFiR2%(Xf5 z8JDm*eG#kWY}I9Ve#3NH=bzB7{daGuUD_fn)YJI0`7Y}!Zk{mNFDpNlvM<=R<7ekD z8OFlR3(m-K>2Wj%{ZVHWn^3X8jU^~*=jkY2!KeeD7#vS=mVf)r<RX6XdGo<zPV*U# zb%&WRv^H`pPiSt9S13AgeA9Xbx2p*|6~gor%T#4N`tEr6<aM;pJg@jb!0FE70|yR? ztqq9SGkJLv!{_dTlTRMXGfeX8x%7U6f5NR(UoPEU(J!#T>8?xtrE-a<0`U{C*N6!n z5OjM{`+}Eq!Fje_UAJ6#OhQ;~r)^N@>xgxj<Nvy!&1Hq+%4_^b7=*+pOxmbia4<)d zb?JG5od>6iwl0Z$`14?Xk+V?lMJC0q#mYkW3zZbATMa!w|G1Y}-u%-gSH66rh{VeW z$7ZEJVu+qPW$A^5EeYFHZ+3okYMiipQqz)_dk#D9HDm<tv&=V1{+|>0;r{B3h67oF z_Z`KxE-bIMI2OSy`oPw7&Y~aFynZAzdS6Yq5HDESX3K9piT%c!1U*-_zK1^>--ju# zjkx#W$nNwfpWf&`IHSF=wP}0!rm5>L|80B|x^a@<vdWevg8Ir|f86Wi^SU7VdW&fB zU8n6r2aA7aX{ULA^WZ&D)3L*SOE}xyi%PwU@v9iB#5qE?hMi`-oYk`Geaq+Gtc01L z%URr(MQdrD_OiRg_^vBps?B~6*^b8#S}Wx}R1=sVRNsv|`r^Sg!=Bg&N9!k-CNc0? zD9sK$*>`MZ>CSpj#cUV7w0D}!49_);P8k1eV4te-QdL9!!)&27DpvDSPsD2a8Sze! z+3|}d{^O%snUVwQZX)eGH!sc-U9qxCG(1jkmx}MLrDE^Yg!n&Bvg|x49(&_t_}Ak* zDi*I~I^enO%<BWvlhPLUevosEU8~dZ@&()Ub$|M0?60XS&1TnDEPTJU<MX>Y|GyQU zeNh<rK-yLEYQvL<wvmx>+7s@vX*1`WH%-i%bLhvOnFs2l-(FZ!clM>ym*Bl~o=tr} zw>UxZbjX)0H<UTPoL+rRC0owfd-;`0iDj=WbRy$&9G7sOxVr5}?%jE&GoI$ncszG| z5tDX0YjeSRgV`F(B_3DunCcqcHvJ~9^JXr?CfoT(swPJLy4mTXl67w`Q})U)ca}(6 zhwIi!G%b$oVXE%FwEB@T<28>96?w02eJWV6PWoaO@4klP6C8b{7AHu)zyA8^rUU1G ztUdH*Rnhy8E5BXxswn@#pE2jx(mPxW&CNp332nWxY-{-IxvVL(oBXa{;c9aKys!Oc z7hl#~?<LE+__y?PYHaVibE!78<S<v(3#kuL>QCi_x{cOHHnPurXu%>J*UWz;*mk#l zR;I^x$Gt~cZ*n>B5u5w-=j7%z_76F8beyjjwZ=Sb6ew7|t#oZ|&dCk`uUGSEo9}*^ zz@BiIlU4A1gtqLWBYU0qL^zq%$M-gG^O-m8(qxtfLtb-+7wkF=`@GLZKAy1LAii|P zk^0Nu?rASOI9p1_)}{K^lt**(W|V6yUdU?b(%kmULEV4i?Gk0XN0JwNwC5#HTw-+V z&v%7IQ*|C+&lhR9>t=au{WIzR8FM@)RF{VQXSdq)KVZ&R`GUaz&X?>L3C(x>ui>@j z->>w?3%;*6Idx;dlJMH2p0TqpP72R?Jfm>7J6qq*fLOhwpTAo4Y?vw~{gL-0uf4hE zsY1Oc9FL_01P}h(eP}=1|BEYs%>HLwwBzgbGr#v={r~6G@x;R)?`NG-R@3FX-m`!1 zp0dBom;cWx`M3H-!-ngbwwHYG<(#$B?(OI^xPR@!rUkt|*EVK#@z0do@}uZtM7YPN z2#r-ug6WxA@1&lVhdLE3+8YtG_E4j_O_jvs=QFAt+ONj^_<ug>*@UW2Q}>)p65lSX zo$Y9|UD?9rUKVmm;%TURQ}()<f=m9oSuw{QcRBrkf|%@2`8B%#o0t5kxA=2?`rP{3 zH@~hg{!%ZR8WX(h%X2s0S8MoZZm{R|F}ikqi>XHU_as%m-k#kC68m2{H#*!p;U-l% z@%GHfnG-k8w>t8Ct)9zqo%U)SDWOM(%t{9*nw+mz*}Z%B4~-7#vZB&zsVR?SxK%pl zGxxtf`Aqw3(>3$1otyN0zWJ@mPB?wkv%d51_pbl*WtZJ}z?{9~UE!;u3!e8B9(8`X z>fkzeR!`5D|2#JeW_a9t!t{Ce(Va6SZ0=?z-^+6CU2|;aW66%VH-$!*j+Sn1JJS5; zb$ImJBL^;QNcsGJzhCnI-sb&`52Lu&PuY|y>20)W&JX(}tN-gw+%7#^pl-L~=l8Zt z|CfH5|KsQFb)V&{x+nkWf6aVL!m8`xfuKjTF0kmHJ;`SEI4EerLWN4DgI^9k2%NFx zwJ;-dq63eTO!AcdjEaYs+-(s^4yxoAY2rBObX=IdWoE#EnWvnegnmwDIIytMfk}o( zjz>m}O^AnwM}duvkB^a+jg_CBD?pO9nX$de$-yRu({102;|Ezj9bPk`?cT=#g?sN0 z*nWS$Y3us%Jhx>v;+i`$-U_yyc8cd!5_!Mi9M|sJ_dc)0K2Ew@=T*IlU2DS4@8&CS z^``w=u<of%^TTBtZzN)8S^1wgJ1;)P#B9gw57rs?Szq<JtiEs0Ik7B6Zqtv2C$75) zZ)r1qJ$Xwa^QyMoy_`?0i_c_n3i1{;ElmGnu(bK7){$j3?de*^JC1GAay`QIda|kC zww{F+YrQ<SJ)ftN_)sKn(WNV&=WY0Nsch=!*Wadu9XJ0gbk63Hfys-|gr7w%M&7L{ zGMw_!l}F#d3ElTQtn@d_V|#UT_icXn9dACoHEojR&*F-&N=G_3i`Peoe9L*<#w9%Y zI=4x>(j3m!--J6@HYBhn|Gj1@-zruwaywCU`p0982?sy&bNX|5KCw8jDk``3Am6KN zYAb&}pS`5WE$+U}g;tr`*5LcgY!+L7PB?r<WJ!1Xsj%oXpGsFQ$-49N%$xe?#Xow# ze*QBx_5ZBhUw)ilzU1+<^a~mHZch#hJ%0SY_^0KvN!KUa=Vxu}vvpj0IQzX~)kc|v z=MOo}^4fnswddhZ#VFSFCf+-ZZk2OC&8aGO+Q9T~dMn5Iy-Vhr2OOB|5w+l$;63@~ zWluzlX0G+zXH*-sNkxPEx~<ge5I!3&qk_akFOG+%Mev4J?Va)Sj#d7<ZhpC<#`293 z%h|Ploxh?wr{tIS%yqk1xGpIl@_+X5+3!;vWqX8fDXNw(aX#}=V3NVDr$T!^`Svo% zx@Aqu;C4>ZQ=9cu`SX?umAeinh>D!K>3rtVxB2UvVsdWgEqp(j_hrSrEhpnYu6QBw zGK5zww}-`To{B)c-g9>Y&P1aFdrR-JhPa$jd$mxXQ{BnbwT}0MR#Hw(u0wu(jA`v$ zyQ~5StArbNefd-D4!sWQayb0?-^O;A{^#@5Guw541<zfzQh&o%=h?g7E;v7@JN@qs zqrLgly2Hy^mVN!UVbg}a3h%|YSjaDAF+F-O)0jCkh_mx(;tYm-i=&HwDd^rTtLS?q zEc$5W7yWzdIgZDs@F+2@Ql8dcIN^}<hlInD$q}0b)laJ2W?L&Y(Q5Wpmt8i-o!>s? zww^iv$+AYt?zA6^==FlHruz46q<_3Di%tLG>pfxXugO<5<%8|_-HS-!^$A%b;#*jf zvOII|=0$1yzi^9WG?!GH)jv7<WXtvm%a<N;*L}awZ9nHV-tE0cA73=9`*+U>IbI+B z>+Yka6<jXe@p~`#JE~4f;@oxiWXzmTD>Fs>9-TRn*yp8n*7p5^zcT_R&AsIpBl7z2 zXIAzOjc3PC84C9DO`YhZtQs3=_optZdUr^dYVRt^ZGZH7O?pqw+Y%A--SpJ`xQ{zD zHi{+3X3O3zSNoaJdt;x*ZoXO9mIO_?)EZg5?q9-rZz;CFi+S|^t$Y<w7Br#ll7#J( zeX^aijpA;0-(CCR%iFb6!Y`}73b-QPTe)$|oOC8vY5m2j?OGdhFEX46jGI+EY5&)j znip#Sr5mpvwrsq8f=m3or_Evg4xfr67hEEl)XT4DKdO=IFWFnt@;kA7#g_};I4f_& z%E_FNn)hqpg0<SO+Oj>;e6(gw%Utx*>vnR>wi^n@&PP;zH$^P}m>F!f;$z$Yi3hGJ z{m!|Wf7!wOomSjDp#$?vtAF(VI;m2x;JH($cDnPz>&qBdIWg^VXO;c+cFtGNV-i>Q z=d}4Uzg;Z*RA<e)&sJSlOQepQa`f-IdhE_G{_{Orbyv6xUs!i)by^7MtrTb#@8&U7 zy7bGWV9j#wpc043S?MY=JMDhjipG~5>5iYQaA4uBjg?D^PX>N}EBfGy&y7bPwQha5 z^Ky5=pL-Yc7MaC<i>>^=i&Ku7^_%vJA0fLhWd7S!@uBqV>lJa{(WYFC`@{Y{Q!o1S ztVPV<_erncE4`|+_1){IwauSs_<jawuFKD??Q7F#-q>R=DSam*a#oUC_&inZ*rPKV z4()Z}-gd-5>80<MBFoBRw;Afm6IUF1sd^`Fi^htW${Fi^GVZ&!X+^~QD>A$Po9;2+ zbK?G)D1Pz&_&14lWxVV63kjYH_c?xaSNrtEZa$BywjE@@#%lRvdc?K)i^LwL^?6uX z6|P^uK`KS@?8N<+-ONI3US2RMI<oO)V87-;%ZsPYjuwAf^L^z@gGK9A0)0;>JkLD- zR3*veIhX0x`HPmfpGeXTJS%y;Hfh5$6)~PGw>NOwtAsfR1n)K4IC0}om8){Eatl9) zCCz0$8NN0+U*ivJ_Jp@5^7M5sHr)SuAYb1)Qu**T2_4VLn|1EA%~jZ1x$5l;83|Kf zy)P5Wwj^7<KIEIZpZDe`Gv1rBlGmzALcDXXWb0?FKcSZxEs^=m^2+tF+U={^ujTUX zmk-|duI1j$I0fII8+I{#HVRsm$i7Enx#$9!g}c=63q9E3e%gQYq^zQ|z8WvzUyC}p z=i1R?U8}bflU?fVEo5fw7V_JcYMXpRU{R*X@8c%7mU;1Pa}AG<?|jeYE7RAp<=V}l z470VVQWIar$8Hd@W>bupm#90du;NotY*lVz@pD7bCErgAK52`&sGBwQp!NKOHyLXT z54)LbW}h}lESw{zxccRt!Wtu$zmXgKs@MP5bb6Dvjzwyn0q@&~XV&Fcgb1vTX1;#G z#_X(Zuc_PZnO-ZG&PhJkeE!k7PN9`mtK1#_1wAa>s}oZZZ?o;nlIfRzZoTe4>E6TA zR+Ea31y>c_{+njRNNaegxcBaTaw4Z@ec#&>tBg-i%}yNsHOFYNiaX<<;D0=K0+(iZ zRF|aqvwS?F9Ocr|kT+Sop?mQ+or1}F6UroWudnd^scsqQ_5G#4$k{B1W()407uJN7 zbiP^DvLnH^^X8w-fW}D-7uRmj)X<xd|7+Sz4;3Zvkli(_!=#RNz6^_>%f);r`ku2) zBkKf}`Qc(aeBQoE;jhoO(UEWNzSP-R!n{uT<fWE+smy~)`xaJvp61D2BbdeWVS3Qc z#p?ZE4i+d~EMLSVyXaBLI{SSdM)nP97wR6Ve+_gI-YC$xc?#ba`-N#XhaPPC?VnU3 z=l!Gimw(bcUcY4vTUmSC)Mj<8(lK9<Fu&>cq8+TilZ?bC?LJl-eEH1hPoGw;lPnSx zTJ`?j<8#mCA~tZ<MWt>qNjb@SJFeH$)g!BXrP-XT9g6=JT2{W3-+yIVchx!GyXUj6 zR|e<byQ8NSrSr?p>an%netnlNdvjl9MQz{p?W<<QD2mNg;4ahZ-c=(grTG8zr?y*~ zPFDhMnD#~M-CHGdcIVpQDPd_>^49ZYeF{C(`#X29W6%8Z=^r*eH7P8&Ud}&dvBcUB zwPv!B@g^M;on_Xk{88MwK2`9O&5tB*wQr$%9k=uUUMs)8nU`nj8w)$}1A9f1I!!M3 ztlJdlvF-FH!`$NRiWvJZ3N3xJ1n*4dF!f(ws#5UyiR8!B{IH}AGdSBit*@U<*tz{h zzg@;>369eqE82cNj0s$M_SLtk`B%P#{SQ%Cm@@m<p}(pw54;`C?L!NM->PT0hI=)C z5qWkzZ(V4N&;=>R$|Q|9jx8GwT#q#_>7BjJZlgt4%H8gW<`vzsUhK8??Mr(ae9nt^ zZ4P{596sx{T;$TgC+nD_?X2(2VC@zBuq|Z%RhQnk|39}b%j9{zc@6vO9mT;L)u-#v z|NgPO-ifc}X!5Ll?PVt~UMQ{D5bovu$K(5|l5L!C)C8|Je*4aN+Vc08`%~?t+4)^0 z%NDOm(e#vVay$IbO0!Tz@8ab`{kb0f@iP*B=_Kw?%e=W(duM5{LBPh(W@}obj%>Xp zsr7hf=-$m1Tzj5WD$Jiyw%4Tb$A`4!1OtzQ{X&^1YA<mrFumR#;At%@yoYU_LRW(8 zg84C@+;V=EgnOG8F<uwg`QmW?A@xMPBkg;nHcFHl#A~^*YKu48geDw*ArVv_xR*yv z^wpnFzjJN|hqCne%N1uzbZOkX`1{@>apAtKNSW%)1>$oJg8r<sPY`<|<<yyd$@_%O zk7^OusIA$iW>eZDr57J=IUiFZs?#{{+Pc7LJvXlw^Q_Q5^El?dpkLMRL)nZC6Jr~{ z3G-;0WZNuvv7K1rI`!s@B<C}QudbD>u{s=i$nJ01h3>Uiv)|{>sCXEe;PGvpt;O#d z)}O?Wos=vJS3YwsRd~(@-Wr$hzD4CHKP{aWmB*MT5GllUKPE+Vs>JoE=Mn|CY^}FG zd9`~I<Dv7ly0<i!PI|vIcj+p%5G~_>ao7J$v)@%yReMvn#Odw{-H3$f>V2GwN1Ep^ z+T)<yD*I*bw-XB9kpY(^{Z_A;>F4lYrg+++5-qV6+uZ6`+zFSMa;QW@?b-)-_SLt- z1tuITF;Kl);O@RoD~x0Ewj1s3{QPQn%iCII?#*M^qgY$Up0GS^^Y=0i>lg32CYN`7 zX6s()w8QJ_+le#g#Dz`&FPI^dY93swBROs7&pxL4+_ldRJPTU&noZk!(T21WP3Pnb zwS=9L#G;m-YO!0qL2=@$CFk@T^K_bSu3gc;i=(6P%$=oS-NMPu5s{3)4YS{b30!D@ zQfbhA?Ll*r<V^34e#u*S0=HylpWZez>$aM@Vfh?g_WgBU%u6H7FHDu3>E))sOaI7P z^)0v0n5{^@eL#Dn%N^q{?y~b_b0f-YGM5DPsbuB*_U`XaP<<b>a>Byx+Dh}+7yJ7K zNj=&s;n{BGX1ko*+UJI<_?PxyKNoTIU2EFKx?hfIHBao3oryQp7`HrJVWru+m!++6 zfAE%HkNHg9z00Rwnx{Hp?z5f?lDyB{T_&+dIwz>)h=dfGdAVd?IN#5FWRm8Qq}oCc ziv#LfvshG)yxF9qB6pPiRf@*(C5cNSrUmSm`Mue&^V}PA|Fz0D8n^BD-|umuL_S~r z*qrT7iV+PGo8C9REU+!$`t~X6$;Cc*2crPnZibvdtvjVZl0LGv8u$7MD>htzvvSs& z3vI?18LvKbG~D4=Zk{N)IN_(r^1V{)j-4s0$vRe&ziUUF_+$&_;*Tq)Ts<n2bi-HM z@!Y(G&tH@-@9fGiYzZn$zp%ajgt*|jX**`FD_JsO#rY!%63)!g;?*a+Qka+BeRE9d z>eq!AypM`Mk`aG7$9dPigll`fQcs`iaOb|sP}~_k#U_t?xrhEq52M|}JI#L2DLr>; zr{-bTvXv>)uf*Ri4gLL+>7<B+ebvVUJ9fW%CT>%c_G8P=SK_(yMR^Nf6x6Xy(cdD< zdrVm|t#ad*O;-&Vy&v&^TK>jnxv+{BztZ(Z>qEL<_?$NDJeS{EwxlUU<dfHA;ppH+ zVoLR|c80Eh8?qz(>76SZekORlydqH3mH3G-YYG3>%U>mbac@1gPh9!Wn`IAIPJXH} zJ=r2})?SrcDQD#W%<JzyvNq~?sIlQjiD-xWg+F)HF3ew0o=|AE_WwC2(esTe*UPeR zO*j&J=ULHO;puv(_8;UE>lDvP47-~zAoF<M&p0hd!&iZ(5s{kxD{gCCD|aaWb%)Dj z$J59<t^+&o+!9H=ae8C@JM-#8+6UbJabCRrI`)Cnj8#u1UwmeDlveubwt+9^-0xpo z3MMWwxtAt1>y6xRN7GuS&d|V;^}edAasNCR-#`Dt-MaU(*pXF{aX0l$_WQr!_53|u zPsv~Uk>tnX4V-rj=Q@2krL{L|HFs0@MH#7^Zr#7!kLWWln2;>?f62+o)&gD^*UtWI zsj>3Irj@6A`lZyE-|FVYWSY6!iRhZBR&F*jwd7@(;$1M?&u@yD!_O?&tp-}>9eKqC zjm!NP&Y$=rP)qx6Xm(FWqNgGA>J8#=4j=lWcPip_!<D6z4Vb5^{f|BOFg)sqAMeEA z&2h(1O-R(e_swvFi~niI_h%MAb*fu_^ug?0x97JuHTk*U6D+@D(in1L;upsCd47A| zo{E{De#5ffV~xn$lXtE*mpU;0@$@_=ylQp8iTNxhE-z&`W}6B5EDGW%+48gC#8;Ql z`plY7mFY&jhnH9>cYTjI5q|RH+a>M`E8R1##JQSFx+1bH+#_%HJ$ZWV(psKUGp$25 zGefPn%$^exm(`v-llkB(>7{-P-e?M?E@gQudv^VskQY3m!duU=M+JGF@-V&C{OVc5 zmWOIrjrVT$`?bhFavI~+PgBeI)~$Z|aKg0Pwd@~#{w!MkQ2wr7x(37H4HH?C8Fxf1 zp77WCtvt(_3n6kLhSIZd?_V!gHKEF<=G1R9lky+i?eBM*`A8VgsrVdUG2gC}<Bi<r zcD*O*yQ=>Exu#uyNZh1)hSiIte_z5_wC7LUAN=Bj)c+-WwX;HARJ?MUm)UghnU_df zdBzpKRxRTt%KeX)%T7FNB$FQYS}rf;{i>2n^}7!o<1(4?#-URqQ-LK=;AXdK9?Qdt zetX{r<f?dn+FW3z$NoY-|K#oz-*bZdR)3pzFmPVL%(mK@P35!2<}4Afo8<DE<HyW> z??qz$cm-!~G51<w%F<@X`KWGgT2oGih#PNRiSo?|!`+o!oGWa#Hs4S#PKj9feOt`> zLX+fKA_Dq4KPtAbezeTu(5kJ8^)F|y;oLdP^6`P5mema>_IxRBlr%m4f19+?=9Da{ zBPYykKNM$9vga;3wL(|=)Vb!ra>rACJzac8ma%8$f?vNM?}^@`Jt4&4wqIdE5Tk`o z&I3`4Sr>fjJag+`Is49<xOoagi+In+$*OzRKNjkX%naOd={d7+D~s^Mj~p#=2j;u6 z##_2LZb(kqB;nn3O)E!aUaQuOv;R9LU60q5_D|5+9DT+qt!Ce+o)^5k#X2s1u(=^? za@W*X?MUQK%iN<gCiK`EI<Ee(S6SnrwrXL{LaWeyy|GJNKlfLbXs==qPvBmWab)?= z?N=<V*?)X$pO?lhcA#v*^wN-k(EIkcmo2!d?zrE6g>UAS{YQ>>GyRm1-Sh8#>8Uwu z_MDyCkfkeE@#e^!$_=5BAulX@ZKv!x{ch70t$ROwRd?7p2rBS8T+`q=#<nH?^X_+b zx2`Sj;&4lmxR#eXBjb;HA(MFFg52cE<};gR7p+hW*4*23Ah%#mZc^y+|F(7uPnk13 zFfIP)ZaQ22Ue5I6!s%1<EA~uXw7B=eq$shlr8}aeQuTDY%bIlyiw_rkoy2Z&a#q}b z`)l6?6i<9OdhN323Uemmr4K!h3a>uta^$4$>9=YvvC{Q_8PzSNN?#_ar3<sQ9AfC4 z^?zPEhqsm<bNjJ<3ntFUzTeugON^;SK-SIhg7?4ZH<3%Yoflr2AdnuP@wfV&sIjE9 zfbyOn^$X`@F-_X?FTN#^_scH*IXgc;)eO^_6Zyz#eZGqPx9$5BEIbcPyySbz`lPCI zj*PMV)xJ$%PQ6+xzs=6K!MkN~&1$!~n|0><FMm_8!|YbZ->>&A{>4iioD>%B?iLmG z@9T=U(<fF)comkGEZLg6v$^N>F?r6ev@<EoyX{p{dM)m+YCU@M#_dy+8l>CZ9!*G8 zNt}4q@LKndk7uXsnewAJDq#XcgW*wr^$?L_1{M>6_M<z*H@Iz0oR>WR(S;K?KHnEP z_&lATH?!2_$DI{>gx9}*Cfb^lQo7NA{Wg=Grq0S&%|F-*)_yGr`Xsm6KJ3@q4W^mw zu2wI@C)8G?iAqM6iis~-^;3FdmyFaZ=E~#inOdF+c&IqXhF^T9nI3V`qx7Jq-o`Mu zdumr0cq7U-#cN7WYW$+|-{hv`)t)npl(n~sDo@P#>k@j=TTR(juuSg_bGus``z4NU zbL*O*5{nw9Ps)OProz?D^(uKC`3g%sA6xvjo_SEhJKXX_+L3E5=NSdOwL+wyKMfH- z!f^1>#{~-%5(5t|I_P}pFtcLf!v9T;Ej)6wy4ZL*I9@Z0WKPd}_o;w+*~j|r@jZK{ z<{xA^eyrlO0eAGZPhVeMyIJ^MUo)}d>Cepa`r>mQ8%p_qEC_%4dd)j+OQWWZ)dI@y zQ*35_%Gp<EarN(oIG(y|+vQj8l{OHnjd~gxA#h7kN^-i?sg>1rT|&7zzm=YH{|ue{ zqUnb2lyw~~=gz<Qyk+T%z1s}4esvqwdjFGAY@N<}`^KUvKg*UgEqiX&FQzTMW!u{- zaUUxY=QV2swmZ(>n<3)#Qh~QzKVWU2E1NT8>XNU`9<{G-9W8Z!wIEAFOl|2+>s6=D z&-$BK{Brr7Khqnn4=mXAJm}GvB_86l7u0(GKGiiP`={Tpg|&@=D_rh)Uc71{zu@yV z|EtAC`ws?Y&er;|;(LaO+U)$F8#W)%uReXK{c6~sjr(G<3@-1Tvv2>sHb#-hHflC( zKD^?=i|yxSN(GqJ>jp+ToIU*2Luuv8g;z?x-DfbFq;y?LBl3vh=Pg!N%gVM(&g*$= zd&*FBhm`(&CBu~blxZ#><k;TbO`Uq8^z6=;ReqD$rj*>7D3)g1eYoh_r`A@!oN1l` z^C}M2eoOoPb25AGy<Mk|`mK7C^5$tqa`h&!f_suLXV=Vpm$+rs<{v7zqU_QP0%ykD z`*Yx%l>Ad34yAR+0-x5Ysr`|fP{H!=QG|2Cnc8RtgX^7FB31rKw0xbREzFU%=;q?| zh5mLKSw~%bV-j0hxBe8%&wAhd;P<ZV+YR^ByTiDjUYym$>2~U2R1bI9tCM{)i^Xn* z1xbCFd_LK7-NhzHf%|7R=}H=1@6M{=wBx8cvteS)Hu3A(D{OP8yYcV2Km9iI)q8#Y zcaC%1w3y3s?{Ha$6UW~>kCnFlQkj0)$YQ$QLyL`p>*hG?sR-0~Fi*SuhC^-FY5y-< zWbT%57rFLda`>N7+R6D($VYeWuG-LaDOoplXR#~6_jW&3V%OUd{{BF#>hle+M0%x# z%{IK%-tb}ezujkgYfZ&cg1A>d70>q4UlEg6zG1TewYzs3nf7wcIdr#kfucZ3=E9Et z|9oFVw&^=&{9eiM^XgZ>m2F{+)_j{3u2y|#w_AI+^=94DQhoPz9q9(mvwmN?T$-l3 zocTVl>w5q66KUGJK0kH%U6B;I<wjTcyuvEe+4}N@u_xzfMQ-nCxmLk)Pg-O9W$#J1 z^YUsoUQ%nidNX#>`l4nYxy>mB588M1#T_a*p2F5t^lr|wnN!sc^}41#W?y_HF?&_h zzfZfH@1D5*{m6^M9HG*l{E@YjmUs9~&TQ@LIBh7qHd*CxVD)vQr>qO6X5UenzsjV& z-Qw}?^1Ip1-3IBov(-~Lqmn9^(>E$yyM8R$&gJTd!xFA6H%d44eVIQoE}wCu@%p!V z?Ke-qC`c@R@Md@7Cv}-6se&a<4UcYJdu6!h+8e*+`KrgOOqHTtrk$$!U8s?fuf8T* ze!tQ!(UhA3Q|BG=S$jfL_M_we?(mxjlN0AINj-2vWnTEBhx=yC{Iu(NfRnQCP3CjU zzps${my#@9Yj)j!pK<7{o;hc@nY7#QCVQ$M-7m&>_p(QG#`Sm!zi%yFpDa9GWw<Ma z*Yein-nG{It-Hy<bO}e^w3?fS2@GsJs-9nYTAB9;epfqwF5^7UCAMRG=J9x0+5Hus zcu%6~Z`;J)Debqf__ZyFH<D9$cGK?n^Q#>v#8T}w#RKo>xvG6x>5(gC)yj4L?439t zC#E}!fjVpE#VMV9SypQMYw@Z4g#DMBt9*WYe9Wu375D2GQ^^~)U8+2#oyF%wH^>$j zmzMZ&wsI-yT;2ZeLbXx5f647trEf(f-pppsNn0vz(fj{I(wY1cro-n<K3Q0w{noFt zb^_<67<OiU!QHnmPw4SV41Kct>gS}z4`)12YQM3t^!VhD3%RDsMlVYL@O(?=qiDz8 zu*?U)9jDI7Ud}v$dB2fT>|Jm1k6%KaP8Y~d>bAU{-g{oIWLDwkzUQwcC6`M7Q;6?* zR9fD-`s>U$3$`4aS*6dZSZ6=?=RT9jV+ZsO#_no(;ayq2F7rud%vay@M;!B$Z#qZ! zDn5&;=P>itonyXo+R9^*NA?^GNKyX#=hyG@sH%POtIvD-@=4yFP<nqEWB=M0L5(Si zlS5_Ow?1$UEk7zZd7o(Eva@<Z%!YB?)hEw|c}stuKV!x50RFXxrPgO%?@v<_|8Qjc zg`)!7dt~*e+01=%OyKmM&B1f^0~^`S`E!J}2E^HJUVcCMqr7Zb)T)B*cYaB*#YM00 z`nG@ZnOydA-F;hkm0yiCn?5i7kcq^qeYquB26L6a<aX~nw%W^oN8Xv}``fpcBu>eH z-Bl+2=+!a7{uy<GHQRP_3iLjzsW?*g*VL}M?o@MG`L%SBs<}#akyod!t63YmQO9!8 zp;Zlk`ku!hNLcm6{Ct4$t(ooDeySSmKh^f$ZSB3YqF>*dwr~8IRJbkmoNxUrKNb5Y z85((K7Wn6TZS8n@%~yMlP32<NH{H3%&ZW(I)tI|5Vhi8hML91j&WU%u*YpZMdg1S< z3QNoK@ZL>AS?*ktCq*@{#OVnfJHK>C&X;wsI|E)_d}MiR({q#anX7ItRFAsSYkw^G zEZ07bQzp0XXNSv$$28R6{&KU^<G(<`S+`$qQ!C_i%){L0`%X_>D*Pu`M}G0)sO7h& zmF()uIkxL{bbXAr(e_i?&2kpYa((jUWJ7)$U2{BI!o06*rNzk!e4qYi&NAnJT>a_9 z-I})gyl=~19o~1gTV`|8uk*kE{;st=e#dmnam_>9J~>`KUEDZVR&jCF&iR+-34DCN z(RPc%&WwFaU+#A6ZaADJ`_I_>+ud*WS=#rCSpStq2zzyw=JL$%2;V)i-OKXWx7y04 z4;M~5@hfKCxD$B%-?5(gHS5pSz7FxuOwF_0vcv!Pm!~I<O^&=tWn}Z%trHrtNJfa` zs!KPgPz$T<<Xdm{bFZJD7`tr#`Y*?Nr`X<^cm1i($L^k@*^55rc`yF>V8KJ96XqwL zsw@^h6K~3)Fn3{Dwct7TRG}Yk@3VG>zm%6}{2Neu+R@lm=%Q7I)}e@J-!r&ZYq9nJ z+w%U~EJNK);n)Z^r%QMIx_<}AosCxAm$ZH6pOgs<lfu6*=Y4D(E|(x@sm#jQyXV7} zE0dg<C0-u9Qsm1P_SL{N`Kyuf<DyEo!$mP{kG*2ts=gYSD+lisSe%y4=IoZsm>iqy zX!X~?Sh?8JIJwG~?XXu2<KpK(-L%CYZ%_Tz+jwKu<~w_|+}6r}Z#0uP)6@NCX2<)_ zOpj0gnOTXF!_kY$v*JrUzMm`>miRT{Y}vY%Jo`^P4Y{@^^5Tl`@&~VMySd9@)7+Iy z{HENRV7m9<a=p|0Ht0T(@a*R-WxrIN-8`AQEoixL>%m>~rDh0yXg|rBEY0UD>HLym z@we}q@{0HGPZe2sLBIA^4xiCiZX2P(y5a{}dir&Y_ri-OO%m!$HrsSzZr2~ZgH89e zS&po|yMbS2%Zu>Tb?!ZZSA&E*#QG0S@1EN3rgQk?uTO&VJ6on`w#*1@NnO|?w(i-} zUb&rVKf7IS&HE54bi{=x`OQmCPtPAG^-oKbrEnc(;mf-FQ2O`8jXzWt8C0w7I9%B& zd;Qt=@B2E6E=}m3maKfvW$qTYzz3c&Mf;=;&+7K=WnQyH^8(Y`sY)*u_gDU$P&Jw3 zow3hKiM8Ho`iac%WWHMo|7i29*Rh=u{!LybFTA3gWA}MsF_G)xPpeG7&+q@i!}PTE z)~5CInAn(~v7UREdiIIozJs%Rb|lZ9+?R9jkoi%SiI0u{MZPsX9<=Fm*N11GG6t#E z(Ixuq6&DxxZ<11pR+$y6+P0pzSB=wZeR{_NzuA{NofSl8wkFJ;+?&&-u))7?QfX#g z*6Dw1jDKIeBD`<sJa@Mz6%qZHk10)d-96jRXJyUjeQq9m4V4V%zEFJa;w@pcYU`0F zER#~q<u%Psw%uB@SW)@k%sm~K&ikawoD*l?`cmabqv9sF=j#`H_PuqI-j=>~s^R=h zpTmV-D=+?zYniSne#B$F?V8UH4<hz)<(4<^y3o5j+#|5~yQ<pnjJkex$=>>nCS^Ve zXN<+(GpsJ_vI$~KU+Qz=p74CRI=j+KX@0@`mL5CspiKVEEZq~vT9bqRrPf7CpR#1R z#BV#J;BMmy%MXw0Si`2(<u5YKH{PToc;j*U6fMqmL18nN@umH|8WR71>)K@;`OAJB zv7E`XU(H1AbB(3ZvORVWJ*y`;a;Ld0`xUM@x%*G&M!D5)Dc6^(PV886Qti@G&&z#g zy`P$XmV{W|7P|d3+r(_sheN-!x^u0%1FDKyWEtirnX-Q?y7oZthu`u=?m;_6`z-#x z2ne#T`gHTb+8NBcLCjiuqVtY&KYn0dabED=B>ia*Jh-0CGF<p=LDlRh{Cyu75}2MA zEmrSe{7UM}&K?nYAHOYqNBWi5Su;pqb9As5`sdz~u|n_BTpM=x&|e2OUE@z=nD6Yg z_L$Gv0^6%k4&5oJmPjjq7PilywRBaO%;L<B7y88&+^xLk|6e93crDt-bNaKu6(=#D z<uY;2noRSeuSIUWVKC>~YHe-i8|97$qL~Z6EqcgxHO=+rpSYQq`Q~*$n9|Qw!(Z#? z|7WZ0|7%BhclkeCEj@qP&+WQ@)=d%Sa>)%yy4Pb_tm@};>c>u|`M1BX<d6;1s9MEX z$#T3uFi+Z+$30GO;q8h&Q>y0g4Px#}xX7#FC+xEHcXjShKCi#*u2(ikX9u?2aBPt8 zHWJ!ZSQ}fvZuk9ytty-*1#yqgxm>%RZWz>bwxZ$al#bOA>6e8WBeyYYieGsBf%({y z{r9b1o%{D@ZmL<WP@6GBuI4~!QJnqC%S%tkd})Y0uyN9|QnT3;)>j!E4olspfB4iP z=Hsuv_}?{Lnb6kdwQ9k{n)$qUrbo_|*tmP4!499=Rc+lXR;AaP#|EVe6;8`sx%-5l z>-Fw?7cM?GVqCU;*R8`w^}h_C&G4AH!-_AuOJQ%D`MQo+udcAGw=(u@G?T7nX4#m| z?epoiqSnRch$=C^KkpUqJt~da&8_n+a#Pm46vdSndXLVU=bSfzr-Q?!=PqCIpAWp} zQ&L-=GZa1*ZV|s@ZG8Cdt~KX!k}D_auQ_n5#jMiGqr!8=%Z<TFxvzRRHO*-Faj)vS zX7-h@i>}rhxR{om3VjoFsaW%3z{W!YYj)=BNPc3+aQx7O<pI-n=$1RLxwbe$d+T#g zzA0zjTVD2uJv-LccBgu6p-GUC;LdP~;{{2924Y<&vzp%R?OOj`aP}clvGaDH!!^#b zsR`{m`0eq`d-K}FQpEh%?Ea!xup!y>i_Mq!l3ZMTM=!isU*n@ICKuzFsLi^*aG`zc zwZo3328prTg}Yw|nC_W2{kij&KCeH;rgiHK3QMl@u6)P1*XXFcNte}cwbvgHh8t~d zS`x8ER-Va^r@HQrq<m#l?D_Q1k(T<V-*$OO8P2-*Sa}9hz}+<OQ@@XyMl4ocAz^!7 z>$p*p_KZBvr>BGh7*}?C&DyBlqgj&jIrh_ruU=2g`l62W?$)2Y*L)q{xfK4aRVhB# zCp<L@|JdVmPIdaS$W~i}DL+Fe|J$o+di%+A>uj}yhD(o?y`31n^>6)*j+d`h6HT3q z^?D7aue8^woLEx$**oocvC6D%hOROhyPr%Kzql(YW9?hJPr+6XzW8cylJdCQdv)iF zf)|q&UzeDwrA`X!73x)zI+rGH@Xn{TrnE=V`jFDho6<pMmK!(je%X8=Q1{5p$>)R( zZhXG-O)Dt#e*Y`Q?xi!Q-xmB>_{`@s&yjk@z1e|Dk00*tITF5qe?qy_y#l+$1sY4j z!q%TulJiP?c4|gaOx2PKug$M>p6<MQYFR>f=eZ=sS1mjLPYU^K6yBu%a)<GHxs8|A zSlc%2`1_R2+V=A0O<NuboJ>&UnSLes)%CrGdRgT!4BKK26+>i#XR|DK?fcFBjm_uf zoojQM#Il<Ca<B1h-cx9GOg{EC+s}i}>hJf7<WBs)=Wy_)hi}_<*lvhB?4#9|W}%*N zwQ=n&=BQgOjh!M>0$wR?jeFyAQ}Cy_;##B3!^;d^*;7|VKabpgh(TJpoGY0pydd}8 zl(d&Mdp&IPZw5v^zpD6dOXaJcMn(Sbttoxq`EoZbI>z&6Zo!HSzH2=!Um`bpJTOX< zO#b9~B0tdm1CPE$c8lDq$V2OzcW5W?Ypi@6vTSnQoP6h+y<0>dKd_XN{;pBJ>HO7$ zPBFo*(mFA@l6hZ#EQ?H(=q|K*vBBGg-SqaUFNxLFWpj2O*xckf<y`18k;z+h-EPmT zHH%pnY`Cp)qRn9zh1Q$;*S*5FZfG%7i}IBAi_&9$GSAhPt9njy#IcJ@uj+Ppm8|-_ z*=Fx0htS2@sYeY}=lsqojGua)?@00ar_a6|Rymk5)qn5(X$D*WUvJRl<m_K*p~7&j zqp3wSh<iEDq#b2~N=esm>~?sQsS{HutuH86TQTEcMS##eKh_1=%yZ*Ar>x)zZf3id zwUWVuCHkbR%hGQv`o)>o)N{^bVoqk4Kl(#*j|g|ARXvA=sF>Wf^-Gw$7sz~P41Dvb zskLm|N~_6BnuHD>2xU_Bk+E~!F5Ph~+$Kapx#GjI<J@Qd@9uu4^X)=IRaTf;8K0kC zp4`3tGyk9Ep75o0anRN2K|aE^(LXHS%)H}Z;F<HS*Xh%@)z%ZaPph2M_;8#1x7Ok_ zO)r$q9WQL$YnoFq@tx4JDMp%?FTMP0<hgf~(e5diP6cJ$OaHXYC$K2&(yx`v)~Zg^ zFt4q->zZM9NkKj_nBge5%#T?@DyRFE4@`**(QTExzuN4Nd|^R>*M@*eb{;eD8GTy8 z#>M7z>Gq;cCOZ-=r_4WO|88x%=l*j|40m$c-}cC~YMkIu`&Rb#?98&L|I6pEnIp6D zL&Hx41uw;=yhnN>n!V1X8SniyNi(8g<zJ`sTG=jY?_|Q0RvpfEGuD{YvF}b}OWEUj zH`y3?#R4pUA5E#?2@1{eyCucuE46eIi;!l>4233^ZSt%w%CkD07`esTm?p7*XDl{+ z{4_XV!=~U~vG?Jwft|P9w=B%QpRjfFq-cd3%bs4gk2-f`!lgeciXS%=ZSu{qDimDL zmp66h`SpPsY}MzvC3Yy@HI6?Z+#7Iw!?qUBqpC|MZ0CJ;>V1KSy<+`5&Wmf$N&ZW| z@W`s-m#Th;pXjB<3KvR*uia7$G?6-C{ieO8L*nmZ-}3f=l4o4z4c_Y*PT3v4m->oJ z@j>~I{uPS0pB2wma|Zo1@YeXfY~z|eVmsM*ju*z;Cw!jLcspY1%ss#L=Y;w$zf#Nd zc)6)$=!|>Jv(@jepU$$G*R|ryAFD|2<?3q`E!DZUXNkO7-0*4gb0_ZI|K-<3`szkj z{#!chY|B?g(FE;NO%B`z8=ilzDo{8nBB^ZoL~TvsT@m{YQTHF`e679{ChOm;I%htw zKKCkDwX>{S7=suz?{JCuyg1IKS&({BWwY4T)!SB0<#;&vt9RhGqmR#B4PH3GVfS8v z73&wWAG}myC^)gU_*g=U**Bg{-B&B#?6<ppWzVNGSy9{mEuV5}f?s;nzmG2~<DQG% z-qf=>QK9|ht`F)R^5XI5ngWvp{=NM?{m-O>oPBefum2Z0n|*4LajsqUr&U(^9A~or zUl*~?JEfj>Nyui8`;>1EuU{nf9XhjT{_l@*F*UAJgDOk5<$G^jI$Kq#EMvZ5x6qOW z*6xQCPv$cfwq#GZ(deeS=*J!-e$P!$60E%bUfTUbP-acGs+Lz(41e&1c{x`vK5sjm zuJT~|@_9R_1%w=0aMa2-J7%V~$Jr;hqw>nO7Pjws?D6Tn>P{c2gh|#6F-n|Taa=K{ zvJ|>_EV9{^7DzPDk@7gX<kXK@flG82`=@?5Ju5X&fhX60UYce*o6n^?oq>NY%w2p{ z_k`Z+)w2XPE_!limi}+g3K5%G1z9y861OdWQ<JQA?a-1x#fv{R9nkCBy7qN_#o}B0 zg&oW`f4}q~<@g*?`>tQr;a%!iR80;oY7~8a$Wnd&eE$4g#<Rsi%|D9o9NixD%dyeg z#Awxb>6jY6mtEHT-|F!9TxlyjlN1@fd)L0dpQrw@e=Q&PK-nqJ(LpXh@kq_53G&K| zm>Uk?c1gTH-7-0SLW7CQ@)9PK&FczN9?mLBddQiZ@>1i3+`F)wsXxnSFj=v(yb_RX z@f7tnVQ5&Op{Tf~_n3qE_V-aP4G!wJEVO&K&WJj)RBm1mV~^QG>v?+@3Y#yV`7dXq zxcK$zv$F%PPq^W8Iz7K=_3O8O^C#D2@14DE<vQi$=D4GY8`m9u(#WB>Y~p8wg;lk` zT=%X&{(sAyI~y_sWalP-zSt~M7*%;au3dfcDxb?e`E9Cee4Lu6acw@ppsvQ7RN=(_ zwQ1&;cV5K`2OTD=PGo2dNIIxvv0=jmHI)sD78m~7_>`=j^C@lbog0aD9?#}0JBRmt z%$cxw-uI0??+gUF;*K;M>hD;qwCTClbV1+g8Brb1OS(7D@=0HjxccFp3964C1uk*b z`I<Xn@6N*|L3dNdqLQnk9<E4Q&h#R1!S_i5Hzc05xH!c}+GMtyyqoQ;eD266=1a#k z-`2d}yGu`V{zNaw@AG^4cZ6RR&b0o#BY>a%qTou$O&t%_D<pJm=PWGzzKOpzhqXk* z-f#AU_+3-ddsm)xdUAC})~yYziXYz<5bh1Rk<uHWc)PfLG5_{2Cc7pJvHAVH{`~t& zqxrSn@y(|XDl3@It;zjz*H2+%!rL=SbDH|T$mQHAi<q_j*pA0>pYL!@&{wUK=RCGT zh-=kf)k#aL=Ks>Hlv~2BvgSPhHmN0RpNn6#(29E3er)Cb8CLd}m}iQw2#{I$B-2p; zvL0_=RR7xYxh%5{^>_Y#IlX9aftGpH#{V-OmF#p2-u34|>;AoY0u_?IuYU&i<j=g; zax+{wQs%^o`{xcNKS-1LKK0=C*W#SE!Dj8B*!7mB2{-Ab3K}kRHmZ%4PVOk`VQo9c zYQ%D^YmH}RZvlVNtx0FDPGwkfeqK_IaM1Px{rB=+INCPazC5IA-y3)R+ozX)`)ri8 z4{5Agmy%?=${>}$>Fd+!m*x4hcdvEu`={|GYR9q{{g%^KTy?+x<iNYN=k_02czt2O zbXmnR&$FUd9VgaglpN?P{U$5^Ls3XhKfmjr@Tr7@+YDSLJj=0Ib@<BKJ)swBCB&bc zZ92a0w&3@xj{;g9BN8_+Nk6nj<Zix%_w`>Hrq`1n3xr$F3!9-R{VDAG>}+4NRd3p7 zPn&x9ZDdQ)yQHn2it}b>rR_MhFSVp&`|le(>*}0-eV%00U3zfqzb$KHf@ZQmICr61 z`W%OLr&C$rcE@Em1=;OiO`Nz7R7l(Xky{#RWiV^Kood0YTTiC1TKNCF%N)60(T2IL z)!%ExcAkEj-`4WLJ=Et&P{pPx9+kTVzptOmSG?@#$Ey!c#~oRsbSNy6#kgp5;X}J~ zE1e{!J1?nTbBt3@Hmk&Q^0O6v_Y;bz2R`5J!riZO%ky!_^Ng<dw<bPiK3C!S_xR)$ z3l2PuJ+>-gZPTFw3q|2<?@4M`RjOSM`d@1HeYooGGYh$yS0|~S)n36W?&@=R5$6)# z`roHQ_`M(18s5H;;INc^rGd!yUlkFH*T<Qhw5}9hexu;Q`c|dMUCO4r9;qpwXWVJz zT6#F^i+j+O%S-+?Td%2S+aCU`ZIk-T$IquJTgiTxSy`adwsw#7ic@ELkG;RpTh|!l zwXtYz#-(?Cg}2i+{A|;!FSq=R%~%}QD5kP#|4z$a8-CbKa8VSu`{{W+dH!6X3ci24 zuWWSR7UuXk=F}T$w%ezj8Qw+yS@kd{XUD8SkAT~Yo!{lJ4KJ0N<rW`3y{&V%fw{q@ zXKTY&Y@GSyQ(SG&YsV``a+voiZI|hC{3#*qxp;2P`M8okB_`|jxo0(QPun@sKFx!# z@{i+Nq1=p$N3tB5x_3C_7w!r8a6am{)!I+5<{O{mT6fxco&V9oWooI*b&F>%=vVt* zExc0r#6j`b@27jGoPIs)YTs{hpQq*FqE2%h1iVf2EV%ge{$`6UHp@|2%9fL}WPwC- z?+?|oRTc-2i}THOid7POb9UCg`@iPjtzbI(TE+g|lv45NmgM~&3m7k`y!po#_v|^V zad+Tm;{{U}%L<D83*q0UDY|Yw<2;pe-QL}?5^_h5$n}J7{weGxGFRkmY-{7nwPA`) z{|sf)_Bz^_7h8F`n6dtJe*0u$<hGcozyCSYxMqH>3%V(`b*^-XshcUs@<5Ln@3_s~ z->>{9xThrb&fZX+J@0v5rG7Wcydl*5BJEmq-UN=dK{pfL-@D1;QN6iBMN?>9^%3T@ zn_jK5{>3|k9#)jUKQ7AQegD_zCN1tW+9ex43wEde&ONtctM#92J-^!@E-SxdYn$+~ z_ultcGEtrupB<X|j>;O_Nwnxm-!Od|;2*juvdnLZ=LDX9sqBP(OP9+2OP%j{bj~%o zgJvD`irpj6C!L<{#jvz{Y3-iftF|0Tt?Yg9^7oXfQFWU)PnxR#`@hoy!?a&n;)~v9 zZ%kzUB=Yl`+^TDfmN~`LtaPXoUTDFdZFT?0YL|tfHiu&KPA|UGo~*(7W#NT_Pj`jt z92U7oN?&+pf0W@;_Wj?&Uxak0aMT-dt~kUI?iE`v^o-*x<8873>hiJ^Z#VUcMPIBx zc1<Snx~=qS=QYwQg8NK&Z?8HsEwFrzX|n>4`G$85hlS2t6_r$UBwc<yZ^GP&>q}H4 zmIm=={%r7J{`62}+G5_snG;@I$-MkVnt%I5&!QaDm9f$@mo&Rg|Fv}@udk)SJB5<F z8ci?M74|W|><yl`?NH(pZGlZbx8i0U){ok|`p?}{g}#TECZ@Mt(_O?9a9nmu^jC?W zJ<lxTOifd?=1;F&@3qqGz>GQTl(^=qADx+Z`rdJgbIyUL0>5l#n%YRpv48kFQ!w{I zZvgvLR+q~wD*l>$@r+&RQU6gZHtQ(Ye5a$;_cT}7o?8{966^HN{=zFy<}C_&A}24G z6y2JCx{Gg0cTUh!5u5To8=uA*_r0GtJ^b8;wCgv1X3Kq^&&PH<u3}cB{h`NSUh>Q| zU%<A*U5ZVttd*DHBkMGUvya5Bdv#TRes6V}qwlLZIrGf<1HTU2sQb<Kwe@94{rNiS z%oLU<D$}=5P<e3cN}gTZ7a7m<n^im?*NALUQ<#7Jyn5>9j>732FFw8NY<2r7+;snt z$JfhYr=%@cZ{(fw?3;D>5x>u>&s8G+tlM*B<6a+=r@T{Ep1!twQNiiy>CCb>JdzbQ zxGJ7%Tzain<&~cD#m{bD%InnR+e$w_aCHB5HEU<2T-q|Rf1DD_-*u)wPS_|@HB0?@ z-GY^;t6l{-?OyrvRe0W=80R%h8~>lV5_jg^vJ(cb6*5+fd?){3peZ4?WQwbt&tn_0 z$&4X79g*AA^6!Oco?=#xek>KtE?MMPdAe}xD$8);QvIHKn`Daylcd1+84PFbAB2^z zbFA2;*Hd<5<3!fnPZw6+blt6}?{lv2{MK2$QBRkcGTUY^d6A&I(jl~}Nb7sYI@=BF zJ~fJLxOykclxc3$arTGoiN~G13%uQn_pdy%r-diw)Z-4mhZRp2yqaqJR`8+G%`08` z(dqYA1Zs4jFm&yBCUHtFdt&>x+2)m*rkVPGce|&`UDnw=Q}e;4FVPXtG}5;FnO*<+ zSFX{LcbVhb3u|&0xNp7Oc=QGTU6WX;b+gLCeLZ%HJ=2-LTIF9Cm;2!Zt>1RNt!Iqd z5m>ZHlSx^ho88XlQnz5&>6c!7k~RzG3eJAG=6ToKB%Q9MU5`J^j%;==6=Ps3iJYXL z?e7v{#h18Z{))<m(_Ya#c}tb+nExpFKWwj9Jy*QL(4t!+@)J|a-AfMVsuY=b8kF|) zMTqX%V0$OI(W~su))0H)-j0bHs{|`U|F+*&2+97i^RoHLgL699EI#se+2z28|6W~J zty?J=Ao-ci#Un&ljPD!w6AdoSjq4t)Svjrp(YBb!2j<OjDQ#M?tWof4@Q;<r_M!(m z&KS9{T$z#3*Hfr}L-p+6yGLsqf3AqOU$j>Ep!@XP2X-ItT`_StQ#M~byEDSY$nE~D zEt9Kmt=4r5XgZ(~V)pV!T+GD(<^K<uuLv`)XlIL1a!Y++%Wo`Re#Q6v9MRQ&x(_Ek z*<2@IDaiY0nz~R@@EkuuPhI1v1@rWJ7v~+_-#hE$nb-C!GAHZH$lN^n^-AWobU&UG zJ2ivdzwUi$kP-B_%;EhBUFSW2uPJ<Vx!`*KS&eIWmEqbc>^9ebPt{a<y}pFCV#4gy zLk5<U@=B&n_ORp7UoK+eExd@k>Ew^FQ17tPRos&VUph|{`>;1WUgzLpvGhF4iBi7| z?kU=C{N5$IInQmuf<==%l9%3`B)w@%;<oR{=WLtcGDl#qpGV_!;gt=AdQ&|Vc1e8= zk`i8|E%rUKCtT;x<G6>KN2}~sadmCeTpz!rTBqrCO}xo@Q8C_bW<8OpoUWy5FO83U z(ol=K_`<UP^A)MjMZWvKyiIVOF#Y<5l^a9089EfFzj9l-qAuW%+Q#pD8aNiR{#jkA z+@2C^%x>(}d}q03<;@*l;=RllBU0A&rG0Yz<j1-_Ponn7|BnBSo8B1gzdPfmOJe*^ z$4{2JT=wp6+Mj1Raqr=J8WObO(De1T#T7ZJ?hLX4;)=1ZJ<Hzz6W((EWpa|YbwTG0 zF7tCsW51UA{&=&s_rQJYgX!J>HtF?D47($kW37>LdYZDs4$U9ycWnGWd4AUHOtxtk zZZ`*Bob7EAV^u8N>z#k+QpLqHzB5+tUe(E+yk^d)*}XvzG972RCa{(N)?4xBwbZgJ zai!K_H%=ea6}6qc=Tf)xrK(D0y)AY(8(YNs%M=_htFKvfWbY{j`L;_uw{qEPlD7!0 zxW?~4;eo@~H*Y@#uxjm`)iANrt@Xh9Rp|<T-&WiaUG|JK|3X5*#pA8Anq@6755`V8 z*Uk6Meg{+gD&au3xQzRr2Y2($ey$t3D9c*3c<0vV#@l>N0x}t=l!j#8sO(Y6+?mKG zB6`>CK>5`DZ#8pjg1J7~vF@HHFDIIoaQD+<WBvo80^;j8Z7ItCeK>bs%v>io5!HZ= z26{((<2b6^d8%%DbG%)U6V|%^7thV56-*B!rS?jE@^tiURo^3J<GbnP+=h=IXGe1F zi%`)ueJx%*XK74-`us0fa+>tCHbwnT`Qh|MC3j9o?|LHv)_XpmR{lydd?mPN4*xNx zulKJV?98%$V-xy+8(-Y2Sf^#$PoHmm#ne2f(qaC>dD*jTZ{0OL$8PtDcj?AW(a~mM zRXd$cd`>8><eB<H;Ox}{9{Z|X58v+<>AflKC(1f;Ppc^NnnSzI{$^i^;yx@Lll7(Y zu<Pu;^n}dI52X$iP8W2Y`^vvv&hC)(xy~cfj~z^PHt&tI`trxbZt<1+3-1^G+~oay zl63W*2$7u!UwG{8kC?Rboc0=(SC2)tXMXva)T^Mi?x5qlHwkwSB>6u)*D70MQeIGc z=%Kr?xN%s>)oqOi$C;FC=E&ZRkVyPsbyR7F!z->9{jW`@3vc_CiEa~Ka@@T>;Df-c zw!?BB>%KkHf10q)RFO^Qn)@yr*ArncceFQn&I{CWs`ptjiG}yd8Ot@*C;Keo`!=s^ zJgAo7dG5nS&N&~puT(Zzx$|_PgDmISi$bpbuU$>9N@TwAlw7gbc5lkNymcpB=a`Eq zMX~x_ob$TdPL?Hdr9t3i^U@`<;g{{!YWZH7J53?!!Vw8pB{SvTZ7NsN^rv$BxdvT* zvb#xJep+!iL;Q^QNAFt&v0hyLdWQeii0yOzHZGsFNN<v}ocfxBA?)$@FNgG=U;fKu z)83ye`QGc5oP5vs-SX^$S=X}WOkVf8>DJ3G8_oc4W)=|!5O8u{6Se59TJknl1_lu3 zW#D8;EKSMG4=Bn{)+<O!3JqapV6L5_n4z&%|8K%G%T!&4WyV`v7y`}M!<Y_Uy7FsX z+S%yax8i=i7p!$=U16JcvY;op<5AXwQ+k`TS>4<8-*|6X+Y?vs-kxw+{-&8~mB_D~ z`qLMxxttPN$S{{-A;Zu6`~P?Qof7~5|MbSkwq52A<AjB{#GKz7wjUMPFH;)(d3V3{ zyN$Dd?6#iS_}JDxzw+p*`W4BnTvG4v9{+Cmv~WdoE4$?TpUHo2+|56AOM0995vRCy zIlHWRdU{1xhE!BN|1DEm{)chqMUxvD=CgSBF5DW$7_xP1*EF9A4hOF(GP4POX)eoF z-lDpe@rv8zt)^@m?c5?+B60!}xl0Q8WB*yK;g$7Ye);8}_`SCbdJPS;=O!23`MWD~ z)_?O-&CUmbRRtC@`&UWHX=J8vpRs1{(G=Yo#<O~t>3GL#CagZ1@-}_qnF+Jc9(y0Q zf2)&knxa~^=Fdm(B)(rXeE06%bYV|FmA~6Gn6r!mx5Vf?w&+`=u}L^YecNW~>Du8( zJHI;>)yO0rIsPN5vd5YI^W#(B`|r*@y+PpNL)+~wiT_+au*viEsK5Bcd9Z7F^0W7v z_m(`KA>FGsd1c3w{gd+wyUbr6dwyxEou|ab-Al4Acufpr=k{>g#WP9SZBK;Lg}e6U zVM`BfSy8(1N!n|p46B(=3orbS-59_vooDg<(qYqgOLr&#i{0~QxAlvAOCE2q_6rwa zGSywG(Y0#c#48;pR_BYeuiC71S|q^1lC||zUg@Ie&p#(dp6&h0{A<VY$2(u`N}N?A zIB(<i6CdZLpV<`sH7IoJ)msL8&9h^pSI1>eOEve|95$n_(Q<Rr#;DepQ?$=WUH@JZ zxVCEt-yXNP#A{P@W3E*2GcmD7y=PHYia(Z9x;S9P|J6a}InTp-f_+P?tma<3>XK!W zkYY45Z1t)ZEfyiIsa~^}PKubzbk4*#vg*jMpFbvesKnb(5Lh6&_FC!&;RVK0z5mv4 zzR%7v;RMqp0TG5N9xA`~hck0D-dM44iMjElB?>oh@LXh5GFCFqV-{Kv;5jKppoP(~ zC#g+h2}_5Dl8~2yPzQ?{_a#QDWy|y>mN7giJQeZwj`~&8zrA_^(svK?PUH!-4k+-O z+k5BOW8q^g#~-=X?Xx~{kn!f>zfQB(E^Jb(+V;Kc<l0+`Gg339*X{bgwe*Ku^PB2l zvqQ9GrKEpPZQgWvVW#B%=0kxOA5K(1oW#p@icMrgUA4ls1rI_>9tj4zCrOI*d9nA) zNpf3j1W5A-H9G!JTpN;Hv%e;E)35m={YxfoTJ$cwgKO5+h(!wbr*NgJ<<D#JbeZCz z^Id<Mc#))F%(Qrw?tRR$!lvG`fis1zjntFYTVy2h6dsgl|08j~C%$s&`^eJ9rH?J< zuTaX(Fu(cy<yZCz3toPgx%9+B{{7)H@yCJQaefYl_gU}N<V`jZ;JmhHq16Mvt}k`z z_0AuQc5eIHDf@eoLA=3}u+~Ri!3Hz^y{+d?*>PU-d-mbKjqShrwp~6cbUl%!u(?1y zbf;CJ-gSrk%^AlFzXeBE-QW|ligl5`TN0sGW&a}R#>L)lRmJKLiJHcRpA%+Qyf4&m zmA$(oLg=mOv!^c0?r-c9)Drj{-uw5|gD%$>+kB4-KYEtt!<4KwHTIU`R>rxuEiIQn zT@GHjJ;p0Q=Ude)qlNmD(|?>b_`JnV>`HeIhvipGjdN39#5Vq%&%nCJxnr{SeaDAu zUVXTu?e2Kx%KIf2A>Qj*4%@Q}RJ-%3JUA9n_=C?X=(lXJ(vJ&Qj<1SwxRBK-(!8bc zaPV(Qro^}3q*YEta@CkIhB^7#?}#!vlsKa;_|Ub<yf;fSXTC7^4ZJ6$yWV$Or0KLZ zZ;md~eSUd;&++39ju&i04qw%;Q|OzKANc&qVhi>?A9>~!u6H`GAm+d%YnSxNQ}x`u zCvWDwZ+XS<dL^Ulf%dKW_m5QN$^15ycx%b~>`y_uk?O`fFCNsM`h9bg%8vfn)mMdC zH)cJ$yc)Hnf93t)yCnky0|@goAeZzhX%%Q?y@FLMBSQ!iAMcqnYwwA&F)%PlFeorE zaWJxR+}*wXEAP9z|Nrm)zU?b769*$RLqmeYj<{4Fm~|Wspo&EzbfK~!0|Ns;7$aMk zoRf`Y-Q&l#-}Bzu2AZ+4v2n7ovN6DnVE`L*J&4PV_cQ|o7^Aql2+0so{j}ikH%12D z2)20zeO!7v0s<Gxnfu??TfGkWV|&H)aeY`_BY*p6$v8PRmk%~EkLMXyeiwAqm>qkP zfzeS{RZm^7C@!nE^Xt;9(?jCBJ`2s69u!~oS?HDba{Zl^C%-JcG~FXU>$A}8>DTs{ z{F?N_J1dT>mh;O}6aCtKPkshH_nxDlyKl))p;^<<?V0j((#+`w@w;kHep+gzAG*)w z=cOm!lk{Epxja)nG5y#cm1j#0^lkS&`4RNkyGLJl-;y6ekGwndW%s502zuz<qR+ce z<%iIW>HGFPdAd|jzpyg%w0EOE<GwH7C*Ak1)z7T#e7|(>^i6wOo-Wnaf4O(cccFXU zVR5TI2~C~;E^gMRNq4<V^*t*)-!0Y9cdX2Or@C|cygee{CrzIIAg-$VWbV@YQ)|Ls z#b%!O+N1q4GP7Lu?$l3fTGE%^nfh)`O1kQusd?d>Vpo=_-kO>gJ}GwPIj@b{2iLiz zFTFnX*_tQ2Ce4`|6`mBES*m(<>Z3I*=}WIny|<=i*QJ+Ud0|GeD@#-_O}(||NZL|U z?cQ}NyC%(=>J-itt9jOIzINrhD?5drd(GC)T-TDe)L1)pT}qnjnW=i=n_^a;@tUd~ zxXxtfq$gezwI@b$7A-Z@?u^tt<JGTix$epip~qg`+M4U8>=1h7)vhhME@X$$L$79S z&UGwlOZBxYBUhgGiV3^5Dd>S$gZAIGPqqi$_lgR86rGvBR7X28^5rS7D($ChTeeS{ zI(5|=m(-=Zr!HHQvOVaoS5VlZXw6ez0b#SEJ@b~TYad<vBt><{)O%q?zYo6Wuc^QA zyV=(M!QaMt@^Ah*d}pt$Yk1DS(|*TiW^4Nce-FOpdi&3sG#%s+>3?4@-AznO2y zU-)_OHUG0e2fnfw)m`|-d`*7MKZmdEg>?+i*;m?Ed}h8PKj+_pv;02w0l%0p$#40| zI9q<r&x0@cUFsJ+V_#^`@Yivce9gZDpV{ZyKlpR-8NW$=!!!2ux(Pp-jqMNoIrx-c zr#|2r`&9b{e-1w3SE(2H$!uib@aNzR`Hr8CGvsal9r(!JYoGAv;3IyK`UyXnkI2jX zJMe+M)n4Jx!3X>-^$gG0<Le$gWsj{p@RU8ePT&Xg0r@|F54>luwZHI*d7u27zY3@M zH~e{UivLU9gzwCI<RgAMPL&V%dGId(vOfpjv6tFU_{3}_9-pY$buT3|Gk4+I7l)*@ zw5kN2vIo=|d}rP%Z}Zdf4*#4#4DZ<U?F()ne9u?o-*ngUJ>R!C4dv`rGH>2Fl(Scs zHKeoeRDb)?_GLMHc}RHvgH-l1nFsG4l(BEKUU8T4Enix>!tQ1(^A#z39B17<)p6+V zfs?$Cp6KKkR0h5E+_ppgrsd0Xyj=c=ii74&F7fZO^*ryn$2?<?<8*1Ac~fRh+1-3& zvKOnle^gG5NOF~sq^J4hx$2ekzHn9rz3|L(<7EH2=fpXMGPZS7r}fR`Nn5XCDd@Xs zl2<xgPMU*t^R3&HpQL>#zZ5e?^2asbnKmoA=EcP;OqVQ~SbXU&&w(GJa%y^J=Mn@y z2NzvF&69BP%z|RJc|ofJR_<QBdG@9S<!r_JA8Ix)D`P8My<^?_eQS+7HZk9jy>Rp3 zYrbc14wSMNm0kG7bX#`AyM$8qRn{GwnJuh6?jD>iyI`L~8T)em9eWR-X6TxAUvkIU z2X9%cpTsphH!#_--jF@7EMZskCD{q@7M$g~@h0IcpIf=YE@o3}j=PL6_%6M9P|QBh z`o$f_=X_@63wAP_SX*pvJ|jEhCgU?cz4C;e%|_NM?l3;(Q!7{4*?dBF!cE2}e8=86 zoZ&n4=0PEQpLNC^#>aeO<qLK+ACXmf_n?5i&06CQ<3m2Sa)lkt2G&0|G3#5u*u<=7 zePdJe0a=E35AxaTtY6%2oF@C>Z9+bKWLZNhdwAJ`?ag~+AG|$qif`4MhEsg+$_!H3 z%dA)2Zk!@};jO|cz6Ea*^4N>4D>gOnkhQqUc!%#^*@Lp?`_ez|E%?q>op+#`+1C8V z-o|&lpYAZ6=Pk-Fc+OUq*HGPjTl&Vm1>e}Vn9tbT_=fk@9ffaf8_X9JH(!@Nv$OFv z?~^+VzOt<`kJ#JziuchShI70@`5&IKtuVK!?S9h|CLu7DEiW&js`--ignJ9l^4_?U zaF*9CU!jWG)SP24;|tzPcOHCZn`i!F594!Qv-|~>%qHd*#m#4=XY6Er#;cc~P}yu` zzG4sKQ(m=vh05j=(i3(vKH)uf$Ked`p*s&gvh|r~>|uP&E0({YqWOrl!o3F{*xJlB z_Aox=Wy@EnU^X!SQN*lo{-TIk&-_MF^8smwdk@~T)tSH8-8fD9!`+1UY>{~lPuarr z7L+&dk$!OZz$xBUcN$LdzRNRs%2sB+Vt3;d=?ixiPVp|dlkkqM$h@Mcd55&cPR2XD z_wpW;U3%}iPyOq>Ezec%P5v@xOZB98o|S%wii6&Hmiuig7JBEoUH#^~kZPf~o}1NA z&I@_I<i_L#|3$@@UV9e%71?^8^IWUGao(1yNv}K${hEq{UU}yGIoZDaqGGN-b6!Z5 z&`Zx;zog<zvnIRw3)y;}^<1dlIB&|cCFdvG_`kC0{JiAsWD|d<;!86p8~Ga*3qA9k zt{yor<k^x_lQsNj*?4|ha$@p{IV_czW=uXZN95U(<CA6lvurv)E;%|`#NW$?^W&1k zlX?8LY&t(IIXIccpQ-rL^vQeXTzRUZr=Dx6`9bBt<bQsjtXIBQ(N+IAcT0KDw8<Oh zlsr}0JNc8}A?uT;JVX5!6<xaP8SJ;odgVJ6P4$a&MV_i?sGpsyQhsUj<VSu*MM8Hx z^VN5reNfJ}&-lgMgYzUmoO3wOQ<lEKy4l9~fN}F3$vZO}=Sn^}_n?ezoAHXdjBk0; z(iN<mt&BV7GQQz?applOTXEV0D`rdMjJb@jdBV~cST$Q1HyAfxk=!$r@fD9>`hzsK zWyTtF8DH|;I@55L=h~Ts61GLg0mjW2Bpc2toaM1ge_+{sPO{)!LNVJcV+Z4AW8)KZ z8fQu-oO@8jHqBVWxcQW1z`2AXwn@eva~NkzI-E;5!*k?}!Wka9^amEr$0QBTB^0uC z8GFoOe8j_-o?y{@NK)WjLIGQoF@tflzVQd6W<BEvM$Nj$9CH{S@cc`AVBWk>GGiv= zeV%V=6H?hC(h5@9s*F#}X1vF<=FEaqJS)yT$YTpmOE7QVCFwDf@h;DzGYqGAo~0?6 zH>(?W%x;`4dEo4WT(&&@AGM9|xvSzgJZIgbf8le}UGW$D6wY&h*rV{BwLC82IqMGn z9kq>bxwGOOemC6|Ke2DYIqsx*hTlw9`W3YY=ZNpv=WvcYD*nK)rmNyB_9>j>ey~U3 zD{FpS!>^{x;yWrEUvhiJJN#-g(@*%^WU4Pw+xUX}${vL;tPAu#J~y2guh_TXv%!{) z>xDBkww>@>0IF&NTv8;rHGF2Bt$(40ahCX;O2=p1XZA3BW}U9Tp~i8hc*wqnPpp&m zC)7AT;Xbj4;S+0eoWe8K#JCSXn2w8^>}&YQ+N~c@<M@bMAim%S(_wLueGMO2oAm{1 z7-xvrR5nf*FR5glE}m2A_<)-s{=;{s{o-HtI-KVI5x3!claBs@PfgnT7pfiaaes(Q zc*+_Y=kS!ZTz|$VrrqLK_Bx#6ei0||lr<pE;5*Y!ahpoVJKS^jFuY^U*Dr`Z_@1jK z{KD=gTkQw2jq^m`taB)5tqf~OXWgm2V>6Sr_JP=gZ@F^919mgr5<Rodp^UXOOkg+D z4bcmc2VZkNTXUe4wJ7YuE~aauYt}iGvKEFhq_eKnuGq|UMRd-(182E>!UJ|OT@u|A z$v9hdP2|BBTrS}Y(pVR2GsHU160KQxpqO>8_Jf#%&$vv&8`4<Q!zS!xGS)s2bMPsb zPIy2X>s0LpF$bS;se}vcWHQojh&ebzv?J1ShN#WD1BI-;+6gfSA90C<PuRh9L{w(o zfdbZ6ZH1VF54c#u8PZte!ycrv#)ch8WsMFK*uiu_^v~J@`K-0t7dA2N6MeH*;WXEV zH4jd4eF>YeooSC~M5N<X(SXQ<ce$3`X?^A@x$Hn5YpM2xO-#E)T_PE$h@M&NkjLsD zCa|4JUArOr;BBruejlot?hAjJmvEl5!hgYYmfh+XY#rwbKd^Ls$N6r~fpeS%{sq-c zw}r3FYxu^pS$%@7<6Pk*^BBIdl=yw9YO+)>ux*?pyk%a)SC-Z40k)2Fgb!FczT&($ zhv6&Ba`l2@rpv-p<~4j_$@M$%j3wL8po;0D@Cr-E7n~0M6P~fmSN~w+_?*+ie?ukH zS>Xki2WJYW%sX&~)4;!=lIgVY6idgaoErWHl}smvUFJ2M;Z*Q9c*c_CC-97=U%kM_ z@iC`_|Aq>tqrxil7(TMJs~gxjKIG)^H>hAbDBNIqaJq1XrQ>wr0?UKbgjwb>d|;_p z|6uKSpL5HchW9Mh>L-erbkuhgG3^z8GMC{!OPF84Q<f0Fg7PL!^$pgJcR82LX?VvH z=*RGsrC5D}^})%)N9G<l$@#$VLmAU{!9RTm%31a*-!NvnC-_40;5&{I_X+7N6>bx( zo2->L7&F}wywi7}jAg6x0@;IaI8xjL(pfetH^?&172MO8aE>F!T_K%it#X3w!B-q1 z?gHs71#T0pn#{`=mX^+aaY#sui=l&|lx4Ycficr%K_5xSmmD7M7t&aA+%}}KELIkf zZJZ_8(#KH3l4;jq-E>~CqHjSl%N*q!GL6qTF7!M&!(rr}kj64Yd528nQ;t(T3yN5# zD9?~-e8QpR?vTbZL0Q6>$xxZYxaqi{Mc;x#mLBB@nZ`#PLhcO~O@{>~`W6(hv?xo+ zG(O-s(DUFl$DW=Ar#W`?B%J18a%bpx@cyY<Lpn=cmfKCA1LaJsHV3b$aJ*p7q$_;F z<$yWkUBNesCyW>k)+rwl(za$icyQmbx&px%$;NvepWGVEnY5Kx7%^!n?~pz?Mes)N zf;^TWHwW`34dn)-rk#Q(dLNwRc;xmVg{45bLb~xbd!7A*-;MY9-~42F&;F$*;XCs# z`4gWRZRAh<JusL5#!rTK?0NPHzZ-AyU#Ls?#=J>>#plKw{0Hh9&aubY8$4%TCqLnH z<2C*bbqQaYSIKvLX0(v^_<LYB|AM*)Uzqdk5`Hya;-65r;4J%%nuN3LZuSbl7)|9l z{xZB^zf|+!GxI$87k?O@vzys3_{nG@Z}GYD4F8Ou4A0p0>=S-A8p*Ht!|;?{&0gVW z;|cx=KN+5|AFFXV!+xmd!AIsk`HVjdkJ-iS7yM{E!mm*G-~)4;yv84fhwN<j3O^VP z<bQl()R%wpiBV7f#;3*u{0wyu-ZR(9zxdrSjsHV!!h7aOyN0LC;dTqYH}2toP<!AM z`>L9TQ|#~T44yKV$*=g`Fopj@t->kx1vLrpn2Y2qJ~i&(xA@6$hy9-2gKdrXd4J?B zC}*xVJFuJ4R{F->hIeeAav09D6`2>LGnbh)>~6fxdn0c_8S@tD8Fw4ru)WGrC}Z9r zy<l_Wb>1^K8(y<L$yrd!yhb|WZo@0KM>!1V*n-SIq%p6Mwz%6cn|DUuf)eH>(ixi> z&7>nXH(uoJ$a6T$=48&WtI<UI$DM}fY*yw6b~c{n&B$Xo%XThDp_n<%tYK&4Y2Jvu z1!vf_%pG<%p5*n&Qz&9iF=N=-XecePxzRwn;!eY3HYxK1I~tGjYUC*tGIvN@+-Z2o z#%1oXqwydwM;^l&wtYDVPP6UGX*kWcEk~h%xk38J?S}hozswe-GS^7oxa}~FH{s@i zsk|?874n%Yq&IA8)RJDXsc|>2&&`IrY_H4|QkhGnJ2o+DNYA+4aEEPPjzS)@pBcmU z#_ha2Vjp~G+9&>E?}2&TAL1O&vzF;EsBW|oKTzCwhx^XXhPm7i;vRfs+9tkYFT-2b zG<}8YMl11-y$o+yU&K84%2cfPpo-B_JYz4zYt}IR1yzj};tj=(SGf1=WO&8ur~lv? z(=u_5y$ml|Z^bm6WxW=Y@P%oSctCOE1@4A8g|n=7`VT4_&v6&TC46R@CGJq%Xe@qW zPs2>^gt!Nvn5Kzq6gQsY4v0(m#575~V-LejZil#pGpt8q6wa{9=|8AwJjQJhm++CP zOWb1*!y{Hc{e+6fL)-#!2_Kl6#2Jbk^~FCFHR_2!C~DLd=h(yWfc2l=gYw3G+!;F= z?z4W=oA8t=La*Q{Q<eCM-3<3w*TgJ1#kwNq!8@j4y@c|{UECf!8Sb(!ieWg#`b<xu zyir}eV|T-3?gOz8-ZJI=|Gn@2@9KHqzy5jmx^CX_&pYd%zqNUHf8X2dc5lz`dvpEg zjrLlr|G$dweSKQ<dh@?mtLx^pe_r|jS>XRKclW%UZufF~rP=;x?(tu)*1Tx0oAtlu z{QH`7_iN6&e@?gieAeb!{Jy8tf1X<Y=j8N1C)7Vruzz;6W=4I@@%)-&@ij;NYmV60 z9M-QnBwuro{d4@i)8&<V`=2t)fB1jz{qMNbpa0%Vuhgl3`ql3J$9t#3E4Avs2j6@5 zI_}iv+9~ayiuJ$y+r67>b7%kF+bnhJ53C#SalV<!@Sf$1PeM7<F5wf#j5fk2<{p^K zd1EHSJC;241nb6IoEQ8O%9u6@uP|=B!Fj;1;T%hxx<NYAI^hY%jn_Cg_$8DwtrG4q zX0#CYn0sJ0=K{Y6B}{p03094lI4AfmILmUwC*drMo4SG(qp2{*T!t4cmwX-+GtCoz zF^Azfi<$ZYOGXo63**K!oHJ%JJY&&QPq1t>5?(Qf;VFxnx`Jin3C;;K8J@5l^Km%C za>(aFAyc1l#vF#nEMn>lEE<n+D)>DpU}_WAn8Wapg-u<-g3&<uhY_Q`@CzeGJ>eTh zjR!ax{2t^p)d|0t-7t;wgKt7UQ>0o$DpR=H0`tZ_oDX~toMKt!({PIAoti-^Q<?CJ z*$q=TFZe2)Vp-smkjGRcTw&C>gVSOr!yT4;Y7f3O+-Lt`x8OTtwcLSfvFYNBmdsyl z623F;;y>}3!G`}t?SZ-MH!2z4G3UuA{BF3#e!(u`8{;Pa6`vb!uph8%IL90(Z}6OP z9sh*S4cFK=*d=^rT*cq<`Nnn5Ee2~1#iAlIG`4ME6S=FQW#y!$WWaEaIY|D)GsYGC z7PSqt*=N`-_`<k^KjSll8Gpp*hKuYSb`EEmo#YvQHJI@KsA+i4Y$bo-XTw?c3_FIi z%;#(rJ~O7tB_A|RW_ZS|C!g@M!H9oF4Z~ArHF<@f4JX(qR5CnaK9*zFwn%FYH?NP* z>J=|C&SW3$)LXM~(ISJjh9*p|tb2S~<~n$9RC>b_I8kuYjEPR}LB>{>9(nXCw@Pvr zsT?&`4(&_vw3zhRW2W-azA08hb0=E3TNw+@nrL#oqfaDV<?6&R_aa%(a~^9`+_H>? zUV1E5p4oRLb&0w1Lb<*v=_+?89_TT#p7h2e&ux|Qr3W4bZdS4@3si1Rywr0fb;;?8 zcJ7m8UKXmj*(h`NrC3~=KJi`8meeJ;CMLMQk~w+KV~6t1z9*KK-g>N2e%j|^88maE zkg|K1(WR;VN%pV)dYpG(Bmc1P$@hux+&9VJtxI{n*hYTp&lTSm-<|l+Zqwh6@+Euw zHSC=}2flVMa+_o%bl0O+`DibT#ictQ1<Ik4o&2XfqU@^9o|Wc2?a?TISJLyn@~w%R zYPLLIY$-qS=Zh;}l&|!M*c*Mm_{_b(SHAOS#|Pz;hx-NWz5Zl;TYR)%!oKK_#u@i! z`M<R$&y-K}E7@!PIZ>*zv){>{>F<lr%IEv7>>vH{_<Y8Fru@a9C*ChU-LGT6>W{`b z_m#@FlAWhK%9VX3Prh4xpnq4*m#4}G@`-gOzb?+|zg6S%EAXXzo?X!Ai?jP(?4$m2 zl&Pr8UzL3McJclG4>eCtf1miky;i>RXUA#xXa@VBKOT9?SIp%Tf4(^BUM~NzR%H9c zH*N)HU7rJ|^#++=y1Sx$@!kG4H7=>jX3{(BSW=a3r9ak{q%O9VURh`I{o<5fKl4MM zC*F1|vg_J(ac=)7yGyrUd{<VNzM5x}zW7FOj(OGHj&ttS(g*XNq%FSFoA%Ib*WDA} z7i&qctqa*M_}aZp`e9y5`r>Q-_skaE^(av`m7aR@MDgNty%%yy(iWfTJ(JV2bK+CC z>C%~bCc6ZmyJea&-PI^re5u#R{MQ|iqQxhBmCP62;V4ozl+Mk&lD61TdhN}QGj3C* zpWf^!S#-Pef{jSU#5vv1VqDUd&UTuqKbo`Rv(okMcQIe80$;nX5wG02;=PiAIA>gm zM@8U6*EZqGSvxsCFFM_ME2kuN@vh!aYDvshf%CfG_-sjAbn#C2tC%TO7vH$d7hXEk zqh!&ATirSOyY_OFEAQ#N;#2Z$(a!ECW<@HubYB$(-gPZ27Z2RI;*@KcUej)mQ?6Cw zu{$|VyGH8W+TFqbevyxj_{+F0)f4A+|I_=l`^9P3DdN6yDwTp$x);T`Y!95#{YlSj zkH^PFN4u5uv-X_$xafHIp_rD6i!-_v^p%PQAG<7<R?M5SW8x#XHfh5=5%Y<&JAKr( z?ye|Ye7sl8Tx*WTIhTIvo4!+yXPk12GkZ0gqg?53=QE!tRukv+-Z87X&5@_9F1$Cd zr2JyO;CnZJHK)57dCD5XD}TjZslGU`cTtYZ_KEl0>ZE1;Skf0=>AaVtVm|SyORCzc zJsn>bnTc=QnQ_*2v2dW@lN}SMcDv{Y6$id>ohp3M@Q_jE#hKlgBuZ~~oOX*g<C?>< z?UY-Hp4Q$IUzE(n=f<h*4xHBMpnho1i8C%U#TUkXNmJI8{+r8Uaq+2h1OH!LyC>f# zJa+Ew;^+0dVm@(NJD0ps&5I8U_q6|zOOp9jyW-Qr6YVzgw?18%-F|0^-;}3{huW{% znEVQu-fky9sV3voqVwH0@|$X2d|G&}-9rA>oR0Sk?{+?t+x1CccKa<GmERMdInUr< zSm|-zrNQr%&63{%^Ex@?FExFiFumPJ{#1>}SH*knM{Go%Ep)%QFnoLG1GQbVJqi~c zY0r^gRQuxF!mI63@{c|Ryl_rd+hrs;?MS<ayj3knsZx+B|5>{b>xpuYT-t>t{l1t@ zoNmQ`)Q;u1z}!wQ`9q%rX0->&Px^FWPUknZMb#Z&7aH?VtkgK?Jca*YVeJd=mrj{t zS(^oBH$Ss+dAh)YeWP4R^@O*MWqgZ@FT8fjmTRg$aniYv-?q}@-NKz3{1<gg(iZM) z{vvba_U;LjTc7ZC*?N3kaJ7Ajj>xVFvszumrD`)yIhS*P)Z3E2@ZSCQdtzOicL}_9 zTFZSh@<i!EEAEqeCc6dRI<4mp)eA{eJl(1%ZnQbznbTzMg^?b`isxFb#F=7Wd@fVG z)B5I#-jwu(w_3~YihZg%@ou4&E;p~9$_{}Utq(HzXW4p`DIRM5A!ZbN;;hpY?nJ#U zJ14w#st~)hIpCgCnd1un(3!$5=?k~F-r@UYyW*Tve1dpY(S&!7m3+5iHQp)QZ+^hC zQ%)s)p(eMZ<%-hvK^@%!GX8#-T1yUFM9Hx{TcFRLYPsUQf;szN*)2~M^w?G9R3utj zKQ1_@R~OFrsYu|VV=KF)lAH-g#e_#pW&DS%HS!tvasRM=k;}NNi2bZA%hLrK{(Mc= zC*CTkbHCu-6n$V`^D>!|a)GJMt6s>ud}lCZN?~uYY?#SzV|U;qV=sR~&4EYEBJvY{ zFdSi*u{-dAv6Ww;=D-7H7I}tejPY_0o-)SD9eBzZEhq4U;Q;#|+XMLy_Zf7Vf5>h4 z-k`&O;8TM(|AlIYd(0o?5}q=K$~mMSxXZlE=D<6~QvL~_7<RF{R5DCqKV$3gj?rIE z!2G~TMg#tWpA0+L_t+lDU2=b7jr%Lv%<~?5lwV3_erHH}(r_wbqx6ZQ1*aPg*o}+> zW;H%wD>4eW<FJ)^FRx0f!fu9I=97OX|Ms63d+%O-&HtaWCvq3;Viu7*kiWq48bikx zrYk&8ezPx0UvR~2GczNfOGlc**+w(=M=~pl70x%>v7eIRC|Pi!@d{6f<pudC4k>J1 zGA{}hPBlugPpUDxu;j5r4BIQC3DX<*@vx*R9BgD`=aN}bpm4bU15b-Zz$1q)W?jA` z6&4q!>~7q|<C3bN&73H?;*@>vzeJU_f0uui555q5<x0I5<MxuDN*+J_7tE~J`)|4U z<WK+fIrWzUKAQYt|0r+%f5*N<*Jkehdz>%tP2!{ZkL^=lyjr&B=-qFx7Hw)%d<kj{ z*eE~kD@j+eR({x5Vm;}t$5!Qwec;AHsauyUXPJtX^4>m^bd~E9pY&X@5_;{iT6ra? zjbWj@wC_ur%9V+J?nTCvKuwH^eOJ;{%#_zkW|pX2oOq>2#7gLeN2c2^nUlp!&P}v% zza`^YtYV@(S5mWB#aKD9uf%fFQ;$@)RWhALOHNKyaqp7pJmWDzxmVKjj7OqdmCVV) zCC4U8xJSu&7A`q5QNZ0w=48Q=LlZgNrDQw{R1B1BB~PCAh;@5pbZOc|CU+*8m-#CD zC;oD~WF&OoqgpvtlJm4jxZ5RZ&3u(T6W8>Fq^f8s-|aO?RoOl96{Oh_=+<O(>5fN{ zvaO_Mo{GBi!QL-slWx23m;YIJ<@d#T{T23?K3{z2zDxe;Pmc5MJLT{GJaNu_2dGK& zJMgXh7WuPvD!&8g_9xjl{p~pCzFz+9&l6vjE#<e?9r<<fmHR6Bqdz&$xv!Mp`;+7A z;>-PaYEnS$hb8h`e|DU8&$g5L>+wa|RKB&2<yYXWemnb5e^z`}KG$z%f9Vg$S@(3i zQ-4mJai1X{TbJ@PaAv=j{h~h^pOjDbE7>c37JTBKY$x<Na7MqJebJv4AC-^wi`fVL z(fFu*q@T~;=+BA|%7^;d?1lbtoN<r0`}8SrdjF1^El(F8=x4D1_4~y8#ryiV)ExPK z@t%96-Jwqxr}lrbJN296y|T7^=+BN*?&b2nKTo_{ysLjnjm!7IDg6s-OukRN<L+<Q z_4~w0_X7F7wNJKPeDAhT`fJ{nbme=!UvjqWp7_qK((KUYz;|xtW}7w(zH{3yeKRj) zx8Pg1&C)0HLO>0c1oK6kFTQpwHY>X8an5b6^v1j`yC%MJD>Q4`9QevD-^}UmixOpX z>6v*Uy98gl<(egJzBsGb&0OfN$62?9(v5jj(iWfZwK0Enr=xiB*<KTKr_C2<_8OTR zZ5DjyHeEV0FC=a8sa_59S)jJdiQW@AEITjG=sl7nlD7DGuZ(%tosPoAM|(xgz3y-n zE<W7LW3F|lqhRsDUKVqv%@?Ql?#a25s;noSdsCx8`9SYKvro5I<SXk+f6U#oJ#bp@ zhMbaA<-NV1%nsc?amp>!Y|*BRcin=`Hr-y4r>rS`F;^s2Sws44uFCd{lY1YT6>So{ z<CZVIGxkgM#P_ay#ozAi_^xCt{x)t&b>O`24>41!FV5|L6Vp<C@vZAN@vCtz)feBm zZW6z`Q{$V`jqVpQU#c$7>5kE#R19uMt&Lk!6*#B+0jPO2yE{PNYVV1&uFJ%y#<^4l z&hEYuv*p>Mi?CM00`a9gUwl?N-)*J;XivsxrL)}^VoIJZI@5h7rls=YQ`c$YQ+KZT zq;#rVO@GoJjZaEO;*N19&y)<sTX(+rsC2wrN<V2&#z&>2-9q|KdtQ7{I^4~rue2xQ zgVMq712JEoD(Q=t?(8`28m-5*hvUPd{oQ}`UhVcc?OG%LHg?KWB^~kDogVKO?dkrY zx2Y&_YWIqmlJbjFy5H!n0yWY~#9eoCoN_G|KN<Vw$)X+Ib7EM^FWz>|6aMS>#5(Z3 zOSRggxgO_Tb_>7so06_%BmC5_Bwfi`_@Q5k^~AR>TZJ$BnOIMJ<5H^DHJ77I$x3*y zpGmsX_0A_gSF8kIyQ~&o=_it|WFfrN?@OA}l}<nPBIAiKU6u+@^t+O#WG1|JW=4t9 z#m*}}B36PgTr$;u%{fuL=v=3T`mH%0#Y!f^b7yK4D;WzX`juEh+G2A$iWZ&hR8jAm z({aXSf^hFlk25ZbYE^Si6fQc}DWM)U2h?=w6i~OCbE06;p-v8UsW~16N(REUGf$j$ ziB)@Kba7fIlRDF!7x_y2JAbKNG7`M+QZ1Z1ljF2YxZ0)J8u?0lI@kDwq$+6%-}N;~ zRodP8N^O#n;9ZwMwI-vBcU+2uZD(raDX9w|^!;Ks@wW4R{-1VNeqWf^ULk+!^M!ZL zyZE10a-4VG$$z)<#5w03{CDkAeh0jD-ok&@PUUyN-1a2-rrM5k&g=QlR-X8(Xvx3T z?#QnTubfx$AFbp#=e&}CZzadqg_qm!*rfalc<H=^e`{sOS?6pysalUOil+Rnb}YXF zX0_YNf2vvWS@B%Enf#?1j<e3`a;IueoN=DPA8VKLGhk-Bmi(fcj8BRu+m+;%J_|f? zPL>n;95AC@PQIvS#Ye?s?PBslH5wlkkF@j28`Z4%pm?aAO<t&m<BW5>+^0_g)7y90 zY<aryKs$r{uj&);7w&7{VsqsCg?rACa)&-$nA-kD?o>6$dqr*j(8`We&gJ~Rl_%aU z+||Cs#^rm!l=cNSCf_IAarT$%0yW?Y`1jgA*>>T*(?0I6dRx*J@3nr>*|K}WJEuyq zLz@HMIhBiT+AQ$SX*>5#y%13IY%}*sy^!>UH(C?K7j3@q+NoHqDAwbg(^~G0dRul) zc;!?m*0ed`l~cZ$Q|yZpMRV?%dLg?6UOMH9C2hVitJO_hDAwbw(?ae>y(wu6&$rr$ zzl!N7UU;_EMBHifg_*5J;zpYVo;gkDj?@cDTX?EfLwr_@N72F)ttWI?c3zm#dPGMg zZQ=1&8S$)`j>3gUTSdgZVmJyH9&Y6k*NW*VSa`6NMVx8#h3Tz(bgrZ->T%~rY7{6Q zX#FSlDSAb|qAvGG-7VV#rnPR+DM?k_+xkiDQ1ppYPN8CpHeI;u6fCwWdPSb1Cig{M zkyJ$u?z6fo+b>LReI!=2N#KrCKKD-9FVz#?JMLwFYuWK#!Iu54+>+{mdCebWrc_^; z+x$kRrTW5K$8GFa<y@*Sym8#be$`Uro5GFe7cyU}F3f3;;h$6-@Y-<=`&zjrRRMFF zAIMCpx-h#rfZxjY#97B>>{I1jssd&=-;mkzY{A857yh8)fESJn*q2(q_^fcg*^2*> zO~z-1v&|P|N}eq^(|kszrSig4$7$?SEmwR}IMuAiKgmYplY$Yuqnybz1w-~$%NHLN zjyFs3C)s3tR5;o!#P4MD;)BBBW-fjun~V<%2b&Mbe0i#%&t7WTaoRDOkIRPR!-D<I zfB0Tmdz^NxVSg(-<*9-Wd#t6$`vrTNKk#iT3YglwLZ+nr!j$GWe5<TIPC1sayIOLb zax7*)Df{Kgf*s9sWLU~C+;+_4{t?^oo~cTFLptLg?hBh6?y|nnQ#jA`K}VsSv0N-6 zopA^Ej@X8`Oj+U%yBlt@p3qxxjwwl;VK;*ncSY=hIjlSM9L_OCi67Y2aFun1p29h% z2RaI+jQL^>yBaRD?ucx7$>b&Ou&cq0J7IH!DYryy!waS>ItnF>3%ETtH=Jj!&|6T< zIEVX2Ov5v#3px+ZFd2y_q%qFm-VxLAl<Abtf+EH#+%sYto-iqiJESpA;Fj3TV93p} zx#2jgh2DZf#vbm7n1)A8LgEcO8V<8c=q)H<Y~hxOX?VbNK<B|}rad|fPBZP$NjS~K zB+js-VL$5+-39rKQDO(SH|%9iiDa0@8WY)YkLi<G!*&L3?iHIDw77RfADF^=Lw7+Q zW007`_67~^hD{ASSx@LbILY)#>_G}+0e3}o!)?Yo{s+|!_n6;UGQ4N}B9ri)VHf*} zVg?)b6SfEDGT*Rdc*mH>pHSU!i}`|F!Z(IZ>??{JZZIE^YdFUk$8YeQVIBK~;)ZL? z8{`tcGOS|nC}yx=_pm)Mn|XoUgD(tud<j(zmzXEWEjY_~Lnh%YqZ_|M6@w`|hb_Yk z#!E5}J~PZ?e__M$oY9PbK_!C;yG3!s8Ri+549^(#_!BA{jM!J$Fg#^c<5#F`IKe!@ zlHm#CF&T$5jE7_%d}Qcj&#+;5%qYgcprYXjvx3}%4-9SW8a50M8QJ(1Di{pde-tt3 zv%e@}&||+*)Np{ALGHnOhC22a)(z8`KgcG$XNcr$c*+pYx1hXX5Ay@r1E&~Q$uyi| ze8*?-l%b4$g>}Oe<_oe4rx+K=B)nrNVy`G_*uiXJ$#9499^V7A3-2BFF@NRTlCE&C z@e9uu>k021D%lPh2fTAAXWL{f@Xld7^G&`GYk{{8o0(7Yg`_XI(U`!#$oRr*hhnxO zS&wrLYneClZLym0%At_0$vEJZLq406?28fwbLN?RAyxt}9dg-{j4#Y;bYmBi^*HOW zkhzg>O4@?+jW+DBWIBo$oNY8=cQU>(v(bp%$XMW+!*u3IzL2y9ry4cbXUTXJEjZD5 zf``TO!i>fvJR)fejyKA%XUTLFE;!mK!tN!*QMll6BM-ZlOh>_jgN-cgOvV?cH}2uN zlB%G`oGYnOpm3n^AKNGC75NIf%pZBTm<LR2+`v<ks<5~56Wbx_6Q>+P*%ldHxa$zi zwn=(Lo`NRxMP89q1r6r2yej4wCO1A}D>4$e<B-q1^Y7>1{qODf{(tkc{ky#F|2O{@ z|Ms6(|KZQ%-{<GnzxmVr`}|w`ZU3+QbN+q)js2$oSAMF0lfO~_;?L(_=jYVN{Ga&Q z|F!*^|7-p&{^dWX{=uKgzs}FD5BP8S_xM@+W&fxAbN=N&yZ*+X&Cljvtatez_}Tx3 z{eu5Xem?&!f4<)8|HD7&pXJZiU-(n}Z2p=0Gk=<Yo_}gT?f;aY%RkAVs#p6z@sIi^ zd87Xh|BRo>8~$(k`TV2&@p`HMiGR{R${(#4`tSJX`3L#K^<4iI|D=DAKUja@&*!J| z`u|IQwx70-{>S-;{lonI^?&}o{Ox|)zUKd%zmuQJ>->-T>HdEHp85~}Hh%J-TEF5? z@%Qsn>fiiZ`P==JeaU~9pX{gXi~pba`}xWI9rbhmFn>RP+dl92pLdUU`@grV{`T;$ z`+2+FzhAtYoGx$k`^mfFbb0IF58f5;?tg2y_4kE$#=HC9*p+_kyvtrDZ}of6JL7cu z>$OkbT;9e1+HUpl74L-8<t=_MdG|R@{z|Ri_rlHnFYT88p78E+n!MTXH8<10tXr^% zYp>m+-z#pam&jkJz4Yeu&i?0ibAK1S+q|>?nO(*=%gz4J?9#p+yz{(B{&elBH^Dpk zpW03S?eR`{C;!Y^h3}G^`)AZ1dh_|mb-TXmx0iSDKep@st?_R14*o}W?Y|}71zTLR zYx&LdPI(9ajM{x~9;eRN`(1D|{j^=<Z-#fDxA)(-tNopEvps+Q-r7xXnp5X%|9<gy z@^=1vc46OEZsMO>`|jJ!N6Fj$@7e`@TUebrU*osK&GbC^owf7c2ygG7T>Ic#<?Z8d z)r>1odifbm>d$$y?PlEW6IsHQC!F|<CLFHQVg7O4?ww(O`I^TvkAEC9TW8pN*{%AR zQJ-N?e9g9-dU~_pY&^=h`)ES_*`)Qm<2H8f^fT<*e$6U-jepJ~p~)3Tl=uug?B6`( zE3P;!b<UtoKj-1<wd-cRTDi1O>eceOd9z+}1y>vr>N9AW9`@@XUtYl_p12K7!aWa| zrFR_gf550*yXB&*u<e!$j@%Xp9RA;FUDleKr0tX4!zzADf?Ll-UpP@+K;-9zUlx)~ zPN!ryf43A-X>h#CE0g0=u;QVDkfZLQet9*eh#du`icS+{tttd8l~g#wS~O*{OtLO$ zI4Ns03Cg%$Yie;dU}`zeFPEmkqcC$<t)uKEu5OJOmWN7g;)**s47z`8;?VDYv57;k z`^Kh@1Fj5ukMafUx?e=MOmqF9o0KmYDb|=O7%sMOd&eHv2fBw&DXr3JI;HeZ%rI53 ztb0Xt%M{lOx=N>%7U(492^Mu%Z0gwIY7xnDN9mr}!?KS1&OhW9eHW<aJ6O$O+kV5g z<(=Xu8K(1!Mf`=&1<Lput2=Hx-;i7MO<+s=4BM7Bimzmpz6oq-Ur^j}-T91V%WK6a zGK;<ntZ9$1ZF!~mNQUX0Vi5nwX96qQEo@t6JI|0?^hIDvdqy#bS$jlr$3^E3Ij6IV zPW+5j9VYERY+9ZxTJawQwR<z<n9eGmlTrFCkjB?o*>T!ALT=F+MJ;~E%8rxH9&$>b z1XB1ID?1F^1&TWi+AC~Y9xF=mAFSv&>Z~EB^iiOr-NL5jp&}Q*V@1b7XAU{0Gm86U z4xLuqCDU|Tahr_N2Z4t6AJ#4R6@T$9d@4}We#6>jnsb8Xk*UrvWR>0vRJ3m>>d<Om zP}H&8*~hZwuHq{`#is%#?HxrN8tpTzTka^%lTmsn;K#>U-m%?z2k)bDfql&{WRJ{q z{J`gQUZISAp>>B%^8w?IJC1iGTjn}G;Coaiu&sH8EX!MkG<HSn4y)!4S(Y~nFL)l6 z3KX+FwBoRA&X8q!tq{h((5l0txxu*OisK$hmRAaX><`lfmNje0vb<Ed#nW_F;Tlg; ziNK=f0OO7ejtzWDXBF(&A6j;tb1dLXDi)a4>|oqs+<Zc&Wu{{S-=iXdY0VnO9j6=v z_>zhQCN+1+u*`IH;7dBAaD+$cjDj5dLyL}Mjs|>5g#um89x^PC6!_Q^EjkW43h*Tr z2sAY_7<cG5e=zFMYkpwVq1((M!}37kAKOFoj(v_9k}UTXzOhYA6^LLfOckhVJ|WF= zPhkzuqEiYhcpl{m1hXZYckFWXkYu^5u!x80l)^JMMe`2z<__tW$&LqjALR<<)&Kb0 z{9eB5|Ay!Md+INIZog~);-BJq`44{-zw?*>OL)$|qkhNV=C|@${~dm}-?TsRZ{a!l zr2h=R*{$j;{vMuVzvG|dIr*sn2Y$6*wO{d1@tpjFKZ;-Z^ZzycYQJp1<7e|rd9VKt zzuL{}6F#?_)=T_tej$J5kKz~p1@#`E+t1rq{9E{$e@^|4Kh4kNFZ_9UM&9Uu!ZZFE z^*jDFKb1fAXW=LQDfKh{G(VA7`tR_Je?q;)XLiGSj?eAK?JfQ-{K(%^AMvO8k-X6V zh9B*R?Ir##{J`H*FY%}Of&76#4^PYQ`Lpn}{Ek0~r{$UcGyG`ZZ~x=(!uR}9{|<a_ z-)o=plX;qb%+Ka~@}K@Sd}r6LU-5}utA5At!&B^U{9X8tKj@#s_jZl?hEMG~?N9uD zcvAk+zXwnF3+gL=H{X`4`~F~e`#sw?H<{ndeR-2u&cCbr#AbGz>JxVl&$YdAllh%o z-uHyv?YC?%yh|+O-&DO~bNdb31MeEo$;Ev)NatTyJz;bEHQNpE5=;44Rd;M=x2X2G zdw90(f_D!~`18Ic>}tPcJK^2JvvN1yB%YOX`>wEy-L#tHF7peyOK%<)^Ute(afkW2 zoZ0sUJK0UDEjG8Gv7K>~`I(&F_k^A8M%63sFh7-3`>wFF{e<m=o6Jw-j=ga_BX{V{ z!$SVP>Wn+gkLAR^FWAw3#8%<m!vg-cYK=S059QdtE9_u5sQ$5uUBCLpCU(8*8=Kk> z*fP9(n9pBV{o;1>G}{kv6Z82azcr-thkskJy?u}EgSQ7y$*p?RcuMZwH-l9Evg#GL zo2S@bc&m6yZo!+xJpQ8UicRf1Y%Ojw-;ulb?Lk@led{0h7Jlcees`dn-M0M3-sX3* zpYAZ8mo0i<@SLygT|;&IZR;EN7JlQ~Qa)pE^BdV$cND+zZ75$*+<x8q%+BW5vQO?T z{K~hcJYsM2E7?bP7|+QDz5noxZ$-Jq-saiXGwv<?!ndS6qnO>SJfgV$qIJhT$Fs6d z?-{DvP0D}lX?`wi_5MI*`&sLZdyHpg&)re{%$N4Ap|bt7b;P}eXJobBJ5;uxwD!2C z_=zv&9YbZiVYxtYyFq!yp6180QtuB`v>&zBxTpA$ucO>zPxC`ruJ;ZV?FX$n?lGQ` z-FN5UY1v(O8c)k^yQBDluc7?M?&kZlzuql)%2!i<W4H4(>x7+$r&_<btN5O;qI^S9 zyH@#vqW0a^K0BN5%D#H1@RYBlyrYO+qkP8h<~y?U?kK+F^Lxio-oD*>$JvMFeEW)D z%so8M^20gD^D<@67g)F36dy2dzhil4X7gOj2j?D^@og(!F_-zROxkk=>vpT+j=9Wl zWL}(kSjt!Y?12@#WpTz_=GQV|&lgy=TNF1Kw_mZ`Gn4t1jNkJIX?)9yHRdwEl(}`L z@vO|XGl?a9i;4q`+b>u)oKrk2WB2@lW&1hHf^&(*e6xxjjN6TiPt0kaX_;{DVG-Z7 zVh!W=Q<eee5{vjI6?e>Go@wcDF7b@aku!>CWaOSduxLMKX>cyFkgu!QV-E8p8NTNU z7VU>D1<oZF@HG`P7`N*ee=us-D}G?qu3OA8hxvibzh@83+xJ;!%w)bV^X=J$RKAF3 z1*v>h#V2Mn-;-H$X5lHB6=xpi@dZCiFmK;w=`oY}uFRq{jHhItJyS4mS1<0E-8|Xy zz}bhne0ljlYMb9nSKZ(6oOe(Dh0kqw&0p+OJTLuWkK%XU@_Px-d3WURsBL~Lops;g zciT<#6Z;mPlTNzN@SDvlzoPc=9P=If9M4Hd-9PZF?W*~TeTwI#AM8>5%A0?$;aA&b z^BtAVFQvWiJN#-h%TM^+W|}Wi+x$ZM${xipybJO@KDV7Wuh_TnGw+=I8#T?(q%Z7w zct+aje!?@}8TmVEnx9Ia+OzNz@09!*HO)_?mF_z{<DHN%@tMsqpW}1eadV4(3qSJq z<VVyrKav)@-|(aDu(`y(g&%lZ@+E4TA4nhA^YFCvo;?dsOYhi|cv_n2KEsc;{pLUR zE_}}$b??CUw!P*lmCV!3V=9~PNq@T6@SRONf5j&@t^6I;ho_j|*t_r@Z_qu5?`<0S z4WHU}nxEMF@TBykdk>!Q7UWk{H{X`3yZ&Hz+db1ak<9O<zN|?s=iQZkVl$gf_KDcT zb4_nVGQX3`yPmMS?Uw0<b%|xXo3dAIZo6T6U|r)mskrL~>AdT*Cv0xJX1ZZrVkz&c z?2gTB7TF%Lhi98ESog4mH}6`)uC`026V@#}D|KT{;#n!T>k7NrOtU#+nO{g<TJx}& zcV70380P0vX4e<&WHZUO*xYu;bVeleGbz362|L@2vRA|~Kb2CuuCTN1gz1Dx<|k6e z);OM#I<)3tA#Y!HMhx>~DY5GdcC;NaRap11fVVAMBZm2*6x(%$9c%{KKQ^)HXTR9Q zrk8zVQ`-SkhIJ3~dF!%YL^n?}{jfGMpEvSaLn?3hwFTST_Lx3cd+?Ohsx^(Lq~2XK zNaZcdUJ>0q#q`2j#ZyuX)+FZf7G+m#YTIFI5y^Z<>fW^nWo`G3f6QC>ou~TTfoe9} z^c%L#?<7CXVLUHcbiUv@PuaPK>bBd)H|8z;#<L}ThHdj3$yaj}zwvBHUr^k3-S~`U z^J~c`a~6K(S(6@N+x$xM(HzEel0oM`JmXoBZeiOz+jz#jg<p7<q-PYfnWaY*w_P;u znCEy_(&;=yRhvor51Z!al2+#rRJNTp&X~t|R`T2&#m_ux=Nc;8P8&zeTX;rN>%2o{ z+eu@Od5WKSQqD0{wi%`i6t@|qSJ*T^mXtbwprY-lvBo^bk31de7B<ZfCArQ!RJ0v5 z=9tHLMsnYrgQq2T&1pO>xowW(2cCxXAJ)zHC4Ze;@RX+}{f4#kG~)!z!&8l4%vF5P zQ<1)*s7)(<K~dXoV;{@ryOOWYDLmyVN$)6P(@39T-F!!K-W<huJbvdG%G<UZ@92G4 z&a*H1h3w&Zh9CMI&r6gYUtry4lYGFq?T+Cc$>zC+5BeUK@oY<8A<O($BJH?>b(>Xk zhb;3Oi5EQ&OL>ZqJ+NZ4OwN#Hek~Dpe1TP)MRJ32+ZDq-lFY9p{Ek0J<5`xhA<O(y z;#N=NS&3^si6uOXk^_v}E*LiSDV~+EJO043?VMpjUt%%OtYin{Hsj<IGR-p$6Z#$& z@k~qBFm5|#7|@qk#4{<mLxy>#p+jHd8Hpo3if1I`jz6$yJ7#FmmsrTtmFywI{78cD zc!EXSAwz+_!~&kCWCr6l{p1fuZF<QMjM{XQIb@h0Nc=nYz`SjrVTL60eTi?!CZzI2 z94koWsY*T}&3sQ{P0zwp5-WNh=J5m{OE7QSW#}Qvd{<&o5929`XU7!G+tiagq?;!j z9_W3T%aa%X<8RY@@v8kBo^$Vszwo*BuKtTU#q;7HY81b7m+wn>&b=dk$KR&6;#vD0 zez)G#KT)^voOsfHhTp7K@fCj$&C%ac=Xg#$YX5;>tylF|)G3}5e^8_Nl{<f5!>`uM z`a2>W0$C1n*Vi)tOL_L{RPg+8ofn#W1yWbv;4Y3|aeLKQ?jW)K0^cVqOws*OcknHD zL41Yro(CzbPjN4c*Z9lyQtVyMR897669db*S49`(I`3k=pnGKDU8lJ>bkE#$dhu9H zXL~`X+vKxzTCMkKgl~?&d6Q}SLG8<CYvL#7Ii5<Cc@VxX{%Bqz_mmK;=ncQQ%D785 zi7m^RIJ<aP>%R5(j$GWE<;uI)X^)oQN3CMDi`r+jjRQL3Gd{DL#YcQ@y{O+&=Xh4! zX+OiSRukjix`&^+=ZRY#jd`$V!%x<;`U_@i`aKRP>Fz0QuWm}%5pq|x>E4tdQy*NN zzMk#y3ccl8pQqlw9bOQ<_owC;byNR^|5Scm&Uh16I!~hhSJJbUm)8bt_p0^!y7KCL zwt6L#ny%8-Vb@NqU-!nhZt2m}A(P{Ce{y|Ty>GtJ{7rYd&V|j64z#=a<4V%Q)LH94 z%)8~%{PXg&@T&Naz7y}+hW?s*H>@&bzQEc$0j)E=c%Dq1e0jc5ebAgct0tZLpm~1& z9*cipeq4DTw!3WGuH?{a*X>i^hWi(6m%5wP`^>+=|K_E<t7+PoRzBMH>rU6@&zd)u zYq+joW^;2@$*L1;!y;EM^9g-!bv5QuNJreVN4HO%2}@6Sx@=LB=&!4HLL&FsxTdYX zv(nIi>ciSsfuC0%SzA@FRXp2LG-m4k^-tz~`pbFxUU;ehN57Y48)t1@w0F{^-`hp! zt^ae(#mUSlO7l)ufwr^DQBAAWuG@W#7lu^?rmlJu)4Dq}KJ>k~wnowR!0GOua!aF4 zwXGz#dhZNLUGZe*;zt)IE{gUlUTN;VRF75q$1ahq)l(mYZYX(@lgM0^#Wl6+%Cv=6 z{Z1yfTJKh<7rR?t;%!~-HT!^O_(986A68vi%+{Xe+WKm**VM@c<txH(SZ#K`xQXwn z)s%UQSysobFDjn;GIV)i%u}AyT`OlkTjhU#^{J4?I8!$vuXCYk=VV&TL+*uUthyEw z=@#3`^pv|)y?^#%G5Npm`ZleeWpnDS)^YtgH;b;yi630Or$%uzcf9gjR^#{sdkTKC zp5{*77oh1bYR*3|DEQ)r4ct@WXZ&e;;=k7Z=uggb{wwV#{tNka`IY~wqPnELhcbT& z&zIga|6ARqMc;$v=gxmK?@8IN&68zg?wz0e<js*Z_3PK?`UIAH^=_X0%5Syx#&=)R z)XkS#SH8QltaQ1_-u>1)dEbeoEjRAB4zx1MI{EtYoy=l&KLg*AvO~L@XWwRyp0Qha z=3JxvM|V%I=X>wF)_7-Sv9N;7)!9txPN9ce)boud@+i$Pj?Vjad9m`Gm)rA}-OzWb zYdj;au;1VrchWwAXWaeq1#>*lh)eB1@T2vpzDAwmNA8Z4V>J&id~bT#dPrZOF7X3* zlkwL&#xp+EX@__>b4Es{6)Zb`F~<4aN&T9iP1E&Delktpz#Y9$;0Nmg{Xew_->VzP zzxdrWZNA+81K&fQ1kaDZ@!M&de!|Z~Q}tieD!%8gu;2Jk<vDlQzN+6&Q}qLW9=aPI zzUEd<;;G;*_L(<VO?g$IbwvM0?ZS83d)NQiw(3vQl(kX&9lnda_HT>t_{6FaKjU}P z9r1ZJito7n_Az{K-LAhQw{=(R{pEi3LU)?phi$ai&6~Qj^`7pVn@sP+wnksr+<I5{ zMV{h$u@5<l<=o}l5}vO-r~4r9VHx+f=oNRF-ioDdSJ>TZ72R={>5bTnoQI{{#oHe2 zVzrFUxXbifENuINU9A?;4Vzo9=<d16^h(Tc`-3#@WziaUnO=(B%4s|+b}c8dgnLnR zz~<Hqx(#`XXT|KcKiJuNPPZU0v6y>Sw8Q3B<LDE2nr7-I<UK6no))dKx%HH8Kwe@I z_oV2KJ4`cm9r6;-h#kpMJR>Hz{lSjbW4Z=;iG|!<(H?i09*Oa7PuS6VNLL^)v4FcN znqhORe)NY;t$NW9Hnr+TbKGHiAog$DgYB*RbTe);-52||Z9*z{#I}M|?yBe$x0&vV zt;tz<N^C{W!#wWbZ3)|3cj<cEWV$Q1D2MTs*t2a4+gsJ6J8m~k);*B>Fqb<o{%_sW z-=XirtM@(n>vcYScl^t`sn4}-;-A))KG(L6e^^)gd+OWpt??J@On*;(6JENn>o3<g zZL9dbb*9g?udjbnbM=?#>+sd_E9*p`Yg@!Gt^4{+`^tL1{Y9Urz6@U)Ke6uWGi|f@ zwLi1IXkT1^rAE~1%3Yt9@CEzhm;QY9S^NBYtNoAuWPR2?yZ%B=X`0&6^+xTlgi}AQ z>H0Kv=IRAJt=~nT`E2yr6+S(DTKv?Xt3GL;TCcW$(jTo)+D66`>sWtYow5E%jp(!0 z$JfiSE3cPYUi4Y?aX?AD?!A!mr9URW^$p$U_2=a`?IZiNJHy+P_Zj_J^+EfP+L_hw z*0Jpu+QU>V{31Nw<+6=iOV!h-nx~e(u_=AN^3M8QHAkOn-kpEM=IXQ6y78=atUp5L zt^c?0)9+R9wRQb{?Non?-Vd*iPyNYtIy`*erE0BDTJN>@tY1?T`czvh{;n_U_mG$V zGlOj_Pkmi!8SlGu(`>DG%TF(_n7`@Is=Qa9G%qbbW7GOf^ZxoJHmyIdybqooyw|pM zr+Mf!?Op2^)v!KYy>tDOeN~^P%v%4*X@Ait(L3S!!J&0e%|d6c)LXvjQ^>59t9(*Z zwf3z1wCqwfSIO%8YipKIy1nZA%A0Gy*-ZU0MQ!HVitU#+Uws#xI!|iz)OTT(b(`*R zoe%Dherk7g$JM!O-}tbmY2TTD%I9k8ssqd2w(q)os%-VG`C8i--Oc)@d39~de6L!r zb77_1y8d<+YTsD7B*!&<)voymd`zD%chIx1z4@wiwPmoZovL-{qmc68v$k7zO?@3! zv@NT)>uOi2mSOZ-KT%83ry<G9X3gO$(!RR(Q4Z_#<rmflZLiua`pUn_f9}5}X{$_v zYyGzFxcV}rC)jk-%~NOn7u$E*weFhwGNj*rqo3%r<)+b7^IUg@O5a+}^>^N_&r{y| zHv}u@9Zg$p8r^HR^@qs2;4c5YcCP8#7uR0N5&b3dCTv0U(wna?pZct>9W0r5_2=Yg zVRP(r<?OEh5`N_08J(NAbf@U7wdek9`4RFwY-aS#nO*r>`q7DgOH)_vTlt4!e%75= zMcSv=p2`W`Df%>Qa&X~IuTSbn*D7sS+AR7cEP0#I=Fk~y<+c~ySyiZgY^~V#pgUTH z+DF#%Z8y5JszCeDTDE?x;*e)Sh4Z?Kc8k0VDw}rcu2+HPz2$f2RZUKcIdwX?#NBh5 zW3t<qm3y@PQ!BIhPX`A~TeW%0o8SWP+DNW5K~3JgdagS{9tO2}%j&i62zeB=xoTS1 z-B_*fntOIFe=~2^rz^2jm#@(&P1iPvuDyBcbXe@RN1LurTg$Ya>CUV7D^9Qdv+dPw zuhU^Q(Qk97rfTa%$KLeHU%hAThi#iSg-%_&BBylw)hTP=Y+H5P>r_}twChc-Q(?u? zCv(51tlqJ9P7dq#tGC1Q!vDrStqy%3TD|VkUa#|^yTf0`O?|Fq6aF-=G=1gq)o*mJ z{-~bvG^j89VO(kT)VHBq!!KS7JsYPw`{^nx@0Yr!yRJ+=wfKw7(dsMn7FYFuvVB#i zxqa!qzFV=Y&O8m8;XTuGv&gKaE|$|@#hfxe8??Z?Rd4BzDNh1dxv!NwS{1VTbzpH{ zRV>##jT?)T`d1ZCc@wzV{i0lG^^~`PCDV#Fh1?08;eArqbi2rurHgc2%R}ZYej*cE zHRWYsUZ0gM*Vh&1?n~uZ(=|0gO^x)GrmMX->RmmxUF1RN#_)r?^i0!NUSGOJNA$;b zk?BjXltjMroxRj!x>o7tD=&jqc<aWwrfc3<YNGj)uYFUo$kf$w?M|gFMsIVQpRdqz zXZ<8M)#A#$#UlMmCN`%|2CNT1yYtjnEz9t&aYw7Jz6$JdH;r3b6*}kg;zK=(#UW2Z z3)Xq<eRVc;MTa{t-%~C1ud6OEj96b(Jmo=PtMkj9r%E)=oxi%;YrWFmRcAwU)<qRx zeG$4SeC1B9FIpECI=Qa*D!%$WAaC6#n^$=&F0Qs}XWHBKdDYp~ChMJwug+X;wBD## z^jYZi@W@w*H?6zguQCb`jdQIOxhHdX@tP}frk&MSlCLPOT>mz7Qn+iJ>octrtB=K~ zK3iqrp4nM6<$h>?xTT!x(-l?+7Qb1SwWsUjs-vq#)_d*Y`nc-wYM%94d%8ZXI=Gr; zJyY@3>8tm|Tz#sg7oNLQ>x0&T)&JIg+P&(%mTvgR*sbl$J}<kpdQ*;5?oO`Lq2X;x zd%WJS+OzsY+NV99XG6nQt+Hs7T=jmL;gr<8O?$ddrCh!H<W0iWDKl$k`h1Q_Ef2jL z8nkZF?3LeFX@omo3^FwjR=G0wVQA5uaNC)lA6M<rQV%~E`?YN9?U4P!KmD#+U!AwI zVynu%FHZ}!Y=htWEwv7vxAKF}RO_pASHAISwZ8f`WLxl6KUeFkZ$dT&U!AE{rgdZG z3!kr6SLdvZSw6`)^mWLZ;I)2BtAy``6xQw9>-k<yFC*{Pp3cw9Y|gKI@TcWAi&f~W zko+%OelBs^`|^x$VBIg-uDoUUXTMrzwfAJ#+mO7X{7t_zzb~`4DO+YVcU6hjrIojQ zj;5_L4es?*wVFC>rPFdIR%Yes4IWd|R-IpIv;5VZuHsc^SDGw$GQK)<rO|RDW6@_J z(}N@ZLeo~ATB)&o)*P>*RVP-S_@k1pbz<c)AJw!~2En#|Pc1?phx7#N`Yp8xeH79W zEbEtQ5&AHsC79Pw)k1W}%6&dhQ&;H)7tYK&9nu)g==arp>iv+~;LMp_`K$J>+~m`m zx=K6vrSDX8(R(3b%T^hQPF?w~?$)Q^2O+`BHqBm@r==Nu(N{E8OC$KKud4ag$txc% zD>4$j6Otdi)AsA{Der^#`oH~|`Cjwh@-H@9e@}TATsiO1=a6^7<?}Xu4xYBWVE(SZ zoF6oA&;M8V>G#U_D{n2&m_Mu5>)T2z`=@`Gd>6hKywU%lo$K?J*Ox!DdHQS0ocTiY zv;MyPpm}XRPrcTk&JW8EE)Sa@RI7E)zsbJw=gZUnJ^lwPwZ5)2x8L|P^K9@6`<0ci zzG#~J&$J8uCGs*jcV5!xE3=ln%@_Ksc_uikE~rN9i{^#pmu$ZNy!_a|&))Rklc$>J zms{0G)pUJcd3L_e{7W@lXM@w{ovJx?CU}N_tX=BQkeSQ1<}a$r`lNYsxl+B+XW?hT zDf62Cto*e6^zvgis?U}i`L|ZS`lxw)xzzlmnyinSN0$rDcdB{yLG$o@llfYIGCyk` zTFy3KsD|rIaQwVapF*ZD-(j=$>B<Ak8Rq}0KJ|X(zU5nNj()##FF11Ep-)$)F8?y` zR5jOoO>O_s%C1wv<^H~vr{1mHwS0+<>-Uf;%NN*~exGtD*neJE^{JD=1^#<&pKiPI zK4_o!SG}$2n)jA|(b>9t%DbS-X@@q4ybCIywrR7-yP)mfH}yhyi@Xim?0r%%G=1fb zr3up)ZNBn4sCZgYtk=1qwcZ=`w(gqpDyVQ;)8>#@LHX01VqcYLntRXG3*9C1GAMUi z(&j6(mby(BiuF1hw9vazZ))1g^Gj`}zl!N9UU_z@$#kd9S7t6Xnr^gN<XO;k??}DS zw3VlpYD}LM<5jfs#L^QwtUIsFSb9W9G;QVar83jAV!8@f9$hLj-7AKxaOL5pJkzye zx(ZevT*@+?Y4eroOZVtpP1V%%&W+S6&^)m8-?UHBtMWB<y+7)1-5xS+=?0zBRL#9h zKTSIned<(D=(I(fuG|d@p0+7^Ri37%_eEXNR80-<v%0F=uS{P0Xj;)Gkvl>8-aBQ# zR!?~!xYzxyW!HBNTlcqeORGcXE&d=gwff53#cyO<tFOEb+~$5&&b9i=o4`%(S1q-^ zY1~-+Lgs7Ll{t%J`X?2KybfIBzE*B&Rmhyh4`ilRU75W&px?^&)Y-sg?o;Jlt3qZk zz9F;q*@}ycUHXHHLtX?fa9?Wq>a)iA#a8`~Y_dLUoLziDru5m0GmFp2v{qhu8aT~; zs^zLr8mAVk^-r?V`lMmx?kH#aOvBK<)$-LxjpK`@`jc$3K586YEY$C0^Xh}f;l*72 zN;X*^G!8C4AoKO9hQ52LW!LGz=sqqRt`95rFaFc_%G&F6V2%4**{M%8blhVtz22|b zv-m^brlOFki&w~$mS35&_)Xs`Yp+v*CGM`4T&Dty-A~GXeX?T5;yE&`<yUS8<~jf6 zduko>KA^hok*wGGfZfh7`KG38*f>AsD^1t1c7DiLYCYv`z*gsre5Te@-UO7kb;)v- zX;?Y$<ugs!xW4cS&s8gt*8!`YSMrIbYgjlh<@=hZab=-jdy(;!mjO$iC-PlQ(=c;h zE16ZIadF`l9#Jci7Xg`Vzhq7ouQ<2RqWzYPSFwhP^IS=-Vhv;GM7~nXDNh4Z+g8bR z6|FeAP^G;~rt3_=1m|8!uQLIOZB;U-3RfIkDA67z<5j43cA-$a(;t~v1saDJa^+{q zbQP>PxR9lt$@t3jg?o6erfTRp=k7fDaoO>OOzlilWL~{rX0*xqC+}7B$*O73e5dbR zym7B{=1fh#mp)6KKk{xh51F=b!<i@6SEerf(soLkD_`xRsdMDa%ufX?w44JAr^vi| zx6E;crg^I5sk{}tW-sDVP1V>n`^~quE+dgC3!k-3l74kEpwQV;lIxw?p@sMIg63v^ zRogy$iNnsjUw&SG@4wIf>%T4PpVjZp|8nO^<z)?N^R6EA&VO5ePk!fLS$F7j@H_wV zx=o*j-}#2t?Xq258T{OToBh>)F266o@!w>B^{3`H^&9hF*n}l~eED^`rTxx-D$kc+ zn;%gh_1E+3atr%|KQ+HDH@9E-ujJR{+4Ftswf=T~S#Aa{CP7W+e@mV%zcAmS-s|(_ z=l=8TOaC4DdHI?DEc=B&U!L)wX+QHP=jY|8=j+sKeGY!=KgHhnpUThRC;lmQO@A`a z_$SqIeTJ5wM}Ay><lkkl`mg23<%j-l_M-n>eq4S4E1l!&UVRdtK7ZREksrbj{Oj$1 z{=M>j^0fIs>TdnkJnbJ*x9ij7d;XR75C5h-U9M#x`19qv<(l?4|Au^@JZ1iix~@;c zQ|3RboAmqTN&iB7%b%R@mT#Ybr|j3=ljY0r&HeV~$nMGW=9ZOTx~qBKucGYK-IwS5 z%E}JieOab{du~?wqPv-8>Nn@UdLy!1_>EslS=HUlbAIcrPu^Tvs(x*5Sb5N0&2xSQ zWnOn*p7mQ{J@?&{H1*4Ky~>sDt~~3PQx>)P@(aI3)+=vnmZ)Etd+E)Wos*yY&9yFk zw`J$#XMP!FR-1#L`K6T|y7RI~{q)>ZZ$fqoKlPhz?fFh*r|`_V3guFpC(oFB=*^cM zlOOx_S{uIGvSacizfNn#cO^R}KlE$07JO&2WAX#PgtA|oCQqNc>&=nW<+|3a?^t$R zzVBCO{q^mVRP}vxzm=WZBs^{Ix;IO<2jBCnu#UXB@|0gl*{<7}r~JySufA1DRo^vt z(HoZ3<vZs-DXZEPe8<nPtm*d4T=ng<>+(PCUHN^Pt@+1$OP(*gJG&(RRI%{9*+u!g ziYL#VU68+P@5yh=Zq3ffpS9QX+cGQjqxV><h3C$W%b!&|`L%CRUe?~uugk8^4$1E- z7M?RZD8H&$_?7Q+^PM|Sepz;Dwnu(evGDBK*Y229O@85<mB+Q0^UE?5^V)k)DubW< z&N0uux1>^d*6ee4rc_RzIolwA*PfG~mKm9c-gBwE{KR*Xx$8ZbXKE*AAG@RSY?*<% z?Y$=z!H<1=%ysWAsR(}L+hHzyFQp>*p>K;h?>&_Y;Tf~{-FfnKnVxy!&dk%kjpmH^ zzLZbC?^|o0xwG^Avc0o6-D!EcOxyhB-6`e5_k6?hRuu_Po&7FvR?+0UzNO}#J3HSk z(=d13nfXp_=j?fRM9L>mp8X)NYWK<9W%p;+q`#V*dERG_@ynT+<!X0lemc{VzU<D- zcV|-4)$Yv9OW!niWtrNonQ7^h=B_;Fv(fnAIhXWh*JnOE^TcZMoS9MSNpmwx)vnHb zbcQ8;*_E01&a_xve(95!W;AzYiQ1)^x6T|%TV`t9drrk_@~oLo=}dDq&-%<at~__e zQuw*gY~##xEosY)jZ@F1q^X^msh7TK&dM`BQ;h@9nOIJK;xo~B;!Mt>WroI`Gd0in z^c!2AyJ8{y*r(fA^V}2*;YU90#**hkEQBBWG#hiCV@X@4Z(RA4C2GdB?)7?G)*0%r zVRCgX+;yR&tLN070~e0%+0fF}acIV_Ge=UF=^C@1W3jk=->1&_>)9o#YWrq>OFLyG zJZ<K>GfT{a@A*_1N6uV%$|odk*X+zwK4r#N&#I)V?V7ph3`^>=oim@LRT%}}@$pM* zn*B0YZM*iLxP#xh_J-dmX1%BVV&|cEq9yAmJm;!dH=(-KI($Ph>mBVoaR<L~Z4F<r z_s|>Bl=T76xi*G3>}8s(y(cd5oM_B?h38yr!xQ!%dL<gNUf?-b!MX`mt>)nldk?)7 zy%Tfr3)j+cg}qL*wKwcMG)ucD&hZOZ);fVI)(hH8b{={zYP0@ACF?ouoH)nNTp8;M zo^j0#Hz;O3qa71>@Do?+x`0a7Q`$apj-R+DhAR}ap3v^u*)&7DW#^&CqB83*RInb? z)`@fc$kiF{u;<W2QJ(by6|9G}dEy*Da3!q!@RTcV-G!%IG3z!w<!TIP*mLN<=$~~L z%2{>8AM8GKPjpiZ<7v@#F^=!KD#H)#ZknncvXg15_MO<oQ=)Iy1(dUDhA-IdG)3EC z=b<~IPu6{S!c`bvP{g`Jdr$1aT(14WALcr}7y0JXSk6_oY=brHUCk#mndWIe@q2hq z<c$wwIoI~!4Rf8|iexM|ux7ofd1a>48<B+N4(VL$gJ&4CUe`P^)9JNH#PSVRtXDOU z%w(FQxy0|`S&@L{1y-!)!3J}kUW#}uzmUe2vur~e*WzG-xlOY)Tl^SHxH6Z0uw*^2 zS>o4N%r!gs!W^bqnsa74Jrg<O!&uBUJ$S<$r<s}|evL(3lY=MBae5+h!iTYlD|wkh z8du`74;HM)HBI~)3%R<31LinA5)oKlV8MD=Q^c>afU7xJU=Gs^&6=4_(=|(GGELXa znd$UEgkkvybJqQuUwj=;i~Lx&!Ms%`_<&KXcJPJSPWMDUEK5k`3SH)q%2ggb!-#da z<`rMZQz9>x38ZobEHf}?-Kl9a)9H@L93RF!uKeJF>O=2^Yvy10-D2ziptfnA`WrjP z@0^wM8lH3R^xyHB#oGTs?V-2AIr9U4v)ocYW9Rsdvvi)oZ<ZVC7b*|E7Jg=P@GEE0 zybHfruBorFbNtF#IFI2u=Su&I&n#Ee=hz)QE9^5r;1|m!^(~c5v(?vB9(p0{GJnA{ z&V~LAwNA6tYwQkw=A7&QpytpsVUzg{&p6ZPP58-T?0=x<&{JWZ`2o*3r}{6bIrKzW zWxl{q79;<LnnN?xJ1U)KsN2{b{K(nspHOq?k+8`82|rklsLR+L{J`1juTXR7fiTN_ zhG(4d^Bz3qjGcGjDQEONfgdaf)c@EXe9u|yf8i6$KJ_=Yil>D)*gQNX{AJ#R?<{-N zBPyMyss~gax+}cQ=HNTdQvV5`SazwqR5DFbKV$3oj?;ghz;_mP|Ay*Aw}tOa`>>nk zzUmjf#PdQG(-)+3?)JVA>oiaGL8Q|=p?5k5&j}SwFWAj;TlI=wV;SdW?+LL^b5)P% zF_v+bO#85_#nQVVwrP&)7QMz&&eh%lu}*VT4@5e>61u0uSjxHFyI?cRWz{KqjU}A9 z(+;F@W=}KN#d1+~MWoXUA&2P`(m3aPe~58<E@Ux%!%mj7stY0y%~Va%J9tLOV0yt$ zmeZ<JBAuQJX-qfR$#PQFMX&LUkiv9>G|r@H0%@H6-UTsEkA)<rZ`i?dR8>Wfv5>Rf z+aSj2p%BM(gB>gfRU0A?O;@dmbegVO5P4{tDvKUt0cXAUhiIq!LR)ki^Es=%Pi$h* z@!qkCWv}WJUB-OQuxSCQoFUT+wzp_{Z-{ofE3`zXF^@BF8bc~)vG;`NLz7jH=pH;N z^kCYDZ7kcB|HvKu&av11Mls7h<rkKR-U*iUPk7Ez(Kn&G#oB#CG0Pq0J8}oVacp&8 zV0-9|U`l_$bB>Mf4Yo{kmG{Uco)e7eS9s2`);+=Y&?~`^eu3v41$`5$TFl)YY!AH@ zyd!h)3&&D-1zV@t${Q>X%~I}>bNs@Q)hAHJazS~C<)P=X8S&9E(HY5c5w5PxpY|Nu za!jd0ZsBK+Iqo-Xnw|+>ka>7U(5OG*8OIFw9X3t()c5V^>RNZ~)R7B%GNPpqk}6w_ z+*jBzJrz{zSEy|9&`ym@kW`vr$@E0<n2h5Y!9y|+KXUZBXV@@378L7WP|<QkSwZgM z2aYy(4I8G1f^7W?6)XnRD;q(Fm<nlK>iY1MBd+hlQ;wLv4No~5-5G2S-531RccGj` z*ZqO@p?iXxWEf8iu9I<m&r#`qz`ALwa)>3<ROLIeiKoQ3`SnzSj8W1OYIdk(*{ys< z*720!i#~y;905vaWF6me__qaAw(L;0uw=R;c(3n)S<5-aKYWV$9CIq1J!G2R3skjl zNaxt&yhEmGo}vd|V<E?G=L@n<^AsOQI=vHk$8+$UKtX$fHOp<qKfDM1&j`ru<~evu z;9J{-RE`<WE0(rKACf(ETj0*SJyU)Q&71z|&AuhiRqsvz_G8}{YZfi%3DK)QPkQ6M zd8_`-eImavz4cy{9hda^(rfS4`WyFMdG+hk95elul_z<#UV7)p8P#f<p7UO%-?}g5 znd-smN~ZC*YC1nJwLU-n(w;4#gNcpe7gc+9-S*n2fAi|zl4q(Xr&h%;()m2;srPjK zM6JK;wme^Ya=Jpi(&tOhy$kg-B|i&I-8Y>rUg)#x8L!#eh3l^Dob=plzINuiDQT+a z+9%hU>=t_KwN?A(x{}>O&%9=8&yD0f>y;M96suXHYO3A2&ScjmsXJ3c!lPnemZ(~; z(Y_n0S*~iM{WQ|EeCge(QQ=OYQ;Ms?Hf<Jq&tLTU&w_94yZ6|?_#1oj?c0NLs=Ie< zX-ED%C~HwxH({61W3OUu(SHut4Gbss+-o-F&r5uLX5GGj3SWv<t<?R)CjDl7;Z@O) z<KgQc?lk9c*A5Fc!^=F&mZ-JdR6N1A@SH$WJA*Zgm2-vcp*e~>_#Dp(M71BVYPqVo zf=}_Bzylt|QjYw#2CJ6KiaR8mUJ7`%J6N@tIVTvmm^w?yHoXwI!lPKiu|VJw&%<Jl zdCo6nn4SxmwJ)$_F>$sqZaJekLz3y4fL?ooWs8yX3K^!S0&49FmMtd~CrC0q5je)< zct+q5&%;8FKIaS>rpE$e?F%egjwmYdJuKj8bJmbydMLowu3*7p;QYggMc?^_5sRMl z4WpI=iVS=Y^Ev9AUr0AiQ~bc2n9mW}){x2(-nPKJWsl+m-h-zER`E2R5_s2Ukjhc! zyh6HZisA)c#Zv+ccoOqCikvHqT6QQ}NHX0KxYzdJ+okv3`}Du=+X6~oU-oSIJ?Wiy zW!$09LGQfF<2HR3dgr}e|K`4s-$HM_H|w9=7xH}Rjp+&Ti$IC3IIgHxv$C)8xx~)n zJBoR%j~}o-_SP_`Kd_qTR`MA+=Wi0FeS+0IH<B+{9(!&0Oy=-ciK4!XRXo>{*T^}4 zl_>0Ed@ix_cttVKmE<{chtC@N^aob)TuR<z$u>KAjpeZyhA#aJpGhn{&S2{{E4fDQ z@Mnp+#~;`nduC|T-}p=-y>DVAkMZ#XHpiYC>huRblbCvZfz7cehARDnl{`kr8*Glv zNbaz7n~`iIcle`3@9_kiV~-3)`X^TK97&duJN!YS^|*q~u?L1M{fy5f;`<&xm5A*- z_*5dgPq2dLK=L2i!|x?(k6$R_*_ZrAR{6By2ARjF48QbEEa%yi9AW7;H95fY*j>YA zGKb$ulpdc@#Iq~e#gc7G@)=p@cM|@6g5^Bw#~ZAV-8Q___R*T>e&QFt<nsm<?F-W- zb|1bV>oza(fu!3zgLgcK&lwc77h3b&PQ1d`Tqd#k@B~@6xrs;kn9C$e+CEzKSRO8r zZJU$0g|E3(V)fwwS+_Zf2PEBI8QkMxE|pk*xWJg_a^e)e<`RkAwu5OB*=>eaJQovJ zNV>f+aA=>HCNcl;2N}2L1{UobEqTr+E|5GnGckql@EHSx_CiaZ(}`0g-JTj~v>RIT zoJ@4#Yd&M3&~BI}k<=!bCeeSmK*sH{fkgX83!bBiDtyd^677c#WZWJaaI_m*@ElBR zkUTa$u|m>qdSZd(v1y4ce9Q$B^@l%5yWKa~!qc2DQGNJ?5s%K{9Y#ER6QA%h=SzgO z1*S@bv=y57Xdd1m?RM8-2~TsLL|_|ZszmYO3DU<VCm!KFeA3`S+eb5=?eTy99r`Z5 zcmIvg-1p*N{5<+jzodTRbMcD0iNCw8_iy;jeJB3TzeC@|x9(r?_vjn_l={Hu;v4rj z{AHaRzvo}lIsKS=#pmK{_b2>4`bs~fUhuhiLEXe(-RAop{vLg)f9KDkFXBu0EBtkx z9lzn{(OL05|D3*vXVnS*;=T~S<mb`n`Zo0!e{!FT&-v%{Sv;ez@R|6`{RW@8&&0?4 zJM>9BwJz`{_o;ZFe@>soC+=7H%zYxh=V$AT_?DkXAM4B1U;M#+EMDiI(?{{n{SJSQ zKGf%_5B$M>D4yq^(+BZ{x{puA<LWLx6_2Ug_*A@cKf|A+_x1nOUHs0iyZ^!OqxbYT z{b4$-zwVFId-2Ns2Y$CsjSu<BIyL^z-=tIeZ|VZSb8GHj@Y{7tyu;6<cl4jseS9Kb z*k3!>;qs$HOww9T#h0$S9#e7%yb$?5eSbmKyN23c&%FhoxOc?w`FrT?=KJSs>|g)Q zIiJ2~{>z^^-;M8{|5VfVeDj_2?|8nMsy$kBW^4MH7ctkkq@&flPjkOMb1r?y{JV8& zza!tKZ<&9#PVIN(-1ABH&3}8&rET0Y`(|F->fJZzo&Ay%_FP9#f79mc=bzOqd--eT zobx{R#mql%zDl1uf2rc<$k*xp^ILDe`D%RaypetPAD>Ugp@#D_f9ibLtUJH(<0r`( z=Xva{@7!5aZXAE<{H>a4KW08ik9=Sk{D<euX3Y@0)t_cgJ^#V(^6xjN(<AJ-|N5Lw zUo^kBZrd|sv-wl&%AOkA9XiitAN{B2;#=e0=kM7Se~O%QC%t(7)!Jt}B;TZMoPBlU zUY=>^(ss^%o44%wrfX;G%y(~&%zB<aZ+>mvw(U1(Tg)%a+qO&cb=s=g2XE$d$x9sG zdDXnY;bY8+n>oeC=gyrqi1sya-qd}0SL};dHy@{MzF;<c<4^0&H>aHyGxy#+b5`tw zJhfdnS3FHiG2`AN^T%cBrtaHk@2uI7*On%}ZvBSYo;O|R-aKnkK5^FU^m5}J7PD`@ zRVv%8QCPow$0qKx#%0At8D)%Cvr>im&Llk4<9WR#=3Dj5_Yd{d$|vsNKJKcq+d+g` z^5%~2J+T2{QSTlZeJB)<*1O$%=gl{xyQe?Is8zS0OWU)xtVrF_{PbqYd8cD+KleyY z3%uua%<{16m;N*2J3=PpE)><!nq(9G*XQeIi}?qC>U`a7K7V0d*{_+i&->VG|Lys* z*=+vCpE+mK7timkTlQ@8h4T*f-k)!Rf~mCb*w35K(r3+I`18$~^qKQ#{^a?*`Sf`m zd+pDWPt&K&_pMX=8TlkV#jg2J&YAQiJMPanAE)=tH?2GN<L0CEuKB8UZ9i^4OmCYn zTIcrT=7aR6`Her{oKBCkd;Ljr`uS}&Vm~Awq}R{?S$pmK%xUL;*xmlE1B&Y1pJv`m zubls|Htp$Vt@(jJ-@MzbIsayD*!P)J&cCqh{v<i&{4=}Bzu%lpFPv}rljq&$?dR{9 z{l0sqeDl4t-*S%ao;mMqnfc|rI_J|W%ue5Zb1tpS?C{+;WyZJ9W|=R(n^R_d^X#h} zvE7ny(n`##@8+CKTQ~dU%{8UQ*UpBS2jA5>msVirefQ1Tv=y`G<~>U@zI@ipT>0*r zvuQbI(VK6+NLw^}<xQOu;|pgm<$T*Y^Lg6b*@b!AcFug3mSJYSIr3Rrn%UtyZ;Fgh zpFNcmwo~$H+T_`ud15;yXP#9sm)<;c#@RzT-*(J=oYp(rFmKzAnUB&sXDjBF?U?y6 zt#!6wp4pC>57H9Mes7vN{p_xsW2u{UXS3$9?YMbAt#0<$+-0f8`_6tdJH1JA+SzqE z%eF_}ORJb2d2`LFv=Fo1w{uRVmCe4ItCniK>+GT&w$#l#&pt7$-V}K!&Cjg)_M2Se z?XiE}9V!>!yZgpw?t8H>ZXSK7S5iJPUA&@f;_hzi-5WM@--*5R?ogTd*4+#49(|*i zQXZHtzHxWMUDmm=d)_6T(~BurOc!6fJK^rpS9&4kg6ZM~WfOOGo9}kGd-SE=oi~R{ z#Fy??xa&GQcEio1vtoPRIhBZKl?m?Rz7V_Q=F#VRHsu$0a-WOMdFNCto>5kqCO&hw z!Dj9=u`%xs6^W;o1@7cN73=fPsYra{ZiUU<Ct`bUw$6xcxq0-lo=o}09o)xab>2A@ zig)gIxO4QO9#47T4(>y-Jnx(e#1qOsri#avT}%~^DchJT-ng6L&e8jNf66Xy=hof* z;P%mbdYj%boz`3T#wlOCa`%DTty5z|Zn92|z4JEdl-`@N!0p_cyBFMcof7MC^XMJD zCuJW~#0z&9Y~tP#yXWnpx0~*tuF-$JH|Konp6M@l=6pB0d-_vM+w)C#PQQyudv0{+ zbe{g^y=%T1-8!A7KY8z(bEzArAB=N*zUlhuXED#JX3jYsrJuYv=d01x(~n}<o^QHx z`d&<1)y<cwd3wfs*L*R$boy4zv1gl1r}xIGRn44r+DV^zug=-j`O_=ou2o7tPn|tI zGp_B~CgbU;acR$t&YafM-@IqdnbfJ%1LMppXFf@tIDO(yo==+$r+4nuIg{Ey-7@Z4 zh2-PZ?&+Fw(<&q%rM6F(j0>xfe3;rioimQ@*(Uwzl{?p*PL0vKT@?8swPE_-zoy5I zK01AR#flTY;ei(~&N_J`#>`wYbEWhqvt5(lGTvPi>?Y3s>Ff6|yfVj6xY@L~#QfoU zTX*u=r4N2;*MH5JbNtOOJ$Y>t9+w&)Z>{<BG>Y?+_U}tBEb2b1m*)PhWNTl#V#<@0 zrK)LZGyH7q*Bh)gWO8-hmRdUfW~^HI&B-TE#HghjndRyg?>=)fwQ%~`SU2;Vvur27 zY`QdY?`P{@Rg!m7_Dy%(Xe^oe@};!N<hhwCb9@4eJ_;C~nc-wGx%8&dO>w<&wZog( zkLGRCn0(ULto-+B@6|sfQZn8oZ%8*fYxC^qjMvHeR<9?_to`$8#;fGj{TuDlzR!?3 z7<Tyhfi0D91SWF7OkUA1Xm{+{MvG{<%R#K~H}0{rjrb;axw<F+{O#nD?w{{I*{P-G zZ8Y!SdAD%WjrYm^Vy|m;@(jbRmv1R55^s*>F+KMxY5mr@^A~_lELQV4mASMlJyGMH zeAtW`*KXYGG~B}I>bxT*L+$k(p3R>=Z_=3j)9;(KdF1<)S(6*%zM0)zayMne<f}7t z$~Hw=O`bTjr^Lv7@<Kl|tH^gLm1^Cats-BitlK@=)i2Fr=HrwkHSak+A2;ngdB|rQ zYk|?Ble>JLJvGvuoVc^+{ieOAH~CC^YNRtgcBjw#O?ys%(A!)TIra34n6mPlQ;s{y z2Y;UNB6)HD+R8WIHrn=owR8JD<85-W+~HcDFNW6rcPn+iZ@hKfN8Y-Y=Unop{<C&v z-z8=q|6p_M#g7}0ljrs)+I{;OA!XR#Tgmgu&{elz(M`_J&h2N!)8tgS%{6Z}olc&# ztN*RtvgaFZ`j^^?rIj1rI~pQi{b|OO<Ifh^xQTzCoo;yR+R-fe>gY3DjC$1P-;axX zwBT7%u-Iy8R&G5*6P}p(m{?a=#$!`%#)g&8oO1ewUU!k?l+(}jChvX&N+FgzdERZ> ze)^8u@408nH{Cn=&F7f)%y}ov)GyD~IiFIYc6#ocb17wNhv&X2GrD~;OMUU&oHC=E zCtvx9Sxdf2DN(DQn{zH@-Q<%q*OVGvI~k@PJXhyjN`ad9+&5=aR!pAj_bkom@<}gs z<+*FlrsSwa8{d49vS{+knK~s#7fxRC`DQuudCJ_$g?`&CXFf~GP_s6Ue3p`?c6iR4 zBBRqMPx*vdN<K}QJlWGv%u;gZNd<Lj<C!x~9`gAHinrd$hJM>DW<E;koUG_qW-;?& zO6z1nKQoJ&4^k4;ejCl4esY)3vD8hvlUe=PEN<RUshj-OcUh{@zLVe7P8&&1JGsth znR(>Bl#0obGuNC-2~pcUJLgnN+2pIfYN<xMPA>9cOWm~d<P)`OqsTicernCL-{cx? zKVB#QxpvL>jkf(CL6LIzc!~V!&l2;F7s>DbJY(+h0{PvwXTEK`bv#3UcCF91jaL0f z?bv=x%sn0_Kl}5H*U3e4*|j}iH(os+BH#U4V$Sg(`RdOSuacMd@2ouYW#gse9`f0r zC1xMLW@Gki#*5@EIqq7XFB?tzYwe!>jCh_rr$5(j*-wdC$IsbJ`#EFgaRd3?HD^9; zH0lqvbNhMYN%EwAS39?7h9{06vr&7t(V*Yf?%9us$H_hYx^~NcL_A9F=$Exi`w{Ul zxuu`iPVI-pjN|)ko;}^D*I!tfb2_=PpV98y_Zjz-Yx^@Rd){x{dwi2k+tZEO{V#2& zeV4eG945E=lf=~H@8o8GnsGO|wBNI`=iNq)e#gq3cZNHU&$AKxK4bFn2XfWbXL2{* zKUyRHIyUEg(w^>@kvZjtcaMJ3X-nUD=jc0~v~<HeNAtut$F3<eymd59d~)oXb4eS! z59+z4Z@hl=na;CaGv*wP5>JlJDK)%$^pOr*`o=3q@9DJdy74k8Ps})WO^M;9qqlU9 zrEN6r?$uM<HDlIMCvoOjowG^vyDRms?UZ<)G`l-fuPtq(ad)a-TAJaRqk7_-W7eEW zn%W(xXSQ?3lcb5=6C-(wHX3$!M(UhN>hHGHyS78(aZ-1;rrxw25|5JFyCwC)c1S!- zYVPLLV@unp-(4BG=5$hw*zHXb50V<X|LQ*59&tY@O6+lTPX0!n?!?G9r;@6=pX#=4 zpE335Djm1fjk}L7(@EPNaaUr;z8$+*L<DcPKJ_YmymaqTS#Yjgyhtc1NNjPm&Z(pT zvDwi+c^lQckLo^4G2C(Vo>;N<o9_nq4_EQuE|z$oSjBhS*5~^MoA!s6I^Q=~x8Jnn z`M%-y;T-<ewmsiA+&rAZzq&Z$P2z_3i;~Q3!tpV&j;^j+7x(Sp5&8RN>jK+1UpH8` z@03$}F1E3KtK6|F?(5N8?lpbgaP@FV{=}+?Ifoy}Osl#vJ9^H&M`v{d4hQVFxu<kS zmo49MMFqEhY~H~gGs?O5?v2ja$r?X3`i$qn)w_4M-ql@kM@jF^7X$P5nQ~!O5-$^T z`I3uo%sTAGFKp{`HgRElqujJ-8_plL;eTz@^LfMB!zTRB#W!XiHex?*JmX!$_U65E zX=xkGo9FV0r5l7?Klto`q)(|q@U??c?9#G5XA`oo@TgTuJWHJ39w`_0Y{RL;8vL_) zCfn$IGB9d)lrwu~VA$Sj`KEA#Npq{*GmD64iM`E=a>p!YJWcFw*OZ%9A@L|-LGxV8 zHy;cRALim$meDCPICYqfUs#6cY+?f6Z=q*TH|Vt&N}kEzu>bHj8L<k92MOi%U;iz& zo*`nORkC}}zRLQieBaVi^EPNzG+Ro(Ihhdn@7K~==FVT?PZCPo|H?iqkGP*0#rN1c z=luqq_C(7!rxL5$pUSqC&zO36m5kfd4Z9C7<B&@$kGPu{#JAX5=Tu?<-)w82cN^5( zkIFuKVzA@zJ-*`IN8jt#<X^1rw%z_<Z|l71H|LzTx4zT;bcgA@Zc%>WbFs3##_I0d z(Kqfb`nExA%k~+2Ti@uux})?>Y{T{i#ogDV&+Ke{t^4H8qOW3Wwnyx3eWm;84%0c^ zp!|=|#8zy#*xNcgdd9s)U&NMd&nV_L+a6KeeKES@p3_-fr+mh$Zj<dl_Ow3NwaPzO z*?l%T;~vvl-E()8K8vO0HCA??j*hsu=!~vbzGG$g$!L#zN}t41@)#?-4YvyvcN=W4 z*wgx0S1SKtMfcHYjeANT#X7cI>}h?d%a!j~(S0zQ;~vu)-F<fsoz~rTr|GorwmV86 z#2U8$*xh<x_gCJ+r(!kRZ|ruR7M-y3=+x*Jca`3YRczl-)UCCBK~eYaXrG;}cXeOo zDLxe|+1^pat+9Q^?$$fH^X@3U6Z6YsEbrbPy@U6g^^Erkdz;@%_LLjgHoxUtW*sr_ z;0K;*);H!Je8babedBGyw&tsRZq_&6By4KFDydUuaO2<$o^Mt+<{XS+pKKiQI$=%o zTE1mg5pxbc;F)H1WA?!Sc5B%)XA_n+Pvvv7ikN-y2G6#%4Hpl(um>AQyhvEkyj1c{ zvBCL+R_u>ua*7Sk9=yO)mbT%{!81H<mN%XzOlzJhxu(eA)Il}&$)MC`)a=M-mS$ks z+$#B|(BSw%DfVQUoI-=62Zh+3W!@AR96rd!t}K&NU~ur@0iJKE2KvpVl0ByrqS?4* zcnUV`Klq33wY1Oagqr5Jywg$*bedx&eeyT#IrxEXvr)v<gDZH-%x_FN_=at@w9l!8 zl4e&)o>K|M%_n)krEJ)7a1IZf`HkBNdG&w)J^da2KEC?jqrcwg<9FA;{5KUeD)aPT z>2rPS`iK8Ye@}lKzqS72KhxjS-^7>x>-x+6P2Z}1??2P$`q%eA`E&J``0M!9^(+60 zKG(OXU;6LsGyN<3{r(qyp8hg^Y5l~1SD)#d)vx`T{YC%c{wse(e~G_{&;0l6&*{(W z&+WJPf9sF;XML0Uxj(f(>l@c6{ww`C{b_vazg2&_KdnExU*&(-pYAj96Y6_^dY_3; z{8#no^vCtb_DlSa`s4j^{gM3w|E>O<{;>YgevbcAf4o2F8`RhSJbgMo_TQsV*Qf1g z`p@*|^?UvO`+xnr^hx}Fe06>5Pwvz4;r}lE)_$+QXaAZ%p-=U->hJzFeX75E|EqtK zK8fFr5B%5k>H3}cqI%n(+VAw$>kt0@`fd7cox1dg*4_6a-^^rvuk+<hQn}c!a!w7Y zNq=vtpAkP8xrXnT!!Mhg>g(RB8FNlfnV2qCkv7q~+j{c`W9~bVcg`Iu6Wh9Z!Q7*7 zbW+j-)5SJ!ZkWqDH*(Lpq;onk>5A#=kMjL~Ef&0ksry>whQ1j`RMR&8>NI_`<y!P& zi=#2CD~`r)zHvk+Bwa9FtRQWoRk!(Ohq*^z>fAYVs6=e(W`((~vm-amJUT0~=bTfC zSXP>#759b6B{Ppc*RheG?fP8DB7I|~Ny`Hk?H@1ZH}Y+0XcpVW-Rp4i{JmB~u^8sA zYoCk+w}oy~dgC(lrUaMC5+$a%#HeJqnJFrYM-BAsHaUdwT%N-^D{{_E*JnCs&M*~= zP2ap>j_b_GkaJB%Vv{#dnB)3H=foMNBC+H&#Wb<Rw2v0t$0JS7H5H0=Zw{E_`bbA0 zz0iXDaHPn&rUJ3%%>r{+XGGS_Y@L2M;s>MLjpeHS>$&F5l@Zxxu*uK}d})#R--L^) zVlinOQ^guLGt4=9U*}KSMRRW5%@1ZDy{EJ34AW_yb!VLN#VR)+nB6)xGGr#})W|z$ zlTPWpNeeXR*4(^cw(FEghnYw3=sZdLm?BoVxxk2fN93Nfhu*GRut+IxfA!CIS9f2Z zx3}W^rOnsh#qFwodXxKn+|KH|H&367+fjY@UFz=ew{csl&%RUL9X@w&()Xsj-RI)g zSD(Fkx>Vn?dh5HRyRN^ATUCAZCil6xmDPK1a+j{Zy!XzV)Lr2(<Cau!z1e*>F8iC* zUGEZo)9TiDth>Tz?X~;<>CWn6{d0TGzF)e-eKs!r+o?OJ&&18Bj(wN9GkoS=t?!HO zWEbh5+^h6mX|wo~xa4m_o5N@9mHS?FXLX_evAts7gYIY->L1z5_uc5u>H_^kd)dAV z-QhkH7ys?krts-|cf8q}y8ggkhVQ>_pUz*uZ|{~jN4H<U7Z>^M(5CBC_kQ_y>Na=2 zzIJt}B=dFg>-{e~_4SxtInEplkPuaj-O%7BHZ4iV+dI44A+T|S@_LQxi?-XvMbrz* zzdqY}I`liAY((U>1ABM>_;sac6Q}Fu&d6s*JSmG47K)2rGOND(*7T{K#j5I?cU!|k zzBnIWou{u^eetbms=h|`*|)0OuTS3l=v&by@jG$()jRKgt)Biqc5nIHo!#H{Y|G!? zTUs4HZ}*2gQ>(Af-TmfHYxVWFvD?b8-gB+K{w8))`PH4;-}G+mesSk()%7{MW8P0H z4u2iHrhM(arB&f`c0af?wd(rp-2v~d_MSc)yR3ZbJ=d!6*}HGt+4^kV#oaFNgNnmn z#4adby7TpCz4N=R-ap!t{aNqq?hAKHpRGHy`^=rz%Ii;Kr<G6Lx%!jdsoiStC+*Sx zq-Rv_c+d2io?&_G&etFHj_;OwpR_0Yqu$ZoLhqgSy#AnfcsJL3r9Ign^bYPmaOdk& zJ^k|1o!zHnqu+7u;r_60|L#BUUhVci9a~fW*7n&~<L55o*8&0q!>8@uaHsUC-rn7x z-W}R~`c$0SA@IFiUXCFmiU&D28E(|k(`QX&>k=pl-PwIAw!GYT=jnIrcI{qr$F)3s z%I*bsOv|U=iS>WiwfpqR*n;xCcb|Uix*z^y-=goL)o}-ZbJ_mxsXVIpM7tz@;&ai8 zxQV~Jtk-Y&%ylRH&b~w6M7OSAP<!-^c1nEUbJ30K8){kShVR*zbWS@aUh%o;+Vu&w zM_*}�x$bEr^@=tIK@7L+#O*+IRLG`Xah?y+W<)?C=ehM`wli>~s1eniVJbi|a!8 zlFFmcwQb@r{^U9rp0m&CvuH+K;WN>h>kU40`5fH0-$5@{M%B_#{a}S*&C#dYI`M(e zM5nG_P;>N&wo1I<PcEbN4K+t+gm+ZB&Iq^Jcj%*N@A`zAqmQ&j;wS##Iub6k@6ZR) z*7XWCM;~aj#4|n<jgNcyR5Uj3;8W4)IKdxW2g3jCJ@j6*cKwA<T>HY`>{U9gy<yLz zQ`%qRCVuDI6CP3NIyF3?^5|XdWqS_26D?go;S<-caF<HfDdA`KI=vJ1j}!dPrM|wQ z`si)#J7FJpbKMX9vM%YoRz>*2=Nn>0cdxz>>pCy=L8R+Dt#@k<ozp51uYM!ee)do% zuk^EmcH2LR0_{JJD1{a7=DHnvWnEL5=;qZEVqNEk9$Cj!CR!5qaaWh+>VnwTIiXwD zHI<64UL6qYIw$l%r0XlKduy0VMVGHG*vxe~bjrG>64BhSgK47KVTQZ7E{3j%bbX=a z5I!+YbpGlOF|N<GEW$VL<T@L=AoA$U(3EwD&S)7tuM%OFw2ZWzzF0L)ZPwYHKP*_K z!wW&XB&I~VKGo6)H{8i}GSp>V(-|#=aKkjwq%grW(f-v1F|LobB*HiD;5r(rvW}@x zw0*TfjO#-!j&Q>rTn9rNB9BfFt%!7;9$FB2bXq9OI;H~A`qdwzUGHmcS<{p+TD|(j zCN7=TJ2r9c4SlkfDPJ@!EHG6xB&=|Im*(mX(XMy3maJ*Y6AcVwOcgC&Jt6w&<j^B) z51rI{5cY8!*Y=%t&p*vwUB1q?_~W^y&-J!2th8(XE%5I0_VZH?*9wSA%6=DQw!G%u zRQ*@<SiZc$Z-#$ow^~ns7gPD{ka76CnDS?vjK$x@Y%jifF4S85ZOrE4ljlOy*WK8e z@O+W+_17`Q&x+=HpNm;ryz$&ttLd*|3ZFF@hrf!+f95pzb%~yN@yv6fR^l&Xa-Ss` zU!S$p?YYog@3S!riyO~PO<Q+<r_J+MbGnPyo!x2j+{yU*%$-Khjf};g#Y``bJQtd_ z?$l0==d<Q`7p*(7^TZie%j+|C9yueLw(j^&ndez^x(nAG-6`_iYYuney2Cqpo@>qN zE?9SPC(Cmt<LlFR?m2TcRZp)tccylM-hrL}o_(6VI$uw>_~Y5F=Hb(JZa7n#s<(IN zr)P&|pFR~6`fQQW^}8{_&o<3oou{W+eDSPks-8yi*|VzV*C+3M^sLB8{7y`M@y@xA zzKiTz{=)X?yx<S>oX%^O`7f;QvRQthxa&^v9n03a!4Kv=`X;h%`3hUsx0-4Giq&0K z%RA<t^v%0{{G?ssZyQac+u6T&y#2h5|Bf45(S%l;6s6U%3p5<G-e|s9<GH!R=Z5Qo zohHVWUB<KKaCCL7%UHOpa&>{O!N%nUDbCN<o(%5EtciL3K_u~-Q4F`xN1el)x22rD zxN6bV@~-Q_XDqKgu{nBQ^OqlEnvT~Re?84zd!GIXyBoDP-*%qrbDf);i#EsjKQzBK zZ!^>RPtx7r*XS(2P{eg$^MW~tzKRt2U994|7QAMj(^ru~KgQ=GE0<Rkb6p9ZGw;w@ zO&|A#tJ6iQYurCpb8QdaGj(2*2<tP=PkxT&T-x3JNeasDij`d&ix=3i-qT#QU`CDd z@vCC2Z#5)5-NSWdjW33j)ir&}d$s6-vm)#DGLgdkyPcn=&D*8Dd+`RR=PVmObiS8P zd_T|Kp-<uRtVL&)a<-?1`X78I82)I_(O=hQyU)1kRm)u>SS<JP$=Yk~C-!c&dM=Xf zXIRB`F?dB~c8TDw?i;l&&orI<8LPTXmj5VOs;u>M+8ZS`?fbuKw0YlLo8_7^@6czF z=()=u*c^SPY2x4bOeEcJVkMXH@&h(UpK9v(2R;*-x_p7n(I<^cO18S@%O}LVF44WR zHOOCZr`YV^36`u+qBdr?MslBt%Dxs<++~<AI?w5h=Ak){K8o}$&#+;AtSRQd@W-_$ zk#T+#E4YpX%ebwZx?SvERM@#mvE8SlBCp+w?#|cMU2bq?RnfI~k-O5LT6Uk)<T`)I zX7y*CbDPc1-zpND9V{^K>NA~7o4w9^*>Hbcb9gh)`Aah0pVsc${^nlQC$Xu)JnODj za~<4T=g;^|B;N1gQ{7|RW$stStS-<!yp_-Y;!}~BYfduUXCtOAzhM;iOq1#SENj*W zk&)+`io)J${yX+dru(}{-SQXKr{9Z2o#T?pKCk)1Z)16v&hi6AUE0epSi9cS{NR`P zR3y~T@u^7p@)<>3yMwRHbvmW_!cXw2NPwSVIoHl$8%x(anseqby%Wh_ULbw+y+)1u zMe8ox#Sdg#=LNp$b1E09bZbl(*|~U!F_-n?1F}coYUH>FT65hBJk#e?CQ|AqXw7va z@Pg#g*BZ}y4wZ@&xm~p4x)!*m?T(2X%&WaZ)tW3DTKbNUXQ)$nl-wBouHxJ8n6 zcHkPxqc1dE+!v;aEL_YW>pCm2rteU($lS#bWR5=5FmZ286G?ZQXvt-~_<+n&(DsVJ zG?A%`7swoaqM_n0Xvt-?xIyOVjKB^_*BOB}eTND~dKV|i9DSrA;y%%W>qwwX-=PAL z*2M}kM;~agxHG1S#JfFA6^V5_m?{$OCTPKRAn;G`p?s0r#TSgY_65G_RXVM)q36*l zjW2E!&AIjjMo79&4GfSxdRJpv&!Ie#(!~>uxON4)NU}}|Jk#rxC*toWXwId+xIy~p zZH+tke$}4-zV_bsZ+njZo;GiL+5Jnk+UKJy?wzWAeJ;A}-l5vp-*j(p&$_>;Hv60I z&F!yjobm*B<sY?kDiW-`*HqhmE_!|b*~%kRx5wO{^f~Nx^qRDLQN1<ZU)NgXAFR|a z72J`(H}c54=oQ@?YF~fRHScf8sX4M_=^Xbnkw;!eFUz00&-GW>?Cm%9Y<;%&;&zw& zL7&53C_lQ#bl2<iwdYD&>f3EJ@4u?)E*6WAi;H)4b#9k1GrgEIH?l>)jeBO=HUswq zxv_eSzBje%o?dGdJvDkt_l?gS=G>YA1+BUoMGO|(mR@b0cJyiMvIQp&-oN%FdQ!gY zKG$cuC$=Bkqxx*ELB8$2r$53TNB89G?pyjJ>``<_zU;o#A7KxpTk?7Lss0d~v3=j3 zr%%`F<rh|FpN?+KXWaMo`?UMfwfUKq-S5}#-M(p0>(jN``7ifQ{VsMdI_%!6PhwNI zzq>c<)3m$MrTLzf-S5_F<U3Yozti2hecm3?@6#r4e{ip=`gHEv`&(<SzlzO1AGIg@ zWn^}_?%l1Q*0iRty|eY*n$&dNJ6rRvZ;D-Arh98^+Vx4XtItJk%s#lzHGS>%t<Tmx z-8F5_)~M@AvDu}%SGPV|!<xSK%GP^pT6bN08I^a<D0X#;?xn4_)*MY+Ynt7=PIcF` zSzDd1GsSA3jhdfbx$f#tvFA~<voqJVrmZ#3PF<Iprh8_q-t|o}tItGD%??~=x^vo- zsEOGVBe{##8fJG!YM+Vf&$e85b%)sFsP1gdbyIhUJ&J12mRuLQL+oKxb2jHX*0i<y z*_Dy2Pe;XEyR|9oK~zKb-?dM-hux2gy7nkKJAbWCc4Fk~Q&CmfPuI3?pEh;tsx_{u zYj<y5wkCCZ*xjh0Ym1__Pelb>n-%Syw^lv-=-Q_#x;wVsyH;fV`n%5k%~j`b6^p%( ztU7ng*8BS!oAig4+TYh$r{A>X{=Vk+=A84ZY`ed$xw$#z{Ho%xH<26CFIv9-y2dhn z=RDQtYp!jMI3H!}{dJ8+`aw(WuWQWH7tSlKnl^j0&v`A|?k{W1(l=UWpN(9c-Z^jS zvo#ksJDm3_zV<wFUV7=gqm|d5Mb1iJX!-g~<jnM$mfWA$oZhT+UaL6lY2=i2-+8K) zVNW7c&NbO&pNUL5$5njoab#b*>Aa&A*B(W7rK`?st+@6uvMpV7o@>Rm2a!$bjh3%Z zN5-9dRU|fj^R_vn6=Dw}>(hVEy;?qP+U6hUZdq%ej*K|Bt7zK2$jbDGb5ozL(Mk`r zeEn{XX8O&!q2<%2Y<_XBt4M6h=4a<7S-(CRS(t8V$^CB4_RV*W{gORhzUJP>Z#_q? zr_I|~cKnj8_W6j4W2a<apNl9vc1ZShna=HvS;rU2W|!&Q-1w?T)LQIKM9Hx#+3a%> z>yl4Ot}fNNwlVB@kgWE(h=OBYvaioZtVo{Q_cTrC@<y-YO0uiZM&uleGQRdAVo~x+ zN$nDy3mY%>e6^hRJYsHgVc%BEY0n}uj#(LpJ&Q;?c1Y%Rk<RIjr+Pvy#hyk?PWJ2* zwG^AVQQ^3h@w6Em5A}StnD#iLH`%antHrcO5uM43eWe!D9!9h#3-*~>OnVTKaO{`S zwCNjn^&CxIqnpgy$7*ryenegJ*WRV6I{P+$J9f%QY}&?kJxk5Q?nP82M@p_f6%lf5 zmvr{2h_d9Xy{f4?yEZQBVNG4LbK{d^RYqZVBK(duNx#n3+3x?R?$CGPz4LE;=Dg?s z;^&cf>LvCQp9@#mP5j+yJ%7Vz&O82h>JEJq-a3E5-y?6-Q|tqu3vZm?@Rwz-|DL*} zbLuhniqD1D&QJJz<du4ez2I}<0=tR7I?d-h{5|qg{Z7rHFTzXbEBtkt?Z4sYky-vd zbxvP|v+M+aabECW^7F`ZbsPJOpyOO}>YP3cXV?`!6P`KW;4|kL|CqW%pM+EG0)KLz z^7pB8`XoGYzQSkD6aGCvTW0vT{5<klUB>?656)x$I(1GTg*)dv{5kSaoyR`#2j?Mw zo;s%w!U=XCp9;s>U3@AWW4H0CaN~T2KS%DX|FOIHol|%IgWpH)sc))bI<3C0#_7Fq z<@^J`Tc-Ml{A8Kxf2TI-l=>UH!0()z^B4Sfnd0y8^T-|bCw3p72p7&T_{6!xe^2e9 zT;ct*KiqYBul6mcsa&|qY~yauyS`6uvdr^+lK1GG+M67va^dZ>H{5l3tCnGIxSR8) z@0FV_Z`2aZ9n*!^&z`ZF^SbYen=Y@_BFs1L;=Jm6<R;4;-z9mE&Z-5N7w+OTpKWm0 z<)xa3`NcHh9J7sS!i#4M+-;fV+mgprBAjXVaVO__-;%thV&U1dFWh07<vZu5%QLkz zIZVaE(`RqE<1*7XB(JGRc=GHCcU+#RoycJ-5>7T#OcPEt`?!PixUWfGQ=xG8?0`Ei zkJJRr3wLlH_7%x%DiCg-EpUfrhHuTymg&AFH(93p=G=67pvGYSaXaUJ-!HjNr`3L# zZQS0eGyA}%PVLzjZoAx5`(T!sDjaI&m?~U8d&VZt-M&|HoldE}FcVA_4lpy^&biaq z=BCRXwK+LVdBXX#3w9rQuUeyjvAWZC`h&eK^Ss~0IeizZ)N6b$v~&87VovMn2lgI$ ztD2)9Sj~CM`%IkEH=$BJ!D`MM-WPTrd9C^^=FnH6BE5@MoY%b9#5sKxD%4|qF0^ub zMKR|U?>TXY&Z_$82Uc-j^4_wOWw!U4okw1%y67)_CbV!m!(NwJ-ZgQDJ`2sA{$S6M zXR0Rpjn9PA^(Izw8c#p4=g3o49sR&(LQ|(N*mLBGs*1i~C8yE!hCN4Scz5h{nc-~{ zcj%)~@AQN{M;@t)=ufQRJmM`Acj$vq>vV-ZM;@rM=rcYOir0JiR47*O;8US!J;4gj z1KxjP54{(voqnN+bD#H{Sf$gd8)6=vQvISgv7B>{cf?MYsonuQkK9#V7IWyGQ0epu zMV!05U3Rie@jesl^iIfMPq3U*eR{+0BezxWsC~5NyzlwNFX_BWh5EvDq1}@&%ypUP z`Cz8YJC%1nht8=Ks25su-uArW*Hk97dGdt0E^|GP_%W3Um8gBR>a?6(Ft=rn=N7-F zQlZt81LnHS@jNio<(0}kAEr{F<&z7HIWK!o@oOp(%2hj<CX}saXvKNabHz-T7b*_w z6Vrs|PyR5+<++N5`bJC6vz`lP9+~Nx;&<qbih+8eCFg0+DKlN3s%WSiT5_KBbn$CC zqoSa0m?o5@CYUDFKe=Fz%VQM@^^F#sM?F>im<om3CmYOhd8opnZfL=I(6eFYk?Ecl zGhL>87R)>{&6CBCsX(ZH@`u?j_f@v|H029bPd;J9sWW+p5$9gdC%#PiLSbrwsX`%Y zh31`_lQ+zExvR3orzuY;P>nHFsCe>(*+(XO9`QYNQssf#M>Edt?tknKeHYx@f8#U9 zJ@*%tN8Txy$WMGOSRps@cZYTVhR+;#-0#>O`X;!we?je$H_9pUfzJgu_BYhB%yr*m zmvl}!Mqcr`;M)F#+9R)&L*xaY3l_*t{MBLJ?@)W>rSct{Ltg}!_AAu7%y!>Ud1RJ* zkDb#O!7Mq!UmO?QmsB2ku52TJ5p>Z~j-AtI!3?>=XM!{P4L)<6agVV(^hq#PF7PME zDR&<`r%!?t`xQQOoN(`{Y?<NSQhDUDvW)!29~{Trb?lrz3U>B8)Es%J%p)K8gX54p zkDb#8!34RFPX*)TE<P2Ek=yuGu(6+^=E!~JKXMnpbLjRzs6KK}d6Ny(Y2|e`PVWUP z`wvvNOmz>bWSQ!I$2RGd@*BCp?;M)_3#whFxI0uHxug6<?&A}|!v2C!96Q|i*dEFi z+~55n*5$p@H=U+(!78zhyE*Q<K8a+R=lVqN(K)3zI!xt)+q*Z!y1Z4&5I5Y-antom zq{|zn1aZf7!S&rUHgjBeJrU{hS}8(&<1UV?u16wS=D04=dvsPQK)i4lhk3U_tjkL! z5Alm>f;nOv(*zfH3&gg}a&6ILDiO>S`?!<iylaVGQ?cOe?h7$2vs~vyx;#@lqr+4z zIK6vAjLS^d5WS`%!O7hd{&21J?q=l{*4%L6z_9=YQOgU$f|^Y}5>hiFrZp_$Xi`!T zH%t>u5)(`l?C&m!ae1sHA--`3$5B@mJ*Gmz_HF|nkDbYN^^6j~JeSIHF(tfU*DUWz zc@+>4aPh*7nPRuPHVCIWySi#0@|(h{!6hZFrDY`T+-SDog@L@elimLPx)qHPTYl_F z{aCnJ`1Rf6x$jO!$ixcYSKHrxRP3Hg*|urtd<)(`xY(*1;Bv%0EM4B*Nq)Pdyt$*i zxk2QQnmd2K-FowwZH2kpt?B09j~wUK^LxWPulCNJMHfte<}P|(X6Sck1EZ@;tzBM= zU0y89>K{8;`&{2WE_?p`@iKF@o&C4x^-Is0v1&@~oh3I+Kkhv_?`YOhpTg9yEux~V zKfV+y-#MOp;kfUIjaO>#9I$EI)qi_>?VUYu9$!n9H`lYvi~d%~edjoDf&BLUwRiq} zD|~(XxbJDZyqe!T-oAZ2EmhuJ=l71-o5y|g<+tytz4PH);pR>K(Nk;hta$UdY<vH0 z5qb07wRc{9E1djk#vQx7lK!J_AB&~Rn``{uarW(Fwe2_F+vWM!+<Ek^uy|9y^c}mr ze7n3n-@5!qx0ifZtDg1Yo{RtFyR%>1Q#tSZ;f~68weq~A=W095ckb<c>zkGDR6Y6T z>=XBvobye}XQ~#qN@VKlIQF9LrCH_PlXEsKSfu36V&1ev@Z{_r_gv2TM&%#cHBsF> z@@~g{w_j$9o~o@f@BA!yv|r^O%Q@em{7=u+R+wAv?VLS(#=Rw9)Rssm?(v*Gd&AC? zvwBzLrF>OeY%aLBbJpz-XT-`UU%082zIaY<k=e=F4d=otCYx;LJ*QSN`+4r%?S=QY zRZ2g}-LYBl-jmO2^W-Z(P26!aD80IP^0}Mm&P+3(J#%)!IW}wQxi{m|XBSUCdvo2H zW#-Y(d`<G3o~fnhO{x?&Hb1!M<Wpar{M~y!XU-0}*YZhiviZb4o=<#F++q2omYk>b zOf50*Q-$#H*(Uc|KB{$_2k!BF<SUS0R3UtLw#dDf4{FWkf_pe;%&ytlIem7?PR{AG zb9Q<@aAz<tN>^Sl-ME+YfxDi4(@#MY`HO!}JoEjR_vrh?gS|)YEqSjNm3OFo^4{4g zJ2|J#j@j9H&-YVaQ@OCV`N|?;E%TkbPfnSA<L;6?vx~Dmc5>eJU37=#l<%`VrSi$@ z=AFAcC(k}`_sLteJma5pJKy_MrEf}C+hcsuc=FwuFV3l)_xW%}rChB%Eh$}Xhw;w2 zoo{`z(w(d)-<)~k+>&!XN$E`1!dAwWb5G8hx#OJ6IiINXLspZo&RlU$<($uhGb*KO z`Dsm7lP}NQF|+ffk5{^r)nqf{MB~Y(#*%Y8U-(=(qf(-_z}VAx^7)w+=av+!%`v_? zr}LT5g)>ji_!y-prK!!>p1V``gV}-G|I&&qg-_3%GSl;^k4CzYrSQp_F6Ua#_$Z_s zrKu&Q38ks^Z@+mjtXleQZb6>+-nVCSS8Shq@7Pnb-M3$*-7c2Co12u!U3~j-Zr^s( zduFL>ea4w{I3N3nr7yCWd}OA=xhDl`ZN{2&I3N13fiCkhF#c&ItZ)3%NLbJKrqSdB zGa1f3$ycj0emT2y+RP7UQ}Wd!)0$G%!qXO+Pu?^0!Pz6Hd{&)lIpy;%Y`4*5P2-KT zJ@5J~In$D-7MRAAs#a_~arVi{Gmo4-a?<BP+9xyN?N^z?Z*P*Ces$NHX{lSUU463V z*{@0Wr~lZu<hyEh+@aq>w)!_~JKuSK+QV|*yC}ZsxoTP1;ZH($rr+6j<eTc&)tu|h zc1S(UYF#b4PHm^u<E*ear{7X@uP4NJ*RDO6y-9rI-V<+K3-ptoE0yaVs+_pv>a&<7 zUzC=uPps5Eon5{D<KAUYm8$hFRSVwl{<H5{nc$_XapALLdW*N7yJ{2uyT<qP*0a|w z;$PRSJ(JzOe&)VuKW073?p}X!U)b+iZ?m_re|V0~eD>t)5%Jo!yoKA&-Mn;$%_{n3 z&NBU(wViLgU+q!(rn*6Y;pa)$r=O|peC_>Y&yugIYxE;)J70M}+QV|rJ1G9sGu0K+ zku@h@df(Y|<csQ3eZ^YO+0!>vo}4wkXP?U#)vP$7UqTnAFR48F+}kGp(odmty?b(x z<SMsq&#XN8EVowwVh!i4_>`00r;BI3^FFsn<+Ey9T+`1<r{mwqGK&{VwtMST-`un0 zjJH<2)6YpKr+e&E`J|c>$MkcOp}yefNe23rbKD+#%lO}(>o(=`gE`BdnOwZ==AUf4 z_N$5I@}2X{(xrOzGix{>dyB;{`Z4LqbcKCSKB%_oYu0c+^k$1!`XOYX|MQcOzW&Qk zLVEf)KTSF?onhaT_o{XJFRMGJP5-br<-KZTT+>t4aJOWcwI3zRL+2$vm8>}b;uDYl z`5&8l?xtReWP5M;MrU!k<i68CYTKS0+s&W&OmactyoJAd49*MG9D88&t*6cM*3(5Z zjTdj#{41?1c*;<!|KN%rJSS2Q=rx}+iqo9$==Mo+^75zi+Ma)un0b7IZQEnRjDACI z=kF55$5+&L-t+zx*YsUTTYu#zAuau#)hDM+zp;18JJp~#r|*+A^cz1-+ByBi-X|x$ zAH_X-qFSI|S>1Wtt1kS}?n(EizKP^~@Ac(GpINl^thbqymj})ZtBjg?S;zmf_1jZk zW&Wp&Zr#glSuQ#+t>V_h%#OnnI$xqY-(^OGO-fg-2%EHflC}26%|dsk-dT5~Om(aF z!q}5<yi&r0(p5KVH^y?#ow{dT$~mu?aHVwBwc3fXCtrDmgbSss7KBaOHOXAtG4|w3 zuRCjwl&CJ<|3kJ-`i{YzC%n%2s!P_cTR&MlbF+|{cI4(s7pHdcJwD}iWsORS>H=-g z&6Cbgtys6DSapu}&6v(-UKiFpIpbyI?i|}Wb85o6Cq=5$v^6(RIyE(5T}qMaB<;=^ z&Y4pk)}@^BI<iLPjF(*aqaBluO*L4TQmERc?HR-Q$cryLX~(2PQw7$g6sR_7Gj5)w zul;e;Bt7kin<nXMbH;E!@cI|_X#1pnQ!^qt?|Xd<o0O^=5muC{TBUt5n)9C5nl(#K zd97IUBu_OsENT0sU73G;nG2*EFW)fY)my%E?zb|jJDGld-O_KrmPiGMDWyvFX)lcS zoHErR@?^}6%r|RY@>Ko9gtiN*Yd6kro056O_i&zSzILJY$@iW${+FsJ*{VOZ?VLCH z%{-UyDwS-%rMv~MUv)j!wBp1G^$Dl#V!wTSw76qyzw!gS+Td@EHk|V&KbiOBoadW4 zEZ<eOt8cXReCwIvZ&WRGbMh5S&o`b4{!Y(T)~nAf7P>z9gr(<e&j|lbRYF%MAF<?| zGkM9pCucna{EMoD%+(ETJzsiy_+NUalH<4OnaW~yLEFw*lUwGod{N2t`&21(esamY zmd`4))i2s`&YC>O(({?;nK>+<Ri>+NwDFudIb>eTCzZ+S6Ky=7c%GQU@<}DxPwAOT zqTi<qq2rTH=CyoO=~fT4@qFYd;9pcBba=AJyp|6t&FX?SoHHiZSawdITw=*NeR7Va z=L1g$|4-#Y`zL>y>vG!jhu^01NjmBWizaESU$pkT=lQ`e>8VPnpVL#7a`l--Lc1ql znd@@O^M#+#Q<VTeqjI60lWi<L?|9Cc!}3lgU%gQJ<a>`A_e<83Y?U9%cFvplrq88Z zrP8e_U1g{8PGcc!<%6;(-+JV@2U!c<ns}zqrA(#NO~_j4#>5MfCtrK`ZJIP)L4#|7 zR?_<Y&knuX(B^MpYc|PJxlp!q&crQ!Eu|`}l>=ox=S(~x>G{gzUJpyD%5vpGW1-6v zr}VXysN}jGN>j;pGqMu8IB|uf=L-)9_ep6g^OZl!cs}>AaNlGpbavtb$&)iDrt}>- z<6+=lWGQrd;uJ~Gryd&aMwUV+C%W{tobga_H%e1UauZ5Z=~ph4@qFwd;l9a2=;%b1 zK9)k2c4b2u&xaly?nV|u2PZa2o}50hLeg{k!~)5a(<ZX?u@tD(D}R*syzjB4rzKyd zTKS}rkdE?BBcZ($pY*citAx1)rK*Iu6`4=cRNg4<dDmk}PfMOkpc_-FO0n`p>64Qu z9_c-D(&K^KCo`e#{eS9?d{^EpfAh28z5W+JPrP$4v7hu@xx#MJ?}^s(8$S!)>AzEV z<eTzV`GtQ^ym3#l4|=Y=QNHmn$K3usbt&iEW9*flE3cJL{Cnb+dx*WzbL9fNNxvqV z%RBx(@zVWH&5<w4OZjIUh)-aazwv_A*n-oyo12@*F|gs3@)G&X&w^(1k)J1C?C+>^ zIqU9Z&-81eiTuw$9namZ><|5%c(y;Ij^(WTxf+$v%4v2@KPR5<kEmO6#$C(a>F30g z{T_8HpOjPVn0`()lo$Lw(LlcPPsd|-Df>e|CLZnAs8ji<+#zrIr{keJm%Y=Ei3j^R z>R8UW@2fd-+I?3|%W3y*H7XyJ8{~if?zr#%%WlzA<r?{$zdfe)C;U7ywf{w}%6sJs z`Hi0@YRNDBG;w#o&(Ds#?yu~Wo+_8fcYYGoke~Ux<Bt2h8kKj-es)aXCvNZGk^7`v zd7t#lyC>%Le#mn<?^b5MX!k@L>4Tdm-s!z_vtw@WgS;nY%G;z@-sO1fmS(QBd!m(e z=Ut9BZZC44lqwgSJ=!H`DV=$j<F#9u`J!DDEu<SaPrTB*=O)K1H$U@7Y0Ar_HScn~ zbi0+)a@OryPD+XLBI&@*6EE~O<f)u>von9RbK<$)g1nSs<yq2>n<pAepS;sCvo|5{ zNs;n2Y0b?OPxS`mr4%VolJ302F|*epFXfEeksOsXZgS?2c1%3hYmk>xsN5y(d57bX z8=ra7j){kQ1@ck~l$)d(H&4`;{<vwPp7g^_6LqCI?{GYD`)BrO`^0^{88<oZyL~g8 zl&TzIR+Oq-C4KTX$33?-IZIBtt;l(jryOjSw0+{PUXPm`cik4{u$*#xW~Q`#qPleF z?T*R42Xdd}D(7wgd+%9w^!wcEyvKWe&*$#m{_@_m=VmtBpWZ8bZf3px;k~lz*>7{V zZohcXta|pF+|s=6y}aMdthVpHXZGCe`t2uou2o6D&RxBI<vp?IW)|C*-uw2<?8<Gw z{Nm!-FLRe}pLp-uGc&X8Yj@^;F}ryC${n#P=@+?~dB69Z{k-kmZHxTddwf5enQWiC zQ}?r(@%F@fWtFp^=BDPY-qZVO+sWH1`Q3YZ&*V<n-n-NHOm1Rc^`5gIw;j7JksrOs z_v5xBw*~U8_niH(?a*zGeCa*DAIuE4*X}%fIyW}&anbE*x0&*p_q=^?w*U68yvs$> z_j9Yar|#rEog1EadAII+vpu)h+zESXrnUX<T{CdYH*a#0^xfRRyyl|YcXEri+wRnT zXQsaW;N5R!vv23@-~993HS62+ZdRmUHopBXXV>PZGkMSF?A&~J=Gk*OJ2u}vmu4OP zHfPJ`v**;TqvzgCN^hRqdoE}F=Cd=;mYP{^-g@qs)$La~t2Q5<$$Ktm<>tLJc}url zzIo?N8mKY2Wb@XUy=QZ>)1>G6mYA7tZav3l6+P>wUHa!aYm3d!-84(TJcsvePI}tu zIcLx0%-9@zF3mD}CcKMwQz>29So%p$a+<Jl^o*Nw>BV!_7MdNqDV82QN4L=I$W6X< z<2h>!%nsdTOBbHQdnPA7?Xywz^qV`*Y)jpC;3h-*@7ZVbx9z*R<;*ej+xK!J(+(Tm zo_h03+UeQ6`DWUiLudA$$|>LMJM(Pbwp}-uoN+Uco^o@+88h?QcXIsGx@Vs~nNzTN z@7ZVHZoSXmxBlzCZO={bUH`IY+wWQLvMb{be~x;WT^_gjv(&rn?dxyu3;QkgHhc5> zll#J+Z@qCnA%5}aTd%W=<BDs2&t<P&zj5ETU$b6i7sfSzj(U}yALm^A_KT_c`kDK} zeo4K|&W%g{d~4Qqw|L=N-?P~Z*EjB)_H66<>o)PPYkEP=aFclF&$nh?H;Om@EcGmV z`ufOyVb8Xnx~>sFyT<p^))UuH>|y(PYsU2>d&Hh?J$_v#KD(y(<JP0sMdH0{ct37E ze4Qs=yQcTU)`Qns;+a3+ntpxHo@-A{_15QB>V7aiaQ$E0=jyfZO?B6Q+`H|2)U@jx z_LMy}-Fy90+~Ml8r?Nxi7Js^RH#<0PbM@MHrkd+7?iG7#s<Hm;UbXMHCSQLPSNuup zPImtKov}~8EA111x%b4p?hkP;=UvP67gbNR5kFWw@lN-hogH(#AH+TRrnF6b<z9}r zu4(#8)f276JNI(DaeWc<<f~G#-lHl(OYzLT9Isu&^cPi4v=DDBo_M8u&rXh4u73KD zo+&L8*WAnT()CtM%URcJF)3e^7KsNIPrT6G5T|n1)lUCW<-~K{1#u~#m1c=M7Ed%5 zKe?x4W_Lo|lTS+1#5Id2p6U*WOZlWUNxX9p$INbrxRf)lM`BdYxXS52s+f4J+aNCG zqf(c+=N^tnu6+7Q6%!A23&f>-P-+rqES{(@{;_DHp7_I}iMryPdpI7r{?mI@K5<`n z#!im=uHW<~JynX(D|)I_C4O=@$353IF-uOlu84W^PAOO~seIzDZjYTDcU>37u$*#z zrl(XsQC+-qcgN)J1F=uuD&?*IyYAWUsP|dbVUJ^d&u8sk{c_#3bW@wvPuG>Dn_91a zxUOvXthZTPS6^IbwtLo_tkSUVSl%*ItJQngnWdXvzxrg&wOvxLvsSNOxlSzI)MEA0 zb>GrVuUz#DFWx-sW!BQw6W3i!Gc{YiHZr%w^y1YkYs7X*y~xT8`yF!@)XlR9za8US zY-+N4ZlrFpsqyN>b!9teJ<UoDTOHF|wDsgwmGJJE-ZNPfR`*8wp2<oKtByHaxb@go ziSXzc-@>g&t_p-($DA$Ldgv-gxO9wffvLgj+Q_q~vtq*@Z@M+@DpNRf%-ej^{a1g5 zUEU;hKdX9mY9#OJtnjeQ(YpDjd#<io6P9YKwfgQ_vsBaFS6_uq-XwK5D=@5i)2%yM zMXPNib@NQsS07yaZQHEdE_LdUtS8><d^3~dy~`J$lyaqA!Y7RdZG=zGJu$cQ#!QZP zE_v!n))Q}aUhqpPQ`#iF(s<&H&I5ie=Un2{jnb9Y2~RYhc&&4TUrMRcD&bCJK?`Be zxhH0KF7SI&qLinWWHs?p=LEkcXI*aiq?~neQ&+MQG!^EY%kjeHlFyT3rFp_H=WslC zF;ib;DQF^WX*}^v=Zu*g&s_A>lPo7139p>P@zh04UCDCdiOvZ#Ii9#2^Km)ja>(aN zp;Di4<{Xa4E@JA7EG8c5RPcLJpwuR;Ifvt+3!A!<g`k1(Pa{En;g?2&dcrr2CLZWy z@OzT4R44p$cE_~N556h+N|9<!sY>B$i_9nP>3raO<dn-QpO#ZD@6?P^mCA%y&hD7f zdBIoZl*<C2lsu&(;Yy>4J31|9a@=vbr}pUEg!}D3?3R32td=|UTfmn8W^Kni=T9~) z=bek>i=Hc%$u<3+aJ&76-I8yLTli<zcD!+ZWux*<aRdLt&l9e<pQ-G4?fk@M$ydcS z{E@XCubdy*u$*%alK=EfaRt9+ZO82P8Fov)C@$g8{48L`ANhI0#r6(6m$S}J@=U)b znDGCs>3HsJC4cDWgtP4#b}VO|&)KMaR!oy?`Z?irdxYJRGtOG_PCqA{Z1=EJ`J|X4 z$Mkc8A-~|~2?qR?H64$grQ{F&m~gaR!%pR+Vh6uvO~*rLE_tUP6Ard>*s+{(-e+^< zwDT^TmebDLY*aodHt_$f?zr##OK#Cq#Tx#b)gIH@6Dm(kZGT~_@?Nomf8(bKTKo$? zP1xP;Q`vFX`IVf~Q^gYg&QAgw{4=XN?l{l0QF*86C&%=C!uIwZx=+d#_i?|BJu$EK zgPzNIr!w(HyC>LiAKX0QPV1e>j=8N5^q!O{ZsT4V%kkDJO<ZaB1S{^&SdKSNFLa)i zDi(`9+9hDgof*sV+9^zY(XI&=+>M(jTxs1C$??j`PyA7u;xcZ{SdN!Yw{%+0I$hIA zDN$U+9k_YIh1Lc=m9tKE;*WMtIM-UBmr|@ai`#MY1Y_=#Fo6ZD=GDNf_o+&tk_ zYk*!#k>Vuo&KQoFtqyuAXPl1csGM<<6MwX0!m(BZy_7=5E^f~ljz>;>;z>Iu9BLKN zODRxn;%3}DL7)5MrU`o74>wKF<>rjxc;NI;?9uiK`&u(1Iqo}s6PuK(7$H`as#wK+ zGMeL_(;A&6r<_*kJjqiG7E9VbVOOh1B*$H+MLH~}oSum(ZJ(gd-5K36x%GhVlU&6- z_Mf&L?;Wf7H$7L_!+x=N!rkT<aw_K?Kgg(jS19L8dakg8eWz{5TgNPZr|Jnen@`9s zIp>(f&r~g7#a?N9Vovi8IhS*eQT&IhCR}Y^A*XWA@qvuWSA~4Orm6{-n|D}tyma*9 zcdD9T#-3O_!IWLnw&R846&aN;3Jcghizl3Ku8>>uSz!+QO`DEqju&K}oN+YbPkN>> zgMFt>$5Y2sGD|)wOktmC)A7VniQnm&!UT57VgW;T&f*Ejn=Ry)d{pRRkF@D{<S4}7 zR59UjvxMA|4+<^pk~SR=91qAmIqkSdX31&C9Wp7W9hvx<Dkki2{vo^My+Rb<q4EiP zn^P<~rZvY{cHDFP#Me|Vpv}IrNI;8yr}c>`%{OG1yi*9`b1I*p!QNOjVQ2FR*(WC* zAMrhUqENtIY29(#p^p8L^@MwkZzMV1JAC0uDOcFVe9~CJhWVuIiMfq8BstzW<gq7N zPq@{1fiI;@VH5L8;|Vt!5Ad~|bBJR%N>^CNJkfZ<wZ;v6DWwXlm^+OHESNoIPt0yy z!1ts?A&)J|YQm+)34BY=I^5t%IqTrYu4E-(%FHRt@xtK}&y!+>dCV_mIG#J0u`jX| zFk!Ydo^Ymdh9t)`2R-&A%LzuzD`hyII;gQLSxz|7I6;!*iNi4-mopBBc%Bq0^f713 za6EPpV_#%3;Ygzb-;)A`HfBv3j)x9x>`E2_2FyQ=1oW9-8VTqz-!z(Vppk*^NxniI z^GoTDX^kIvQ}Pue*_u)n!r2y?PuSD=fcMBLhgCc+rySm~8Ko+eF|U;Fn9_KGSLKw$ z0-lsSg(BukqX|12EhIVaINW1<RK~EM{XsRuUiJ;o87lZ4&NIG|Yk0@_#FD{=eL^)u zIp2YEj1S}vyk)#0=kS*Cg4}^Oj3?wA<}!EKGTdNZP|UEN-QYPx48OxU#w~ITuNl|K zHN0XxV##2^KB0;spO4`ygCGBavy3z399}X`kaL*LoKVb=&6n_n!G+)9EMtot!wbeG zmJR2bKiD*!V}4-MaF+Rk4TA}L!7~OU{sU(i6XXs&WsHz>n901thT#<R3>$`%%pEoi zM(hlg49R>BXBb;78;&y<*fbntPOxb>${b+RaD>^xrr|KNflb39W(AvugUkXp3<m5U zo-*u_ahT5hpok%wPvHXt1OJ2f41f3*yl2=V!*HMRjjY2o<^x3x;d~D789wnbyk}S= z<1m$ZK@meayTMb2H+&1;F)Wc`xXb8b$)Le*P|i@q{^1G3BfbMC84t)FxXrlZ@8j?C z`~JW9dwgE~hkwrJ?aTfz{M~Q!|G?+|JN0*dw$H79@bB?A`ECDK{AGV@pY~tzcfZyD zj=$`0>|gwO{8hgA-@{-0mj5&UvcI+u`@is4zs3KC&;3{G_xxmkW$*X@;WPPV|26)y zzqG&gr}?b?wLi&U<QM%9_}qV?zTuzpS$n(x4}bQbt1tMM{8@h1e}~Wg#{W<JX`fl2 z@bB>_`Dy<(KKGxh5BQh-Nq*A*jz8=(>mB|jpRqskNBNAs-2aC^`j6Ec{7e2Q-}T?) z5Bno~zW<3o`VZ9${7e2I-}Im1bHD!o51;z={y+HCult|l5BmfAfBznS@84IS@ss_& z{kMM;pUOx4D|{+n_5Z|g_Ivhg{wzLazv9p1ck;pi62JHFs`vQGe%F4{ALdi`&;BWX z?^pld@w<I;{ei!a-^%Cx{&Bbcy<OG!jp_1xeqY$!f4BC<JLU6sAKoaJ%a?ykOqbvB zd&k}Ow{}_I9e4NNtUd8=@j1Js?~J?ot$tVBJwB&)$2;e9c2VCC?&`l<yW*YlIlBjM zluPCFzcudazg)ZHX8TJ!ukVh#`ptePZ0<MxEpfN~h251m$|dp(etT^0KVMt%ZgH{v zoZmO@v_G@E@aFLuJEQN3Y4S6E@3_<c)b7-q#YOT{e$Tkm{=`n{yJMRCgx?aI`3-+_ zZ0<i^Yw>Pzp?uHph&%0%?1a8I?&v>UEAeh|fqctvi978N><+wneA;f$o5iQ?cDzYG zZO8PTaYz6D+8=Kh=gUWZJGi}nZ*9s=_Gz^-H{0*oefrk8onQO+icS1lzjxd|KBe}? z+r@eELEjv=_iOxa*wnwX_Qc!AC+!}6dzd0$@Vnx6`)%90_YbT4?^VCq$^PE<%bn!! za=X5tDCW2Meq!(Oxz#szvcI#<d!Ja{f2;b!z2t9lo4&6o?!Qre;9m1N+qn0J&*j#A zpHSR?t$M?~<gaq8zIPP!TYUG}dwh2Ff_sm@$mP9Dtm?m1J>lNsv$i+xB%if)d#_l< zZ~C2MFZ&DIOLrcBmYete#UA$Owr1}aR`Q#Cw<zvEQ$1rR`!id;_lcGLM&DQLVSj3? z_Fl2F|3vkKo$OC+kKJ)TV|(b%<BxKE-!t~GKeiQnzp$eJNVUSf#~<X{zH97Ze`w1F zYF8M1|53!R|NTV~zuxy7Mg0e=8SXuPFIV^d#qRcL)gSIAzn6=A*Z5Q}{N2Lx{yo(X z?jAm6yXsE!Dcg7N44=xCeP6M=eM<F(yUM3*7u-pHCs*{nqNsmIwZ%^MJGS@UJv8gT zU-{$Q;&Qp_X9unMZ9m_b+y2hx(;4RTHbu`1)8)#ZHCp%IuDo$>ahcqf&okz>zp;6B zM!8IG!{-IY{nsnc%xr&c^W@CpQn@vsBj&chvUzld`J7GA^N(qAD?VGyZJ%8^<J{sB zxh0=7jQPzzM;P~Ctn4`FeAdS4Iipp-$>$$)+MnB4JwIsKf3`B?9P?S5b7z!`<<g!t zTK1o=j5xRWjE&ZFN6Y?`l^*Ami{w(CF<SN;eikt9H~3sJr~R>w)boQD{YNV`&M6nl zb$qs%)Bezg>$#&v|G`R*bIfOK_MJI=+Gf|8=F>LY&L|hiHGKXtyZye+uV)KW<!V0P znC(8TGGXTNsg*CzD(A~peBNNxul0F>QUC5rpPB7<ZC*W7OqDD7++oD8@p;DV_B%H7 z&M4=}`8{Ja@84dzWAEedvish@s69Tf{KG!y^VVhe7yj<Ed4J$@-<|S1mF;uOAMAVl zO?KP+6}9Ydt<&x+{_eAS-%-o{#`?vc$6sZO?>+p*XZb#(mi@JL*!_jS`YhfzeD1qa zzNeD?m9^jfhtFh}z1OH^e`$SdPxD#pYkQKv$S!&x@VW0odBZ;Cv(|R^AO7q+S6;9$ z`Lpb-_YR-?jNhNAX`fl1u<!9F*=g@JKKGp}57?LdNp{lvjvDrv<qrFj&sZPXqkP6% z?*79ceaFfT_9cIm?RxJ~!~V#c?|$NszC+~#`;tG%Hoa%~+^7Hk!>2yI_YXex>AvTv zVSix#@7}}jef!EYD%tN_f4evFscgi(!l$xT?@v^--?Lt`XYncP6?-1PlMTL?_`Ppe zxkn}YUF$`Am`_<hyQlcQPyKyIb^GM<1A8C8mCbwoBewm$Rn_&4>9TuXU)bDtxAet2 z<?~h_)+m?DmS0Oum)-GtM{N6BtE}seyZdgIo>;f|oK@0w#@&2YuPb7Y&nexp&iR~G z)b)eA`mUC)Sf_l>>cJZ2QrY}#jl23Tm+pvce`)1)-Emi++3SSOeWtG^V%uL>U0I`C zBD>(V$L7BCr4{QI7t79heIus*nbn0gkIz^cT~ADto$-1{O#4%-Q)?C%$xeAaBc}a{ zmC|*`G}#HSB{uUJzUJ86cf8bM-Qq&op4SmE?T@U4t~c)JJ6tNUZgGKZ%WH|4_6Jr6 z);vCKwP(%Z(^fmyB%iioy3V+xZ-42JwTts*qpls?-nX|jC6awwX-s7MJ*!XG8n^Rl zzh1G4PwVxL=;KpLZ>(LMCmVFlaeJS}>xNBzJ4;WjeSFgD(Y1#uvIVazqT6p<)}4P? z-FL6}jV1eg%P(`1zsu};exjJq=J^TR<8zB|ShByf%sZc0-FK__!o1{fGMk>SDDJya zd|+PlIm@{7hR<czJ)cnAcddBCyyUMktDbih^I1IiusuGzc)`5KUu5#mC06xaDxNTJ z@mb3obCS<mx}8_7;xm2DVaxu)^3t5gpJnDfe__M^+|um)!b(1q=N83%XNqT7vOlxb zJD*tDXY_o94f|6|weyOVeJ6@1Sh7E{JT}MqjOC#@k3Y)vJ<qUVe{3mseqlx5kz$2; zk3Yz?J=d^de`v{eUa^AD;Q5atKK<t}ium-N-ze%kP|Psz@q3xN=P#_=rxkygoBUoT z@?7InnecN9%lq~eKbU*?l;x^9&8IBioiltYQ}%p?b^Da!3v-oESuU89{7$Cmc|}p* zj$#W-_B)pM&OJ2iyI=UDZ*jRy^|6E2e728o$hN<;_|(IE-lFJuVY*D&u}15@+l4p! z7MICvc|1e5{f)(|9_2Eb4UZQX_gybMBia7i;z`fqQkgZ6BV^lOSv=}tK4%eh{9~HT zipLhR?XwGK^erxtS@Jl;n9uBSgmK@+!j3-YvldRr8Lj$E9{-SOe{NxQ{Gesu*}{xI z=Cc;(dX$T0(vCG+_MI+_=v#coLhHDrW#7p{k3QuhnUrIUmVJhg1&sR)9#_b;KemuM ze$b-tXrV@*a-mGeV+)z~hZbDN9WD9}7IO44pRw52bNIByuAb)87TbE13uGD||B!CK zZ}IEc!c>`>$2X+irxhkh9-mtHqE|UzrsDAiqdu+23yk`97y3xH-?eykOfgla<Z*`) zpT^@E((QLF=JhD&$@m>(H1FG9xTE&*cj<liU;I5bFaJZG^Lg{K{R@Bh+T1_zx%W=~ zou6%U^B>eb{wBTc{))eBZ_U&8EB@}ay5I4a?Tz`1n#W(Ii}yYJ#cO##<1gE5^RWF3 zfAw12Z}{AMC4bLPwpZqU`yW1&UUpyOFWXD=TQ$vR&9BuYf015vKj3rkh5Uv(<+J8? z`yc-7J(pilm;70J)_sT1y~g)X{Art+pHTPslk~Lv8lQVl<p<Oyf0CYbzvB<v%zTHs z<TK_+YLw5I%k6*oqxV?8L0$4k>8|@8f7l+G^X*Uk(R(Ogpf34?bklu?&%OHhKYZ%d zyZ_)*ukL-0KWq=o|LuGDy?0-J#!t5U=HK>Bd@3EWukfjK)%_E{+3uOIsabr=d_~RU zchbT862JHE%J=xmcGrAS4f84UXZsYt_p0CT_}w-+|3K~Ix6*mHf81?*Z&tN^W4iR7 z+ZQ(X-pzfHr+nV*LymH}bosW#bm<+pcie4zYnHX$ad+>{+!J|=&zU7{XWY$eb-Uv3 zu{pUr@|@3^MQuO0tM_W|iah0WW)E_dOQrL-HSX%YoV(*@+e<UA?T)*8&2A@b?lrwF zakuS-*_9mS66poEJvR5A&#lN?Tr553_KiDj&&)35JU(M)v^_CRddBS?ciNtsoyu8U zBt7N!j5}>l%#^k}rb$n@EwP!`@HWTh-s8Czd5a6Bdu~VEX?tWQw7qdh@8MjDyu}65 zEw?4^v^_98kn{Mo*`A!mr_FZcB%d~8+RnJ6cYp4W+{O9QQQHn~@7<f5a+7UZZp_WL zduE@uHE!qCzP(}-uh#7yw~tN9y^*^(PdaFu<Mv*S+YOs~cjlhReSFgF(YA*v(gn9G zZnxbwty}-Fy7yl8o1JX$O~1q>f0x>I{X{XZ&Gi#|kIl`#v6JncY2Nz8>fT$~7vhq? zNo~5mqPX`)_JO$ObEa|Y4WCP`yFQ_~_geObxa6-=tFCtx^IBZ@*n4bt_JX*_U!?NZ zC06xb%AOFn_^j!TnB=pjZtE4RculW!>}7jldMW1dXQ_GDU+iIfZfdrEVI{B0b&KNO zGubnCvOP1^Tc23jYjl0Z9=4~ZYU>p%drxFf*va<9^jM7Z8Ph{Ck3UNFUC-FV_SjTx z{lbdgBiRaZk3UGYUDw#d_Ry4Vy<!Eg!Sx?Sy!zK)6!Gd^zfshCAe$lX@q4Md>o0b< zP0RieoBUoXa$Vz7sql3R%X{}^KZrei%5+ss^C{DJ>kOYtm0e%4yKPGLg;?cNrVC<{ z-$@l+uPEx>k!`V)?T+cabq~#Y?`Qt-TU;(xz3iYhukGa<bKBmTeDYyFZ&I|pFkPx_ zS)+CD?aUi~i_4_8T%Iwv?TyJRALTNs4VM=f_g>FDGqdfr$rGQ&rBZ7yN6c+|W%9^} z`J746@{eg!D=u5iZJV7r!*6kk)RM~?#=K^iBaC}5W_I{FpEYq>&S=$Za{0%cw&x~R z%MV)ip3ThgV?Jwg&PTaeDs5S#W$)?C2*1T=Oth9eTK1mI^zc(Il1f>|XxVFcS-`m0 z;Bv*Bw#Oz?%MV)g9?jJ7Q!bS1xNI?}?V$<Raz~5agP9zD%x6sY`5ZoNvdgFWw8=If z<pQaO%Rgqf-8cEQY+<TY&E*@j-KJ$G%se(V^M$W+zEs8K4Mx3MmlqiI?#}d?*>>0D z)iT9YsglbbM!XuAXUuN9V=~W2IZw)O8KZgc_RJk~zg5qApSgGWTg%?>CbrAp&RbR; zHSh9=In%0d&At3)PFwY@x0&0PU!CVxed|r;rsY>Hb-$V1xcp+yx2junF30#!E{=Mg zxn}v=dCRJz=3IU-XIj;**_Q+St!>Yq&0My8>O8lqsM(iq%-QyA%f-ts{=vmjFESS_ zUuyaGv&s3(R{oD|azC4#y?kL#*|RNYE}xmxR(b1b=CtKgE!TcBIdxghf3l74CljOP zj`Pf(nHVl_wS4>0<oIPN|74rok0wVi3;8?Sy!~Ku_%fHjvQ6#>lY^HJ%=z}zM1OfH zDA1$*xNUepY}tSLkKb!+-_w~j%iqqO_S8gYd90=H`z?DefAHH}6gBnoiaBNFx29Zv z<G0${_f%%da#u^<Q<=rfPtN`JWXq1rbLOy>-@2WdxA<@0GwZ1L8P#r&Wqr?Q>|Xq` zZ(6#E&ElthW$7l?iy!utS<iZ#v32pqJ~QiCZ!${Vx@CFGOsp2~?K4X^xqk6U&owKl z*BPr9uj~^`H?df}wC`J*$(4(K?#0HlUS=#^JhAUunu*!swUW6dCKoSW=@GM%dXbUo z_FLv`@s@KJE!=O*_!gU(ES@W=TWn&yII*wHa@Ny~RJYYKy+vD2UQ}`Kmgzl{F=279 zr0<!GM7L_0vxQrZU6gQ-mhmmza^#|byS2>Of-Q$Ga=1&&_!gKLEUuM2dpaZ5?Xl6V zX&0H?nPuMQo9w^%%k8p})cuU=#i^3Kr!&IcE=%j?o9wx`rY9`bL~HTgUb9q_-4|cE zO*WFcn-S>NY;@~RM$uwhN!>gX^~DE!znRUtZCp41;qRV%>2E68-Wz|hN&YUm>->q& zJT~V~)E=9gexs7@opIj$#NR!)(l6L0f0NvFe#PgW8|eq^n$H=>%{P25x$gXg&pp@D zH`pbAm0Wec<1>%Nd5_v-v(p#YJ^mt@H!ty5&!zMUc8kv%->^wOYwR{(@fVNjd5&7P z7si)t9)Fgccm72U+jC>H`3ryYn4Gux+;b*<MkU)bW4-x_KYNVMuc%>rYOFS2@n_G8 z^a+)0PmGV*IG-^-Wb^o=WZ(IW8n(yAV)GaN=sA+EVE6cgWZQX-8n%bVZ1WX=@EDx` z0a`Ei;uDYF`5T{l4x}^KJ$^4)cm73n+qCo#w#n}$Bj+_fl?<P^@O#gm^ar+wPZ_VW zX+CBAZl2*&$+Gh+s@tZdU$9j^WxT*9`JH6Z`HD|HJJKyG+3pzMoA+>A&;8UNdW*{? ztEU~@&0~A|Mr_+Vqfa`_=Z%V{7p6;=O>5lUb365h-r_RJEvIM1w!JZWrK4OXx#9GJ z%{|vs&qTJpHhQA7xKwh@>4@02S4NL?n9msnP5+oCx#F}%Y}@SA8G4ILB$u4d*vw;g zI%0Fr#ncWx=d(sm(;0X5n4JC*)AroRYWl&QJ!exh^q9{Yozqb+mQ0)0xU=VUYJ}e6 zGe%m|9e4JeO!d%HE|N@{#<;V`@U+0@9)r^rF>Q~Hq^2L-(Q`CaLr=L-vg5QxOxr^v zuIY|DdJd*?=rNx$+NX2)w9zh|=F>*obd(Du8&3a-Zo6;vYudt8$(qwQqTQyYCPW^a zn)*UlIbX8k^oC76TBjFm>e-#@6WMmx=+!jERLPRl9h-PGPS1#LyJIv@M>$W@ZyMwF zp6#hSWFLQ**mwMe?Xh{uALN|R8<zDitnO*=U9mXmn}(iCMTduzh+>yON?Tgaq!MQ# z4+8-Swzl?AwdY0b!VZqnOAI{)j%o-rPcb|t;oPIB1O=Uwm_JK|_*T!h6xTgp_kM0^ z=#4K-Lf;QuxM7wqt}ix!gYLzI$2V?G(D1vIkf5P<?V9D2yBlw<b6&=Ibjv?Q9<PVX zV`B=ndmU~W?d;!HzhCd$qf))LmgGiN#}AuZ7uxLIdSuJ(l%Ad`n-}ig_e=HX$F3c7 z*0xUOe-wG=?WT8^?kHDs<!z0&*mnBnG^tb7lRDN;3RIrsyP*4N+HYk`51m<NvmdaW zTPV5hnp&E(e#KfNj>OZ~c7ArBmMi#F{%P2@|IF6uyK{=_HTP|d77W@Ot}D0e%7RP1 zeYtKQ_QowVuy6Ef@8X@?@ouxYjb6Fl?#T0h;*PA_px1fUl3zM=JCB;0Q9b+WR@2Ze z4-SX@+kPfd`2QPG39)UblG4lSTta_wE#gj7z9FG?#ma>}hm-x!n~$8cm6K2I`zCnP z#q@<)i>!}8y+%j<#Ov3md9Pxr;qB#<*IqwWN$>I6&O4t9|2lVH^IG8=Dbc&6W0ht4 z)^3Zr*Gf{RJ=l=xtJ-q)(82{<b6TG!mGoX;y@S`{@!_@FOIN3Mvh@oVT)h5ZDFZw2 z>g{{Jf9<%g^eNfExFfVyF6Q?q{TaU)6Q5L-9lyQ&Q>*!pEJu!5&xDDIO0uihMeeLp z<ncaWl6_=%O#eaO^BcaeZQ$IlJ2zv(L8mRJgzlboX#TSKSHipBMn1+Kv#yFB471em zZtTj*SelX%xOg4sv)}u6m~Oc7D^AzUwy*oH;qIgFTEB!aJP5s0=ksYx#kt3Vj5ZhQ zPi)M*cdB&qR~Os+TLpZ7P8CYM+ZTMQ=;@-Lvrf9yU-BwleMg3YSCr#n$p>G7AIWFc z)~a&EmpnZ7J=`tDH^BR;D*w)C->p*vg%?ar)mtTCo}9wEY0*}L924FG?q_ZRt=YRK zX<pWwacGi_U+vQ{PUV<=fg2?6a6M*uE3BPm64e#d*|J3Q{?6!06}JS!yjf${#rvf9 zC&#qC2s$!l$-;`B15u9imTpy>wdJ8y)9(k-n&(#CI%OzQ|D^Mh($w4&KZSxeacFy( z-QxP)mb*Zun#s#cCbgqU;ku)9&5pGX;@2)P4E<Q)Yw=29)$a$gMtx6bT?^oh{==v9 zA#wLws~ro%Jt6|M)?Jre<8`5^=Ju(Zd)-%_+Vms3-0J1Zso~z+q83iM#;z@784}lO z_M7!!*gD1|%$7H|d^mR3Ds4HF{Hie5D~X<mbBbErdyf3COMb0zr}1WKh4``)o8-R~ zT{+9}pz+S(Urqjf%53%(TW+41cx!^Q<t*D{$uq4sHLTa3pOXJ#rLw=y@t+k-+8%6r zvViTWR9Ab;V?N8M*BUBiE$mX3%UZWvb(r3I^Mh@F-?hJoq+?bnTdojMw^LiOXiMn> zR;I5L({3=7PuZz>+~BpqvBU1Im6khl*E-*SF!k^x-ep;8nbz0N%~)HMe(YLXs?W6R z3)%OiHzf+$1nhkrcJxt%l#^G4cVe)DX;G-ENJWxN!5#4}n>0;kOiFzIiK%ThL*}Gg z^Y800a5pf#2><+Q=@ZAJ7altu{5~OeTJDnfD<kxDd^hH_mabFOm?m@6{rtY~+TSBL zSruI6PyG0P?%IblK5*|_%Bj6zv-G{FKP><2l#JUYRgLYPLZ>8ihRTZi7p**+wVF}8 zS37oganT;P-?}S*{n1%<!_WN9w52Uhxz4NRRq3C5#C(@a`pfMDH?Ob161XHuaQ8m3 zGgJ3}+I%Tx;?5Vz26^?$yTiQC98l`!x_;B0H~HxnhRCO>%yn1dQg1pXs|Ygf5njrF zajx#d9}c&g)qe@zb`W`U%XxdxoEn|KvhMwjp6eWH-%B3c{WZ2!^WU=~;Yr1uOW!jc zX=^y<;<51Re9jX}JuF#^xVsu3Tv2!~qPfYa#wCaU|CU2vbCczlAD(Kl@6m!UJ{@f< z{^)3wEX!y+ar9w*^MyO@Z`7vsT|6RqO_@t-&ykJ>YqRc^`jg)5c1~>w5>_>tW45uU zZllEq&9;(uo8S+EH(2B{_bQ)Su<60d7Vj;)nLB=(UQ4!`cG-H<TLzB5cREY{r5#!5 za7BZuX@=FWjAYx*XXo!LpXPbzZ(A3G>IHkxC)SKLl?z)%`F$CKehS9-+Es}>f6ef$ zMwZ<w-rYadR`-{K)o*^*-LKRCfAVQQ)75cb?agbR*Kd!#sc+j{9`fHZ=5EC%1sh(G zb#K1MsByo4bIkb8ZKr$be8qVa_eCC3{MPqMpFh9+(FJwZ#|!JV)>-PUzhQGlL-5va zwLTqX1?z<mSM>k-|LEaHJ-3T<bBy1vDa~~_*l;W6#<em<l>lLNJA>S7l^242Umc2E zETCY^;1?3GF!|gs$(GjOEc3wZ*SP_eTyNCQ&Dz1ed`)iF^|*5j@@7v8pS^PJ+f$Xd z-e0-l=kwyq)El!d?N<Ld`Gl6gxoM!{g^9OB`?a#a^cNUz;ya?Yvu#JAMf#@M-mFe@ zvd*;DEb{5}tkKIk^)~KDa#ZIOqyN(!W%jW0Y*@R{V9SvOd<#|G&3QY$*FBzU##Q)o z(~3|2;xb)5J*uZzDimBN*r@UxSpKzOV}OMrmqn2R-=3F!<%>1TU*~O$Hh)w4I&Dwo z>yE<heZ6I`uP_DgF1;+85Y3)?cI`yQhK;47Su1Ow7YA$!ZeX!7SCsPVxV1>vb?T$e znj>tD48rop)ibk{Ub)Qn3P}3E?mBCQre%imr*;*wGb;a5I0QZ}f4z`Nsy0MTF(g%R ziP871Tc#eEUoh)Qgs-UUDQW*nZtUOna@IaGeyGD_`M*onJV!1+nMXM^L1-g;H>39j z9x2`<s+R(p=1a*bg;_IwOq|O8^}!7u9riVeGhTatD(*IV8nf3!fuC;!dk}lg;go5! z92d@9<m2Gl>liF_#2_*J@xA3vr>=3VVQ_BH{Ui`)al~I{(T%dVng#O=%kHMPOcryV zm=Wgp<=0{E2QIuufm^OR1%A2Py@;W6(VAvq*XWG98mmreRE6)?@bWmMVaa;R`_eXT z$*^J#kGQ^VbJJd!DaWp?s0zESkz4BW=Ml%F=}SVlZ@h8dbHaX^wN_q>Z}XJIetgyG zC-vz1gV?75hMwB7jb#&x*+q)CTvffdb=JmgPs8sMm2`JoZ@=xquc(uGHTBjiHtVR@ zTC=ad$vD35mBps+D6WV@OiR~Q@g8|&u>ARsR~$?2ycfu^1;&c9F11SvTwk!V`OrOc z4U?^^m)}q6zkJ$t({Yh?RnLR^rLA|K+?RaU;*D#vy6fxiEt6NS(+-#On{K>)-Fudo z>z1;!=)GDS^uO*hch`0Emp7;NeNF$B^zwkz>MNbEoh|%DYoEHg&n}t&@y5N6-nEYy zcYm00&(})crPjLn@zm8z+a;{m{QC3qRg;3m|ED(WuU5#d@~+*qE#21k%DU~6s@L`e z>~&kc;@&0c%$18JO-|PAY}tLJAf4;s-yJS%joMy)xwSeV_FT|;&Sg%9&X$c^FRD(Q zdfIo7sblFikCo=vS4}RtWV)!z<cC*^)v9bUj%il>TJ~#AIa1{tcClENUu<yT3wYJI zL7%m0&g3cazN^leHYvn#T`^)ce;L7C`{j}ElZQr{3g+L0{|a9G`?&dh`;qTF)mQwO ze)vD~Y52ERo4?`)Tc3IJdzR-RZ`XJwt>3-=p5yZOejQ2r`BNuz^<7rBU#@-8uiwfo zXU@-4H(&3qs=c4_LxkC-@l3aO#ii$kKduP-tSWsohv71x@UmyMm-ob;o~~}2R5x2@ z-?7Zilitr@{=fKn*|QU;1X_0Gy*#@m&UJ3_%5Ya(3x@ir<^J>4=9;~`=I&UU=d_9| z&|LcJ%OL&tg(r;^Ud8;#`}m@w_(%@Vs{ftS-LJfiPj&jrwQly5@0U#@1N&<ga|%ou z3#)FQ->#)J?d4T_+eWELo28bse=goNsrYrFv~lgTt)BW8vCoC;a?by}yxcZiwBJIG zZ{PDpFE}q9-k<65!K<K1B$m%NNbS<{TbiL4jT`2k_$jp2`st)?OE>>ks7ziL>zO3a z>CyVz{;=($Pcp@`5<YW2+p!`#Kd0UHcg3~GKVSYU{#tbQ@Rw`pQ+I7jlUfy@b~U-K zTiCwV@<#WwFHd~rx6jXe^hIg~-<NCd&Fg~n5BM+FC>30FJaqYFyQM3vC(aGhKUQgR z?u((0ZfV7zlC>-TOnDh@xOAT4+>)!7tBef;W#d$LI^E@+Fs({4WMb(1O6FC{LZu7e zPTpObnCQKC_1?TmS?gu=O79&mvCZ<{x9<GQx7+n*t~fr$D%GVn>AYY2meViY3;REO z-F@lBx7qAh&#{NxpT@fSUjNrl?|0@llDeh;B&+utKA#*H`08k>?T?$Yzs&xu^Huj1 z)8_hBasm;Iri_i{E1OjU@2|bmXdCh><G>Q9mE4N2UVdMgaU@vi{e}Nwt=Yv7OcGXp zS9?`6^%u`02F4EI>sCwu^*?{=Y2EczlWoGyJ%T4*f3dGU@Y3_{x0goeUJ74W&Ur6% zLRiL^JM-=SSjKLd+wQej<5T6%smvBV6|?guICL$Yw{z|ZBmW!c6ekJHdLo!1yEXUn z1cR#q%OV;!L|P_RxQcfixvEw9V`J`>aOEy@v#2L-+n*P&_Z4`4GSO~P^4yEgYgtA6 zFY-*c?Z~=5NvJ66udc_fBdtyj2bT8c?rvIK6!Lglo6Y^lJ0)Z`l|A73`M$VkrFFz! z*BZXvGTn2w>UK^!{qfcxu6ov+esdokeg7(FpYW#{-s=}EPh_65xijkLB8L-Q6AZaE zW=~}bKCYqVYGzkoaOc^V^fb9+$<?QGp09CQddOGtn|eog&eJ2-O5dU_V#0bhboGBe zm3?)Uz{{)~4cnAnXU}Y0Df`|2xs9oXu+D^y?|0oy|N8sd7GtenEE>vkQ_Pmc%+q1? zNoxPdn_g}C)NYRNwwA2ukW(?nrrACDaT^!7bP80;9iDUa@aYE}2N<2S_db1N>8VwH zMOgpsbFJNnWc)YHpRmdHe?!R4^XKiiT@5-FxYNBqamkF66<bwg9<DuAn|W<Qjr{4j z=VnuQZnq^CmLF_4Pk#6PLdWdH3ntgB>K=Q9Gdwf)k(^h!@#T^CmyQJK$k=|n9W}#m z^);_cM<<tlx*TG;yTWv?jg-_8g^-lDr;?N#6?s$6wB8EYS9s{H&XvUK`q@IdrWw<i z)tju&r%!hYpBQRY_^UJP@PdTtGmc5-Uyx5YD0!gZdDF#+ee1u<>9sgKGkCARi8Vvt zjp>AU_!5pqop0vyaIe~Sz%WMc)Ti51`=>rJ7Hr-S(HnMHi#6a@QigVU#M~Dli*+}9 z9Zjn@xPSGlNcOyQ1)g`p5(LjoJ9o6iS^wYCnNv4NzIgJ_(85r2;oOb)6Ro^{ADeQP zXH9S2Pw{1+7Q7DB@LxSo?sc-muYLC7IyYOdP742**K@@|F}nT@pNP+ctdy@2FV`Gd zFT7+`Riymnv;Eg<L}j_mx#~Uroy6>PTxUfbbE%GxI2QBd=)RDM<y#tUPM%uZYb8~E zxn%B|OdBhAU;e-dSHB}4mK?gnSt+{trLs)mr>$9%HkxK7seY<U)I>VfXGi+?^{TI9 zsp>E@*nK^}(QE4+ftb^Nk2EwNp1N*lD!;aT+LSN(k0$LiSh6}KnJ4}Es>vxr+|D){ z=64#lrU|{25cj$7x^?QwTW2?}$jb~f`D@})X)HK@+kNp6tF5jj@@uZwiZ6Y9K&|iP zmNgo}o<9Y1uZU$Q&048pH0|i+b!CB<gBEHm%$jMNsd8kQzv#z@%`Nt3o5SXWRxB-0 zTqg0Xn=#~f+k_JaNlq8G<f%*%w3C{_t+qnj>`)e?rLJz5)Y>)cD{d#Ae^HS1M4>pe z%dXUM-e2J@9d?%sbS||BO`kIB#qWBLnd}pDOm@7mI<t6=%=P|7#eV<PXEG+QYma!e z@qzWp6roj%zF(U5St$CF{VWq99`(hyJuJ4kMIPW+3=T9EEm)M?=(I35(LgUMQ&CXG z<jLxJnX2Y`PotHVhh-?={9b8v;o+O7Jzc#wX7n6nHk8<%Tl-RB=J72IZv4t!hwicp z1@!dfonm-$(}mByoXbg;d!~!2bRGZYcd84InBAE+^S60KQNrVchjWZC32|pE>G2nF zTx=j+79FP)D0yUF+nEgsoo#mWw{<f}|48xnI<cYsf5MhOCak^hQ^KNBJwAE*ylN3h z+h??Ck-J5mRFdi>+cxE$*9AW$&NUKSXpk2$(fQzM78Z{R%^OTwlS2>XUJ^XI;L=+g z#-sYFj_zwNFS#-;Td5;D@{m>EJBOtKJjzKdsV-}y1TQ@5(wuNagjJ(gVBZ4X2!-T@ zs!@!k`(94e^)^fBSz*^Q!S{9eAC>qlcT?8V55;HO`b@UJ3Eq~Wr>fK%E-Sk<c17B* zSv&@+hM5K~@z?GgeHrx1U!vG(z5WOFV+G!YQyD7OTv-?~siK)vdbiP!v=t1T$2&aI zL~ioL3%;}E2<-6>Dw{F0`JTwE=QhC_p@vmAIv13bUR64}DDc1;l~o4nB@@@!MX>~U zGqZ>=fPk~}ny3w*C-6TuW?%qeJ_b&P#L|?^{D7kTWW9={l;qG5RtDy}DT)~yTlN1g zaBOE}*gKE0>QQNCm_S0fEB^zL1lPb0EyoOvPbQpA3Jog9S|*v9nI-t{w|FG-e`~JV z6W551+;?-1PMvpT;nTB`)uOEbBG+r5cKGjIX4LU$LE&@h^exj;MQvl*g_xQCx3ElL zlVIy$v-o>CX}QXg<Vxf1*L#*Mv@sHo+E<mdExhwo)>dP-cJ;?QD`stB@tkZoO}xrj zL#FM__14qZTc61b$NqZhG~aBZ?u*Oc9y-l0JHMmVE_aUDm(2M|VqY@vZ&=`e``P*h z{?#3#UovNhURWNxxXCVemY7u9`Arv=>&|Yn%azl7ars_nligbhkuRCAd;BjfpZl1l z_SS}toWHij`&?Ka+vj~@`P-l-ySLY7u+-jC5i&U=W+dl0-;CGo!gARq9KW_SCM@*- z%`W&Q^S40z0)J_Dj$d2yk0`vjoW|%l|C`qyr}^7nvH#i<yomoxX20zPgJ_Y;1^&0+ zJIpV;-e~vMfc@8&+=2!EyN}&>m|w=P{U!5qh4hQd&jOiiZy8rey|{eO5X6dO`?V!? zh120T60=@hzUJ6!_g2R6!t&l%1{aq1Cf79D<x1@0{*qa|k@eS>{1UMjm$y9(U*P|H z2~+JY(<-hnnfosUF7UtIDx5LH_b|(^Eva4$62I{Xy|~;b+1Pf|$W8FY<u=a7wwwA& z9A7e<<u4dmw|#H0%bm`bG2`wfd%hQ!({47j-Bjbvn6dYh@501wY)Y}l4UHvJ;}5)N zx^?WD{gzcRO!nL_rU|!YUrji$p6S=IZ)rAlO3a71CYc#-34JR5VR6H@jimxsYQIu{ zU+`R*o#V^ETNjuyLslg((3JHcKUeLoDhBT@XZ{6xUspHbiRPckROk0%I`0#Y)ocs1 zq?rGxEy&K%J;L?F)8X_R!?~A3-L9ItUNvF+qqHFLhGukxqr>)`!mkW^A-*rBy$$8N zp>(t*@22fl2HhU-7t>_>cuq8}Xvw>2_K0cA8NY*06Jwb6tCXBx_tA9|=O2*;sb!}` zyHbRHC@zdF>k_>a+2?;ipYc}e_kwiGG)8-t3@PhYt{uwD6093UQruDkr5tv@5z&p= zvbmJ0j`f1xY_+ys8R^}uf4V*-y0(<vw9sbyvOvmd_Z#V}3>6-n3uDc+MUU_)3*1Uw ze@xz_cWtRa?FGGP)o%+j-%Qc_^Xs<ohsTb)--z&BU$wCAuP*nGw+k|JE(^C5?N$(b zt5>}wH2i=+yYA*tmId+O<d_w-E<R{uWca&j>x?7M7aW{hv?Tr;cm9=blO2H_UryWI zJS%laHFAHBki=^#k1wbHCH&o#YNdNjxp*%_yzJqty4D^1TZIFbN8eI*Gdc6^BXj?m zr-2d*s}tK=igu+w&RM9Ftp4D-?&@hbU0naXn4zKDb}P<2=(tJas%bYhrFpJfF*cic zcQIEv3raU+{ZM>#x!F2@n_^n8+2oIJj+7K7tiQB0{u{SyNK&_mle2(=ea(YA8^Tsy z(fB2I>&lk}4-F6ZNlGSNSQu}{#TvIJuK8$!)R~3x-}+Tg_&H3;yQ$$hL-h^Ik%iIU z_;pg$6?k@~E|&OMG<AdUgxAxg&HPN`3L4wa^hih==qK<@7ZbbOb?Tb_>^5zyR~N(2 zq-F`U)!nq~nsC$aI=^Q1)Q!Barie!!V{<xk#&mYBfa~cSt|l{nT6FvPdoKE?I(eqS z0b$<FduR5qpYr9j-^xR0j+=TO&Y3Kx{$P3ZHqGvt{qs)<tkql<Txuo!B6Fs!mE)zv zlN!HNy{Gj&)~K@YTBK2Rzxunk%X{~YzyBQ(_I5eH*mGj~r6n0(7F}=RF67ePAz<Bq z?ufad-Nj1{FJ_u(O6|316^riJYy9zH=jx9qVl*FI)2qF=H-p(H@`L}gBUhsg<Ysy| zM;|}%^H4t9-17W@cOI*oekUI~d{Ft(Ox2&89<F)WZy%`jSvdVtl11^KLvHiG%URq{ zjb5k1*+0`l-fq_959ZG|t&lqX%Fj#mhdOf>@1vT9=9g!hXkL0)IdA#l&ly1_pAJZs zq$S>{Owp70ot^YPC7`)u^Cp{ro9CRn@O^zwe@)7lOtVjKHf7qJez*Ep>=DtVr~4Oe z{{6UOIa8tPwunsQvoaR(({~x>h#a?{ew%gS?urbzhvscRb#|}ub?@Jzbx!B-dadH0 z@(*|D?5e9gUY}z2sa5#DX<g;<$jH3lVBuobS6LyOkG+&`Y0Kct5v*DgnwxOVt@o+i z=GmKG=l!#-v)P`rj@{<=o;SO^f4-@^JO8GMT_t~>a=zK8H+6UYYQ1NdnZLhu@x0lm z>wBHeJPYbhKf9u`hi&oE3)6MjoMX31+s}H;zu&OuT)bcBLBExcCTs3oV6ZUQYnIC+ z2a%eDud^<;|2xX_ewNTiTm6D1PU}9+5iPo3sr5ut{+GD8&3W&M4=e9+Uw)DG@`1p@ zlN~I^o0sg((_KE}U6S)L-6?0!NLQZnm@8qPkg?^uH%HNjr<<-ODD)c|SH_sm>~0sE z{PaMKamkK72QD0Xtd#v+TaEp6cG|f!pRNj5?~L0v=e7>}v#Q2}DOHJ2zs)+jW1-MH zCD|9Hlb)ab_G#tJZL_n}o*jrW3NR=*kg<NUSoK+-$g^daves=5+x+xEjNyXk6L;pU zm-c%v^K1E*sbN7G8^tY4d^VTe3rkt><bvhKNXd=Ga~5qDoPPV;>I{L~i;7ZCiTAHo zt7e>M9-X<pLSbcF&>8VMWBER{N`;kIRn8wf?lRqD))xPLN-^^ui@QD7XHj`9edu?^ zhoT<|`6n)aY^k_+R{itV8hH`<)2#d|JD<-?t9a0@yI=G7(e$m&#c!wYJX18i^<hZq z|A33u#p3Q$rI#sf*FJjN|4TIA{>`U9$sf6HzdL?;_0^BhllG|H+w1=4b%c57&v$<x zni`!BTpQc<arW%H=b!G+4qmiB`seij7fxnB`I`Ee|FiO|+pB8s9n9-leB$jV+dX^J zHhxz5=`GfLFiVE@u-nZEdulEwJ^8umV|e#`^E*Aa<MhLQ)Ya2|8)oa(b-$crYI~<V ztu*I!Ys|G?`-|E~o|dV9NuG6|ZLhI?*4^pnUzrPSnQY0+|87(Ky`|r>+LVo?Ki}WC z=})P3q+jKq()T*=WA>!6^A)w9I+ye2(80{UTmDD(y$Za&Z1+^vq;R?2$9vAzCQm!@ z*QV}gzx~DO{g37fO5VCs`}D`*IcXVw|4-eYx&CGT&s*=}rk;O!L8e(}3fs|~Q^oHu z-ab@6we-aNxi_bUUY6;<e1Dbo^vi4Fk0)=GnErH2X`xi)_w=6{?thAYuGYC2|MTLX z;*~P{4faf~{v&g{$g<bI=hV_o6HXs{!*{a(uKxRqKhKI*_AE}lEc4&7ru6@tP5+N; zPERl0zR!?{`DNEhpUF>*E{R$vn~A%hy<zgBch-9E)N`jkaTibF-rd%_aOU&4eRVTc zqk`Z4lRt93M5FHi^!h)~egsNS*|mPozaJqY{41?zK96`;x9EJi%-KS&&!%bZX?;TF ztB%>IY^i>{pd_#SQ-9^Dr&HG3|J!-T@0othkBq$NZ5g%kihj!HbKdnP`b|Ff@>H?0 z%-3Z0!o>C`r{AsKmN|RVtM=5spj<KD=}+DBtXA8ng-c2P<Ud=Jk=DKA_omIi?uMU8 zO*QkgJUeHb{j(KU_stZ1|LjU^>^F~hPvbSNF4gXj*E^m6``M4a#WQnnE|}7La_=9F zy8jo>^xrY5vpgGqSO3U1r~6Nx^mf0Cx|(X4bw^9mr1;M{o4TKCmVK1hn)Pr$uh-3K z{}*iYZBN;E_<TXg1YMS6$6tpT=UPm@?IC$i)H-*`$@&bH?N<~2lvQ6mC-G*<-n8{H zQD@i|AGP30|6j52YQ~&r&wEZL>3&)=(Ms=j_OV3MIP1!vR>_-Z^vpMvvM<y%XcyBi zG!oZ6mRXmxRk(c8^WNK8bC%T{O?jqsEHiKW^s_3T9+{X&>6RVyiaLALTT1frx30M+ zm(rAL^X%77f4lT>=Y^zA5`uA;Jp78SQ!Rv!r5a6oJ;zXf`Xsj^ne7t$CtCdQzNVCF zxT*cg`bl#>PVaqUE-ATruV2xz`0dlroh<(%*%v%zo9=#Iuh4C+52whpe*Ajgcy+h^ zne^}H-R8e8v$}S|H_*;ZV4A|d>i!?rpTE9OnHhW2O!bjwdE~x-Q&p>yXVlNsb=qjw z=jr#8r~c}TquFg~+g=>6?^s`dk9Ffmoz2?QZ%^s{Kj+mC`B^`$*YD7rf7e9p*ZW_o z-&g0H4z}B`H$76WUUv4jRcF>|ZM&}Hb}D9j#K}PI*{O#obYGgbILM6W?Clcs)%$ZF z+nemKDGi>weWmpOo2izs(tdkH-koy&PF8`<zty`Rzn*{9e!t<F)M@MUW>s6R%KzB< zdsFeM?)!62e_CuY*Is&k-l^MD=HA`E$?|*X&NrK0zS*ZW{pLd9r%TfQx_q2oe<t<b zHkI{(cO{k^7Tuhtdg!QJ)xGA;hc0}s^yujAe{lV1`3hqh)|AT*PPMyTg2ER|^-bEC zJ|Sk`r0t$6;`zZ-r}np<k<*y-_i^j-@D#i0{+nxjN-{-U-&a>W%CZ21#J3aWx>T)Z zt@fMbmRF@8>abF9QcC2$=~|Oyq8OLD9TVC4`Eg!E28&nk4<S+Ool=pp_jb>@;goGI zUiBzzseNFSmrA+QeWm)Wls8M&%Xj5Z)ZY2Hw)@Uaqtf={^}hcF;^XIbI_=Cc(D+(# zVP%2U6wXyiO<oI~5+gKac4Y2Q?2;}}`Oy7{O{2hkMNOBE=AuuEjrS)h`LC$ylF7Wf zV0x(5F}|c`VY4|ZABvq;-!N#Lyd^Ye!q37<N!z$Rm>kqO|EMsCfCQ5Q8m?VG8eevI zqQ;cW?N>fHA6ah2dv7Cu&Y?v9oI`)wj@<p?H%a|;#`HyQ#$4+k>el(@NhfVOA{Lo^ zcAx8|XIJld7MZQD>Yj2??akrIuk5W2vrG=hZId&x37qBiM)1JDvW}yxB96RVV{D`H zO>8Ff><^r?E06EZsFm~H`)OB{O3on*MY$78EBT*%yTCAega3qgzhul98V;!a`MlVJ z<4K#tI*&<q`!42m-OmuqwRov@`BG?JrTMCw*Ly>s&S!Yz&mP9b-)Y<09(3=!*v=;3 z9qz4inX!7e&y;`hIBofS`=ow5dH&zO6;<2*u3iz?vuRhUhU+AE>ysyvHwUq|Hf;=b zx&QUlDdpmwY5HHxTw=l($*D#&BucrMEO&HSXnw!<h}-%Nt98B!?d4r~cgGv8{|pK2 z3*6RkSydxEYqj!Pt=|%FW#Ybc1SfX3se4I3l`rpCzU8K$ajdUxugmYwOJPQ(uGjDH zTXbUQce~GORS!Q}ctuB~dB^Qb*(zC9VZ8dL7aPY31MNn6mXwMEK5U}>T5W1c4>TL& zg$*ql)Z4E3d9e#ym3cil-qOeR=7mIfZ2FmvE`6cbH5=~>SxRhpWo7B2zi5hsW@D5C z-ztwh!QJOIC;8rH?^7u#nIFuwU!_1}!S6Yj;yN7<GNyR!Eev%JmRox?;EubX>K+ev ztx1;8?;ie<`#7$`K;)#(zfhI+IWm1L^-~Y<bMAFI{J7p@?#)HFd8O6Mr#%iiuefuw z%<mNwUvaLO=*+aAC1a`-#|g#UsZtga?^>1P8m1n2-yxopte>kVbD(wObkz^m4ctc4 zd)fp|VpKmEH@QVlGh&;d>HQ#`VXxES$%PlsP5Z7Z{x;{V#sQx9(W)P`5A-PQ$)Ebo zi|15N`Mh%7KBu>Pg_I9BM|S>A|LSz4`$oOj1NS4`j7R=`Ui2xj(Ye97f%yYtu-!@t zrz0OU8|MocHht(cTvm1d1yhUns_#<{@N-O;588X&^+>0#-IfPl51u=F^X~wKMCQlW z`LV~Qgn!-q<of+hZ{&3}|9@PNykW(!NG5x^FI=bjR@F`W=EcM1?LYHN*CZvyfS;38 z11Ei&)BpF0=Z`g;viU`FXKO$8ZgbjuBP#W^sD$}Fp2fW;&Y8;IQkD0zHug4)8D9+N zJNa12S5!t-lE3DrlV3rF(V~jgXJc%QcqeV?eo^S3wq>Hjq<bcN{Mp}~tk7HByCpO! z;LF)or;Rz&r-)avy8hdB<!SCKu8l>1R%M+k|M27d&MB99R=#|$XT8|_uKe+8yNlX- z+@DLIIqB`*rLXhfqApagetPa*+4qKdp`Po{yfXiE%wYb_@2#~;FGb^=zvTY;t9|>h zW&Y(KyW=~S$D{?S%$#(3d3fEA13NG3Fg@70oqc+?o%GA*N5zbnmUxOTd)xSNe)=_z zU7G^G^-NtF$z;If&}lL0&gV2Ixd)YxXSmp$Iyira6u)$oyT`$lYfMWMj6U0(pIvDz zr+;!nik+m=Pwutyspl=rJlytQ`1M__x1(#9dgcDf&p#ZwT5&_*e!)RQ3y+MhJ-?G3 z1f``b+RlF|u~Sg7IsbW|lAWBL^7+qu?wWsj_(AZe&-u?b3io@C2lKl`ehYtmS9|}B z`02aUe`|2qW+j&8m0L|+8-3HRt48C_-rDHbS@*BKecK@z!q<K3rL&o$eGALIqHXzy zf22xozWB=7<H6h824RvFMHx$Y!>kLp-kxwtWbvs3!YR!Uqqd5$e_;!rc%khtlgPCT z^}D!u1m7!v>)CN-<*v0kYZT4ZymgnnogS(2+9sAY?f7w*xrXYj!F$70PnTW}>eN}n z)idF9*zA)YQgwdC-?)?}KTJ?pL+bEey5=p-<-LL_`EqDNR$kSHj4+!J<0WN1#*29D z+SWZ@@-Rzp_W8P#jm&AA_vYAX=x9jtZEo3{qsC+OuV|`)^jbyvjLAE_s_$<oT=lVu z+oZx{zOM4&;O2u_CerWb1X{;4#*0TYTskbc;XzV&Aa~?}L!xT}ShZeUa@+avk`kMG z_ogLAteP&2Tn>H$M}M-Yn>2EIOH7^6BFO135iaH6roklUBGInEq7c5~pd-T}(MS9> z3f~&o7x9@Ld2`^k!t+k)q6dWq%=xXnHM|WPhAzCke|S<Jtj>6p?X9y=iOrzmAjgzh zP25omVh2A&Y+#Z<V)H1p;PBKYX*~s>xHS$3d9QQ&2()nU>jW?fU14ZaQ5HC8aeEsF zBZrGZNWoEdn+KtC1uq!bOEMSVDPT3c)FN%RfbsqBw1y`Mu0s1XOEMQ9dB704F<|lI z$rB$oE|HV=pO6}onmAi{mcqAC`>3z;uj$P+=-OPN=Q!KNM5b8T=R}_7!9VL&TI{}9 zRkL&6x)NIJ>b!o_=km!bsya{IG`u93Vc;aV;e)DG+h*<C9R@8IcK;I-a_YKT+BD%+ z-5VQ+fJ)g}&+2@pyn4E;IQ);p8>KVsN#cpN4Zbs;G>Y%#crwYl;p}w2T^8TM`4WW1 z3I)1W1+Wz5GtB;warA6&`Ar71#BkmSooVbvu2KiqO0Egf4r1HDd3aU8&v4-zEr}sZ z_MBqg`9hm*1LyJtgT8%VnQpX1>j}8>KbfT4;QQk!)BD<;OgCEMwE`~gVlO%^azKs8 z+3|%lzcpjdAsyj6B?{AZ8udL7%&MH0&9Z75N65QX+npF@f8b)#3_bsoA;vgyCVSA| zr_8S%^!(WqF1M6h82p+P(`zN&;5);eIrfdiyK^ENIMWZ5D}=W(R-_)<#9gwfTp>J- z@rKDmzf}(6-bQa6^!Qm5F1M7<xYM#`w!n$A6Bo@c(CV7qE6TJ}OXI<X*&lLFYOxgM z|ML3QqP299<-)9mwyG<+8e{$+{?{^tA!pWy9+k$pNs1G?PnF%6&o3yE$kC&eJ;&A| zWUWh-aMG#uP72~nEg8~ge(33(%HJ3ha*g3e%VE_!@4m^h9Z)+Hq_lKV;*4!J+zq}n zrncM;%`4YrFncJg60+X;u=3ijRlDZ+F>T3Yb6yxw#>L6FMA?J=hihzFOUJAv{gd+! zzf)FWKJu7vCBx@meKxLN{wXc`KFNha^5m;Z59bpeVf&36Lh?Bce}xMqsW*ODC%;SI z)9yf1j-u_IA7cDEA6;)HPQ9i0a=|C7*d;D=b$jNvb8mc~^Dx*d%E9PW%E9Lej@!#_ zh?cCLk<4ll+IsQGP5Tue`d5_YZR6LC3GoY^c>Flqq*nRV;1^nQnoArP@tjzu$hDY@ zYmxc<2kz=6QNmSTYAM@i6kN{rPFR`d74cJLg^QF>&|RBDD<(-gzH$AaBxdW%lG}CN z)b(gZ?BpMkyt8GRGPJ!FSr|E#vQm6Ik7@r}wf%&=L}aj1$v-2{Uk<a=D$`R`e~NoO z|MvDJBY)X~W|^M&e};T6@r(9O-XUEP7BMfZ<!NT~M6*S!?*umoE?>0ThdF-H>Ioqi zL+#QHR=v>reIlVZc<S`+T3b$LPg-aD(#w@?#S6v8c(xS>9QZ}WUo3Q*9Tl1<SnIL2 zt60eSi(=z^)-7DT>zPD8DCSO;m?Ck&K_qk0Th@EC^<Hd==q!uczEz=Y_1OysI@Xh} zrW`!WIyrPoYpTj~uC^5ltQs#=wc4J{S+`<(rn-Q)$yLwL>9sxq$4a~$ngoxyYDdMS z2sfHtJW?mzbpDX-Q|~WoDw*bUTT`VuYC2arP1>fN^fZ+JH}fNAw~se!eLf$MYJVzK zzM1*fmt%Q)q4m`k3w-yw)OxJTkPi4Ml-l|v>#{@ERqrjcHH*9A7A1PzGFsrfsrSSu z)mPS<>#X>gjaF~|xX&e6@nx|11+|}7Z<b8ezqn!YGQQuh4>E1?wOF@9CEAnqnDW{# zuDynToEdYC@|XI)G4U4hN}WB!L$Gxnhud9~_GYKqX?AMm!8azU&k@Lv{WG({ymq?7 z_s#=0zyC2e-keh{XR!R)#>pwdkG$USeK5J7w5Z?q>PfaAS2gl<7y1_8NWZGVx0|1N zi>IkjdQy1rBRSQexV}PegZ+Gdk5bQ0k1btQah~gf+FZY@6FHpHr@OX4`#+f>_gCJZ z_GjWueHIS#)>$Us<&<;mmY((bTKRpEo1xY5m+zKMUc&#I`w+vel3N}zj9m(k*zPGF zs0g04?wo+q>ECl0J9OTMI{nz7k~mkPCSp?3`I~Q*6hK8q-GyZ#?wNa4F5aFH<kNd> z-Q#Anmj&UTLN6QHb8k7$K31?b>*WI9tQD)GmN0~brgAr|TIHv@>bq9A3gd#R_mR3r zoH?6ztvcnHS{mv)$z5-;lG2Tsjb(biA#3Z>ZutB>p_lvR@mYVF6c?Mo&&#?U9Noff zJ#toLJ!O7=XOC{l)zi~^t}5R)*}jy!&v8YF$NXc=p(jL~oR}qeodg&bOENGVE_C6& zJmZkG2m`ZOh?Rzpfrf&fm4y;#3uBXq2uBN3f`NnpL!*V9YM}~S&=R?Ok5d-StT|YI z`dy5R^zzkDwg*45x>B|IgN|fWklss|1Jx$3=TzJU4|1pdj`lpf^4HV3n&($9^nBxS z`Rz)*YoEUFDsDag!@=w7$C=9(2Z=rFm_6_E<r%f<dpcjw*6aAMyRw(-=R@Y@J9}2z z=rR2e&up8t_HXCa4<73m1x(Uo-%@5e<wm6bE}^V-wN-QclOO&4sJAcQ>PF3vXBu-a zPC9sZ)uTN}XRX*e|37=b?xIgeXYV|BG^#AiO)ULpiH`kh!^EcFVw)$<GOL>tJ!MTd z>)%4JiY3!0PWj|@_GzPfW}$LkY0Z;anziq$VwSzSI5FE|q8z_v<*B65*hMz4bh<(f zC;hp1Q%qQ}=-eBx{g$Z)?T^1UDi+FDGFm7edyyBMuhpS;C^`H6Hnp=C)``wta;|W) zageUz$L;wG<}bBeHAy}F*xBQ@p5ik%9prmbc{eD&cXh>C-*>whxb9qOUH&O{#$Vsx zJ@-V;<Z=q-ZMha@YkJH<=k<Zr|2S+sc~%I>GDif|sm?0antA0!=&h=kxBK3@Zr;GF zCMBpQx6SZZ#IdFd;rWFkUnRMgdf4vMn{C9Va%$zaF8=DAw~2E)^`h9r7Z!bXe|to` zZeidCll}Ilx9@C!eK=vwQmwv;rLk`BwKBd~&9KPN^Ks2&Q{JGva^L^NC>#HIY}^d( zSIn8_l<8ghExhR2i>z-)7d40%I*F{_6n;W3?Y{3b8K1}9()mgym)qBed?<Ur|L}Sf zwQ$AIvY4H7mIbS<;B$ZWUiEGHy>veT`ya)5F=1*i?gi~=yi?W7KCei(I$g12|3%}- zG>gg>ztd^4r7<nZJ9d@6w`t&!uwIwu_Ps&h&$oQ<|0Ujni`P3u^3Pk%#GN_QWy<V@ z91S@iZ5H??-_bs%#FF|};ehsng)eH(>26V+WnNS<A+Sm2J5Oci?j4rzO7E`?iBsLq z600VynW<!16f~ix#im(fMS0^b!C=j#)*MU6sxRjb1QZ@M(=zn_d6D1z`o1E)3-Rj@ z`4uWY;C>{0M{!Zah68INrl(9Z@GAM?V#09#`L5HF=Yrd>PCvXNOr8CZB+p*e*ORA3 zJzU!;>apz0wUl*F7HC{es0(-)YclOZUb^^PZ`=FqX(AIePH_wMOYhJBd2(sX<TDLx zuPgf4Ib=Ow;JrSvVDpsBc-ywtNY<+b(vyo%{a~75<EtsRIjBO9Z5CHT`o^W9+=2mj z79=DYIcHpK`eblr<+&4L{E~kc+*ot5;Qqs34@wop<+k~5sPJUo@X|r*n?$h`FUN`} zSrSFn##VNpKK|^m>|egn-{{<F7KsgOxtu0DUsxcT^Nxcflly>dN4QaN>!eLLAMsr% z-5_uv<jJvCVSeZ2OTPMA$pXQ8iTiHoueUC|=hMBbIK181qA{p-xwC{D?~{{lGG8ni zni;<QSaeSJt<~O@&U_1Z)~3Cm=gFN^FvmcBRbTej)MHj*2kvR`Fc^EBeXVpagOf$! zXa9+1jAxp8`IglR#>CXU{_*;5^{eIW8w)d37!|HR{&178y!Ib&|C9A6n_hgF!Z?$; zS9LwBY4n2`A5ZrSWjI$#&NGv_T)JtiJa3|~LQIKu(5>yUy)*OfMyDuU$o|7)?(4tu zh}d7xxWH#Jp7(Sb_ax47ZM5+IkQKqbrLy7N)2}De<U}uAeqC4DG%LAm#dg0H=T?+A z^#qr%4BqEyx3IFZuj02?$EU(A-#qk+{ae>b2`DUi*ZKLcT%)Yni=<{5fiFx>igO&i z-zcoUaWhk6p7+d(x4v=@92oXQ#qz(5zL3?jFK@1Dmu1S<#Cf$(W!6YP+`C7eLpb%U zg0#A$;E9IrFJe1O;(RaH9PSkT+4w<Y=B)`E8Jy1St<L@b#$7rqL$*%zNR;9IxV&r@ zp+Bn_71M0qFEx72>*LSN-DUmBCgR+g2J`<9Qv)~-{JgOD0jJ^9go-RDKfRYKd;BDx zOgh(;$LbuD`FeI($N`(%?xji+zgBo%-J?8(W8u}c%QY7r2zoosJhpu05tc5mI_;F+ zGoB0b(`(khbY8fBw#5a>4|5HgJk~_<+D}T^%HKS9=K<XdCn_v86ta%|)!pc#%lGeO zV#8#e@Eb{nN7E#;cK+_|*iv8e<b%K4x5vl5Sx&DGUl8f9YnuN1<n9Dp9j*OVx7eyD z<>j0+-+rX1f@R%t2LENoSER(>Dz?9}DRkf#=k0#Kv1Y0HJ?V<|t}o=d=e}?_6J=bv zCg{ejCrUhG-DWWl`&Zp4zhf@zxpv35zj2kWMJYl0DG#3gDN7eSSQ5DCXJ|~niH7<$ z>D@~Lo1)TIHYUp_EZ3N$^E#sMo+;<Co1S}liZ&(NT5K@M^4+Z`fAz-smC<c+>ra%r zh^xtN?3R3Hu`2AR=fxkH{~cYHU77A-QRiZq%h4*iYVY?6%mGuH-vm6C+I%rg^vf@q z1qClo)l_k_JOAINGoeVjY)wOAds*s2lL>a){l#6Q9&W(Zl!s{iF@`zqdv^MqbB z8G1#Vl>9rHZh7m%o?SbaT>Pc~_C@a;=IH`|Ha|QWukc!dsX?LGPcVA!x<;*-ru-NC zu6TY;JGLM({Rrn0o7$YX%lq|jo%!;2O~ocfpKRX4EXfY)6=m@YTOAzPb>F|e?!>Op z?kB^QRVGtxK6AmNeVS}J=YP&`oW7hT?dR(*|G%aJf7x~H<X#15@(c0^&JuLwit)Pq z=C|RD)$=Nxb3g1ku;2jq0qZrpDqK42{8&w=htE2p$Y%0EZ>r<0`7F%BqL+k(czF(R ze|3tJDfM3#x@m#ry65v|-~G7hU#Z5uA14EU3NOB}PeOP(L##nVHv{*>bp2$dBPtQr zHSf=K_6Qbe|LWXvE4O>k!U^obYjz(H(XBqEkP(o!VpqXx28&G@y2g7KUTvND#=!aS zu{WnSe`E?|n6`m8PfJlKU2wzF>(`af9$t9j(N(R^^~ZT55>M0|pS&x2(W}<No7d|D zmK%93*lOoJEvdm^PP0$S+vXP>yo`PCW<1<})aJ3itag9)k@GCi9!#8Os*v*1p`qZT zmSbE%@wuuqMMn;wO#d#L7I;TLFx36G@99PHYLQQ3SXP7=27Hx@IevQaGgW)OS=~#+ z?S2Z!C?wa(ia)KGoG|IRv&Pj%XCu?j{kC_R0!1T3C8ve@NT?gmpZ{MV(#v;}Wf9NQ zNn+uZ3B4~1?KoH5dK#>>B;t-)>=KD2-;N{=&Lyu}mWN0(M@?HPqP=*1(8sqCeA>p> z48Ca;>dl?XFf*m@(Cu%BC+vSTH+FH4hs^G>?vl{vgHI+rlel|gp7317$toNV_!a%m zvTJK_{0R88>bJb4vSOkFzevN3%f@k^nA$%&32zZsX*tUs{bc?At}XNboLr#T9AhT2 zP<DGiv)h!|FQy!qtye|(zF1!-wW4BQTeo{c#hM@Lhc+&;n0!zA)S}$8O8$NeqI)e4 zya>pWXndT_oXhUOw&3Weh#M2uDs6w^{DkL&L~Z#4UaiKMf2-Cl%5N#Del~%L?d?Xz zUaoQn|EHZlcj=bR{IGD&r^A^Y|J<)T=RW^`(=Ae#$Es3zGehXfvJ^Sq>WSRUkxjmh z=Br9iGdZ3#nxGWbDP(mj=-7b=n-xC`s7~Eh!f;Va$nl=dD^A1eHRakmCtDW8?q~7} z^AON@#vppu)2q&2Y?_nbo)+gwrkt5B)?Ob1=bh9oi*!9T>(IA16M9w`9oT<t-{#`$ zr)$<(y!+hJbHhHX`(}#Mu{Y<ULX;0xNyttu;CtHs_zS};79};N=L?S?jGcX|@6?;r z57z^aESq0suwGk4{pbT`Zrz~x=G%UE#2B5qFZW)Vth8+LPPIV8qSuj3@f(tUG<@*; z)$4WJ?{c&wvxBBeR@>_@OA}|tcnFCu+mx*Sf%{Ri7^CO$iin9xzb-EqXF0|d{B~M} zoI$s|c+RcB4{H88YO$d!7kn_?wJENq?E&A>k|nNP7Ze4RZXJ^DY;~{M{VDKk<aYMh zNl$;(H(g8FV7^nsX@TV<RmUZTVe;G89hETI66U>YKF=4{C3AGvw=C#9ouTk-)f>+l z8hZC_(mH0$;gwqb^u(1zo~EzO3-(EsuxRN?U)0p7_6m?>zniU`<&pkNzodloI5%5d zXa8ZF8%<w#t<YXknwYluI7g{r+L;fwd5Ij{Jmn!CJt6`BoPA&IUEyiCn{yva0-y0; zA1{Nv3tJf_)-iE5&bpTq^1v%-rexKvlWZ*tJ!)IeP5$z++UiR0u83yeQ+_F|LhpOD z50$<9_%*uALrmH<;WSr}rMYKn#HJSA+^9vf8rSCvF|}{^)Y-TuBx0Y*jbL&4{A(Wf z8U=4%<~*)jujG*0AM~)p*{MQnrH`z$$=xsBEIOO-MCxZRneVH6BJB2QCayED<M}MA z4=^cfY|+TSkdb%WVopKc+X;t5)FXEO`15?feN)HUQ_0u0YS|Jhu3ymow8v@Zx}Ro_ zBA#0$MU~nnoH?ug<HZ)aNwUrJ_cd3XRJgofm+ND|qbA**aT|_bvaf9SS=5{P`T%p@ zlDN&!rvK05R$t~U5M1DP@j&<Lm3&L$HH)m}exCkv<yg$;a9`yPQ;qQ6*IK)7JBbAQ ze@hTLqw#7R<0Q?)6KVnvX0sYM%oniwHS>dXk7nbU8#U&0>sOzCWfZ_sCRZ?9(_Ya! z>hDd(cU<dUSmv0{)w=wH#bu>Vc#hVkkG27;l*Iq96<n+NNP4A<&Fp0b;!}4WEwno? z>7(;@yQSsMOOuzYeBZR{@|rDW<;e*}A;OneD@+LZwCtX)y5J(+H8W3`gw$RuaoJi~ zYV9K{6J7QANRf_I)YG}Y^dDVy^SPrmd+WtZ1(Q5B@a3%Go|52lb@Hl&HNx*VZ#!!e zn=2=~x2`4si20E=RSlL+oz(*3%RJl9@406!zF>3O(kWeA_qK)3VPC9N9G<&k8B648 z8wT}<s&jI`SA^Prnzc!@X<vDba!!a6$N45l^@{;D&xLNYCD<@<>V)2m4Vk&cAk@eA zUH{Y9sth7O9&yY_W}D&29IhQ<Sjlj0>0O4M*^OB<!_T$9S(W@R@arbizh4C0U8l8t zU3q5OTKl!L`Esq&PuEO*`^GEu*C|_(%!>j1>--fQZzkwS9lrhl2=CE-7U5HDT&kbn zeUquRs!nC$j(fsWii?7;%JRDOe6;k+dGKX-`^Wf6F0LD23FK^IW;^HLouIK&SN6+} zlg4b5LVO~={a}=8FgoJ#^z^<}e$F#Azca1ypMPrJ!JvQx7PX!_jX#f-`b<51q2usR zpLmy~Q=z=B%&bgh-!gd_*j<|zZdsPIPvU{-U7y}iQ<2#j6Jo!$q_{17mH6U-`ssS6 z)$7Z|HO>iK@+h<r%3+SSi{8%5qQCzI`<Z+8)-3Un$B$~ff3&6FWJa60y7mjbOlga( z*9U~wzO=l~s;8E@_Lxh~;l;xDqP0XX&U})ncrM`W#Y2utMlU@U?>HLv;`ag@Z?VIs zGvfoQio5gvt~j~4at2S|hB+rXrsdgdUtF?bWx)&u=J`Dpi@1Yw=ciiDVv>1mzEFC~ z=Jt4%Q%#;l$z7RmPqA6naqU?2R+Te7Wy-_AibqX>Yaho2nOrnAt1bEd&WhEAS3mIT z>4Wb3J**?5c+Zrbz44>)@V?6J?+SiA`SnAy;F9&V-yPR3?!LhmyG2S_Y15Xx9}zqf zyV5uM`~OxxXK`6X#CFzema@YBq@HBE`M;d`rpIwN`1vl=>go>Iz;RPQKt8%!+)T~P z_^@4~i?ZFNv}(t)2`l{)#NW2LHL7iAw%T7*tnlU4mL*3WKPt0ZPj<VJX8mnpnyQrG zbK~v57aGn!X1Z<LwuXEbBj2fhUshNjh^+eUclEZzt<7rgGS=Czf8@+`$~s;AZRX}H zOuR1|_xxmbFPP|R{Z(NXr{S;q*J_E!6;=ta>1%d;8_|7eOQ01~)U1m#(x+don{tmQ z<m!=QEQY85OwPZh`0(VG?Deh8z0YpAUk(t|&1F5H&LhO9anoh_ig>*(e2-r(b@*d3 z=fRAceKyY@eJFc*O8D;kw{ADwZoii^5eVB_o*|)IaB#tnDOLq`@~dYaU3`#VeDk7- zIiD((f@5}kOUUAvwXV;6vO@5wW+;==*05uJIa`v<Chp3<_|*B4h?Z7QTHl?_l)PVi z?0rujS~Vv_z1UQ@Rrp@w|9M;8i>@l}NVoK#oxbMgj})bFiSs?TZ@v^NQ3|p)GTQrJ zfpv#cL-R`IS2mCK_dK_nzWn;Ww2Z2G)lTzHDZ2;Vd}Zp^T*fQ%;)?HVzmTjgT@xMm zx3*Q?dgnViG;8}6tDa+qx0bf){XKT1ckY7Z-j!<wLw*YzX!kzvx%tCjqGnI>vD`N$ z6Q>?CK34X|y?yHzKc$*UvI#wAZcS?^-7bIk^((`j8-*U6u{XB-YfC*Oc3X>W<8j61 zxoy$sPTs0$yK}@bOyTK;Xcvuhe;v<+tX{IUVB7TTjqi5<_;TLU$zYXwyU4}En*TVr zyk6JYw|ecY>jFw|Bvx$*RLi$!S*yhsJ|);c!#9uVtK7QPQ65G`so{U`eEz<?;_bpK zzNSGAH@Fl%pKUjop1azNb7i;8Vx5z#xwaWdY<keE#B*s^u7;_#x}{`zN9*a?!hB6B zj<UD&PMi&DeG^oi?eJzt!K`&ootGZ$+0>Y7#&BagkHIY6UB9Kn`a~8+{5@VQDQGRV z?RIZ**1tIM9yzJ~?^M3t(LLjrw0v@|C)?W$BkLKtDLnVCI+-SLU3jL*XMbzzf@|^7 z*Vi6-)_Av>JHN|&dslN1)3L?wf~^LZRLfHtJ(xE2AFD6?qS&=%;oa>?S$`+=TdX)( z?`i16+iC6a%6Uij>JaYc7uECb2pnjBb+zRP_u&9B!PQ5Zx|Vsjl$tVJ@p@!`Ys2xF z$locQ&Zq7fI!a4&ywfq+CarYylf;%g`&xqExIJK5##|Wu?u?DVmiy6M6|ILJ?{1yU zE?>)3z{VEYw~;NKS#-W@UfI9Og;sM@O$>vlZ%BSIODRLw)$LExrzvXdl;79zFfgh8 zTK6R7%yn^|mmAVOHg_JLI@5kPBh$(4j8A`G*88x5-ENm^N#CM)=JOZt${4DAIi=IR zT6x#(MU4;IX5XLp)&9Nq4c6x+%c5VH&Hqr7vTI+g=mTN<Cc{ZGvdc4cEblz${Ji3s z^qB*K6Q3}=(loD&OfOV)StH|jyfZIPh{N}+qS@Iu-Qiu*`NvyV&eGc0YVb?LI?(cj zyWdq?Exq;srIgp}9XPmt+h4aS_e5Q5H=TIP@}g#nkyKUUvd(aqqcP>oofb@GTby(j z8btElY!IlN@aj}|q1)oxf3J*Yd=re;c<pm@cGG-~O$`f<ZHnXn>M>JzuE76@cR#y! zTovv;WBvP-*4o{x&DqZjr`|oKrnW$|?rBJl)f4$?+n-rBFM61A^lNK)ovFv+`WMUo z>|GZke0fRGw64X0G4sT)-nsg?O}y7_<((QUz05OV!Pm?)Yi{ysUHrWH#;ZgYUY5^A za=Ah0*iQ4PMc60{TAZ<1nUih5<U|cS$LIfc*(V(L3P!DTZ9O{wv03JZ$vw`z)*HRl zFZ>F*y+Ss7m-604&o1+_MC@pfTGpG<(!;&o;X$2RdCx_YgUc@dc;0BknA3HQH9z84 zp3HoPueo~m_Qx`|uTY-3xHpY2Na|;%%X+a?^Q6QduglFfT2|>?oizJciRsg_O9e5D zHTmk48?3qho>xC}A^D{-?{QN<&N`{mU@y&6sToI>6n_4DpQC*JG2Ts!)4wDi+}7n~ zG)q83WBVqCzVeh8X=VB|^mYf?=sd7AP@l_x|IS$(>w@#sgk#pptm0ki^77K^J5x?6 zU+z^&)d(=$(UI15XTwPaVd<8;;b-ClZujoK<RZhitni^}%d*|APtNK`omE=c-`})` zi*bf2LygrL+lxzzjvnh}s;#w<&*nc96`m^cH1<fI!J<z2p9eS1eEZ{owclQ*jA*w> z5(!to{xNx5%IlTh#a^%Tqdn+N>_N|^pLkc^IpCq@=Ub$_+I*vqYhbg>?mKh0FV=fC zIex;sule=m))PFJO2jQWIH~&QbH$U)Yg@VVr2_pQJ}F|h`QgwOF-iKZ@%29Q{+C(i zoKMaQ?#Xcc<>B@{&YIWya=FyU`|rNLE!rQO_x)|v?swB)*Y1p&{`%>z`|qaj^S<S9 z|NQ^|wg1mQ|6ODM|Nr;@b#?dO|Ng!I{`JDzIbHAS`Jb3hmf?HUv{h(&RHu=k%8UQ? zb^qW0|M`Fa{D)QIzwUlA-@YJoja8h!Y(v@WN9Ky(gVL)1)-EVI@qxwR#m<`{o3)uI z9xJ_^YUCR4Bcga(d$r=BnHmS*El^Kqo9}63b>V=KPL_eIh|ccZ1v9&5T$*vm_usPa z;~|k9#}C|}kYhOSRPX7THm6z>xqfQBzV%~9lJ7O&(?0LioDPNvHYI<%RnYZewwKU> zr4vuc+v_aW3EcElx;$89@(#|uCwE1weB|HB<y67sTICq@Lr%RtywR@BOK*Ye0r|KW zO0|6wccp4O?#zBF;(t7X+xm}RhgkO)-8t(fHb0ioc{yJ!;ehq0SG)E;ne%h4T_wle zR>k|<7rsvT{Ev5+kPn}=<>HgFk#h^JnnSEkG&nM5-(UVjb^e9_y#4jo8{bE4j=Z~K zr^SV~ykOshc>)(Jy*fknL}v17ZThPEV1c9RFP}xexh;D?m;Xr3nY_lR{L5aimi-52 zm>I1z6FwGcpWWKXoV{4-_nIBSZ=_GloVdkM*LOr#_VuB(rTjksqN^9^R!RiFtXXgS zM0?k-R98bo?Nyh)oR>%J3vzN^6D2<Hx{f;w0|N;2qwEXH%gf0t25$_ig>DQ=@M3$b z$l$i;ocDusTCyeuyv2XdaW;9o@W>>07#_1cl-Q>$x=3p8WanoeuM0gs=Ek)7^K=_| zYrdOh&UM+hx2b(xdoo|L+^df>N7^w<#c4K^w(u{;6Ab$qHZllaiES=!pSGs+$l<0b zOWwSaiw%tXaOdNN;`VuOy!k&_CS0Dl=EU`09nU>_%M&d<7C!ypXzO5O%f>fh+npcY zkDuwbU;aDC-rDRgf8Lgr{l*63Gt`^Ia}GAkeTb=jxs&UqUx{m_-5ZW~TucH6XRkNR zpPk`;>-qwHThHig?}`shvv##U_lHd+g<H2@Z<FG?Y=hA2^VX;MF0#%NU+>O%gZmrT zorK2KtE{hY{rohKHGb7H&Uf5thu(2LbmZD%@UVQcrmsp$g2X{H#`!x>B<OW_p0r6j z)Yi1v_aL7%SKbXF_EXC9wFN>pEi)`%wOb+cv_z)&%#7nVYqJF;qPCg)Pf2IU(De+q zUU_v-nse~NyK~x%vu8f7S*Bb)k#R?k`m?T^a=RyPtLZFzV%c*&*!lFz3!h%5zjs=- z=;f?mm!d<@%UcL!O>he+SO0CljqC4?c{O?S#4j!U{M0+REc9QgTR^#rc2$k&ub-Db zPyf^sFxhpXN{L6n)N9FKFD{F4_<Cnyjz4eHCnuM>KARP4sXsceO3A;wc+t$gvgBOo zUGw*$_ovJEeioQ8ZSIpLiGJQ&IUYT(_9+zVUK#yu#iOOcuje%XEIBS0x#!5Em$Ry3 z3WYppmuqIuJbtI_uF1<8$7h6Yzq+{ldxyyzO-0$=Cq4F`JJho)rQJ9$DX=7R);HO- zi=ta!C_Vcf*e;~#Vvuk1B;c`>Oy9nP3tuSn9o}Z%+u?YKIr?&=ZRlUd@ca4Y%bc|{ zy(deZ%GTU6^>Reo+U3=+jE)`+nXX?acJlYL3k8#0rye#~9@8dSe)D8H<3FR_rE+PX z`p#~AIL-BHZRyQNb~>Bht#X(7=UA5cC}xqx;$1d{6J0Ncetn~J(>;luv5ND}_Qk?A zN6+k9<5nOz<#5War)Es{jW1{1{}YpXAUf{W*I9RBnf^U`HJw@G_t(A|ZIynjYvYPv z{+5iGEpz-%kx1IK^=tNAjfxHJH<;&@IqUX{FJ}q^c0DuEj@|9&eZG#nVfu<fizGpF zB_&4{Pp(PF9w@C^SSjGKMJ036F};E+%e9Q=5BDAmohg2@eqHx;C(BhPJ;qO_WE^*m z+5Fx4EL*W)c9;LhTBX2-0}aInmwIwn#lM!%Iq@=G@Jy%LB~d0}2?c|O#j;*2na+C7 zP?nO>kSgrB-@L(8ZcT5w@c*-KD$U>i{w>UIwSK;mhP22B#%BSHjLn9U2V|sj*R*&$ z+Dok5*Zts;y}>Fw@rU8k+l{xbc=eq<B>ws5m<QtL*kvYfUAw^E$J=n*%zI3i9x^i= z6x?pk#9<aHypbiQHz{qqPk!&Co{aNhEwYz*HZeV5b@;TZ$?*M!?p<phxjqSb%(aR! z0J<rWgTdK(O;qCEYYa_pj0}1CYz(M{PfBVTwD1A#O6=JiK0(HF+p_ZIrQzK_8{M)i z-%r|Y@>ohY)+Obc@~VIrnr017;#`+){SAt49+Tbtu;}f(t)}m!w_J<LUb_`aG{2iU z^^kJ^e2vXB-H!hM`>^oN;k%D3Qqo)|`%9V3DBYF#>ihoxkKg})`v3RJlk)%nzW@KS zf4<hg{{LU*|Nqf%ANpti|F89bUf=)!{{NqR`;#pf>`nh2x34>u^Kbk7e_#9me>=Ya z-*@|e>;J#~z5U<I`Tt%n-t=Aj|HtG1KOe9EX<pN~seg8eX>QcjWvg6YhfVeUkT^GB zuJFE$fN!2*EAnDz2mbpLd2{~iQ_<$RL04B5R&G9JX1_h7>AO<Y>QiYyemvg9zbZ;% zqi-trpO>FEv4!epX=l$8fB&tnGpqd1lf#?JR~xneTCnEL-dC%{&PM<5b8}mBu0uX@ zW9eE=iTu?6TmBRWYfG1ecJE*GP(4*%?9tKRsrlP;?y$VCi3&^mclPA`x`1zfr>~uz z^)NQrS=wp#tNmfuu7sGbJaT*4jazRL?Ty>d{yOcmaO+Pe=^2M!No{<3|39}~)%G|3 zoHYsmv_DK-S-#Hqqk3^c^kshDRZchOpSIJ=Qrq)2?q>9{FY`JNWU}qaEi-OEw0q}` zv-`K2hF094a`)By|KHr-{{OMQ{z2|-zpGEZ9{Q_2I1_)?*mu&;&7$|r+)vMp{_`>a z$4ZM0+oh*2{Bh>?tf$V~c{|s?mf5*(LSapMl;VBa50!J5`Y!wHBl>1#oqF)qe&x{G z@2@wVSKhnqY`oNU6VC^0^-tS%f0^s^aQ=*SGhW+EK0G`rt?K>lP5Rzdp|Kn5H?jA8 zTkB(4Z}#+0P~^=`o7BWV{Z@ODRg-WobKCaRocp)qrmX+5Tr90BHtq7flZVo{Yo;FE zS-anGuJ+{)`>6PB(P;;^Z<9{{_v_G{6*=WBXC_9Oon%d}&Od!^=i2i=8Cx?_y3T() zab}<WjeRrt*EU*4thK%2zio5c68*D@v;Y46zvjnd`Tr-%M3Xn>_SoM%@J4>y=NtA} zAE({@`~LqA=KmpK-_GUN|G#c;u=VZyeLC3@ahG>g&OYWl>&lF0TmGAPKj2%*edqV( z@YMNJUvb(jZ#=*)<#A_e;~{OSj6G`2lXm#O;^Oy+eXO{Wy~5>{qTJ*vA%73s*5ym) z9W(aIpL;+$Nc_bIv5Nw0eUr-<H9ELUd2CtQ=u|D0v8B43%WIMNO6@NyrM+@X<Q7=3 zY=7bQ%CkiEtH`biRZ??h_x{-~^}GM&P5rsY&b?&%JvVOpbzuuX=0;(Y2^OBrt-&T2 zEPUBi3mdM8@=e-x%rc0-pyP_OjM`U~z6n)a?w+>2$y4&GzDWj&TX>tcyuEonL!n?U zn~-_Nf`Z9xQrk}~Q291-*P+Uw>pL7?G3rgK>hNAN??`Hp|3j{o%L~8yYy8$&JpKRL zrCG{`Pai8OnjC8C|G)Fi?w){)J3?D`ZV9`dc1pv?(mPp(N!kA2^iA(A-I<O*(qUqM zq$A}1NQdkAV;!mVBrTPT&xP+TykLE7;YICZf(z1nl#k4q;W1f4{;@}5Qjc=4r<{9Y z&bt0Wj+~Ds)fX$~ilz(BkWHUBLpVKfhIIPH8S=r3opTNOS}P6tdR-0s7=n{!6qg;f zm^4G~u}7j?k8<x!LHFEJ@8y$KHW(aJG|;;AMzxRS*s(~KV@D%Z)Q&`os2z_q`F1SC zBdM^*InlGnIXSXN`M@U$_l`uvJ{7kk7C{nnk3EvN91onq`t7KNPtudjZ;K)y#LRJh zP&3ChDR_=+V(J{%<Wiqj6~1E@B78?JO!$slFv;{5w%ibY?D5E@N4YiFu+QXN-Jj%} z=9TS{Mpm&ZjpFZ%VjjHQZ9ld4^>fZIHT|X>Hjlk0RGgC*iTlLX8=j+jX3<mIzS-LL zr%iutuyKf=*8bx7Cl$6$Hm!_0{)_ETaxea4deg4e@ZL0=c30&+()kA3{`V9v_L*z! zQS>jmcp~q7SkNQRXwO!@H~*yOM#wENKJ8qRxHIzLCYx3{9sk9@t9P30UvyKy(~R@Y zx#xc+9TTQ&YrH97?R|T+$sjeSn6+{3x&<3<ikgJg+*$DE@tT0_uq(?Z^a{ErIJ*Q! zhzFWnv+Cka^K}u75DgUZa~J8I{F7m}S)SsVPw79@U7ZqI-p#Lx{}vjyA}dNa=;&hg z#+CBFRvviFU#Ic=mb>tbKZ?z)%L*crOxi`;3@6U~_pfojmQDJKW87_Q6(=tUte2SO zQmvM@xgc>x<dz9%1EWKKPG2?u|D*#2eB3<?3M%W~YhLL*lepPuVOFU551|>S0~#w+ zE|y+NIUyq^aXzuLRwnGmc^5Uq`3t#}C07}?FJxphHaQ~Dre-*0p=M9g3eQaYAM4(D zx0_|h-Ceb9Q|0Mf`xicEzdx-ukZblW|N5$$556~_A3fufzU=wT|BnnB&oJuV`s(;j z%||vX=;{v7P$qZJ*at`0ckcG<o98f{-&5hjR5qdMFBgfdyWsFxi<c=zdGXX6j<2|G zd){4B<6(P1D~SK&tSggW{7_uF{6goI%P(S9O~1XlVDFYcMb%>OrkS-|6`m1!>xLM| znT3r<Vx%<AEN(pJAjR^+@0!yixk&ET-%HkgY`DT~qw-Zi_{3v1!`>^wGyGm<p84_N z^(MD<_b$zuH;wblSAoVl7R~c-F5h=%VVfeee^=q;tj))NPs!{1ShwY%aTSwyhQfv! zY(m``3pPw(lj6=0NU)P!>k=On{=)f{)Lu_p2mK&^!zouLNBF(c)KUIwGHu$cr{8qW zD3>;NF6B-9$`ZHNovHD<$%G1L=GJXr3{B)NR<pcta?3t;r+Uh|g6UVzUh#UxXf^3+ z`wNFxo;IqdJs%pbT>kON-WM7xx6N0b{qgeG+F2YW${v+z^WVuSU3mOg|CE%-<TtEe zYqrE{N6*MkOXWWEe+A17?PZMI_P_S<991a(xL06p+s+SR+w%fvA8_RtwD=nLWYrvH z&O0^tn_2Q)R!6j}tgG%@QgGPWB(KV&AzDu8QLlQFN?ElBSFQa5PpR#T)Q_3e`|_^a zFYno$rB%OfMb4a``c+e0t%BY3+|O_F(8~PkBR1t^Y1LHMbD3h(7nh%`Tbp$@?C~4> z7r~q2-Spk#v-Y2wZ~Qy-Ur*hYx~cZl7uQEF;r|<U^F~RWlc<Ep3ZZ6>(8Pi*v309; z{;xG^R9vEGFy)GB+r(Xm3WBa@xW8hY=c->KX?vtN$p4Y+mr0pLS9S%8yp1e%dEivC z;)w^7eyzuY*5x*xpXC-6oO3ogSLM-QEGP76OS?+pP3NGBw~Cp2y84ui!)FG5`SbS? z->O4rf)?jlX6@I0utq~U;=$GSozi9^ehZ2vkF)OD5VJ`8wCJvlG06<4na>oprMGzR zd6u>QL)&4g+?|J*ZJvJPyEyN*btj*U-$Lc~%P)>RxW;+r*@j3>gSA=tQ}t4J9x$5b zt&_g<5ZAQqHBSzizn0{i9m?Bo`mEUE025z(#EFM0X+;*TM`iqyIa^=nNzd45Gx0E! z^2)HYk6F|<#v~Y>R$aCsCQ<9O>9UPB2TIme2`#o*V=(KmRI-Ikf=;`0&*K82$Ch&r zvG6T7Y&w{xbN<1G1F>0b=O43}ZHh@SKdt(0LrkLnY140kn^XF{a~^Ftl*X5x^RS>{ ztqkAB-ou_b&kMM$E#@3M$KSm<y1P5?)7$F(Kh)yo(ymEXFFsQ@<<bt%)X?r@H~uf| zpZeJ0OGD|JU8T9nZ#vUBT{rX|c)NY??`g@?>+ctBem{5nV#B9-US@|6PO2zgV;Ux~ z#-{lACP}f1$ZVaGcfOL>mh4$|EzRlg9P2c(8`k-0_hLdexwCQTluf$G<dM+Z&avjJ zKxe7kq7C<)P0T7h8e&T>2zh5L*f51n>U4%cf+erhndOc`+L;p$X-O^FIEy7MZnqb6 zV|L2y8#~|ZYv-`}Akf(^x9GzOXA`+<j|TOU3u&o-%$p6CH%3msd_d=ProbaJ-bp*0 zn?=@LQ0T2*y1>Rb%<r<pgM!T2DGp5OHZBj=w0q3?EYSK{Zc*VuXQr4X&C@KLm|GW{ zT(EF!W;mWH@Q8(Xl7(lph~GtpUe|MXTVxE9p9<}4m(-qq(rjb$(&O$Xf)Y;@g_><$ z5<A*6c)m_xI6UWfcBRtA*=$_4nF}s<voZd<px_cOrE;;KP4mlgM=54It$oKQFwXR6 zJCh;#&Y4Ns=ER({e0C}y7OfGPn%@8QQu`ULd(CgIr`32o@b%2!Tz>ZK#9h;4Jd7O{ z%r5R;&mffXT9L_rj<gi3(#E4Z|3)aNz5XoF>nkUeu()`(xxdP;OIrh9I5GF0GvT<< z$);#^>A;##nG>9<rIuXmV|nJ2r=Xp2?s3&7-#0QF&N#D7tLpI7w{c0_*q)*DX#xZH z%LTrhT$o$8np}u*Zf01WnXpMhYKBf5o93DYj#8(+9V^q;=qzYFu<DZ8$p#j_%8mn$ z{1b1yQsnw=dt}WX8<hibRvDd>O#&<&nR^S*9gnO`SU3HPoMPWah0et$9uGNqFIo67 z8Hatla9~XtC;(Hpv~%!$7wAlsTV!y>*@Ugqqk*&Jg3#`a1sf)_Nm*wIBv|vFiJHOY zDYL+l>v86UV-nAu*YljHS(WZ~Iz(P*#xuufIey<28zot^&dX&l<L5l{O`&*=rJahw z_q`_;ZP~PFQR?Gat8VRAH8_8oWm(0{BPsg9GoGYM3GdZ8AfV4}c<A&biJx&F*?Fd= zz6<T?D!Z}ky#MTlxh7v%E3dt{ZpxI~{b92g?rq<4toZq7J!hkG=VSZCcm52x`lx>W zniXf){<N-hc@$Q<Blt_k&+|FQU9+eDKT{UAg<ZsMv+T>7TOlnzyPi7>9bPOM@bB@R zopOr|4mz70tM+I(I8Uop;`L4SPD8m#hcfs*lc#)k3~9TuP3~LW$MSn?Vt!a`m$a&X zvV84D)mhc)_HT3B)|q7V=E=L}SzFC#Hb3kzZTqnkljcqQyI_Z8T7^gUnLireFZar5 z{^Qv>^C$aeFTUuU%-)--t?BmZd2KJ{E=d)yH2N&>Xnxpc+V*2itDc;if7bAMg-5ne z_qOUhm#;nd=B~*N%{I?{y4<bYXw4zMZJH(mGh}!d@TnM_a%S08-EpMzMU$GhirU5F z;WIp#dpS)w4Cb*Z9=oW}xzWTUk%#w^glw$5)P*gPkLRzBda&cOVU1YmR*wg4E4gKq zOFI`YSy#|<#gtFDs`T<Q3m22h((K|>?Jl1W=?F;pFn2nd1W0%=_cERn_t<pcOpvb8 zL|Gl}w`!)otD;p44mh)Xa~D5tIq86yywHs|&O)<o+9%z3uE<qw>yq5x&T`|eqGDL3 zhmf_cN^7p%q+{1MT@w4hcG<e4=0dywh9yVWG`G*#s(rCca?*iVd7&MTorSjBwi{{t z@f&*k@f&9Q@f&vg@pEoG?L4XCjiTnA?-NYkO?B1Vwm;O&?BrWrFR>k-C(|O`PjX%7 zyt(ASb&bdeTB_bL3sYLXFLo+^{wpv1(^ZNiW<leT882if>I7K0zF$~4i;eNz1%)G# zQYwXgEX$^zyX^45h*w4Kg2O{aUK6>C3I~LxS}MGnRpz-?NBpY}FJ9Cc_pds9^J2@~ zht=VeYdjXr<@dDdNn7!AeahKSEDH@TJDa3ccr?6}6H1!Zu3~uAIcUZs#Y~^Rv_m)7 zr`T5LfB(I1)w8wr+xP2L&;5TiJWTM$r_R+LIei*jvz5Az9rG`m{&3BuUE4~1xr6`R z-L4t?KyIb?9re;y_9g2+et6rKI{!&&;_8yWk2_R0Je=I2QuuyxM~YkSm8FID^Et12 z?yRz{n$5!0pQ-S%g^kNUb3x(hwX^>U-VfcL_bt4}|IUiH`CsIAly2Vtrdp<S=Cb0~ zYM)B0-?2?$X4214_&AA;YkkIokGIy&Hfi7c=$h{=X1k)r)1C$JFP`*LI`HHf|Gc{? z`||SdG!!{3cx$aPA;X=y^_$6s7a#hB`;FT7zPRQ)llflx;%(<=Sw5I&p2}CG|LRi3 zn`^#LUthb(tHI84Zs*i<jGfLV0Tw>Yy~^(@FF)I0es@=9@qyiWS8kQnZ_m3W^ThAU z(vMfx&OUej?F#7tz8R$~yefT{9Uijqn)vN1<vx>Wy;G6xo3v)2=cAd?+YiV*sSLSP z@$j1NiR*8tNC)t7%vsiWL{ds)&eFzXj@$DVzSYZfb*jF1XT{sceQ~a;t<e`{&lZ%u z7JK%(>>jTMyHAiSb7!}SL8mmYiQeu~?^|{++h&-s?-87;a&Jee_pIia{#_=w>fXqh z&s=|7)O>-A0jDOf%DRgOWTvr6ncvy9^i1OI-HOZ3Fs^r1HO}3+*eI2+NcGjFjQ7`c zr>?*4Yra56pu&y0^WwWVlbN}aGZq+Lo2!2L*@oP^0+*jPOkX_7g?)Qo=55PGdcA#l zcQ5_i)mzMbim~yd$%GjW%&na!7iN6%D{M>AzuP~@=XBgoYgduZbAql5y<INuc##s- zy87Fba*fyou9e<Cs->-gOV%0QwT!-$7PWrPXNBBlr3WqfFQr92Up(p1&J`)Eo}N+I zG$HeB!7K}Ymb6O;mb@_unBmLZYngZV&C9BH*Urvczd7&9w-(vrG=a?XH)gKze!L@k z`ytEOuh~K@GCyZ17|v(o;?7vG@xt2KXSdATRl0fRwM_G@*_m?-UZ)wa-5WT4XU48l z?Ubm$cN(~6hNVk+lq_s?3YW?#DF(?O&%2wm%=B{at+c4+cXr+Md0i56$>Q;~wG%Q+ z%bB%OqHHr1E>33S+MBW9;%%@6J3$sif-UIw;xfJ?wqfQq?)0)Ltv;*!r2`Z%zw&18 z^fL)~;m_R52r|3$9?0zAJ1cURl`6gpnjun}xu@`T*oiG{yBI}{);gL@knmw{RW!LE z@#@;yv?%wxD{_~a9t7!`zDTe2W8ieR-TrePiy5s=%yVe<$+qBCal7D<sKRTq4D6Cr zkV|w+7jK(+ZR!f|#H=f+Vt#pdxn_p(tG_(K+-Uw&{f(8wBU9cpT~pYk^zRov+BoxC zC`ixRLXe(SE0%0f(#k9;1Gy+}2gpUwO$<)+N@={QoX(OK`4r@)>!5(i-X^{E?3Q)z zv0UDZ^m@JDRcNO~`QP#2o_Q@<YQ|GrUJ<LEu?r?-me%dIaNab1`)R*(DeLph?TSP@ zXA2%(lcm(Pv&d>@*uzuTj_wo>-LaumwX`#D$+`=ZubA$#w%osI=C$1CHX5@t&z7HS z-Zb;t+!fx5aaWcWp0$i#H6e3rDJXGRT{@uh$Rr@cpV_z;?Dv4@tCr;~Gd&IpEb~Qr zt*(L7k0qr~S?RQF>t)^*6EfE>Z#-fprLksd<1wl2dE2z(3KnmxEldcRBq1<WBy4x- z=DQ!KRo~<KTewv^V4?H6MU6+iq-Jz>vq^mhd3g0|kcX%347}~LI{(U21MOEvopY-1 zam~DDWWK;@+14p+;5OzAHmPo~^5r1q%XWj5yI)yqp!@35i`SrZq+0??N8A|+o9d-B zbe1<BW89u+cKOvaw_M}3g?U$I9^d5}yX>)htkmB-yF`uF{sl*~Jg>^PO9x`EW-QnU zPIhfO0&n|dF9lgK&0TeKO_!Hc^IeegSg>+tUX`*74vE&hCfh(E6P6FMV%BSr6$b;S zAKJCTJGmozyVJ6*S3%*DyRh*{nv_P)qQ+xxAce2qgA|_3yJB|vm1wD^PtPtNrRV1+ zxB6tS0;OmvU*^t069Y*jUK6(+pwzDbN<Y2eG&gyL_rt6!sk>Ui0aE}97}Fj$A?M75 zFf)@2FP^4EeG@9UeR9hi(FOi46JLl2io{vXoHqC0cI%m8iQnX(q<FNRtXEh$n_F|` z>{gAHvtORJjJ}l?Wj)93y4mHZH%mfqrA2u!o^)u{iY0}|Uv}=Akogsq7JNQmbXa80 zE5df!A$eW2`RvTQrN3run|VzU<TBYFFRtH<Cmplhp4aM=-3Ri*EMMl%NRxmWp3J?H z;7oZRl$XDO;x;=!#Ay2T6-z!ISR1W)dDc6&kP};$U35s|;T2hS!6A85w7K;exm~53 zALne9-YT1Md-0@0+g2>Ocyy*?N#YvcB4#a~ZJm%}@uSHFiRYmFa`?{2G~>0tpzypU zS*n@Sv+K+ga8j@>3Y%J)Al7!S%aUK^*`)(ID>4=s{*P6co1NKP2Fi|XAos8B_j=YP zJJsaa4p6bh4Jx*-IZ1iwENVPCA#=gTBOs@{fy+55u<~9nuHB2QvwDg^d3YMQR7o{4 zSSiaZ^6Y{`@={Rn@RmAnnR)Hq3YCrOTAFM6y`+AF(^@Dv@tE?eT)S{U<(bKai0^qH zr(WaR?s(3;{7uH~H!;0$6K)FyifnTe>1{kGy4&E=wf){Nm))Pd>xf&B`AOxK**Rrd zDeN~Hmb;bpye(O@)j22JCGdu9pvf<5Q>~u$oPH{;4^3OH_{O=u;yUaRo4g}f*?P-2 zYtv22uQv#<m5p#$>N?cdk#w!jua|3aR*SG_VnDA->15W)yAEXpnFmh|R<>TiXJxwS zapq3pwX%NgN^-4-1zjI^1TQ`mA@$lNNW$x}M{JVK%GoJhS4`EcOgC{)lk>|d+q>z| z%89Y-bh<UT+?A_m-h96Jk+Rq|t;usdxV)#HT%u>#dPQ{Eq+Q2Mmb;bBymYnm?7AR7 zH<3<Nzuq@eso6&sZ#=OwFtOF>Zs(E>4l^BJ2^o9FCW@`hK2vA@ows0h`n<~E?|)XM zajyB`+_?GuvpU8QF5w-=0vccQOtm;1&^nvv>W-rq7`Fcvon-O6o$LAEfX7YpY&%}G zE9(7B6q^3mpjEqW#<5WSoVf>N?L~IH&b9x&_*O2D=#6s$ow7WkH%<oh#{Qe2`tIk# zL$&rM+G6%RA1?4a+k85#BKJFyi~Wy5@7kps-f@PcnY{bv+_*7+`j&V(wSp~MN<W7@ zkZ@M*GY)yk;%w??WO6{WzV*zk%lx9>el9##XV0_YD8IAJm%}Q2KNGpy{~Giv$7Q%Z z&a@YK@h;ciTB?7~<K;~5l3Im53)RjiuQ=9OzDIHPpL_hG-+nDT`SY*AfjWDh!UO!1 zEnc*1%6vL(!nc3&Z^bmWYbgbJlT81XA6d?Fes0<C4L$zF_9hu&e~Uv~Yb}dbn?3zj zcJt@<45fl|&MN1sIu1$lU$poe_HMiMQI*fN|AXyPj3neIS^Vr{pE>iu_UXq8rEbp7 zUjBmVt?#zWb}3cs*E?L6*tgf@+@%xu*l)XvXS{Eh{ixV^*ltO|xpJLe=Pz;Jrd@TE z7n<?fS?Kx8<eSlv>^0RhGbg!~?c9C7o#orF36ekUQl1IR8|@VCeIxaC8$airkBXD| zeoQ#TEPrW-zw+)4dtN&;ZLjfo#8eyfX4dCr`TD)mCYx3td~K&vaJF4#-LDCUMCC8- z@KN5~vfJbO>2*)_eoi=|8sEtEy0$tqX36(Wuh%WMQ!%*Qt|E5%_$Kd7FZb;=F`Mvt z_1wMFDmxAY@lTxbUXg3FtxGbWWX!YMM;6z3B+TQVsj7KXU}nCg{)e|qH%@TdrYiPx z!hs}tqr@K*4h2~`-Ih9?k@EcG(~`zAx%h|9LfW+_*37Q;NH*<#W3%sAJIl3S6OLHP z8#NzkH<`BI`K#1z3F(vnQf?<rZuiLfCeW%Yw`k*3m2I-yDmx;zt2!dpt2!c;t2&Np zO}gP7DbFt`@lsJp*~TStM|%d3a_8PI-me0UigHSZH=IS-Dm#ujOuE5+gMnZ0#d}4e zzcwxl*SBYUQS01W*85GM(NIpw@S3y8vC58P6_ak9zQ)2YIOBz)&}18z#7*rPK8l@t zlYGAlG-k>vZETXc{jJFK@aFzwZ|$FYoPJh+_D}TPC9l?(U73I4KD(Zpn(cp;?@N~L z$?L0qGdaU@t=8<&uq#|^&bU7n>I{`zwBeSs$+aifXFdD>ZCCu3Q(g}O{=My48j|4o z&$WfcHzDQU#Ct4aFAgVm-jfM?anvQvsP~UFv-iqFN^P@F>o_y(BxH1)<nc`sat^+6 z`htnu#IqCYr>FY!aerA*@X&Y0V@n-_zO2`~rj{`!OJ=1>Y<gjpwJe>FyX5hPBbKX} zpKUngw#xPOh9lB#T3eE{mR_8;kWtQ1wf)A)3o3p_CasM;zR5}dd}mljeVOp*-cR#H zD}&DwJK}y`kG;0>PxI4_lN_GTOh5iO<loz-QjO0RZ&>su>^gAt&t!L*yD^HH3I;uF zLWeUK7&Ng-{mm3eV3J%LX*_XPq}`-lGk<v69+>cF_3W;%0>Pg3LYF;kTVF1jcdRF9 z@11$A%1iV%EZm~FX5IlSsTp%-vI%8pB<Pu&T(EF%W>|hQLv`BZU5B`WuHSHb#kg%! zRfq19c}LQM{1b&&F5hVP|H!jFao^R>ER|E*(5~=wW^Gw@$ILG8zI^$WvkzSUbDOoh zwDI=O<+YYoOt&)?HuSOyMQ1M9Fq2Jcc7{L#tK`}v$wA>29<QYMJ!}uu2Jsj6Uzxna z`<14Q(pQtRDWH+*9EH-xui%mCzKaeI40u(_E;&3@;5Fg9pm3nhD5`Vol64!}uAKFn zbA@@0(pLd#&$}_dJZxKqm&`l%@z3OzPgQqk8~mQqGyl$P1OMmqXNG{>c&@TT()p+Q z&TA9juzvOX*SXhn=R4mS)}Q=)Pc?r!GuvSM^Z6&E*p0;R|GvoleEzDcqs}aP&*!g_ zQslJxuGpA9@6(y1=bS~(RdvifZL4y?l%MmWX4R=r{eHRc6*c#KnKJEI_lwSxc2--9 z|2ei<?tI}p<M?9XjBkP~EW~_O=c><n6!7Ix=y!?WGg>#-hkl%GkUs6r^oyqrEoR-c z>X$sa;E%SJOibTRdADBy&wOWW<_LXoj(zE>Bf3te&n<81&2*ouS}^YlYu<!iM;wE~ zEgW7+HG9}Pv}fF!a?#mF^{=N*H{Z`c!Z-U|s>A+u=D2@8AJ#pAW!<j{2SoiVg|uy5 z66dyO<b0gKP;R4gM48`k?E^*4m`@W-);*QqJx#q#WbUc`%U3P?@G|Fa(UwT2ZoAYC z2d?~S-z?&nAat5lZF5W#*J)9=O)<$*;`@$h@M-rv+i*;Rk6q&QLxIN@bB<K-EjMU9 zoYr|F;df32ANQGrsH=6VNfxJBtv1Ch5<V?zwK--nxA?vzK786|9&I?5!^b}3@I!%O z%Q;7E_?8>YKb)zvpx|yUqjA{oqKF03S65Zeaj>7p{Uv?p5r%2nJSjVOx)eP0E_uGe ziJvd~%aekJI2pc+vk!ZgJSgC*wV2};&o+Cb)r`YT&NITcCV#!EE+bxXwy4uwCp_b{ zT>N5L@qI@E__TQ*Z*Z&TW3Twd+3aldxIpN<<(xy@e9J$6ntVrh&ztEDYv;_KFB~y@ zzihl0>$Sa;wP!6z^F5!~C@W*8?ainC=Fx^@g?#K4hp%`i2|A0K8H6M&J2U4bWpte7 z@lE1(4!&{fg2}d@D<ya3eva4CS<3YG-dD4wvOCuQ)y+Mg%b%CuIS*8_B=+9(c_8#w za@B>#g;KJTQVWEgolDX(gkmLTIfb_^yV$#6C#O}T9gpY>Z<Ew{Y6ZO(y_Grza}Nud zTdXOVd{}C`h0Fu9i<QL@i{yi|TmSJ~l{j#Lfmu>2Nx*sXj`K(691?9?R(RF_t@t-d zt%B?Kr<855d%WR5F`xII2OAD~^JVWzVw%3=ctGoEo~soHE->&*W{Ium@qJ|I99(he zg2}vnpM!61e`>n_&(gE!x9;Db85kS<d-|+X%S6xCd2Y!J`x~9g8&?0ybW7gNOA=zg zc^0i}F|Bjn)}lH;%`SDd@s9R*zfEuIv^l0(zwnxr`aSw7%av8TO1GTz{@a$rnO)m< z=i&69yf=le)y~?vFoh>nH|zG647F3*^?natET3tuvF_HgshYykow6)_g4P{x_-=Q| zs`Lq4pLoM}`>t%(CPmqj@)N6W%6=2D=9r^Ywt_p;d}H$w&!qNU6Uv0FHRdRk1>BS^ zv#{QhrKS~a;+e2{v-8|pcOP<WY(Ait)V|9~My+hZ4Tm=Y;qA^x__(AyWnaB2IB<8N zn)D^H^7b{e?k37_Y(7#2GVYtO^^BCMHx}wG**m{3;+x;k`%!8eR;m4-e&EWk{VLC{ z{$09Z(L4R#)Y5v^h}iGp9j2xAsvFlmo4kqtOnk1C`D)*UqS8d~go=yPj&v;2ZJp>E zEw#978&i+oEiS`l8QPs2uWI~0kvBDbdtPAR%mcHg^(kz)B&srPXVW2{@C!E{a50wc zbn5V3H{r;!X}zcZ#R%Nmvf;j*&8O_n6$KkYcs4E#@kzS6UViG{bl1r-x|uV7M<)dR z%kSj;xnET6+5c-Z=KQxlFy+sE#bwX_`z1x4{vYv8UTfCBJIfYra?MTcwJgh(-W?fw zcUhsUYwGH-+<(75pBKG)HhPvP-*>ye^H0}x&4}K<>ZIjtlXbZ{dLR5>Jrt^l;-7wG zbJY$JxvPhl7Jk0`f8`tZd#Z)z_m&i>-&;~>eNW^;-5$*&%@x5y{EvM#?{t|j{dnV^ zriR(pRT+1jwyey!b16k)s>SUCG1cCMlV^3sU)cMwJT-pWrdg-Lrfz+4ae~hFWm|7% zg?7*5J<Af>6&-5ktiS6<SpCYZsP21T>b9!Qv6H*9gsZl8h17PN6_wgwvNJZV4Z5;x zY35F^FUz$wKJ#8*t9Y@%=yn0~N~u%3+N52k&(7YlFl3L><pO=F2}jeU*D3FvpS#0P zE=6kHYW~p0=hID$c(1o+S<KAdaV~^4bl*d(0N&-Bc(2dPvIxCi@b*PfG4J(htGZ5^ z+)-ZjFeLWy-aLnQ>C*3}vd?U*e(8Kw!qIfjDU&;&*<22<j5%zZ?GO_1T>71+X-Mg| zhw}nVuaxqBpP^@dy&!+Zsuw<odzYMRUzfc@Fr@jl&tcy03YQBG*Q}6oUfFheW}CFr zSBtsXI}T3N3%#E7E#BtC#<cwpQV-W_{@ypkRCixUSj6eRw*ULy%>O%Wnf>iZv&Oey zH*&7Y{2_nppX#+~2X0mUWbwOpTD@>hoL%eRub(ny)=t+yFtO^Vlgzs5`kl^SKP@fT z5Vy}{+tue=Jag9i7aBFr{Q8OW%!=v$NmYAmxGukXdU-~a{(MjU*H5_(SH<m9QM-D2 z`k^CLKQGM)n16ZMhNX5#SF#+tep-FQ9=rCdH)7<Y8+^ZRY`qa7A1x&PD%m?RrMc?H zrHJbn&g#TQhjy>J^+`*o+dOpf>-0G*D<6k#{U+ykxIX+_^Zk&!N7gW<AFpB&J6@$C zwsGFHwX;K}BrCpNnfWQ&*HX;n&+|?1_sCUSzW#Lcf6%x0d-@i&-uTlNKgCqT>{hft z=bIdt6O8w2+)gOo3VNq<E3k;`t)^u!UvHhx?`0l&L4i{}6>piWUiV|^CQdfDOFcH* z-xtsO8OgFO<Ib|wQs3NXLA#etWH(!x)ws3y&gyE$ThAh&EjFFnJ3Ap(*?i~8%Q=%a z?VDJwr9FFFUg*q7)zp7R^(q^*t|@QWH1T#nr$5u=$G&N6<?|;=2<Hb$NatUYkj>{Z zu)8Oc<g`b#v+(0Gk+dVTFU^pw4pRv`B9^l5)aFONOq(D33Kc){<tl#cEA{!2FXOZ0 zvz>H0%vCoU+?#S}QAMy+ck=nJL!WLmopCMq+~b*$`R1ZY*zqbRpPsKRGvr=-CPl4L zZ(X@@p2;$kB5^t2Y$@~gIl+;E7ndzswrJC`WxLomOaIV$_CNCU8n$<=%dT8nwd&~k zvs#A6n;3K?W}BIPzR$zQt&{N}P9$c|0k>(~ISD(DR87-flf3g-)HL>p!=D6JN6tH< zHEp@Uyr-EwPiKWEsc+vsb6?wrm;{5<s%#r$5*bdL{@QHgV85=4%i3bi#<_<Xw-s6( z3FA}W*m_tq=UIW2w)76kIL7V2qPst>y2Y~Vhy}~9BNi%E$1Oyvj#`*hnH4OTIeu}; zvRyk*&aXaF#j?x1;CafS$p?;9Og_+3G5N@b8xvG^9jy}Cb*#$d*Rd)lpYE?MGo)U7 zCV8z<Z#}tjo{5@i!S$s}=j}Jk3%knlc+YgM$G_L^TIR`={8)!6`H_y$;>S8%iy!Go zaX;2!Y&#z5)RXa{G;*<$cc|;*X`N?+lEa>uCCO%nrizu#uMPbc$+^mFfiN$NRh^sc zv43;EL^{vnu=y&`sV=wZ!wqK>xk`_Qb~&L(Q`=Pxj|9&bVXO9#YJPES;tPlONqSMt zwk`<`?H*sg3$)hBCADpBXL<2PQL(DpLujv!O6xqiNpAeR3Po;R-aX^W_TB9qC5yQ) zXSg>r<Yo#y@^uzc`8bPBbI$@tsq>xza}PLAaCjiMLh6=$%RKe=wSQe-u<}pb@j_9p zT=DnLzkx4Q&)YGstMKSpEjQ_iDZl5#UF}N>*D>C-5bA$<%y98Dwb&VwrrT{?9&Kya zxbsn<cek9-gO2u=8;=z|-+Y}Q@~zTC>i5fIffX+1eUhf^FAkkqVd)~i?BYx|#=Hv( zM`U)bRyUl^rg>(uqttF?d7D(nZ_gg)B(Vw1?7lAZ=#lCs@%1~81c-loWZKWh^!eoB z8{O9p_yr~2DGDjux;&II<k7t-@${zpfee05!(+~qBwj0O_I#dTqIRKId1J%9qdbQ@ z<&+FgI;*HvcN`Mozc}NSqMGp62?CdGRXSIH@iaL6p{spK;mj9%4#n+ByU=cU_=8aF z49Pa}mxoNmE-qI%kR;U-;nb`$?UF)ks)<Lk4d<DouXzmFIjTQe`TCfMsT5prW+|)g zIO5D7Sn)tn>btGV0b72~!kf;MBAn(KR)4(pTru;F>iMNRE9NfZPj)*ix68mdtE{r) zh#!Ao#Ct`l<u)n@GWj_R4>?b=c&Df-^I?KX+Qr_*1(Wh*jI)kiY@HcsJWbE%G0R<h zW|`%V9myshk2H9LZn!p^@VW2Hp2T{y`})m{BWI&;U+-X#5SGmnV_}n7?vQc7kV}Qv zLTYZkj>lHR$1f+eYsf6&+RT5}+NqhLedVT0-!y*PxFqU_&ZsrG$0)^e!)r=vyqM0$ z)6ODgLanLyg!i<wF_s0Va#l~tm0Pr7zSbE#rc!oZrkv%cCVkVmZtL<;Ds)EehUdOg zGrsg_dEZ<d^GTppI3)4g?VaJ73kqA=81;hLFMj)wZtL=pCv-;bvgfQ)8FQ99HWXiR zWUBsgRc_ISF0C_m+dhl%GR;}y$R)nAx#imjP^Z8$bVhC3^E4@z8&1t4VFB!(w+^rW zEYP|&B=KA0T<J`K1ZLi#2!GilKKe|xQWsqot#6<4b!B_TmwXqN1ohRvAt_~>UOBy= zcu3)uLZ<X5?^Q-Kf4*&P*Rc66(3>8TQua*R!!<2^U!94^L(xKk-(miZ?s7>&n*4^H zB7zamLg)Ku3OwNC4cg%<>vH43yNZs;#_Enk$*&B`>h}6GPriRqp>_8a$0fXL*b9H@ zPJHoBk?U@U@Z`#qY57ttFI<~Ns)E=9%{H8qQ@VK4S;Q)+edFZPa48lGk7kj$`ftHE zx4SO*;ka#Pc*q)tY=H=l@6FR!I3}m|DEDT6JDytCeniQRtM%v}$7IfYjtx`HTOy80 zPl`Av`KEm8w~uU%#WCKgY&WJ#v>*E_;Vw0O$F*(#E%v96XRdp^$MLaAK1abk^OhY4 zq$lk-A^B$V_3fY88f9a=Q`35#4f#3`8}hX(8}juoHssrE(owjiaHjS0Z!<qx|GXA( z<EXSr+2=Nf>I&zMy?Ycp|IRsRce+j>Um)UB`m&`)!td5dv>!Pm(SE2$qW#zx3HOFf z(DK5<=U4un%lkM#viXqGy#*U5n6pG2kajxroY(WrW1eqs8Hy{K5BRNH+N0Q*YRK34 z)sSzp#c>NCCfUaqB7`4vY_K{u@tEtoHMh-`-#obOooJnZ;l?rPGf8vITW%bZo^<1k z<Qr+pw$E&h_hP(RzpW|nE99{GKc(EGq({?vp>vP(;@Tc%husqHQsFx`=iPU^*qyK6 zI&Y8TV)lHF3v<m|UL2F2^x~A{n`nc$qN`i<4_6$VyYa%juM=aU+rF*o?<n;6(pjj% z(_NUs(_6?QA@tbevCe&&G~NT|D-N;TTVOcPoJHcev{TPhUeBI~yqY~3N2D%EoRfUB z+xu5>`-b%fFOP36z4UE%Uku;WEq|9e_c$-A?K!NImi*{KhIFu7gSlbqlEUWK=HK?} z*8cn!bmM@u$*$s=)Am|k={0Y8aY}m9i$l^uFV09`k~sEN`uDM!&tpvAcBjuP%$>XG zZ<<8;KBMn@+KySMv>mY!VLNVd=8NoOgX~o^b}cDvdu{$L*V_N*x1fqc(k8bGpP#w6 zQ2MO2Q_mw_&z>i|Y5N}XX7W7z)m!U-L3G`$Z>5gYcW(2$U01AqOtHZFm|~&&v4sWZ z#}*dq9}|2~*Q4BfIcERH_a3{BY@dF}_1=QQMst=Or=*=?9`ky}Jm=NC^ME&#C-s-_ zGuH<G8A3+unQKmL*=nj%pmI!cgZ44SLY-p^3v7-pEL1us_#mZ6xp%eC{*!x{J__EC z<8rTTK9+Y+Ab~%hW8-vlm5u%8OE%0mUsBj$oO18LX=xU};#}RF{Vz|)$ges4#KVxU z^PeGKE2AM_Z=+!!!{KC^%$VoDdiOe4w&m-$Zr<bgSSFvNV6u73i<2>_OZGb7>^5I= zVYc~_i|xiYs^{qMP<U&5ZhFlAiQDe?bQN;+^c8ySNeauow(x`1v4tPijtMTv^^wV( z12U2OS69BiuzLQ57f0q#VpzN*yJau8RfD~Y5Obw7S9684RI_FC-u_#y<{UcDZ$;g# zGgdvOXkdCw(a`$XLIcBN3k}VW2_|IrDED&5?B7@(75?Gv(hZZ$GuAxfWn?S<d+c83 z-4oL%98tSB;gHI`3CEt;@7|xJZFb}76~$@ZGATO`u@uB4E1h=sNvjlEEs=Nmm$1(C z8Rv>x4Rx;1IP{5Oa)jI?gUfYh&38NgZ+&xL{NuAw-&;4%PHGRHadG>udvTqtU%zRs zS-CwtId|_~#${J;ODE;*-Rs%2db@e6<=1b^47cx#%e=I^s+x7%)!W*Io9@N6CV%~w zC9`I``GLl&YUiAl+s!-Ie*HG9HG1#f%sXq=hpQH>y%*QGx?sLm<SX5(87H55h$Wsq zH`zh&VC|fC)0F*jSubCnzte6Xl~r#YU%tSH-#5YdQ7qH!R}UxM2;iT7=vUPalWPb6 z-qe0LX@-1$&<yeXOEW;NQ0;pnNojjDJ0(9Z6Ipg__9Y4VpHux$KdWE6N-zJiMWgXV zeXr*o2Y6?NY&&rFg!rV_9;RU~a`DrdzqMYCc-gMDywfIoLul^fS+}m~=5F7<x5UT! zdsbM>>}WfyPq*jWn7?Ui4-MOXD24y`&QRWUzaw(B@ATR9XdJIw`6t?S^QmK|Pj?8f z%sqE;Q{hql9nYFgr|wTGbuM>!zQA(jH_pndJKkGX%stprem>r1@++~aS9iSDKP2TN z_*{C|=g{2JWm~<UYa72SH(9klJgIu`Uas4(-d?>Cm7m|B`}JGfjhOuPCuhBW>zkOr zcW<W5`t{eJupfW*R@5RYfBlh(Rn?+=*Ke;bTyZaMviz0X(n<Au_j<ko#ZT|oZ_73= z-xoJo{>{4W;R)d>wds4$sn$C`;f%W$u;WR8&J(_Wi&ne3mhGB*`GBp%ld4GvV(uvz z=zrqrU7^_c)R3=H)R3>!*O0H3eWG+rVEeJZ67CJR4f_PjlVm*a^cF5D&^|Wt*kq4o z;)OF@+VlC0t!<w2Cp~LPH{|PlZphavZpha=-LQ{geUgk~+;NLZ6~d1_7UueNFTL3J z{w3GtpGs#g<jFr4cyU19WwCHR$A%8`mWVUblOhgD2SuEcz7!!=$>w`xLT#DXakhFr z?bR7!_tr$tF8Y10#df}UK6gy<Hq~`jx4FXS<o2FESJvQtuB@Z`T$#{y?%Qe0ydSh} z)-8BgJf${ddu;aolO?A`S@OicW!&1)D*5N!Hpy45vTw>C3-5T&#q`}iKj2&8#0du; zb|@97Eb@@))ku?-3pDxWHibc5$*W_splWBZpla)7LDgR4JXICB9b(2i=k#hYJy+^F z^0Q-6f$^e@FMke&GJWaLP~7D{MP--k6p>wSQ%rW%JPdJqxUjmwIKq0j^~{4eH5Yl5 z^k{I&Ds{R2?htwq_#{H{maC2DmR=3bJ)Li+9?SmjlOa>CYt<Mo==vblWnzV3pvXLT zk=}Gc)dQD1gdTaics|-FozPIbE@6^!xW}Ug?Ii^lL@!x{NTfci@4dJ!VZ&{v=2*w1 z3ECPwC9J)6>jV-yv|A*8RUY2t&(+k)A{%pl{fie|`;%t~XIbvDYAb4dxK6?Fkf?~; zuBKxe;T#4BM4fsHopiS^EGxI&zo=dNPv1tr6{0VmN@%eh+u3xaB0ONm11>4ySj7V> z;T(oHMYRl<UT9wvaFr=I_Nd;+xxYeh{Ibn|;_)r-#$~tOQ~%z0<VHP6RDNEuf6;8| z1Mgov-{LI1r|?^b(uM0c7k9eUhX+JF;F4M$qj(@IoMYp$l|jPMOUu?h58qPWdVk|J z!KkYVcb|W|cqme_ezJX|_Dpp?_msxdy`ar0O0!SSzQTHL@~)kFlXo5B47$F<?G<C* zgsP6&OXeNX4f20zwsLu4dlp-#_msOahu=-wb-*@g`VRkBX?GoE!yYQBX2&de!th+f z@`$FF-y;>(+1{3_vtL&(_CIa6X7bsRUrPcL8E)JxslC{+Ve(71^ytLJo7ZkUd6RF$ z&6|81muA?cKfjoMPO$!{a{BM`oWFZC4iwqw9ZTc88&<<$+?rRuO|;D7wv_eUw+y@I zycO8p&3xv}yuGr=*H=7r`CuI;#vai)?`WBEv0wQ%)ijIST*h;Adn3=4HQYQ`)?s<B zO!b-AS>~CV#q*SBNEX)>PBjuv6L&Y1Wt?mOf6bfANk@IAU+>n=N;^IM+_(2L!eTzE zy$F$+F;h$2>b6k#oZQx<=e8XaO1C}`lx}@w)1w8(lb^hP)Nn@T=c$|jWKVZ{8yG+7 zx>NS~&8)?rrysq|w0KT#=frc{4soPMCvVv-o6xgaHfhb~xkr8+DoZ<Sc=o1Q?9<b` zjIZy>J8O7clqF64n?ZID%Q4H_Lc()$TOXd=c1$JR`anv$^%0SD>&}&}3^~819^eTV znDI09ZsbZ~p%=n|XIzaJd6aZ&aQ#*8I@Zu3l#t-UX{fPC#n58W5(AY*OAN29ZE>-` zp7iX0qM&O+lFP&!Qh_4dTts@$3aTDh)*+OX?Bbc^?BbbN>*AR_H=46`-MXV|60f<5 zG@1&!CY8DbMu-NQgt<*&*sSE$Au6ca`BYG~HC0fxcWIug$*!GZo7*CV0$Ie|MU1z) zh_to}x+Xiia2nVzYMCJ(IBCXfHi-qhwOd|1<nsJd(kfE5(@ARY{x!Qr%=LGPs2jZG zb~2NF-@WU~p^3sXd|su6I=&J*>=B!&u`-)S@hd~K=iMIHApazVmCFqpu3UcMZ`wTb zmd0+$m47{A7x1t2{-Rdey6;NjS+1T*rpJPd8VaZ6=&?+hb40?+FVRGGx#6qsU8mPh z^j)^?S@)lixo5A;$?ar5x9w0}dUW#q&9VvWH_ImZzY}vwyZt5Fr%+~pQrqUg)@9=C z5q*(IZ=_`Ho|D@-_uRIVSLWpQzCBmgkbADIW9?DKG^4GqeUHO+O19M>fA)w$+hD!K zY@gh@n{_uHy%~1nNltc7$u{Pkf^E(=<=eCi)yuDIZq7B@y1OsIXlwP+&{HW{-LgkZ zSbY92(hEMVsTM5#Q08U$nX+y5$NxU+GCz1HDaUAQ^SNzDnA4*lF5JBK<B6MmA8y>_ z`?$p7h0n5W2MYgm{XF;WeMQusT%)b&tqrqh@3ULpF#Gnty4yFWRXohm-cz#8G|uui zW1RJE#d{XFLo=dx@R^+d_BXTdarl{%ZD*_go?g^&dgC^U+57JAn-Ffgy>@@|=Cv0t z-n{nWNe;Wk`zhj&Hf)Y<Rc;l_pC`+`TK4$*h^IOK`xYP7jcI&&^hQr>^0{qC3e#`v zyq}xfJNaB$L-4t>j?3rDRL@!54z-Au;40hAdd{**Qo6ls^$baAZP}xJy`rrfCx)K6 z@#3k>%W$3YZS}`nAGKvPr5?S})7yP++Y#0D=!cs&uPr=!lds_FO}@gjH`g8d`p7Hg z*r}l7AGN1h+}{7#?c+8{ZS(H!^{bDp)wk2BR^PmDM#6p7xsj1Q>o>}?nEzhtTlspK zefqud=*!C<O*ea1Fx6LR$Jed%xk`Q>t5ZGhEd1hhVq=}mv=`?STN!1py*Trbq1i$v z$)J6*#G!{GZABKniT8ip4q2qVdGpE4w&I8cmiDuorXJ>6Y>^|jRmLnkhHth{`(e+X z#|2#e`_l@fuFtGnb)i@DwoY4~LF(+O7k!uI^u9ZDljlP7Ld7a0lg>CE--YJRmtTDD ztxYSPH|u{&p7ag(;QPN%HM6X%N!@=wyla)=7wzA^+cgAdGRarWJmCB(;gFbR%RS#4 zO7fL64<zsMNbHjFd9Ri`(SMK61I^eaCa!#o%7eF^NRLzdFh?(FrV{(5-I>u(xb=lA zJjGY~PGo*@mnr&*r@rtFcX7!XO203kn6~47I}6_g+Y|F<*FEu@8C-m!orQ1W)0@JV zyYFZg$MOB1a6oXAO?B9>N!F=;rvl}TP6|ZMvsJA<G1n;l$qs(bISQvYKVNLVGdSb) z?bpss%TIiA3XUr;TE0a0{T6=CIf|#fXML)gWW6+}GQHO0flOrFsVUcc?`Y<pwtnx- zB!1%4rDc2M;!~%cD?M^%%D3zs&X|+;3JOyjSI4L2vAR5A;hV54QhnmCBZ@)c7Jjd! z+C6O#2nO*NevS6>f5f$NdBMypmv?;8y~rbUeZ}EfI!}~Bdpzy+ChR&=85C~e_Dbrx zhwXvLApXL(E0ZmJUun)!`fB1Abaugp<}9|!7IPMK8A={5TqV&vHzb~WL-!=-H_M)= zd<!b#`mJHvn;Tyj*S06;z2Pl(_B;P}g^2EOULjP@8T#<v^`q^Zv!4B&`&~2g0i&w7 z&61Q>_obWuUj3EqC05~da@q5sO@}rI-Tb%dr==?Qo&_nL)2FQYuqtHR{<>P<lT7&< zk&E7%x2(CaE@Yek=4}6yOtKo0i<DKhOSGoGHNMOiwCRA9*R%-llU%R8Qh%qc`#MEu zL*pb>v!G3fmId9k+nZ^u%AK<?rE~3+H5=B3Y_s>g>vxjLS|c*aR8{+p*3`G>UCV<v z9gy>ycEkH5*YACuePPxm--K74yvh;!NMWItjF#n`Esy^isLs~uo}}6rwCT{fpqp&A z(<ia21#LQ_;uSU{M(g%RyVgmp%Yrr?G4TqU5eMQoPhw3A+H^$6D{MwAh`&4K(uA@- z`pZ)~Q>U!iuq9;M<62HtZk=T*ovu^XY}f$eGpcf*S)S5aIc1H(b`YOWb@oTUlgs#n zHXWK6bhGdK;VC&`s#9{>_*AuJG^W0-xbJ^5&C~5<+MeJ|hvrRMz4`4ub<WTPzmq4n z&8@assC7pBW&NqX?{`%LZ<Hq|h^u<PS&}l@cj~FKJ^9O18gr-QJj?Y8iwF!VwpMvQ z{mR9@6Ra&-8z%&aicMWnW}&AR(x}>^wQ)*-=(MRT$~5%ULmEX}v^Gu(5DlBUqD;b1 zA*6A$YHk|)Lrtepi`YfC6f1fHe8qfL2&r>~KJ@9#YQJykBx>QmLP(q=^kGtGR{K3w zC(#`~D}=&1LLaUw_TTU!GG}&?wa>Tum&=*>CAA7?FXWQl_bJf2C9dlGR^^qlo7cNk zw`rAp3$nid=A+#8bJp&XS|4XE<jUW3rSJ8&dn?}js5|&3ds1Rr{AA(km9pPsCA2O~ zT_|L}C-COa2aVHjRX$X6X3aAUdBo=|`mSo_T=RFvArGvaRpksr9&Vg|tMVzQGwVE~ zkVlrzXRSVX|2BIpvv#G)|CIOhZ>JU?y61O%U4Eo@ZmIX2AIJ6?-bxm{nbcQvx;n@5 z_{}1hWpkz*%0>IJe~T~*J~vOIU-!95wa!${=YBoMrxj2C`ohQZ_RWftWpg&?SUN77 z<8CMyeJ=T$aN4Px=Z^`eow7VWZSt8ZpG}S>pRPPq6*RMmZ{x=$Mo;IYpY}{YRVnd! z<5Qa-Z7)CF%&VBc{YKEbLib5G0}H3Do_eOQD>=vVW<lQ3iX2Px2>-GWnY70z`?|Pu zEN=#0oTjU8t+i{@yf-T>Kt{x*fTa4sQuDs1xF2l<Ia5g5zbs@=-^Uw8aU18oS#jg| zvB|Qc({C1STKuT8=lHbGJ+D9Ae7CuIcUVgG`OtSBTGm?I3bzK`3@mH~nZE9LMULg% z9TPUs{mB~sF(-cC*~m??mFndSa{TR1PM!4jM1DoL?o!$E1v%#TPDkfxF5khNYF(JV zZc2{)yF-ziVk^w^H!hv?FzIC3gX%p;rxjiPxy-@$nf&jS5sQ3XQ<=i&=3HHxmim9{ z-$X0d)pdD+vB}@=OFkC3@o{SH6yB#9sbPQXGOqoL7p*s3%;0MFWvz_ZjZafAn;f+e z|MSeE`+%DN?+%|I(vn&m4u~%}ab*95!%W>Z2Rm#2bU)T%@~#o&lKvmm#-;O_Rm1qB z{__n7xZ(?p<o}<t=suto-*V~L{#gr|vTGPu`TWVY)G@d(KIhc&{dVV+HXIaRxanhg z$vfp8Aq$#6A6=rf%J}2<=Nls5*T3cTd;U0II$f~had-UfAMwT^3ufydP!RsFz{kz= zl~w%7V|k%;L5Vln4-XuxzbRgy@Ob}|#WO$X8-+ZWl^&<VbMLhwU!(NAlZM76`M(w( z(Dl!`*;qY$Ayc_cp=jINyAtgV%h$hrVANbTMg7y68E@ZM#@|%D-MWw|cK@=+T0A$x z=bhkwymhzwr!xg_AGR~u+HOo`&(?3_`tr>sPGVJUk_=O=?ZH&GEPH#A7w<cDt$o-H zLJ}6JuREl$_kHH)LlUwNUkc1rw7SL5daR<VXhvs^jx+1A%12zEC-(Oja`=2;5x;dp z{nx?+D*ibqeV$B~)H1jv9Bw54^NK|K0TcfMPwAf}_98PLcIr;;tWk4jO{;w5^;CJj z>|=o$k2&>HmFK^1XZmcjajEe{|HKss3jAYU);u|!B*XOD=HSxYC)Ixf63SJ~CO<IO z%@2;gx$0Woy$zN|PTea{CMZvjY@a35bC_werH*0uVJ>6KHHOWH8J8J<opj?wKr1iL z)rj*K7+y<ACFwd(jyQZlB+S^PH#9EG{_f0U0gWr~EjzPkL$XQxS({^toeyQgBn~F_ zPL$y@=vq1bh)A23PtuBGE^TZQ$1VswmYj9OqHUSMw1t^HKX2JdZ+DnI?SGE_OoNjr zr>h9;_@4T4dla`qqp_S)!7XPMzsin7p8OXr9x5_!yX@#Kv$*k4s#HeIBFBbq6OO`0 zHqSZB9l4%oOgI+#{PUt)ucqZ1Jzu-?udOT7_kCZ38+YFqEC0Y@>iN2y$4pYcUDc{E zVxe-osa1i6Lp5J}#_@+Lw~8!U&0{t%`8X>xxYPQ~xl28we6z2Lx2wh#MLc9}H<c@} zI8e;jUUBT9%Df_rR&^P_$A*`eac!SDH<YR0Lg&K-&FIHs&dhgGGCHJrd>>gk2k$t4 z!DLrmc)9u?ebcM^Z%QwVob@rxBri1Zs#2q<{jo}xXMZ!~V@_5H-H^x^x*?E1DMCI! zNJ2dSl7vJ)mx0DTk)(n>nw^Osmx;6;pM6O}usTd7?6_D;TGz#oYMc9d%sqR0%{6^G z%x4}^xhIkkv`6#ElZs%W;77iiKE38k4VAyG(a7o4J#og=__1%=Qt@h&-nkp+F<2+r zDsDSo<&!7>+B30uje4)I{k6N>zErFde(^1~$8|ycnLA;*%a%B9lxsY=QLa;Qqg?C4 zjdHz-8|N|9CfO=h9j}`7LiDxg!t^!jy>f-iofhTC7^ca+l6x)qLjLu{8B(tUX9&H% zI78;OVQ|12^&>|j{Dp**Y&CoOzb-M<dl#b7)2V&T$L?^lEmQJizNLm%=aQ~%E1V{v z{8-1cr(^RH1BG*wjvaXas?KcY)-8Fzrgxn(dscr|sN(ZpcFsM2_Pu+4SoK87OZgg~ z2UFL3bc)+8`EaY<#OmJzM@Flk0UhV;rnrd9do5hezx3kS<}9HXFP)k8+USNRM-{G} z&bj8ZsOH7P?ILEsCLAl0=h|?n-D%C2g(_h`1GrY#YV?}gU%BglLSb>}))ia#UNd?0 z-NZ2W#g|^CNe60|XNxN~vfsb>)bq<X8PA3N?HOObPGI<JqjE&ppT+ae#|a|usyw8= zYa~sx<+S-C(C8lWIbza*Y<Z!I$D00+Hnz_YUDlpq^K}Blc^j1@#r&R+THBX=xa54P z;%n?ji_?C6^&4-eF}-<srs$~8g5Tdu&7XNkGQIs1uweG~kj`y!%Pw3!ZL+H(vf*5u z*rLhPRWDvV9rWTsYUY;jhQ^ZbK5X4KllObg^Gp+K*|^WnjjQhksg_mGJfx>@tj(d% zU2yHR)16P7RPw4Kxy<+I^uA_Uz47+4{(GhCgpc|>m^Iz=&POBTxY{#w4o1hR6<j{8 zl2<YF&{z3ad4^AC{GByRa?#A$k2zyb-VIo?;lR`PZ>;atSTrW<h+CX0>QvSVw>VSO z>#W0HFyW~p-v*n`y*mDhU%tzjum1ji=Ci3gj)>-m85r+;boN!M*lE?Y%`u65r%j)2 zv^h{Stv%wvCl#?xHm$BY{>hTp_i%~td}g|O($ScYX|9`EwBsH#nU^umd0x;_EaR6X z-yUpn@S#cHWYhI|v9lj?>ZoSVd(3ot|MvHypFXj$ePU~L+~b&JkRKp%NZO?BQyatK z3g?c8p!uQu8{0(OKBz7+oRpi{DVoEW#wT%9n&sDLwob!6j)^+?7bK2LGxa>@RaE=X zrlR(#O+@Wun~B<H-L)Gg=^pT553XoF5b}*fYITHMg6V1JHHno%*^%=Ol}uZ1s4&Ym zZAU7nPS-QXO0^9JvlPT;%yju1kg!|cE9bLw>)N^%8;|lc=X^UXF#C@|XK&q%Lu~dw z$?LX%RS~NwH(6Z0SDtIlXXnnXbt^U;<2Mcao!AgsCy}&NUTxzc{@{pr?az$f<=VFX zyeE6MBlcDMvsZ6*ud0~Ei6yj6Z=LZn)$`1^O(M@KBBeH;@ZOo4`=)*A>ys?|__%X^ zG)jH8oO8f$8uyvRokvurY5Sz@JSH-Yea5Lz0+%D^9VwZ%+@Ses=9wq6!jmMo@0Q%Z zIYQ51_ERC@$aMzOpDt70d?)3Fj=7}OY2UVUMUxk9w&^_@^I0qBQJFb&4d-drX+=k~ zbDkA6=*sYIoO;;v%d-Nm+ZJ<<mDQhJ^)~!+--PR*WW+6e)U+$-9nia{uwkCLN6sT& zF6qkVV{G>X5@ho^Hny6pY@BL7<MRROGcyySqaF!FH_T)%`@q&XcaLL|aejcsDQS~) zAKMtrE1WxK?@{cG-lN!hdyitTb&j{TObWNb<%6;J6bkxnn~pI~W503!lfdtYc}L== zE#J`oH1kd0y*uabEHgQAuW!%$b|(IP>wkxdF$!Cp3uyfOuSr$z*TO@}_E#$2v@_28 zk=U`kZpIN~d!L6p<(C!S<<1tec-YRQzV~f<{(|^~6$cFK4+<^+6Yy}0d{)et!wl2^ z7#vBp_j%MMzpUUY|K%OuYVV5I7GJ32OR3i>d}b}gF=tuB-$#EnrDlBo5PR481lNJS z9%FlfO`8APcP&3^Rm9Zp5&J-4rMHYiY3tuBiDxaBrnF9;vZk<U60^+mCj!ScEISuZ znR6)5%kS|A{)zvxQh&=^3nsdo6rK#Io@D$ZXUpdFf;6txS&f+&kNPyu5;FMy`%=T1 z8@Jt$WV+prTXgqr#cr=xOyM4}N#ZNDWft;jZ)~3=su#TJSfm$ULEj|jIm@4@=mivU ziECQ+dLQ|@dgK3-a~AL&`MFwi&VJ(~KUbfezyIZtpQ{&t`{Ubv&oS%XukSt=(**eX z>$s+-GYQvq_{gwMbC9<ek$9#XnzU!SX3uA%-i>i$2|d$WCElib_I%nT;#L(YrCf7{ zr+Z<Xn!%Cl8D$MyK07yVyBDO&Rz35Og8pTR7paVF6_FkP;-<OS>w7O;KYi)Nf9+>p zFDpJ{XXTT4vtM=5u}dp5>K|;`6LMw7@8rA2oW_f4)fVcOXfMpl`Mt5?LX_#}32#HE ztl79JWLu!l!j#^ls_t8!F}AF_xZh>#`R~%ME2NaYLw@`0i)>kCxZ7px`HRu+E2Of$ zLw>*6t=O{4aEr^<^Q&LGuaJ7|9rC+pXJ*SP!@VwB&##>0ze1|pE9Cc^ldLVPHhwt% zNJaJYQ~TLxXJ2$@Zro=tRv)~KLFmOJMW(s7E{i6&Ym|Hu=;f0WTClghWykZH;x!JF zGG5<QZ#AD+duhji=9?BmwJ#2x`Spu2c1C1`{TG2wcgP}{c@-WF%jJX~&1_fsc*i-Y z;-O;Zo+Z-m3(fCZq<Q}7(mS)JHU4sI=9fjk(;McQa9nI=^DJ5J$aObkf?N3W+rNIs z^G|)g&n!E?@_y%6jyt7w=NzhpD#Y@Ic8KOrs*uYMsu0Y-R3VwqRiJ-Q<WcD!&CWji zqqBluh~#@M{_J0v?)s=K$0N<Aywi4;<gM7pzCw2&`EuQT>?>va$TzL#$ZV&Q4s+Fy zTKnb(Wyr?|Nxe-v-*xEkjm0xNm*l>=cxKKgwX&2fn~tw66%wyGKWbc?eB^P2KbL=! zZKh3WoqWivm3|LG=D0pcIWzIi`)0l)RV?3*SE+nES|#%BSe41QBUMaq`o6YU$h`J^ zRI*0B)p+APlXJ)Ba&-z99-UG7B4&;IgPJw&kJ8q-KXhB;{<vz5`hl$x{vE3~&Qn=; zq$+5K%xllbL3dULSjdI<%&gHnR>h)sMAqwZ#2nQF7cIOylnbY+lpT!>vJgr4eEgta ze(K(I-EZ$aPv5!Eq#R|Y^ZUrZyL-0nS(%gk@6e6;GAlhpxqE(Ue%^L#PRLEB#j%&y zcNX#Vc`TA~R+^-9d_l*OQ-(%LFN=CQJRB|C+?Q;SIjj`4NyfQ;Rdi7LQt+}%iLb%W zmLA%_CG+N=^_zSjvs~NJbRa8yLc~*!WrwuuPwmOu?ziGt*L<V*GUsy5SN>Xk<dcwZ za-^Qy;?U6Pw-@JkdNIvbo@Du8S<LL~o>y=D2)=vEV0jAPuEv1>^~dh5@}DH*`+0BV znYlA-)2hqL)2h$Ud$(@gt4BqiZF_C^zdX@#VwR1psG#V~tEQ!*@v1Z7z_LFj@0p&_ z&ig-q*T<bynfYMq#OfPLqTw>d6O)9`gywWrcAfqHxa(~Bqpr8f3v)hS(mQu6_D0fe zt#!xVPwJX>;K};AVK3L6ly8|aA;0bGmy_{#bj(-Wc(LAK#g7wzOl+e+_OtEwJz@T` zs!C1IdyUD8A0hum=2ku8=U(>eW>>xNj5W?1PsV?n{bjEV<LUVI;=bE{n!miN7V7n{ zA=jZ#jmt{eT{u-@#^TQP|M^c|JYt{8vsFBJ&N|;pp~F7ARix@>#0vRZ-O%e);@jlC zsO9fxmA04rJ^$U`tznwjXL8_Dqj9vmT-sl^m<|6FE2Xt}K0M+-V}|hL-5xVOxbE}X z@R_?%vUl~{Tidg<as`@xEV#1xesn>Hw3?W_+OE{Yir@am<rJQ_kW^UYy8Sk5)8qZ( z)uq824+8p}E}WkydDLw8fen}SDsH5T*Sy)rEy#DHRf0X2>2a><6}=XTPt}F|Zo(B! z>*n98=+K)#OH%cJ(6?hnEl=uCvDIa5TPVOKF@3QB&nEfSq^8(}85!a>KAX7h)NZ$O z1ix|W`Dk|VaqrdBdMz(9g=^+5njOn=@uXVEMB7_>0zI3yCMn$d-n{fXSL=K6Ty^h1 zo+mwi2THizVC6sjwy8g{tns_+?gPIU#da9n&^scLEPO;>?dvvfmfD=PqMg^Oa<kWZ zYza%RP7|qp%j9go`@zEfu^$W%=()T|6i+JI&f)WAZR51br(N#PN)~pxKPy|D<5}b_ z7Y^1hCj)=n*qZKUcwf&zX9KsK*ezE1%Ws?-63Y~i6zmpAY>Dl-cv!7tpXm)f0iF$8 zJuhvSd#=Ia|7K?3m+jm<zuz{s+m;<X&bM3O;lkLC!pmwM3XfLCDpaJcPSkl-XXUPF zk$k@A(azX}ibQdnm<`-^em7b<)ZaSw1WywU)LEFm*5-(%x7$1p$Md&Yn{G}M6Lex! zE*5E8`R$B`-YwQP@3Mo3Q+Gdj+!f1Fa8<8mMy9yZnGM{A%Wks>&h_2M%`!PRcalrG zaIr{}=eIK&X*XHh0?Q5_&e;7RxhIyx;F?~GM7p?A&vtG@wHqxH<dYZ2a%?<!$=kJK z)Adu%Ia>=h9=FJO%HpoW{_W_=h(vLYu&Cli7Fkbuj<30MSW5f*#v7-YbvAwy@Lp?k z$Vj_?<DElC7wtTCiZd))N-5Il{SDTp-Ph)5h(%f+j?(_V;oPa(HQPTi%wA)2Bu%@2 z!_ia8ceWK2IzF5?Rrt<!6BmuB729JKUSyx`eAGDAc+Zw1{`G6_95>bGFSvWkxn%n% z4%@XhZtL$a-~DjP>g$GnDb|_YUK_rfKf1VHW@`hp-pqvk%K2T%d{ZB;aQ<3wWZ^H1 zHv!5rMJsB=XGg0lg)Oetvpn*#Ch|?N{H~NH_WgqXP0vrV#+1Ke5w3fEV&0>DuV3(d zkJ;3|Tkqy^+37M5wt4dvo>q0=@nBN&ofFe1ua5kAR_WT0!u@A+ucj=W|M&{$vt65( zOT3;Wd2C0D9OI4@`Nc6s4HNZdx(Dc49@3dElU%k>XWgcA#V1)le~zB2>b)!Yx%O`D z>MmBjnTc)Q-!`03{TzB<_4CpDs-GnesD2JOp!&H(AzrL{$>g8Tj&G*;Jw2~*vTkK{ z-D>sS%jDKA4xUpcJNIFa_qT#;s=qCsPhy@^eo`@S*AtG|Skdl_Z?{)wx6Qa#Z57fK z_cO9vP5#9sPBzO=%U-+<ko;v4!XGQMs=toU)TzE*D%l}#>Ix1^w%sXBiaToqPO$Dd z^Y*Zldd1Z0Wh*(X+jjMx`*3UOt;5OF-#qU0=KF9(wY}omBxRfOlZJ6mPA7HNPSNjn z{nYENRx$hji<3$>&Hpb^?SAs^PndZ36YJ|2CGYJ{;g^ru)ZedX>7X8d(W#{Dq@dnT zqy9oe^BW@V_oiC+9GO`DY*o_Kn-2q=bIykz?LC&uH#H$Uuh+J4)%6`I`&dh#_-;J9 z&}dE33OO^&5P50Ytd>$f(_^<{LSy=Fyb0j+dv4}ll=*0ix0=Pv6SE)nIHyLu53tQC zSW$C%=576>PrFz1nH~~tmwH^ZPRneodXcy4wr$6YLkr*jp5d%|WAg0_CqqB%)ti~H zP&vPAG2hgO9nN11ZY=y|@g#s*reuX8pT!l9-?CXfwL4dJC@r@RY0BK`JM+kd!?8vO zE8Opi1j}k27HNN#xXJme;mL(=GhPHF_xxB}QNC)8+5c?c_FvPkP1|*=ZU2M6@2@Uh zuX4@&+LqiE$9Lb47XGiZ?^wt7Z%6F6FX=o!El+aWmH#(xZOhFr_O9I>_R-<bg8a8! z2hYf~CWZAKGWdOa%|EA;s{-!7YoGtG_j~){`%hN0xXWnCTi;)DHUECZniSu|{JyeQ z*ZyC)^HIFy|J;gIM+I*Gy>g*}d%vjv6OX9ag$>X58!H?%77ns8RXi-r{E6@PjTV-2 zUys7`Ej;`@9^4ffPEEhnmfZN&$tXEba>B<0*IR6EnJOO57B<>rxFGyMw6M?~W5uJ@ z!bUX)3*ryB3k#L}+RLbEHG9IsZcf`0(}n$cYCHw!Y^pjov+?|>N^)v)KW52QA#Y|Y z$v6ALVMXCzJCYAET=$iDG>h>t)4Ev`9!%oY)SErwVHczFJ$v61&4R)~GA4?LlbMgP z+88Px3>FT$W1x8Wtp6qVRYnnWly?2~vpKB(Fs10ls`<<0e?GZzw1s7^ugArcEj;pH zIiDYIYJaZw<>S2;#(Ogjjzlwm;(XrA$r(3$!lS92l5w*x9Of4O^&{aBgKh7w$pU+M zPN;9Zr>62E*{N%vTFQ%bC;q>_FOnNLnR!eSI~>`b2tQ5`7UD5fy#HtRgv1$~wmjww z`{mSl3a(|Oh&|fI_%r4AnU<P{8516La{gRkys+I}?aRjtEsSzA435+?f8zWzkMn0! zhEtcdT8c%!6aRLf7mt^5GT$*s?0C)gMEHlPuuzPt;!#myqZqS=?cQo%3NN<QEHq5) znCiy=Q20lou#k+gVtj_riSOcSPi}Jwf4bYk`BUvsOU?TPhkY7v%oPu&2?xC~RXi*w zTy-PYNq)DFM8a}T=Qjol@fq7@Pe_=}Sy_<k)TXQUrQlFY%|o-qhTCd9g|EwRJqo=e z9rMQ}de4z{4BNj>UH9YL;k*8qtS?Bu3y8iPasP(c_nf91%y+hPv+%!RIx4vPK*68M zCD{txzK>QHo7bm@bL2hI5V>9~(w4nn*uTlU>qp-Se&5osxrL7=m&hx6>wYyYEq!af z<!Gb-rQD@!{@uB>FS%A}{-xVncI>xWJD*|W=0h|6FU5vCbKigY_sn+f+@r#G-rnju zv_1dG)bmHokB0nhm(Qx#uqtd%t{3=kH23*|;)9dyJHl&a<Z=yv^3UJ)(|4VBd!O&w zvtLVRd|}_bX6AH@tH<YB9p!Wnylq~#BL9G#yX6k|s6O^SGtXZq51;?UuOf5n(L`(R zrsMB)Jfdw1(+_U1eW#NV&GVz#n7i#_pO`RTuHmV<t?d)@*yWY)@G-VMpL@;9PA-be z;?WgOKI_shXTP}*7X*JVII!~fjrUiW-~4vAy1_elJHxksihDB>R@8ivJ1cryyud16 z#bS2#g>N=G_Adi|raTY$+44N#=aT0EwlSqE?AARA@5ul0$+gY<=c4xRpNsmre<n42 z{+ZNK{4=S=_-9g2@XjRR`!}C<v&0tP_TLk8_v}KUlJXTIwlZ4I{_U?8PIvx#(R#m= zNbM)rwtYVrwb%Vz)c@~iQp35QNga(plUf{qCiNWLx%NWPckZ?)YJYdi^?y#A(6;Q> z{tv7#-==3eEO>oZ_K3(QGyTfq_Fc=4Rq>qGjx1)kJHF?1H1jO$E%|HKu3mri_nklW zc`JYK6qNt;>e{7+%689u|0}H!oEW?O{9Hbkx%THZ6J8xS?!(G&SD;l9P_p{L!3%qv z+S}zsZEC)(c_6qToV8zIuXcsYi{OLGZt>-dgTEA~J91Y{zMdb%-xMusqmdW>Az?@G z!NZ5|a>?1f3;n=Ru)oQ@gI&}{$!5(1!N(J;H4N^Qq#xv}2s+sOVC8QGxp_NfYi!D` zSt=fuaeTb=R^Z{(+lt4s!)+%^{PBzXGP_FP&Oe>>_(_!_1*<1<AH3_OC-Plu=Lh5H zldbcod+Gf-6H@f>`Lape_SREle*9ed<k0zm)^E!zf)$1B+Ii02wo21u`L6xc>EpUd z+=qXA>B-rJ6n*@-aguj~-_$ITq%_s(9JjT19=Q>g*kX{gb^_;ozilB!iZ^0Twk8Fu zinsMnjj_pD@#Il*rs{Nt*IGMUBBD>K9-8H)XBZZqQP00xE9H^shE0>W4|aL!iJaEn zX`r+E$)m(_RdM;<T02>8M4nVV(&wcocrCoB({P*9@81&Pio*LFK2D9{PzxzqI3wa@ zYoeE`IDfGA&L1<vPO2XG;-#mU7E;t{uxyfdPo(HA`vY&dX0ouJS^31tVEZKQqkFvc zcs^@SF+0-4B_}8r_Mu~=hWVPG50}?JmWznF<vF!vU*qX{ftnAFrR&Xouq$}^j%QaS z*WD`Jr|MxR*CahZUE0?<|NafZ>7OTEPWtq^@?_?uKS6OtVLo-b`j+04;(zGePSe_y z@qp>v^KO>scBjn_EQ>f0+3r<vYhlow!WBH*?au0o96ehz@u5Rqsm+}e{x2U_?UQ&A zwNK(v);@`cUi%~-Z;H)Xm!BDa;7ZNJgs6QUhPLr3Gd^anH11sA{rAe9IhGyy_XE!S zytdT%%vm{RZ?=q&f$L^nyVWOq>)(}y4czmeuV#6^HtTNx(Jjwqv<@2Xo+bBvhqJ21 zL|y?;(e2e)QMa#mSv%aEcUSBB*8ke)o}^sZHsj!eIXqpPP9!{dp1JyzTus{J;~7Gh zbN<HExEY75|Gy?BUhwgA$-ZsYosVNqS^M37RLJ%uHSmh7*x$|GGAmE(Z<URfin9K5 zDMDM0eNFZe(dCEz&sO}(tTJ*rc2d{$XXC!r`@O4|FH_@x8*@_bsb=S+iTgg^)V1mq zy0a;ui(Bl}hZ9b=do~2@i{5g9;jgaA5r)ZK;$=Eh5+<|;@oWodQxtoZxT5u!MA|~e zw&;uwLA9s1Pu7Wf8Ju#`bc@zFEW!QN;F?oqP3A(zW6>EMoN7;RukRG|GC1j^sTQr_ zoX-9A!=*R1HQ&FSa$?@I?SeqQkCxoREdfpCVqPEbIZ4_@tZ45Sd$o9Sr1`#s6^S2t zFCP8!^kHo{x9W?ug(7!#rz~u5t$LBU(5@;nqv4*I)S`aRN{c(!ojBd1G>&F*r$!_% zl)DzSqQ6v3Dq(MH+l_=v8yb4Wyf&P4(lm?KI4s8f)H<E}>&CNA%sJZw_8m9L3_0M( zt$HJMp~!CCDT)29RX4I1+I@@6X!t88m9)?Er<3JXr^+)a3vD7c1<0vJu4tbr_9}T= z>!;W`t!*<td;Vf=6Vlb|n!dTc*~=!9PhYY*%;redMJG<Z2#uqa+^H7nL4p^eH4aL0 zFSW>B$g|w%)b#0-#k@A0chY<orEyqh@~6~<ZLLCQHU)Ie6g%a=+4E<K?OmtJ?;8WU zR*Ic6IJ%@JN;Yv}&CN{#U7cd53=TTk_G}N>cP1*MVWXH-Qjh1)EmpUjDkBmWiYV(& zN!-+0bt7e=omo^y1Fx7=(q7M>TXvmu;=C56aa4*sH6l02?(f=70Zo-+UK<ZNNlu$R z#XtD8&Xk0etwA~)1KN)6zr1|%Bj?uQ&F*(q;_i1;9(xm9v!=l7TS|Oq`gu{k4O)-B zeLf`9nh@CMw9&#nG2)ZwuQT%(nRH5rb#FS(SlXG8IL~FHf`8JC`FjIHCLL*A@rb$2 zd$T)-=-MwW4>!G8>ENnopS0sn#)C)3ZQ4DbC)%X!Fsi;1bl>g$k3(7lIoDfrKJPiJ zCy-;>@<k&04b#E2&=uNKv+ivVYfRXum$Eb}LHx%|<CZTHlixDUSKIGfzRuNg&(yH% zUy75ny6#%#rOm!=Gkx2RuRDJv)y(U*ur}QhdvUq$yOitFr|gz4zVgW7+pgKJYY+d+ zzht*;wbJV-nUa&G7E4Y&lJeceny<koBz!eZ>$H7G-kcpiC)Rxsi9I#lGRoR)YkpS0 z`pu`xuKq`l&(~`A*S9^=8d5hUHa6%<(LMg&J+1S)_)P+9X7Aj$aoe(mwYQm$)y&lv zI`b!LWvSA({NKUe?R{sz_Ljbt-mjnV+FUe-V_WTHKb}qA-oB6Hv$$@|TP^tJ#N~>O z<<GKg8n%h7^wj<O&P$J_dee4w)#;bAXHM2#8&;)VoV3rw@L~2>pK0q-m#Sx97LQ8W zIX&l((_7V}tG$=TZF<0Mo4<AX8pBW1s!qOobNR07#^m54Rjr*O+h28mc{IgWbo%zN zXR7||`q!TDo);?{y-sv*SoXA!_gA^<zglVWE_!QZ*3~r0)x2jG#hk0|nYjIm&f@*P z>lKzI8Kr)FIqkIMs)N(=wXC)teU^VE$z!tods)_=%T;ZwgnRy4)kfS|wf)+b++W$X zjE`4;c(i%n?bW%p&X>=#`m8+Eov<n{@9mYd+AGdRUkU!0;AmS~`f8<h$c4{g{&y3V z^Iu+()m)Mos5Nc9kN#!0rlP>Am*SZn%H2wLG!jmVzhupf^l}oc^AN00Sa|JXaR0Ak zXA&=pyDhx5`fm4Gr>XiS>rJ_@nrVt0wAvhyd(~;JIk&3Ksn%N`TCHTeu5McvI*<S3 z2k))=GxTa~k2LE~HMRS?D)-mQjmfp%&cVGM)80oHPpbJBbvfX`UadVG@4_Cs7B1(~ zZ@V2@QMn_ub$b8ob!7sH`uXkB>*lohU*cQ6`h!wLXsh_qQ(Ai@!a^QCO{^4+vzu1C zvhV&P@%I<rzJ7J|@{a5KL)z<C{lBqL_WTOI?zLx6q{?kJ-TL_S-|t@|zAWAKL8SK8 z>wV&>2TWfxdR;tUINhh<sdsY5)YN<Txp%}IY<_TQ`mqguHdZB19FMtXvvw!>-u?eQ zxV<m8@S%6|m!kP)Z>3*f)(@SteOp68#{G!y;`m#~wgvq3x^St_|KW^8dnfO|{WY`1 zgD>CI@4dO)Y_6An_UpPxuXnR&8uthMJiqG~-y-IiE7$%mI?Vsoeu=wXa_;>j9`bJY zbN%XPy3abE`Px(Lpwjsz4Z(UdA1v9*vU1LsMqyDKj&)JD^=}@UXL6lc@#C_WpQo;U zyF;nXz1q&Isy;QlYNNck<o8pdM_D`O#ow$-{kVy-swUN1(@E#uv)#|r&K_6oixd~W zCsN~MvQ_17-R7-_IW=pK?0+4;tyoFsh)B0yd?f$Dr$O`U*0-KY6*sh8xOs0^=O5em zB6H_h9dv(vWZFxS{yA2MtCzofI5+tF$7?IuD;{5Q-c$BUFmC6UX-sm_$8(nn+Z4SL z;h$@Dn0fiThb_V1KOS7k{^P|J=aQmp_D<=q*XZS4`}}e98M_^Ip7;79^JHxvRZZXV zXZxXrLTk40b%*FC%=cEi@p_Wxw;d^Mcl#y<t|?l<vs!jldmi7_<B9E34<<PC7G7BB zX7L~(`OW#<KDEN1B~7JQJyM%K>dj1=<DDjvJ=J^uGO5o?cczJiKhC@rwrxqr(TXeE zpJ`3eaS{pMZ#vzelz-#YS;2WLT~2Nd_X%7-k#qX4ZLjo_u7|r_S+TPt_Q<*QJu5n@ zSMKgGy*B4d?2&I;dpNd*K6Kr<l}o=(H?*SiMojDUe&2Ov9_514v6fTI1Q(ypxcfm# z0+b?8Xzh`31Et6c(Kx%dnUf8_YrR)8o8cV#;-+5G3dt1l)J$>1BRvau`fuxw$hURK z6yJDGRd~(cQxY+$mYoXaAs-ZWr0QvQwnt1XxO~h1O6(C{<5^GaF6lkmWhuRkFG;-c zY|oCMts;fI2F+FvgYLP;{5>_J{?(;y`M+z<&i9R}U2EyRyTk4pA4qEBw)~_eyF232 zc;;6wsf^h+@5v>-N0YptN!w;~K8ZatkxP!{`P#SB-zc%o)^3_mae2<>dF7enh1aU? zZH_%MGbZ$nl3#Rn>aK2!)V2#NBks7)IdeAZjncGPx5E~m+T9_1Z55~HU00dy^MoHx zc%#%8`FqO*@7k(`I|Y{Ooz#1@VsF<`jfv%5t$uS8x`LO_cymQk&9anVc<!!#PCwfN z70cz4<^=Z}TwD4%_DIR=9cN3LGX3VZ>-x=2><a#FaC7DF8BeY-pDBK&xNhcd9?$-Q zt9zbke|e;r)Kgm^S}wSFZS!)8-C_o(77BgY3Qji--fA!2PtyFgGo@`}-=x5vf)zZ6 zWmmN)@?AY1&@PqG;mm7zW1*YGlYnHNGr4^!;v4Vp@d}*A$+sh=t66X6!wI2!Z<Y9F zhtKQUo@Q|Oj_Z`XR|#!9yH|LNDYhMbrnkuNu4|5Qx!@t^d#*Z{KKaYZY20&tvy^xF zrjVKm`<IvLZd%jb^!>s*Z_%qy4$fOsad&HW=S=UPexBxU?Rq|V+-H1|r5PKjHEmt@ z(vqjwnXRI|u7~N~J^rSY<LuIyU4HQ^8W((6aW(IW&fiy?&Gwi6?y$Wfq`S=LZr1Fq zD6163$4R-2VKpvSc6i*Lqrtb-_1jnRS9dQ@n!e}$>@59l`yNII^hzK6lXXYqS?J?q z2HT~~`HNQ-em2}KwVh$}szPqV%~IPrjQ17IZ7x}Fd3R=b=H|-(-CB1z-i1DPE8H$+ z-e!GuN9B&#-r4=pS9h@Pi0qB-kiNRZwPNYn>ocQ&aa@<4?(-pR_ti<Kp2mJM$<;h1 zb1D5)TIRxaO2TJOPP}~RZ0SiB|2L`Uzg_>n#lmp%X5MeH%l!)6yPt&G-i-TtMK0AS zhQqBU_uTrer{-?E7$3cQV(ujO+T6LuQ)53_pB7TCId%KV#Q13cluC2!KiOYzF2AA} z*>Wyo+Qg96tMga<Y|Ss#zj5Amn?USF@eM1TGq>9Y-=4Kp&UJOfk;GfZiYvWep8m6W zt8~)LbH(ym`Hyp)tyJR0tS=pmpWU6K^?5?oguR)kt1mVF-1&LF#owdyxBi?{x^AxW z+#)-L=Y*!K;fD9~m#a;zwXYWJVoYVMjWu$VuRgrIh;jW}WzDNUbsk;Oyk}Y3_TBI9 zVfN+k7H?i%CG!1kaYSHR#e+$<Hl-)+=IwmKus)`!<GG&YA@1oikIU+In{@Ubvhyy8 zyvF&>s<g}2Z*Jmr)pfdd?CsZY6=$cel(@bxX#T0GC5ChNT$#5;XO&mX?#`%1M=r%o ztjt~V>`Bz}yKyf*ZQXex%T8(A+pL+(Umr<L*WC6tZKjr&UDBra>uhC~TTEH@yLj3S zvyWP>@iyyTCF*aJS}b~DrIdM7|1^!W1&b?!d!?P<XYsvym}<K7XGKtNbi?thJ6bD3 zdZSy~r`_20X4<iBd*^)BIl9ez-<m_c3$jh~MDDICOnwp9JG))(>W-f;B7377?p@u{ z`XatJx}|a2kANLZA3j!??sLI)H{*m;Pj7r{N-Y!RyZKw_+ndcd%If;&h~+KvR;$SC zT>k3R_r7ge*Ex(WyK}c&mNvbema%$`c)omSk>ZV&ckg?|F1b-Qeebl$oZZp8JJn7u zJ^5~pYKe&5(;H>|e_f^}-`*QPYh!L~RpZkss@r_G>TI)3vKPOZRB|QGL35jJp1s>e zEwRGxzb#XfZl_OM8uG&C``>S7=aOzS|J>A(%b#U_Qe~$5)b=;N(S5o6&!3;Gf7GhF zO>~poZMl=0u7=xeXDwIT_|~vmu**?^zbMA&U=%pA{pVO63|{W{@ytqNoAOt3aTcZW z`mt9RM?9Y-d2M$JzjVx|ep5Zm18UP{k`{aSeK@FkykgFMJzu45wV9p1r>zcFF83?E zyVBTZEANR%Q$8IMx-GUeDd+bcq1)5$IUX?f&WT=rY@4*s#<c~3$+y3T>=n_!w{C@K zJlmn*w^<ddKICi&+kGo;TE(`L>}%%LC9C<pFW2ArWOkk1&zsv{o}M;IMQ#1_`>TRC zKR6m3bD%q>_d)79w~t!k$rW=~S5{13{j*~BYStaISGVq1eD~{#Elc|KPK$F+d)_VL z{n@o`>d!^(p+6V(U;UZXAo??@<L@>z4||U7(bX;9mp1u*b*cXBw})$H-4c%etJ5{2 z>$9F!uP?LTa^>3gYuCg-oKO|ExzZ*){c8D&tdE~|bLo}72nuL_<NwIl_v32UJt<os z?XH=c@U%Q@g7WH{++nA+e+jH9`?*9vTzBmY=MArRU;6Ten}4OW^)?gJE!R|*FOK|j z%J!-GZ5^wFQp^1|USDbaM*I8XO>y(t*72Xx_jsjr@Myl*R_*Rvs~3OQ`Xz8@?F;9^ z<%{?azx^a#HOYT*)B@(G<$piT;ou7^TVxS(v-4rWx0B{qHEWH(bvUb9%v%2`xcJOM zA(`{x+1wTn131l|tuAF<Q@n!Zb?#oxYQZ1V)|#j0Gu=NUdemL(vuo4qol5IpZLOL3 zAZy(T?JCuHl^rqjo}Egn>@I#E?OlHLp8vj-*OzW_pZc!-E1+aug-)B_{b^1jC!a6x z%jz@>edxMjCzpPgZfJ#J&eo}WcW#rgOr8C_%dUnwHtxO3{QAGHbGm<To5Zm;x%tc2 zJ#+6*+ZMJf{NvUCvD+%QyqJ)(;jo#k$k$KbndP3yyT-WvWR*U(-tsk{{b#$M7gU99 zPU$~B|LAem;@oUsPj!jMS2T}Vm9`c7-90R@{9W>%V19#xE8AzhxT5S+^vY1J{&_}R z*YS1Fma_U3ruuU(vnuV%^qZTwEBO0`%PW6Zyw|C3;P<mV;=WvN;pERh46Sz0n*Oj; zyE@E4Jp5bFg4Np9{LZnP`V;jm4-`z7Now)#Gq|LBTq3kjnAfs;%Ie$Y)1I!_xzI7^ z+l9Z@r=KXNO-ZTqDSnZdZND$!>e88ewBL)T#@0MtalL$6<?4#FUMY7w?%j`6d+?<y zQ2usdxAi8KLvLSS;rw-zFYX%mjK^gPGhUZ1nDM+UVaEHi4;v1=eUQ9x^E8pabFB_D zEPt1{Ao#oCiIwaUZ>~6>DS9QCX8l#d__LJ8?H4hd*Y>8e%dMTN-xeXuwIkwS^YJcG z8x6nk4+$2L2M-@!$t7o(w?o^z@aWqE1vlS5csS|y!Q-skof|&qwF^AI!zXxdmu%9U zlf^Fr&No}%(@uL*I(rfGLEUmbk?px3<3HUstSs6Sd25GB$I9@WOQ+-COSu)hHZ3$W z+^ub@60ahWFM2t-?}Rw#u}e9^VM3?<KRhx$IqS%xuI^duJ+{eAm7R4e+$Vlgj^(D4 zM^`V?_qcX6*Y1(Rc~3J%6}kN{?jNdqb*Vn<*u^)y4W8PY35dsf*T)#l-c`~R={GlN zS#bJ{#Ot@y>ti;wE$hvA^=LiwvgfM)|92$h#ud#{nLl~D&ELmOrIjbU>ti-py|!JY zv+sDwfmJmV6H>2j)k$LHmeo4Q)b3??f1%Nt)A}MuyJ{vTR_=R|7`g97a^^mX1kZgE zNqPGu5)1c9Bu|Xh`B!*wOU=Z5`A@E0nm?5er_AEJCYEV+BJQ8AUHQD&j0v_|*niun zT+j2iOFEFw|4T*h{eI8qQHNdY{``+ETj=-k(z{iA{;KT_&$L+iJ@j76_VTXjE9)=) zpOWZZsSsJxcJ@nn?mxd5PEWU7h&u4}eCe^p{pT;+tLblMvJ&U<Fq|PZp`9hINM617 zalo2iZs|3e|NYYK!ldgMuSw_}is}`N+waFZ_v6Ojy-^NITozH<3J+Oh@>5<sTpZ?b zh<nGu58N9rCJ36<POf_pyy4B}B%5i!&opuB)y}%NGpK;^uKni1ro1q}i&sxc?uyvi zelG0Y;>l}gh{)S-O=z<-%jYl7y>VPdn$O^NTV+GuVZpRp1wE4UJ=2{M?mfB8>t^uQ zcxALmBxA6zhr!Vnk;A?x3{JMlsm;1@oMo|#$Yb7P2Imswj!B(4F44>?k-I@)G0(B@ zAK1DLoixAj+y9+C!&dFI^Ii^4wc2@gEfGyD!Ye#AkDU<qm>A?^Qk?oipZ}K9mkZ}x z?0(HMaG9UK<*@L?%zW;z7fvroy0+un+&jC2q7Lv%Kil}LJWY<rR>!hhB4MH3v*?V5 zO0iSdGq`&T?`kkVO`VbZBPgydQcNmgw`#k<ZJtvV@i&qdvMipeslSvp$0Vw^GwgQC z$>yY0fo5u9#@*}NO~qa%Z&Gb%n5<)Rj7^!n|9Wy$Aiw{W#~omDg(|<@oZiQkNe<B( z@|VO;v2Sf<tN6Xu)7fU*1&Q|FNk9MGdAlJX?)mwzr+zLvcf8l?_o8#^I+X!7CSDIe zTlHUG&f#a<vpGOMS=Z!%1UGNt{h}=#f4{D5zbtXQ=M&o=)o)Q6{T)3u%yHXe#p2r* zx}9W-+ny-)D!HSTO(JKZ;<t!Cv4!&kw>MnRZE$ps>yCEQb&Bg|cH5`8{-`7O*MbvH zwRg5Wk$%wTsC;kVUv4$k9sjo8TdH*NGF#*v&2^DRX*;qP%I%V5R(r(yE^8s<u853| zb7E4-3j#OIn7(H6L+Q_xYE8VvK2NIMaDky#=Vx2R|E=2=b)G0|+2_^enziI&>5&UE z871r+zwuqV9w+#z&!{-{h4%4(t}h<<My_C3?<?_WDrd6Km25B9Hy?uDb2Nz=>`OSv z@>r)bV9$l<X<bX}x{h8yY}~!Rf4P{{gU;5rioAt}YSQk)+<&bPhi|#*ad`TtX%g%F z_ce)qh?!r*`t05>$z@3&_vXs@>ntzVneuS0-IkRRe(xh|YHc=LVCdJG;(yX@Uy|kA z75ySwVkb1kP8FP=)ZBht>{aqE)piDB9g`zI+`S*qoCw!xJ>9dbbLq<E6PstG?S8$m zX#wjVb0e|M?;X6wc$#&t98TbVnhgr%tc8kgkr_SwZYPhlSl#&`D*TFroA<)q7l)Ew zy-B<f;S=cg`N*P5ImuQ5<5wDw3zFRCrY)2UlYFl6?Cj<%PRu%+E(mCU?d<wgSvK8H zx$EN2xcTXm5B|KhqFG}0<erV1Y4<ySz45LrHJ@=jsp9)wM%y#y-LI0{Ihk{e6Fb(b z^?Y>Gw-XNj#8&GiHbvk5*AyLtgBpM57#pgtzc71tr)K5idmTPLCui$iIiAMNyWyyl z^O|ikx`#6Univ=c@|&O1o_5jHZSLf~_gEI6JNe1#+9dX)QHw>AG-Z=Nel@t_RO_?# z0>f*apKTGKaF?{~klTAT!}Q`(bE#6lUoWkbtb%il_|&UjrE!1VaMY<5G}63x>jeR8 zU6W%1i;I$^cb#1^CxY|3^wi`<MYTP4iqj5R9(#DiY1?@TSvmVVWseJzDsL~;zt<F0 zV$7!=ws-C{9f@aBtpcm><#2!9c)*ESXUheFZe5dOR*Q?0qIaCrm>I!cE<M%zTm9UX zte<DxSh4xWtG6b7>SpoPJ#&~R9<5%?-FByP{X-e9=X%FJ9=TL`*<#*}o;SvuI$Xr^ zdmkUYQ@Q`44EOVNvGg`oH|=iGS52*L7OT&{UnDJmA)M)AH^0<#=QCdAJ-a$DUp$<_ z9(ZftuT{!Shm3zEDX<+>+{_@yH~YeIL18wFET_2O&kllBGhMq1qt{PX-)2*;JLSO~ zyKStx59S=5`DjlamyMye{w3*a!ka&ta6eUC*7`}{vXk>1P~fxgJzbHs&MtXM_?%DO zZcir_*M;6^<M1iCIf?zK>SB>cEay$Ubk2Ry;r?oP+ll$i#tQ<|b=|u!M8EHwXK^;X z=Tq0s;&pbHSacKC20nihb-T)2P9(f1sKc{#USN{p%5&QT>{do;%n#8uIg)q!qLPi_ zxy>`Owo5$~4St))tvX}z@l#D=A1-8crm($RtKTti2h;ZB8nbsWnO|MeK4Y4A^oo8} zF{y-=t!+25Eu&h@-Ml-r3;27iy0deC_sGe2Sbe{etl!94C0QyK{_LCdQi1Ca_##&H z$BIcUSgm?rfL(W=;V#L2Nzyycyf}K&tYAi9f54UGEvo!o&c{9;dG}%E#k{1>>ZFBs ze9=W51xK8mWwu_BSpVgzXN&FWuP<YJZYR4{1wIsa+v!sKJzB%HyjEAh`R9&(SsfE? zBKE7OvVCF@{$-J<#$Rx$MbU4jft$UpzhPAPi&}fmQahoup+`)#ORuXedATw?#KmNF z$#s<lFIVmEI`MQ-UC@!xE49CR^$WL_tml*zn|0xErm??#x6gToZeNL{PR`^xmp+Sx zGx)uFZnu-6;9kknh*jxYO{-JYzHGSDVs~w(!2vGePiyycCdZtZmnybl;mhCOwmv%9 z!?%`qZSK*#=jG-vJs*7|rS6$n`hT{x%aXrR^S|Ft;jfJ?YB0W;ZD}_1d+KzVh3Rz{ zJ6A;MFZcWK@bj0Q*1O{z-k+btC(^ws{oWcrk-eL*FIa1Jxc!a2%37;@yL8@~FU7AI ze_4MO_&fJ$_lH--)8&#Il<PM#O1#K8pfV-?L572&>;4iEhcnmoWtJPv+;Kj=Upi7& zW1cntfe&X^R#&{bQfpKAic!w$t3b1iuFj#&2mNf1WxD@8s$_6vVjN4p_`Z|*4^(=j zUs)VI*<^ITu*)^$`-zK8N++bB=`3tN>38?I*>bsr-NF1D53h8;@$yRYo1zy<xp&`Q z;rwPHWx=U+-pVgfDDIq&g{(%Jh2O*um+w~HCqCRL<Z?ap>)y)W70<6Q?<swy_|5XP zc;f!x{=##H9xgtcuTG3}IhilBIY3BXzGTi}l_I%0wxapv3uZjCa8)`{_clXGFi*ZH z?BL<V<?kMM1oIbMS=ny!?258X`71*|ixNTac_pt{mdm6o?DXA#>aBy}-9k2(9;^G2 zOl_=wcMm%)Ki3?w{M_Tn<>#EEm&+xt4DR1}W?{E?_i0()88InyX3KkaoemfN?Ce@7 zySm?-&p@I2@-?;gh>tU!`!*Qu&kJ#x^!d|kwY*2cXHLGC35od<{no;vX#KImh9^!B zqP8<1eDlXM&LZGUk=VqfnmQeiqdy~`uW4%k>UZ}z+j6;t#lid=Pp)*An6bAn>uPdN z*%EQ{DcdZfEOvHoxp1*T>BipK@@Lffje1V+W6QnHedEK*%Z*8^H?xQ&%PtRy(Y^aZ zXJNaq-`(SB%jFWfgZVdJUFm+~*_Gs+|0d-k-Nri(s|uef-_n=yZ*Je>?rZnw*w=UN z?)>~oU869%aPR5@xw73U^3^d#4SV%0kHmyuRO&f1-}<QTsoI}2yYuYT?TatIPJh0= z>e9>hC-N~RFFn`Yk#yS;R4wstQtg?dRJnv@-h76KRox|?S(WuH{JH5yx!?KoF*(-D zcc$!nU!E$rXu9{aheiK5#iNfg|9q@+^F!`QdG)0IW~TdJB<;Rxygw&td#S<xoFrpY zRr}(N(`U`OFFAQ_$n-zW-L)4a!r$>6j@{IrsCV;tz;u~}4sX7~6Ib;Fr|o<qVf*Q| z?l*h)Pr==9&p-GR=38dJ`;)cLrtOv!?k66(mgZ1*_UO5^X{!t5(srlF7snJe?9{V# zDi7a%bjI}gQEwujJ>TxV>G6&E_w^nneO&OTOfbA-_tWXVG1_qx;<wGXp7U_|%j2p- zk$Zk$U8j3J^S9fZ;I66r7GB@@;?UEHH?tSF>Fl$yIJYpU=hVGPrdOV|wK08rCO7jm zOLdIV(Y)zrHk?+izVUKW?VEy=jN5iU5x70&z&e%b_vYSva)mR@s<cbgZ*F3xVr^yc z)ykW%R%)bV@7{JY`_yT!`P+XUc{+Ws@7^t&l0WW!soGkf^Lh1|jbC<Iy}!%6e*>4| zuDWbdC7nt4A9~-<dcHVkBd@T|#CRW_hfLF=ED!o<FOSH3%A>vJ&S5X@?;B5r`E*@1 zJG0?fo9&vdiSn;=EDjh;^A#R%Q<mBGP~yAY)VR&UGt7V1HVfYQ7qZJdG=<g1T=5{c zaL^tT#lzadRTa5T^7%bNfqP6P4qG=@R^%JVi}Q#*m~qfa#yp}$n60}oXkK3Ql$T}8 zZPdH+gQhL(OW9?s=f9#$XW4CGzNwE=@~)jM*?m}5IH&xi$nF@U!-mt}JY3=Zt?-B{ zyTzMHl^dq)O<s3u>C0~mg4Hb^T={wE?G?#y7r(Sth3cQ}JK4F{_fq-i;<**<2k$!l zQf!dXzsQ-FdY}E|*M&QR9%j6iw!UJXU|1-=$FoM_Mcl$g!ur9f>Rmgh@*m&cFm<j? z&zd(+l0_zlId%tc<9fI3(xbG`r^62JohsYC`oX+6{UJGhrKY8&x~w|IbB`WrPpEX2 zm*Wokk{A(r@%Z6YU3PY1;a@m6sQotTy}CTCrb_j<UEkHk`vhOl;d{#+@xDyq#seLf z>U|-(YaTdm*wVy)^cj~NPqbD=#f_+ghmV_;r)qB7Eh|yBL$<=;P1%Qyr{4-BY`?8| zL~grt%ig?piP>}bd~JLt>d&0b$HLFGZd=}?y^j)E`J3EDZ8YS<KO|HH9Xx#aGMAj) zyq((KAMd<9@Zr$g2M?#-K6pHPyK{qiUc12FyU$IW?6*7j{5$vYGH8L2<>ntd<+x)u zNBuJV|4?uKm(~BcW7)G_9x!)b7wTPO>|68ve?_W#Q}?>3wxwDRf<)t3rmz06Xh&45 z_~ETudu(FXA3A-!S8ET`oi&HL-#4!M&{eRXOMjp9s+uN^cVXwc#0nO2={Nlitq7D^ zaj5%Yf@qu^U+BZ9j|)WO82dsViayE^jT7t(eJGk7|5W%$!J?wDH4T$PD+K4Ps&U}& z*0TO-5qnefz|2#Ais!=0x(e293hwzl^_Id-)jhf)-;^rCJ^r6M^EC9p@2oo<b|H`5 zK5mpUZ}VHiWzHYI>f~AX=~+eGA2!Xp;}o;znC_w0tUEs>*FK5~oU>ZV*sgu<+GD*B zd`$C1mai^Mwg~N=-9Gv1j-M8Rz0nQHS9i4T01d95zPjV6McmPyNjsuk(`u}ChxJMy zyrA_<Z?QyBuk_&uS$AxBRvkNg{6N+nrjoVCbY1Re-BJ3o@|dpMdaX1se%sY2&S)=i zH_c;VURAjGMOd%&;TKtVY|gAdcJ}z5tUF9+Rvyzm@+Rw!(wSAqbdR0UIybdnGBo9x zxWQg2^QO$JI|6;y9_vl?GR>1y3xE79Imk4RQ7!DTSdx=zp5U?Y$70DbqR+Js+|V-O z=8_2Rm3Dldbw^`Y_~T;*%ex|Nj=a^{qjYD@A?;(l*I94A*?(f4_^mBJzdt&Bp4BJM zvtGz2>*s8<|As$hOr9^E?ag+u<=Ot+&+}hdnQRw}%I2COKTWsE`QrVpKhMt$J&<|x z$?5$$L35`1?$e&=%l|y}@^RLiJOzPfKZ~?y*F;V|D-ph^NK%u}TZun*-6^$$rZ<=D z(3{O;o^tuH@69iT-e$}>6VD2qPBlKnb+cz<ke2dc!IPf~LN?XNOkTrqTvhuB=gF>u zpiN10x-LB1za(t!juRWaSXovt-k<;3Tu38frW?n$td^wNZW7zFj~wRf{c&UEM26ia zLP^`*l-^wQ=-Hd4s&Q?F-XEU#mpShHWVhtcF+Eh^r!2SYsMg1evC52987eORy)G}7 zPL$Z2yi|xM`)X8W#BwJ2*P#Ll&0J17A&xD+S{)lB*CkE9ae$XE#K}P8M}F?VFg4aa zA5C2@IDdaMc~PIlu9qjA!(~7EPVQqWxfa;rXVvu~P(Qqfe_xb*S7VgbJ^OpvFDuw9 zmn(N|w@P}k^7+%mzP_3>*8&?Nt+)(>-38NLXdEkf`^SLiLPz^QsgD=^l^K6!skq4Z zy1ZB`D0ho(f7a)6&CDO3e{L5t)+Wsr{9-EMYTs=5rQiE=@NFhG53z#>c9__1ds>?} zB}b@dns1lkrjrI?X0|<(edYB}3Fk-O+y3rETF36EmYa25!&my$2rEa*wRueIUpPrL zVMa=;gkGeen@3pB#HS1IZwotf>ien1Aq-8wp9aoqV9LvGd9c(?BQCS$;Z`@BJr_Ir zw@Yz;@KaX2x0-cH#R8_bcC8N|JXr1IRx2D37Hz3m)x>c=MBwqxm`!Si)xO%_Z?p*b z=<+XAdbn_YUq${U!J`_ohCNp%whLN)GK_KGWBfwnNb{#XQxv*1qi#y7Wgj`L()%H9 z`9ua`6QQI%Zc06uJ$g1euJpeq_F<t7TNbC?G<FZ&{QbKZrU>1c?A!Hw)5#AGX0|p{ zeC7L7j1MH=<oW1grhI3@S&8$f3e$C6mwysi|J3+b@yY4upXsMeezY{lXwM{H{`IMs zk4xX=`4DF2yl3)Rj`yjP*V_e2N?LiRrm)sbsgXRGd2`7P_1QeXQ!gK8y!oXtz>HaD z(piD_RO3T}H+u?0v=+DP1xfB@>hs(ucd+p05(~xIJnE^J4{P51vN7C@dCtVM0_jVG ze$3GPx}U*ZRriSQ$*zLvO-Xk+|GUrL@4t4|v}y5K*;XHBGCe=^|K_v(nKQmu#ptbn z!TEgM^Q|(I)Kj>2BphZD&z<oo=m&r9ztt_XkK8G_Xs&RORXAvlxnjTD-~YFk`EtGf z>Grxv<F-h+$Zo#yrAAklbL*`;>G#M#T6FfKl_f#1vJK59eL4}95mR~X>4bbv!?;=U zuU;D{YUzI2`t_~!WM=;<v2_PjZsr_IIhpf=d)bupb+L@Ws@?93pZ?SQ=UrT9x%9{D zGpw6DHwKtVik)26est5z<jx$n2(8(QzfPyMoZBS1xI4tH>*b^q&lj!R<axo@ta6IZ zY#!T`%g+9q$!(I8o+kFB{G6d0$(ZIH)?qnGG;v+Z(HY`Xk2!pd5xwB;&a$`E<)XVg zPi^Uwh4EkR-(JvB_haS4<o0j(%|F>)(bVdl-P854Vw;WJ>{;EZk^$#7byOs*Q0{WH zdbDs>U&Wqf%KK_wb?9Gv`tJ6Z>%XRz@%q|7?upOZ^o+^RTkN3b{*q&}D*J6TX0x!T zOitRLWAtXSFMoCF<>PWUc?z7&ocByS%dtMi_?Y*~9ualbiQi9%Z}R*QW2QOpq}t)& zn_mj9P4<!ZPno*@!6wN^6LZ`uG-eyF^GHp(q1#zgx<`Mu&8Z2#UAs4(EO0loy)(^M zetXJfef3R}kJ@tFcIeGET<4kkr65M==c|fPv!7W49%@HfZ>Cu2&z3uPa#_FeCdmhD za@uYL^_`nlD>M0&$b%Irtrkj=f_&a#J<k8^xBhIuvg+lHjr*2v%#E#ew`)%>{?MOW zw^U<gfq}-+J7>2h6&vl5Y2kJ-ShPn*WkJK+`Vh{8e7ym0u5cXI>#ex4R?tqtL?~gs zo70=i9xc97orMqjy;L>aR!x22{A9tbj{Zr`QVT8C2(~FpeJlu3{_`eN<v?L?i^al; z9O|Y*kEcX&wgqOX{*bU*tM=${#!5Ghw5*oIX>K-Wu66WVN^u&5D=R+BR5?`Bdn95( z*rCH2TZL*S=!OV?EZpXyEYfXyXoHKgTw2DF<3_zK5$h%jXkTIabtCZJI&Oi4&94r! zujW$933cqr{ggQA!3T#*=V<~>f1lbWPZZhU>&_xvdW6~0-<c=9<jKP=eKm6~1vX5! zdK4S%F4*^4<CvlUTK@azGgO}@zgaP@kAIW0)uY8e?mW?@PaZDltC6`7*znit(dX7a zr8idtdu*d>CB9{SeRw!``?S9P?Xgymg6H>1l)X4{xJ>rvjb+OFE?y4oNVn?x5aMn) zFXQXS4eqP?<tzKQmejnky(D<lS@xsv{yv*K*C+N@TX7YHyDPrSQ2n|wT3Va2EK}u( zU$4uJg%c%iH(z?Te5vzssWpF+GX+J;oSnBlj{JH&h-Ws((Y;q**`GRjJE=G6deU2Y z?^3~SH#F7;$GqC`uYc*^(C&&;S|`h!AAMmq(<(DQH%<8Ok?Aj!8mcaOi&rV-ot*nW z`R1dAm*p=<I7P6=O!D2=KgHLs`h?oy(3@Whe9bB^D9vVEcRH<O_a@1Q`*M!m(4RW- zQJ>1EnGXZDofcL*=y-F9g#x4ivx5{$Zzi4<n4MyLNbhFPM&sQ9-bZwI-VW-ty)#Ki z-dt7t0PjhzLdQ)?b0)8m5Z}DCF?y@gzF7;Hn%>^ryGTT&z)_jyxv5j3pE6In>7$1m z+-l}r^JoZ`;w%hO7WB(dIrcH9S4XHTcNMq&;o~Mw1tH2J?k0yecqz+?WgIzP)5|hr z`9y(YQ=!B@x1=*++6TjBMJsMBVv?U7Dv+?9%PA(zvBg{KfvuCC$K(!Pv2^1EuL_1K zzLO;%`rW){>3qlAU4*;z$OZ>@xo59W9Ji7E*x>K3sP;<ZP>$@;8B=3_`3dSz3zNTR zpO*Eqf_>&f<*t`jNi&uy^9PqcN$%=n^0^k+;c4~guZz23S?1S=xvS<H-diH|P<Pct zhGG+;q{Y*UTb4?7Zam_zA3oue?#{@YYqtq0&&V|B`pA|%BW~X3TVe*jn>dzDTkc-y zowqkfXw78buC1F+Zg4ZRT{F#Bo;%g}fY;3@jypoQx-=&pHHh3~<1<M|URYK80Lw`( zL+4FOIaAh1NS|sBx|6Auu#<~p+En*UCN7CNN55z)N-PoVYLrTpSRlxM*W}US`EE>K zu6lIXJxz5M=vtc9`LoS~RZeX6f#XV|EEbEK1P)(W>>{zMNy7QbB-75DtAlqQ7kgiu z=v2ue>d9DJ;$q<AF5+Bz#K6T}j_vh{;|j8@5-S!9FmIXk>ElAdwnC|o24Tu}$1+q7 zWc0R3ES<=qY$}xOQueW}Bf4ns=|X4SgR9^Cny`UuMiAfpBf2|JZt^trG?P?2wXFT& zrkBZka@Zu)XDc2%mDXaoNium$h+9|Oq!a010ylXY1es~NolrZx`xA>o-bveuzViL4 z#s`#d@_Y<1Q?{9KR^t0<<-OAuE-#7L*SuT(=cl{3MQ=WFT$S^wBEYQDL1VU!(iC4g zp;KucuQy3PoRxEIht|}K&ipHTYQoFHKRvbHv~H8vMOQOPtJBNc{WiUPym(G%1OFz; zM|(rK<nJymmR_(wrFDj0q~J2ou%4CmKKfsUpH91T*<!D%dg8s;8@I`DrQBY>ed8?W zD(`o_yuy37C3b}u?#lKmoIGRpHC8s2h<v9mUbU1PGjbI?KTf-II%1n<oJN`KJnvME zyOXNbtUsETMXB5txxRE_Tzt2AkfYc3C->5-Z?kmGeP(SLDr=W^{w;^`-So0k@4j+= zW41b-W_-_E(&P`T{3h-TE_Wuqo^-cF_0hDL>qTCL-s|S)2z{CE+r_x)q(Ok$&qwOB z?cPn}R?Itjcj8%r?Wx9xbZ_<)dTJRS_4a&He3AF2$_};JBH^i%A9m$b?a)a*b0Id1 z%PvIqw7dUFt_$9qoW4w3!%?fE?RI~I3EQUVqVJnl8%rwfSg+j0Zk4oSr80ki)0ZN) ziTNjO`Me&x?Ni;p%tmf=bdmq5$h7TG=Dq58G_y})-s=;Gxn+M=tX<5&fBNqB67Rgy zpIz?7jH^|;6Y|RXy&_omO!BpJKB0D0`esUn_H4O(Czthq-*htF=O)j`Kr>~V>1QS8 zo_<|ve3Z-ciR~uuGHuTpH9jZRT+MGjnd@g(xqh<my|*cozgypYBI|Fa%rpJ0#Ob9* zMmp0^od`cJI!QlXHvE*@QLURP5xTSGuANxczjc#j!rYv;2>scDW+&2mQiCLIbtZ!p z`+^jkpH@36cJqmK)y*#(e9dZerk`c7PBA{>w^GFJmseOr-6YXP`%_#i6u(}P(BJ!I zn#{%gle!--t)8f=Dmtxd>5S+*z7KA12w-I4K76TY0)w56iPMHCWsz)?LmR@B<*sEO zInLC}a$|wuzTT_gs&ixHT9%_qS6lff>PjizSS8q%E0uU-oglxp$)n`uZcJ}3d34;B z>fGS2Y^b(sYC^=CT^|fhB9vL2O%8pxluDFXCMdTx`^a&QUKWX!g8SZH@MyUx)oJLq zwxwNl6}Nm!vZ<4SpK{InC4z07QXdUGl<m|qRSu-|w#-;0cHwxCC`-h$CV|tT0*S3$ zNo%I?2lMcqo}MgpNcr%ms0bC-m`j2OQ)L6!ToF7RDqFc@nX>%#(j(?VR$PU_?luya z0(*+1YAXU(TYfa$wsp@BF~Nh1vVk(!1P=?!R$8o5mOox1@?b}w)0_)|Et9Rf3RlT| zQR_JVII8xDY=Q3Fh;1b<hW_q6pG%)4Hulx%TnTJ&wc^?s;VwAsg~qX<wRUo5nO`3& z=g*wh$G`Wf)uWBAeG<=Joj9x|`!izgVutJzk)*X@LGrV=?h{SucB|O2ZX(BXQ=!LA z;kW*%Y=5$>ZK-R(UR85loueBr>F#`a^KlPnZntDtZ1>Ek2ds9hntK<nJpAU(#*;_< zwtE$eESEP3-#FWF{hSpw-g&{>tbO#Zh;5jB((GW|G(Shz-3^L255=*tIEOkI#<21z zhdfxgde+MRJS~n3&a8@6s}!8{MUT8#J7rGN{6`1Ud+oFoUaV&7s?$n%v7U*aIpjg| z0xl+=Ajgh_S{(*1tcH0(JD>3Uo;s^y#-bZFKLg(|=ui2g$d>xoc%w2;$Qy~hM%Fu9 zjxV3DQ~Oa$xh#cM=N9XkAjX3}q5)?D7!Q|-R?Jw&Bp)0qkg$Tw$>-dwnGA9{nr4Tc zjy?;XpY(gi`WuYTG|M`6cF898B^{p;yQw}?Z;M#LBmYgl1u+{n`!vlC2Oj-a;Iiqc ze6dVe&b~HHv!jkj(<~O;klW_9?FWBTZP(f3>ym=s1m?8e?RuLyJ*9j7>-Ql!_w+?( zC+$u$&I!)p|E(JRXW^~fqiQ>r-ViYto&B&asd|Ur%}2=}u8Yimuqr7yCNQUMa@X63 zlTy0*cf^O}G;w$N7RGIqJg2#>ee)z;yVyFnjhuekW=A!TrbR5iAt&}vGuCcOcK_nd zagnz_h+pIuPgyB6`#4LzS9{>6btd}9Y(xdlgfbqL5j8jy*4Q3tBv4zvjH@DNEmPM^ zt%MoNnD~P?PujjZQ-t;Ik{c}2qO;wby3THh+xT;z|0Y+->sn?9m5wfpSbKwKwMul) z@~K^CnZ=H#MXb9~Gg<#;(jT4BoF>&S-;EI)C4c?dob%ajdX8aTnwHr?o}<rn*WK82 zP;~b8?J3<Kja@f#s%e`YRXO_XxAoC)29BGK{*YXMV~@9n+0lrjX%dTX$hmoMldxYb zGCN^OQgBZ|PTRw-w~62EmtS|<m%k=E_rTIOmpTlhH@upE`JKkUH6bPYr)nugEM)3> zs+AD2jEUd-za_62tH(c%l1?`cvFsN89I1!iv)z6?S|)ID@AK@Ign4cn&$3z)``m1N zLONdjkpH(tsOh`Z!iwdBZQG?jesEJv^4N2Y<DhnLz@94{hq-$zb}SY!w3wIK@?fQ# z#=MM{ha25&Y=Sx@)c8%EJ~$|gd^bH*;IAzAPRoU7#&fBM2e%aI9a$i`NU-f7sH{*- zdckvr;~+zCz?bVB&i1_(FIEeDJT53d)u?;__Sb8F27I~1;q2dA@nWICNA<kBrcM`p zl|^Dr4_$Coma{tD9K<ulPfKCPoV6~l4jbS6*J{Xj34M@w@BdW3$$#{3*Ytjv8lf!0 zZF*>fhq9cQw#$_APB)HeSuOsqQi%}@1^J6bl-Rzp^?oo7Qx=(Ra%e-OvfMTe7oIn3 zr4%LB3wAk5J&cW1mTLnEEtFD}SSGmd=@pLq#aTxVYqWMW+D0j}B%2(%uG4G4bA@BR zgQybQH=bUBGnY7y=JXn@xzf@8l}nJhdafJCwTzbIrcw`uGrk@0_OjU%+#zuz-_+@Y zn{rLoHIBp1y%iPf1PnLK&usBOEA=pVv)hj=YXtfEH$ATqI%Hh8gsExXrwyWWD;v0e z^u@8t{W{ru^vHpCs|B0vq!zwdE7%q%_3?w_ItF3p-R+N**}iF?ZGW`Yts-L8(oY-0 z6c?$cWwj*ia?@Cr*^;=*&BiCNL*m9{QzrvYWf5VMLk51za&B5KJa67fJ^Z}a?MKae zLA(3eE$6>;2{P}V?8afIwN{JmTSBF5a#BM1^^W#Dkoamhj$Iioi#EGSSY;kL?7p-m zDWU!XNB>TfL&<u*1~!*C`gei?HXAeuB51e4^w4si-VcpyC!{1~xA5nHm>|(d57*jg zxGtC0*1xdmd~YD*(F{?8o*>5e1x1UilM?zba2zb?4dA)VaX6s&gQ=I|$phCo4l48p z@Lb_I%+Oo$V!eRjhToYj3)Z`7{K{xqxZTa>OHfC~<9SkwJM`vD_;2}?*=sQ8Qb+q_ zE<xtsEp8lr*)5M|xJmS79XTx6+R<1Wq0G{5;<V9MnTOrvQR055BM0s+7i`)qweZGb z!M3|nA2)a_CMCST!U5`&=3M7E?ATjTp}{Y7@eF^6LqR;N$oG%~1>vkU@~X@i4{Z;1 zDDY+#F%LaZ;LIv_?u3KMJ5y1Cm{7)}qM`;dVU6wHMgniE0$EwaLmUd@Sb3g@Jb1W< z=Sa-YxIT>|Av+`Ig&pzP>B`Du9P%J>56=-3OGj1~;ZO%dPgb7Ep$EjD*)RP*W6A#0 z+1hGbJI`nd_-x=7dHj|se#_gY_KiBV|D44pt(HzM5@`yw<T`pnrj`AzWmo&dFjt?w zhl@m-Y%RHtzLRN94DWlCxI0uZ_{%n#)&<3Vj}}f26}<e(Ju95U?umxT`(hEfXVIdD z)qBncYTSFG!Lz<tgkOJc*NgzO$n04;Yd0<LGHHES=O@MgeNESlWVt6AEd0eHUGA1# z{eeXy{QhgYW=xp(OoQcokx18bORoO?MI!gEg}I)ITVEv7blsBc=v|rChq--+s;7nu z2H*WH)B63MOzWfMzC(}GviUvNeM~t&SCdQML43Evg{yi2C7Zcx>=VRozHAWH-l=xQ z*j>>ge|1Vx?~8=KLj@Y{9}8}*>i8vpGLWP1nTCzn=|G9T=NfW;5u%2&^Uefv_&wFI zId>*d;@lIBnz!4ulDKTAFBXtF5vZZ}M1x1XSVV5pjceW)S*E{bIw-o^q427nLCj`u zxplW$0)I=FH9lAtyI@DExJ2G<Ryng<EE`wt*~rbJoZIZV#%%E$CeZ02i8Fi!yw5W1 zcDQg~FW}2&Zl2n=P3?AOj%)1qzGXU?u-n1#ww}S6P26&6w^%lQIkSPA<?>smqb9o# z7+lq>03FS;%J`^WfX_B=p2=^T+B?k@ITyZV;!k_q)Lt0(Ys=(6^A4<j<2n6_=Q^fs zY2Pmi&rb0E#^H5QFJUVeN7%}Sq@`REVJi+CE)x9_v8;)~J5(U4lS`>2+_C4mm#>DI zHs6+p^bkfC|4@gIF03{$0vp@iwLW|dU}cnBp>U*D)Mdx=CW-Q?lZ<u3yv}j$SiB^G zWqarWbsbTIIYEu>(pn!1V_6y3tyDM?D(bRhQIo{<sgtU2teA2~`@y71PBq!ytTi(O z84p*AR#+@&l1~p6c+kV;R1#RVv7fg~Heqj4d&Ke^g4eXldQ5fp2L8K#>((_ko|E@< zgwnXf{(ru|<!ArbuUm5eey`b=uO(@7LPuI;{VBG?$FHo&U!(nC)eo=wiwZl!%rw?b z=WX+LJ?!0Qydvj=<kp-39$jgk!$HcH=Vr-!vmLy($#LU`90MJ%9=1s`%d;mrCJB`2 zON-dfs?-+gz2??7Z{;+Z%%EAWMN1Z@HYY{gOpy4eY+vZQcx!XSs`V;a-XAmOPL>gF zUgUi<;l(r=E5j&jkqg>aCpq(bZ1&)@-H;Q&qbJQHJlR>@E&J1&lUwEg7tgN0zHZsZ zhNZek<5^B$iWfP3IljisyS_4F=D$xHwf>4Gc>dBpGHV)Wj^W4pYqQww*6+*HiDt=H z>3*~}#c<DL9sc*Kr;oFr<oXb}$!Sl{6H%eC+so!lWxwXWad8bp(_F0uH<mKB-PQWA z!JXCa+bV?vcA_m77tTzdX{0glda1qCghx9#ZQmFrHU!)Mn{M{s@jx5XGxPNQoMKbz zKI_H&I$K@va(nyHrT6WoO{x2=y6lVfkxkG3-+fkJ7hhAeX6rh`pYnazrhV(ZzkOT& zkvH-G?Q{QK)cUlOac}+K`dfb{2OKq(GyeE2{{Q~mf8l*PlfLV!KDVCa^xFSy)~DR1 zPnPLS`mV3~{Gf?(-@d(5?o4t0)VTDCjm)xR7t5zkn!U-xZsC+U>|6E~ewhnadtOWR zx#G%nnOb+votoWGjJ;1*21rV|-;eUjJEipVOHh%V7~8Rn+oyoFuAO3&^;Ejx?~B=9 zCw(JT=0|zSZ7&l4`$F0q%>DFw%VD99mYTXB+twVGTI6A6X}#%2_H5a*yt0&Tok{*$ zs?ViUKi~Ka(H!A*&b%_MJvIz%(}SQQyT%!^Wp!X@JoY~M)9v(|4cuU*^5I_RwtJ<u z$3}pa-Uuq%chk`N&7z>9V6l_#(<gm?+jTQhd^*^PtES9(?{bE3_Y$zzCoX+bGdZ#B z#!|3f`%hTu+&?6JeBR{J2xk$`_H=hKf6*DTWtCv(@oTH9uRZf-gEqv@NuqPUYbbv_ zwdO&a#w5P8ld9IH#Yaz7HFcR-;xlc^`whK|*PWXL@}#o2)RtMbIlj}b2)JIdi@dbq z>YMoN%}YSKPEB%Kn|42HI!M=+_-Q72roXTL(pjn4wS>9utg_X@u6()J{GY$g>L*LB z{c0*}l{DF>?wRIBzdx2?69w)F2u5XnSlg8%I7e4q_2`*rCsa=6<XIYbWv9**6FxK9 zb5cU0CF9|zo6dA`ik(#P+^}HIfx}Zby%7~YGr@CGLYie`|LWA6`f4+DC#xL%Q`E#D ze%h_0I92)3&rO1low)n=2`^U6{4TFL`N6CHn)e|_+&eUxc5RB)IZ-62;$@(Dx+~36 z^`NY3YL(P8EzQfu8@=AJ8+jCZ>Ioipz4@iU(X4jP^s@}x>;8n!-mg9L<;wi9h@um^ zpA?<%OqkqyG-dYFltjauYL<~L)kT|D%*bh;qobu3t*_o)815$~K6&lb$w!Z-WY4<2 z<>&YN+l6P(D!l36^zl*sgColK?YHNzJihQ*{Pv&n_setEEnmC!f7In05%(`d-G29b z+AqGZHfL5CZf)DYrR2xzAN%Upxf!f|W}aKOHmlU$#B^Ks{dFs6eEcG1s430&*4k|A z2OopQZa$~Nc6!Dvjrx{8-EYI6^Vc4yS1}6T;bU2RhfiekT|OS=yL@ubEVUgs9$9Iu zQ}#;knRTf=_nfMROh4NrA<N|wyMp@-Kc07EUzMG?ZsqE1&4gt)cLwz)gspQkv<^?6 zF?n_6jM=My&X~TMb;g|4tq~JeyGBHO_Rsxy@Pf<r_>|CBx5PJCxGP2kecpfTPx<U1 zhx<023*zUwT(tL3l9-@gDKSI+r^J-tvaY3;T!%Nw96kI==IHS*8Px-)WK@r=l2JYM zK}OY0e)px21GDQlYO}2WfAp4(?;oAJrzdm#`e*6BXvgg7T@U@{J$V>5@5$p#znhwI zPc?Y-L2ZH}5&84QQy9({O%dQPp2ERjG)3b3|EKb||C|bVC2e@4>Q~gOx`Q9=Z%_IY z)30bcf6Gt%RRRCKYuj(lI<{+8pXpa!U0+>^Z|TXQ-nEmiUu>VXI!a4i{Ai--{@l;| z*H#^$_U(Rs{QahTrb<#_Hv8VsP<a<{zd`WXkH=Y?BKprv{^6DG7rZj$z@M1j2OjI( z3QfY3EvBumw3xU0r^Uo@)~<_{LWgJAoIHHP=H&4mHkt>1*k~SUvC%wq#76U&%I5F_ z&p!v(cRb~Kk*>FRlHZ1nbG?_}cy&dxY@6_HeP{i$lasd{D%BBx_jvz~k|y45&C^S| zK%4y9*A~cLJ~Vfg;gPmw%bsmB-CD2tpk$v%fm-|$i)_A4&u;NOO6gp07F)K0<@%W& z+t!F}I5$V(l+i)&>1Wgvr=R(7OSQV<@ub>4e~at(YM5DOo!xMAVbzUC0kt`$D;T#~ zUJ<aC$!ZbqlRA21-fbD*r1p#VRfTyB*q5q^UpTx{xTO4*$lW<s&f&}7Eu0+u{o>6~ z+pb2vnGgT&w}2csRjy}wAmRE(XP%;yf>oe3K>u@i{yeMyyVUvktUmiv2XW&oS$P>6 z9V=?m*4~`H<4VwdzZILaaydIEr2YTjv$u54n^TGJe}1&{Fxogd{>_mxq2fnJDraxM z9lGe<-QKy4^DN(58{2MQ{@g+A+@)BrZ%==wN%9-R!Tj*0YAZc{J-%oB_4mJ3AxoG% zbv2bIB^{|e)zPC;V7JzhMg8(B6K+qnf-4T3a+(>zrt9VHcIXB^+2+Fe>&gpFS9|8I z7w$Xc@~oH`z1HFH<c;eW1)iR<V7}1j(#i3P%~LwX4G$&=FOx_*^u{G*TKD6UV&1k) z&Gl~s*}M!cI%vjew(S$zT5#PV_l|J@dq2;Wqsf(y0ZVE+qnp#aI5!;lJ56R;uGW6l z_SptUV~?H)JSH}8#)jVIYHuFQ<lMbOcYR5h#r0X~(OspcmrgudAGGOhap{e94YHF> zRRRwuy<71!@+!Y;L~QuoL*ifmRFyMXFV<n%owej|XM$&f+miN#v?c9HVM~r5db2_& z_)Yhw2n&JKjfEzs9zBSda`fm&jqY~Vz-cPWTqA>K=*_>jv(Gg5Ypd$pIZ`X9|J-8W ztZEQ8?>h6GggFy~d;UkevSnL6y*%^Ut7AUS#k=@~pS+&N>aF)S+TdgU(%h_d$+@w8 zq7P3yX+B%E%er{WX|rpI^VrPNviBblsbu(|Xy1KfrBQrnC673t^-8yipM_F4vx;f) zzF9vjr#LqIm-m^FQ2zg`gKRg4Ts{0>EA+ff>enr+&!t9XZ}#=Q%6X=@K;TS&LBN^r zf(^m}asQ^t<OIp2E?`;BJ0)pqV^qYtWqZ0Jx%Gm!E$}zy)G-TaQf2enc*Q|iXLaB; z@#VcQzx~<!<+QR4i?))?nzS!`X5kxxIaYt&d1lks>HFSoV(I3c^62mSFINsth}Yb` z_Wnod)wh>#bTj?5LDetUEd2JFWeXmD`Xl~;MR|(N?J1e(p1i(s(lThK?Y&S-*`=Lt zgRkX=omy=$e|y;WPcIgmUbGIWpZKh%r{=8j^@;J@la*KTZ9MoT{L<AGN?r-OrU{<8 zVAM4;DlKu^w3{>5rt&?@nAyEE>RIBvX{v_tr-LFEtTr;+|6<Wa7O^bJgG#!KXDmt; zyZ1lncuvXXW68hcb&D^$p0=sECa2%&A2sdJ@%XG&XAZI4eYl1t?)9WC9QR&K+F}@Z z-GNW-<%I5&R!<UF@TnSxwg=5vW~FVJbw2IXE|z=$E*;^#r|BIrJ@N6ukgFL-zscwF z>gM*Y&GZT6-CX+hW#8N%DkhPh#%pz7#^^Qj@4ph<B)6(?jfZBH)QZ-)Ph!m%kNKI- zjan5QUAV+8^xiQ)o#XAHkL8_@mR?lk&C}KC;afFrX==>$T))NJH?5Vj{^+WG|Kz*y z(8yhev9lA4<$wM0Dr^wat*K2~uf^}keNgV|r!|VPb61}%%gH^nC1GvW%Nu%UpK)Bv zsjXky%JTQighl(a@^l>bt0y(Ax+@hu>(<rZ+P897)%@OZ!zMcP>|vhX)*sO(AEmuN zny>yAeP%-LS(E3pKksyVc5_LV!ZXb;4ZW*w<$qGYap=%CN$IS8(O=mYEtPy7Iy3v+ zvzrrFXG=vM<_ozRchte)M9u<<9J7cQ>!gxrS#J-y{&3B!meXn3Tu0?rNvUnhxqL6; z!QCv8$JyJi=1*O>%I$OZo^AUS8}-zDK1A;03pyw=-{;05cinIP*O*t`dy{;vPku|` z-=w|Qlgw1qKb&jfyf@3>sI>654^eeGD>}O8{^+|FU?UuN$~>>DZJO1d3Ge5NDc=6~ z>{HI?vVg0XyZU0bsr5d;S|9f{x%uGwf6n`VonAFx(r4*n*?&{@4DD8ld4CDncjuU| z>o1o`mH+P|YD<0fg1ePhZCv>vV`c8qz^gmr4y>)Y{yAXZJn;uC{5^9X?KAql^mg<6 ze{TMqW>;Qo9u;HWx?ypgVbs=yrmQ*HoV~@5Lzz|gyFcjXc$~H5_y3+vM^8nVDo8Az zH6`kns2*ESSnoX77vGmAuX?5}6_Mt?N;qBE`1O0`kj1n4xl<+AJv*AdLC9j=E9q3f zf<q@X{iJrb2D{}w-jekuFEjkjFXqt6ao*PZ1T>~UIJ16{4a;w3Tao8Vwqobpt8!uj zrma}H>w;WuXgIsqmG`{jQ$KF*y}Hw9pKz7-<L6mXH`(?Sw8WiycSt7ZXJ_K6Ba&a( zo93OmyvX6^s_PD#Rj0Ral=%Amx%aA-*5Py0x!aGfijdj(b^%|Y&XoK>(FyU5(?e6A zT&ZVBcU=1@W%ZSRqM>n-zc<bJoGto%qy4$`*U^{b)e1sQH;3@BTn{NOd%5XdsjbS6 zh3z8dp^x3Om#1wzl6l4?dD^ON7T>sQytjURGUe!;mEA9|KY0-qI!_{%*~Dzsx!>3P z)-JV7uUgwF>=Ued@7V8xSaqMZp-*<My;`4B(PgESE;2c^cGZS`@k-`4`!^n*JV)jD zqmKMewN--qH=8@Qa~yjuaMVI}lR;Q}PR~U}_Uxy>9;_%>o;NpU;?dc$oH|pj-6jg^ zTsG=@8kLsVI_+k}%2d9vlY2YoZdhY1^8VKPN&c^{`840(u!k}H)YZe&GPnLY_907F zDI!qYR$<2T`BOa;X0{3FgsVNb|6c5W@20rG!CKix6$_lj<X%naoo)5x!A8EF6^oqZ z=Di9KI$xsE`rPzY)uM>?D;>GBO^zH3;w?0Ac8<Ao>B)h^xxAi_`r4KhgnRxt^`W&* zV9ynSu5PKMhfCUSSg4*qX!r2-QmHx9#Myry(tUR5s_M3gt^$EMy#*UugIbz(CqGg3 zxOnhdiFnAx>|3v18-4xyuidmj>5yZA<{`(z$hhyn`Yz?{j*<&xnv>95W2*iB{{2Vd zpSZ#|uRHO(XG80QtSPOJqNW@@R2rJ;EoOE?wLtlh;|GmHj)tqQz1d(86vrnb^Y88v zK{hXgI}Vy{k}FyletI$Qd3o6@<Fhlbl|^W;@2xrW-YT)f=J3xATX%^zKV5k-{ZF4D zo2FaQ|3f8A%%vR(4X+C9lB5%VIt5P@+;iQii$5yu;o@mGe=JGmi_4tZt#`|);>D^| z&yvfVM0UMi)w5{7o|Nx`_GyA&t{8R2MWrQfU-#n5F{z)=JzSqXSTSwqjisq_ec6#h z>RWVLPk)-+!J4*k{<;b=>8T~2Q8^ZuR5KT^U)Lz}<)Whae9_|l{Wg29EmXPpB7iG@ zYVTw}^Q~JB^ZvYMp8n_XuAO~5H0@0fWmNj@Og_ZI?=vH5J7;vox;4dZ(XT%ENN%m! z|9s^p&p*Fy{hvG!seKZEsCJF#wu*;OCbEgIFR-05zmPMbK7NOvsUuq++uiFj3ww3l ztV|xyTeb1j_b$DY{_CE2msb|O%-VJ|dcD*Y@9mX&tFxAs%zi#iZqv=MePwgr&&bT1 zzA~}+Xz9<5^J<PSd*xG{8@+C7eAccsGl4G?*T=H+itIhh#=Z9po3!m&Hg?-HY~puA zOD}}X&3+I)JFm*)LMXrHt`P6=<j=CRH#*)6f8PB)H*Q6h<BLPzl760YJ9$t)CqL-x z#RIKx{Xb1EVD$TN@x;wDt6v>?%6&WkDfjKh_`Nw(^kdoUK5x3}p!;Tue$I32Z3&xe z61C%ZX8ra0cQxwX{;a=2yc~A_*Or)0ZhpWUnG-hGcd7R6?9k`VA5MJ}3;i9sE+;=o zJ#NRQy`fhv8ntiVzQX?8dCLCA<7HP@+_`ivUhG|f`aAm-M~wG{MqXWd`cm|ipXau0 zF)`c`I8&dmd*k)&<jq0rgG(*tH_3G-pA!3=X?OS1te@7~bazU;RNNu(a-oI%%Z&wk zmy#c^*(7PSHZts%@u^GG)=ixs*2}*z`|0f|XG3;{)dk%Yio9ms_4udY=EesbZaNg6 z$k|YEA!kFOgQ;jZ&&+4vZmeI^9^;!`YZgBH+4Qg9HD7NmiL77WDD=iKpsV}SUVFdG zPqj>n!kXRAX<axG`B&0%wcXEW84bU;s{emu6u-xCqkk)xx!Si^0;>&VlU}?0^vhuU zxwmxps_oPAeb!8UKU=*1$qV;;3w!QdJ5&Cg^NmSBQ!Lvlqud2z-&VAJxb|gwZsvd1 z=Gmb;^%9$W)qFNyY|+gzNR)o<d*h&$aM{M-t6~4{O8pc0ksGSKz3haE`GaXjcR#(I zHv3eG_x9*-r^0t~PdU10UH8lH{kvCXE-u-fIzLNQWXIhi*HS6gyzMbzYu|WnkJdZ6 z|HQKk`|lQo$Zjmy{nW{{{%QcDnBD2!+wN{(y-zgc2FE16*%2m=iJyBGY>0FXkeMSW zBEFpU=wVGq&%}6-hxsk>;-{4ApDM9kYxfl?Z_N-3<LK(0+4Lx3-Gshw%ce((lNP9a z^zu+|o%eMr+etIi)Y;b8O+>y;Hr;VC;N@J^us!Byx99dt?F;{!%eei@)dz*nOW#lZ zBJ=uU&Dlu@gqc+<vKEM(=b4f_m-ouC?l!OOqMG_=y;p8n7|z0Lp4gPA=3{uJMR(6y z^8{&UpN+CSW{Kj>J~s{@Xgl>H<jB(P&*ofLY)ZCrDU4~?nR7u=TD|ndK~34D<xBZ0 zEiMP#Ts1}T^%slPOn<H`J-^cMAjA2ooR@&ghX7}eUt5EPyZ%WfB{sC(kXWI}$F?;% zZB}p1t4k3(R<N1rt!!*Qo%P^RmsG`$RcxLy!ObG;v>nYFPi8q71Vw)T^VQt{)zubW zeJQ7p9?m*8*94^RnpzfI@SmI6)&A8h;bE`Tjf%Bwd~quqyQgP8c-ShX@-c=ts3J_C z?_=pd?tHe@RkHK%|Mn<$n)`p(Y{j=nE^b#mA8#D~YwFLpWmD6oMfVhE?_0~}+}kN| z$573QtMf~4cx37nAKs-oJlCC@*780IxfyWoe@B_sF{@QdxBrw@E#09mdXw*i(>8ZW zVMU8npCu9BF1|hTaMMb~_xkY#d*Yfo{9Xtg^_1OI;MJZp=b9pWd&!BTlCqx)V%sNK zEO*wt!?j0eS;G%&iC5VYIVrQ#Y9=izdiQ_bm*m=1Z8^8n%>PXnB~~g=<$3c)a&=3q zcUgu0+-{j|R~B{~g?&8BFF!?6%VEF4d|tNt$p5<zW++r7n+5Eddal2zF}}W1eeFI8 z<*B>oDf>Q|^Sjj6p)^Kb^!2aW=0!<0%&TAXdqu2yz4Ma6S;f__`%gt2-8FB?nScfB z(xrJ?yHBPuA57oe_-N{?b)E~??cOGQ{h;o@*>+LUtBNyAf=d@W)v6Sje5g}l++;eR zYZ}`|>ne`|&s!-4H(u=*UbEYzXqtp0e`p-HPNV25GcAXvr&S&sL-=)dt~pD4f4Oil zFLd^g35%9*n<7?~IkWd()UyTs({@TMOO-Rr_&oDSm9BT<+`fw}*RmuJO6e|+Seq&q zmN~O`>Zgjpie_&n_mYkW8~6fcE-7-em!3GrC|k54zCGs6Wkvq#rM5YOKWAUiWAnNY zdg}#i22-%_jD%U7!9M1R+{QjPj`;|e8JrH#>&j!xdKmlQ+jNiIF9t_SFI;wr{bCfr zzn9mfb06ERLn5NOc1m*&$T6$l(BOAuJ*A)Y=8|Kbec5t3p*I(tyWUo1B+iz*6|qda z`~Ljrk{SnVn3qN*ED#fuTG8uz>C3Ow*ooqDY4;YHi^QGZ9C_>4$866%oMtDNSh&hf zGn=&Z=SPp)r}x4qY&c^!wQjc2BE?hA7vi(J4)iY%^O~IbV0*CkmkCKb<)R{P`K?)H zl#})PMBncFISLjDW&tuL(mFDej3jn!-{->5DfU|NsFLlL4L+wrB*jkE-pEN<n`agv zV=Ap9lYRXD#W;fx?vsD8J~RC~HT&GYw8YW}MqBhPD<+Alh|9a4Je(frm9%h14VU+8 z=baz)i_df2{t^22>!Ot89t}$geJ?$=?n&*Mi>4eg3G_;IbA1&5^O-sCwUDee8XNhd zG*cZHTj+VMS?7B4SYlw&hE+jVSx+8Tyg2oUW1!bVhgtRQ3v@o7x8CSlYBeSL|Koe= zDrXNRdlr8TxMX89VT;N;?<lVCEoXlCR{0uyGTW<>X_n_Xt9kaMXOG&JZ0y~$;OG6S zsU}U@lhPh;T4K3FB~x#k*R1x?repgJw*{?W=?*`{b21}&=aQWfYMFA=yrP7>RdidA zHXXD7y({1nhZu+CmP$5pPq(h0lhTssEwP-TovHWCBT8tqs%~qfQ<}`YnQxmW)(e)c zUlMskIWxyxHB+z5b5{G@Nz)GTIX<4>e^)J&Wp%61Zkx-}s>(;jJU4HQycCloWb&`| z2Jaz9o4N@rry6e72wF2;>2`#ArrtHLD4}c>-PYSp$Lx8mqb>3#UH#(Y`Pm@$Qjmmh z#vkTK!m4KvvUq+r@VgWwAuTuW=kcx2InCliwOwYnmM%(3UaL_Vq3h+jMrf%>SR4P# zdheRb+7%~vOgm6>(qp5~B^@7bf5U&zk4*QlW529Azx(JU{Z7|O(+)8?J~p4t?kVM3 z?z#D*@1>ZMsasTbaY)IRr*l+3i@!EcD^tv_b@#mgmLDT0oj=Ha^7*5^ODZe0Gd=fA z+#(XkE%jgExv^*Q2fs@;JtC)0w?7x0H0@A<<74NKZ^|C>J?1~US4H_~g6HN7&X;m{ zCYZ4QRXyu!@A>&c_@zlNlruHIh*j;hPl;d4EB$lA-Q|8)bmmN}F+1lutC@S!vqy`T zRPIp8^o*IdMWpX)oAF!zo6#)F@|)Is&uWdHl=gVr63ZRRnR;>_v)bDyO*<m$>7BTG z!AJeB{Ang;p&YHBmv<eWG%;yef!@dWUH#Kdq(R|Vr)kU2uBzMV?36ai#@L@#d44b( z=iTP|^qMJ4EG3jP^^SQ)2~B==h~4iabGdNOpUY45Th4q~KWYColWm(Pn()t8>Fup3 zU3jxm->$kt=%BTt+WETy7u^gM_Xs~&{OEU-<0iw%o)gRb1B(p9rr3PpzTSRx`P#yV zfgRbLHeBh~A6*X1*WA>4aZ*}x(2m~`HMd@={*3uD#f1N^s&41`ptztP3K=z$AHpti z%=45wD(soOys;q0?rHB76SG-fv)TnGO*<m^P+||akJzi$?cd8zrYCki*}O6EljRM~ zOucuWv)Xr0ns&t3)BB;@k1JdMto5G$VNUu-f3^9dN}qRrT(U&<qHou1r*Ox|mv6f- zWcvB#o8zUNJ=0CZ->dYV{oV9z!?}+^mpI~D+IiNg7K9rG-I;E}eO>kJF<#H)*%6+_ zh2EDY?NClRHh<APZZ|tu+X*J@{VHb<3wwG$TDfHD2Oo<K_O(6&vpW4nFRPqA7UEfK z;Cji%XVMlGH7=>yS_b|SJ%3&<ZckYvp`Iyr%xhM!;iP8?TbAsUP|fu0nX*NMjU%e- z>)Lsf+%9-uy1}58DfY`_R`0$^&lb#Ivh#)fK7}Lt_B=wWO$VKP;ug<76wGYDvc1Dl zmq%1p`moE%g9U*_g+4xZow|#r9C}uezC~E)zqD%a+WbSZQ?faAruXD%>tyC!R?p12 zuAa$v&0|*g)k)71r!G<57<4HpLNTMJDM~GqrMUIb&)L^+PMVlhR}r@1=bEh!mvVHb zm~6|Oa;ezR`I60<DO*I+IHlt6pP6XVr0L}NNAz6iNe@H+OFCz!n@Dr3oIU8|S-jEX zl8w%^Eh^JIqPV<UeSWJSmppjleBbFwX%8nYxw%6lGsjptQ}3L26jwTHZ~Q@P-AtC{ zEk3_*ACsLl@zIhckrs-XX3scc+w!IRz3upKtLV1AZ94Y+*4#;M8@w-RlzGo;wVjlf zync!04XsSQZ{D-onI}y<;^_ET{8yic)WN`oi~ef<>`GZ;As6@OM$Pq#h)*{yR5JCx zc}8(PXX(wqx6D&&cCG5!qX#||<bTetx_j8!<bA|rR%!iPTR%vg-F-U6a9QumMNb}X zlrZdUPwXu3u3mJ+ZGXY}&*BN{hknc63(V^_)ZHVbx~)@Kl|A33GxwoOXRd*ZW~<;s zr%!T4T66U8Ii72|efPb5?cvUkY4-ZZN;Wu8iOCUG<)7`M*%`ZN%At81j_3>XaHKcI zt4ip3i5zx0dB`DfQ(?T%y@TF?MHfSRUOeo5;q_>$#?lWqI;xTH<hz9q9x3quKE3t2 zi}cY41$sXg6?ACaw9s-ZDRh`(^G0~7%D1i{uHA=Y5A1*S!RSuq52JjKA3{d)PkBXE z*$=yPuJv|3c{tecr^>wUATE8a`!`ORJpWn$GN!gPcUQ6RaxwAw`!58S^}Wc@tyg-u zxKXI)$D%(M`W$}t#_ustY%1Ti<?s3S{iXrZ=Sw_4Yk58>IM%@Fmv-v>EUf~j^xiqW ztZsgVw@&EJF)ET~@40hOQMtVE!imUo^G_<@q$|0qeXn{KUKN?U>F4zmH)C?1h#c>^ zbEr^xd*PuIvN7gG;^sYf4*M$mKbkgidBN?Fy<+QTEQ;p5V_4L*+s&`={0ZGV=0(!{ zJ$DW|E0-6ZJz;BO^hrfdx{}M^=W6!jO`5yr9tc)euSk0$a=!P@A#UaEA1|Gd-D6ZF zzP@*k@6%<k3JXrQ#KxE;@{9XebbeMlbM4g3PyP`pcXsOCjkv4Xxb&i0lj_X*;eS;Q z9r^amFowlAd51>Yk%Gt>a)(osqj;t_uQZI&TXv+Na)!*|sYjMbtP@dlGZ#4e=*5y7 zK1Pad-3c{3;zxH#=y7jO+L6=K`><$(P21_CT@rfy+Q~a6MTj1rdg#>)woMx}tTfHU z*LFTsijY3+x>2v~^r2r8deYKKJ7jWt9u{rXY3qLbK556K8#0Hd9-8)oZPP{rD^0W6 zxxEjSZipRr-Dul(`cR*Qp0suH4w*My4~sThx1Byb?}b<C28CNslIpgcJiI3(Sg3fh zujZMPQ{rDOHc0(Dztts@!*08_z3sY<Dj&2iIe##^<XmWfDS2VG+NtEni78fmaxPUd zce=k+?2vw$sD0jpKe~2XcLrCNf8fatGv8+}X<zH2Y@1^$^>X6}jZ4YN2_eNBf9Clt zIezqoM}K?Z#Ca-iZdE}Nf~8>*KO{m+%#QQ+TZznm*ydWL(dJgA!_)cYhJ?(^LIaIU zj~}_F+_dHC@KNvlH*ucGFIUsnUjD$FiJK$uuW;VDbB+I!_C@7OjytXQ=<l63QI6eK z$yRTddzDQ|_bMm$_lu9oiN9Z@BX`d&a#DqGs^`Pfr=={3-pSh(gHPM8<>dLPbjiiw z2lv@8at5jwwA;GRHtp{#3;fbEaayaKVT{?X;{}#4#121Ql+81}-QV!kj3dV-)`{5t zvD(zA!RDIYeKzC$V*V4)wv-#jh~y{l&?)JB7c0i*Hamx>!_4x9d~VT2{aa5K=5NvL zw7a-Wq^ka-c&NSUY^&=kAFTR%A6ylG`u>V!4ku4W&tv5m@~7P|>Q6iGbU(sQ+CO>f zVaNFqFL%BWJ^g%Px=y^1ZPL%67xyF<-_(dZrm1J`y!)u7j<x&lW1lugnoT=@Xtu@P z^M|@E%%smhz9Cc68C<T{c4T9vgv{}2M;2IY6Ja~H!E{sX{q@N=B8vZ7?UIzb8F^cO zTL0Xw8*4=78yD7yu^sX1&vi`tdE=J(wDU(FMA(_lKc>Y#J23g@jXASAFXo8ceU#FF zesv+=>GW$6c4pg;ecHIzYtFs&h1D{%d*upq_^OU=+{kFzch>p6g_+sqV;h%V_<sGV zYhm)Pd*502{9F4gJWz~(eXrR6Wq)UDa34G!KiynJtGoX9Ki4Jg4|aYG_}BYqd7zl| z`5yO2)om<#E>#@o!owC@`7<3~<jdl|*jGe-v9DO4%j_JPJ~K-Tk=&v}gIiA?I&9JG ze0_15$UC=fTt|1FXt|+Ls(;I+!2XuYM}=D{4->bXJhnJva`W+vYU2KjeC6J`%$~GE zHrMN6Sjy@M3+b5?rXDz(A$+hmL-^>@jLEIp7uBT07yI(9bD13z(`Qz>Ln7BJsd|fM ztL((JNsoO}oXVJPFY;xHUhFHfdy%hLnfvUVH=SmdH>7imHtOGcl9-+nYh@w(vanF= z(&NPXCF-5R6X%Kag^T4#@yBbaY_OQtpKx(~ec;#hi~Cs8ju{J`=}2EPL+*S=VwBC| z-jyGF_?8_p-Zew!{ENggn`EKrN0PxeWLC3kiXHQsaJFyuBS}uPW5z)_9qC&(*v-3m zY*&RFd-h|=Se?%FFB{b68FpU%*rU0o>uQsj*b%P@XP0O{mgEdOVjQH?pT1>-&b*7q zE>*a(FMcc;+tZQ$#XxJGVdun;J(@hdSDVb99rv1WcGuy@lALWvje~kR)3+Fy&AWJP zM}-^v<HwS*J|GQ-VYSvX#7{p@%F~(OZn?3>)2HjHcjC46r{9b4=G@QzBd=uld-kVn z%fn|5smpHq7}qXS@^>lQ&K=9UpFUj5r~1*UJ*eWJ`Kczsf5B(equf43oYr_37I$0Q zfP4Lxk2x{djujhxnr?OMn6R#Q;)b@5@yXvV8a2i3*V%vAKPBhURgtFuzdkiB6ZjK8 z<GE?i|3#mg)O`P)J{4TQ_*~C_?$epGf<DAY#a%GkcJ;E+wucvt*xR?9Ia;Ut`Ge2t zNfql-HFd5S{7Zgr8|Aja_j1!eeVg(u<shA_M%&(9G?JdZ<;=k}-Qta|r)_es8g1Kq z#c12=D+d1#?_U{p?AotcUZ3k_E25+)Ce53+@#%zVH+QT{<;%;O*?l|e*~697R6ly0 z4zgI2_-A?d^DR9O_Dl<mxnjgUeM?VoYt*xZiPLsktdn&6!7uNh5h>KXC39IpkZ}Kh zwNG273C_956WtnrF-tjU&Q&As=UdJktJE#t;BWlxQ+#CHm1EwIW=vaJ5PA5=dfoL| zT<`bCJnl17I%=xBxghp*&YcTJWonr-yQ8C~9m(Z=y#L~!)u}AIxAY|KofdgxL8_Tq z*39O=vyMI8|LD$|-s9iiMNK;t#{2mF?;FcfS=hDWO#~vDs<#SiZp)t8DjSuSynLFa z#fp^@{|;X&y;UJsmK`ZnZPGLS{ferkC*wmm`nTu2xvt30UUK57qwJ>wkM>CxYn(M@ z0>yhiZT+CCtNdb}ob_LG+iw?)xUXO7eZ2qY#^q-7nze6LXnwhN<Z<?`eNkzP=TEbI zu|8FgEn{YTW7ISkbKb|^4;7=_3_|ugJk)7ypXK(*`(ffO7hRs~M$(J7oH>}GTWsid zdeV;dXVba(O?vdp<ufG@27j$N9LXfVMNl&@t4cWj-M!03((AWoT2(Ag)!UV!)ux|m zpZ$30hrq)XKl@gcpV{-*@8yK%y;e^iweVG1taHv;yTDmfCUp9({JH9{GWHbmy_(QE z+3LtOE2}4u*6~$VtZ>$}344C;zUZAm_s87-*{xC@FXgkWSn8}7_hLf(Z>uRsY-PP3 zc1e8<{i|C#^RM}ooGY%I3!K|!VlFF+hnJo>%qZ)X)XBGWL&V(b`j@t`vc2o~Jbyi* zcc;~pgg(BVGuArGrM(OgO1|2m-@p9Dgw~T*Dan)gEN856)>C^iq5Y%Plp_|hUWv=3 zK0f`DC!4f7Q#Q$Z>8b6{4t12e$ZjeOY?rwcro8XP-38~^;y>RDKQH`mQu$0hsiRi1 zn>K{C=d8J?$iBMt#8EBTPaA^TCq*oD*3|i*`cqrl@@VN}mUA_UCIWN*F8cGZVyR+d zwjf{AYeBxQzk+<%f|X><^4u(B?sOIG*dhDyLxK9CiAU~oxO06!Gu5x~+#D9)2X!sY z4;)*XA0@UNKD3ylz4y2vAG^PjjGmvnh0U9u0+o&WhbA71D_$CHk?(iNE5W~|Iib9z zIVrs5@S$%U?Y+5zeC*arGJ4zGEN;w^d+6~fp+&jX`I*=D!h>^K<{o&+!G3Te2m8^B z9PO>if_&1)m1X$+Tr6Vd^b}NBq^>hy7eC7;KK%?E|MatMD(hzOYR0VnW9Odw;Iltd z@*-cB#oGJVUz#N}L*%flfn{5_?%AXrIz1f^HyP@-oj&+QLQmW{X@||3o`+sZVLahn zn-@!WB!!d&<(SP9KJ02>-qxKI$1}b4r(ukl*pULu2#Lc_lQMXww<j9Lc=Gf=OuD`J z1=}VABP&g_VqH)ROzN=fMxD0PhdxQ@NoyzVkXh67Fsb{wgdYEDP?JsM@YF-IUa)O4 zG_}$+JH58|p;CnSVb_gzZKn^lftqZ|J7jV|EilWr(}$<M@G3PhyY(a~W=rSWiHm(b zeLBpR8hq@2A6~m$_J2d7@48(pi@k%Z|KHbXGyk{XRW(<@^h|$4AO2jP+&_U=<xg)g zy*p#w)6L5{H*dV%a`QyuAu~6Ve}SSkF{fp6zy3MTVrF_;>)^3x@5BB!u23_GQWfqA zTH?6TabZ-%`heUu!7KQ#X@!Wd7G2eD%4OQQk;}BzuxZxnr>2HZ3x#?@R){<nS=IW` z(e&{Cd#CDuS-30|;t5@`=Cei!ceCiKUPrF02OU~pB{evGy$~N(%(*6D1&g+*)={n2 zti(>Its7$&T16}ih}8*OAvcXJbXn@G%D;ZWD_DX>wT@P_W+iqxZ8eNsXf<O=K&(&5 z3OThCTC4Bta!pNG?X>j9vVfayK?`r)SQBvbSm454H&zDNzRA+#jos>7d2j9Pq8x`c zzUi}~g>J;kU03nguzI6O2wS3A<J&BU4QoGEhu-I7o)*FMcUFj%<9{{Hh3D$_m7Q{b z(2(H%n1A&?{f22}SJ%Fb*=5(*%XTVi@ki^>{o%{DZj}t15<JPRAoP;PI*(bcmwW4D zzP5|%8vJ{AQJ`sWZ+*~LcdbL)E?Bt~cubZ%YR9{AL%eg2%mo2<cGDwA3wb{l_&86p zSf!{b6FA{e#osVzj(eFLN2_@^epvRAe|4R`#}D@t>?WQ{2M;X!v@=*t*dlOd>}joo zuB~2$o(rRP=sa&onlOjiO#Z~8UgM$?_Vm<?M=c}26vT;5w$SX>jNvHV_bcX?_rJpT z=gzLp$+)c8bk@pcqi6e#S!OLiPWAjfdp(=8B>$2^Q=XN}#qf5WFPyzLu1EMxj7@^3 zmNZE&Y+SxZ-5`#a<Jd~ZqY<VX4BRSnx?XzSJ!G=<+&3Msy9Y&<zDwE^^xeR7rMh9@ z%H;+N_o(o`>V5g@*SXR+f1+{@v2=gg(cAln+jmBS<Ky{Zwc&?+eYg5@?zv>tB)zax z?}*TjmF+Cszf5@4Cl|G2-C6#l-nyGVIGxV1xo*UMe#@Do!MdM6xSXC;u{KpRCV1}g zxzSQLKfT??CwS+oVpp|Q%ENtpH!Bu6^U1xM(Cu#Z<l)9Etd*6P>(`$&Nv_M7D0rks zX=eHYk>aaU{!U!yy&!z@JfSaU0bTRhvKG2u?qB^+#pUL&4K`Zp8+Khh!WkxMd6Y|e z`-Y%Lx^JUy-s;IcpZ#;o{v#(ir%72JHB#QbA?8_cZ)Q~9Wy_$+(=GF_+*I>1)HdM~ z5t~!<@vZpV#d1P5mz=xKS7j{p&N!F-usGXy+uE7yw_R`9RmLE==Bi>>snwBf-xsn@ z>yK#IYG8L_;-@uL7O$e>&YL`m_R3yw`)6`Z;>3jV-Iq?SR8rdzxlkx4XoZNhs8)3P z3HHS|RJ+B>PA%${-Si@1ug<O;dfjr{P9_OOry92ECcT^er}`h))CW1cFCCrLFzZ0* zRG$J@)!>-0lVW<BeIA8=3!^O72js>Ctl-nr3K3r}x~g58%e3<~*VME2Z@r$ghezH| zR*1bjYyH#ZcjIhTZY*z*y>xVj`PT_+*-nK{KbiK!O?mQD>qol2Tr(ducrClJev<AR z;ioZ8ZzmP5+S9^&qStcH^fxWH&wG|Vx_#cO?9|(I6>IrxMHad@b@TQs+|<2aw{fM3 zOTk{V4dVOODdzZw$sJ-j#O5oT<h)GHwkujH>EX7v8#@*&^5tc9bf-%_dbqAl<zt+4 z(2oTQtuvDsw`~XvaMm!(?r4o%I4xP_@&ozQg+b~w;`W&dUlN|NoQ>u4RL#IMA+N;J zG)kp|r|fFZ^tyXAWa+!aZ9)D?eL?<-c`GibW~iP1zwYES;fmGHEcsJ3=BHNAyl&<4 zVb#m?tLj1=|INPkQ+oXkv6V~LZZticej(R)X=wX{#0kE$Z=cS#Vl9(>lcCj{%yj;0 z=^?+^6uWz-b&bupPOPnrxs<wZ?b10v*FJrD|NDnY>Kh!yIH#RZI+_%@WrN0*&s<wy z=9|Z@VmxSVx}ahmTh3=utHv7#&V=l{<@q3Bho#n%V%gb!2A;wbA8b%l(sY@#qSK?$ zMR=l^lHUcFEd?RM7qwjG$YjOT=bt^_;1n*uzMbXqmkCL0<f0@NwVNG#v9S4~)QkB^ zi@!`rSRxnHbHSPW@Yf5+3~WmbwyZ2!IOFgkhkcHhIIek1MK`rSi-~`HeZ}=vDNUwY zGn2M@ZQG!A<zem42|K4|Ic#v^)tI)ru{AYlrj8D8sq4DZb)MJLi(j5Ao%xMZ#yGL* zv6@fep%&daQ;c5S=kR+lOG+Rnl(|bgE8$^LhS5jIY4#CYy;p8N+ZVL_#^NiwZ*=EB zsdIl^e?`Ra%FVM`P6qDI8qcyiT7OC<B~NR!m~lO3*8M{*`=!F#Id;7ga5b0RbRo7q zr$*0zGgHw8=c(oO4`!@XWHB~rN!ryG5wSqgOf0LT*>#nW;i0Vc%lQPqTvF`1XO*(B zpYP_2)y{msrq1X2@LSn>`JdgD-%ly~IH>JdKS@(=MG80n>A!oG%%2HWEV;rdr(N2m z?sfN2@VfLHuePt7`u_2yEynkKu0C*NmNs(P`?1^R_JgfyQU&`Tria>Jd30{=OSYJ` z>9Ye5PCB@PEzBoy?ZFppVY?^2Szf%p@hgwu&nJ0@K~=(pWo-KxpK7n(`_+E!ug1Pl za}E1GzuolUL{iVAiq_@P$ILoPkCsm|-JyI{^uE`$*RQsPggd^O{-iM^yyfaui<Rp* z*025;6kB-H|M|2j<w0v!&kl;6=n(sM;wvqOzq_myuZkv?nmQKlaf<cLS+VMucx&X6 z_;o+j9sl2XANJS#|9O_S>HpOv0{_iUO8J@3z4%o9ZHd@_w-3(vbYJh-wEy1>*8M3L zdVIQmxxvCItX+(%Gt~?>{xO%%J6#`a^J~+W?XBTY_vh}>`TzET>(6}g^QY^pE5iO+ zcP@XrKe*yksv)-++cdsZ)ek#THgd#G|G(@-@VAH;vHxznt^ahNuWH)=vWtuVY;S+} zbpPZRVgIaK&6n!jkO-NSYsNnHzuJ$OQ%$}fSN_@F+W&NaZjHwOw-0iE=8L~SRbO2Z zZ#AX);L=^?7q@>|-mbXRezHW=FXPt4o%vyZ#r{vWzinh1%_rb<x^DHW?cI$mVJuE8 zr<oPIQX2)L!&#lorm;BjiLpEBiLpA#iLp6(>Tt9K&4@oKG5d{H$JZ5=jRFVnICLas zC>)V86-YWO#j&xCw<Y2-o70*?X2olJ8UwguwIw$jEN+Uhuf80^DOkd3&}G;-q4i?p zgx<i$3C$B5Cv*!oPH2DF7$9_*X`#rk5Tmr@G}evx%vA)GBxE=OdAM3`WD0ZKln~&s zd?C-Vu}+Mm@<q7ij^>S18@g{!ZD_Zg>L9dQt5GB@tv=}M@`voI*;1Rg{yVjKYW=k2 zujV~$+uRMLHNs}`=EQ&MoxjP`B|YQG&Wzpyfh{f;8vA+*B2N5W^UA%c**@duwEWYi z;@f$DYH&N=o*(-6Mvmu${T*Kf{_szf>-ukaDfwZ2*OwbVetYyc*LQr`@#DR+t=zxe z9{xh{u2n%l@)yr)T2vciloRngU&)r`f9aC;NBg_JMEuZKwl%YIuaZeow$)qX(a$dR z(zD5>D#*jdw6&El@Z^TZKlVLe1pcs3l<TUOeYx?&^@(!Q|Lc|<Ke)f^%Z?wbJ^I_@ zU8*MiIPKxjW#5&>B`v#nQeyCRekEI$da0KSe@yo1Z?*6Ha^pwglH&*cm2CC0lx^ke zq(WQytXv~w{=`l>dbnOH)$@^k_ogKu=KtUGN<GT^oVn_TXVMq-nzr8m(Y<QE+fJby zV#nPI6#9A}CCJQfbuP>?<2%05(n9F?v!r^N+3mH3Ii7ENlf4uDd$ui#5LY%d+xxaJ zS^0+Oakq`eeP<8#S(r)Retbhlr$4#aP_OUo;Tsm)RE{0lxYWSPEjltnUfIy>e{WZ^ zvV`PuH$$bqvxh!dm`NXgbVG)xH@VnQrSI(F2Nv5@*p6;odcoW+`sNJ*WkWN^Z=K1? zFJzCqUDWP7>vZ12O#1Jm8#j&_^_@L>$HI)CIqAx}=3c`XJ+q?)m7u}YBhw_-iEOKT zygT&1Sj?a7Nc-TEa{qiLW&iBmVjq5z#r}WX)pC}b|9@TOpK9gUJEiCU)YWNIbDHgw z<)&3m6xwld4GW9;&%Ye39uHQw3Ea6R&~;oY>EXn-8x<=Q`Q$P>x_?VOdN{95r7+w% zXvgApGF{oPG;-ATu2W>GHfc$k-xhIWy`mXkMn`kA)T2ie+A1v8D0<3VoFLM-O8fXB z-BJ;=QrXJ_O^>CVHikRv%()^Uz1-x;!A#!5LN{ldIaepBoXhm!dVY0k^5ae`uG!jp z85{>)c^B?jq9~@9-O(#7^(bL`+m0QJ6y?^f;(qmDs??5(m27fxD;$N+UsY&LKl^82 zFh@w-%&89j`4R?><!%36x`lt(`^<PyZ=TpyZ<NUWe>LarAMrjn4*vh2%v^TyXoL~} z|IM80AM<@ITI)@&Xt|nS-guMYXTI-@2j*%%AGa=ikhAB_uU`3ApVJ=J8wW`LpWkTp zqn`K5(f`Q{<o@L|`#$<_bV1~w|I1w!t)IH@U4F{_+>!a<mi!OVv#Xq$HY>@nY*v;L zDOQpZJLYbY!_!k>F+==efua4Ohl!3Y%AKu(eIjBrwdW?Svg9n2)9EV^(CI7)(CICR zh)6rPqE$1kx%XsRbF*h!b9ZN2b3136vykzbHj%Wt$H&>2qh0FnpWxj0UD(<6lk~d# zCej>r*K-#9(A|9S(EAy@W_7o73O>kgK6q4q7O&jCL^G9-?`QIA{xMGW4X(L9gTdPH z-_Dy3AN0?#b=7B@Mf^}smeUQ9+}WEiy|dZgph(=Hh<|_n6VE^MCNBS=`%*1!!sp1x z_MHU+e~w==O+7gA!1_fxEcMc<3s>}QirBDN$LycdsYeU+PCZhRP5l@kpX#|t@zf-z z-5tM{|L9i|N=)}sJLUA@wFdW5@jx+dU%{PQCv+9u@Nlz`sSxB7&ucl{Ed0>pQNEIl z=ATcuL+_tT$+o(l`s%gu+@I?O<Dav1KBx>h^=qB@B9{+p-{-EnzuF`6-+}kRp2`)| z9{*mw=-SNGHJ#7b7w?jcjsBSx?P#_A+3l<gYrlS9Ro9;Uk0*-Bzo=2+;A5Hkx^)sM znp?uIn|#{7W{Xxz)4sJevyVQ}zw~li$-0s`EWcJTI=&D4cR-l=CQH@S|7tHHPlYZ_ zs$yx*xqtQ7@6gcJ1@VggMF$NI-j!KZH@!W2)$5wWhc`HUQ25>+y8k_Q>C~9A%&9I- z=Tp<8kN)%&<Fxz5-)J~d_Ji}!{HE_qwVuYRHI^S#ICwkg-sjd$clcX5--$*k2v>Bq z)Za?ZS;%_G=%8lgGx_BfYbSA@6M1ELSl{fEziCe{cN^z1)<b`kV;(yvHgPr@{9kwJ zR=|veN`*Iu`*cLqBBHoHub$Q8&(+GQ#&+n>?Q(CY#3t9=y3J`^*DYrzCPpYk$cR)3 zX&+`fxGQMZpIhq2HGD0VE$`koCpN`38mzyyZ=r$Xeuo*?UoLm3+T*vI&0_uKe#UdJ zx0E-0&ae2&*?P0{-m`wenUDGx3eC;lX#T#Rol&!QZDqX;N5;%&-}aojG5^2g)$dMA zEbs5zwNdx1^>apB6^j+^BJE!;97?p^QmAr%{_4;JhgRNRwVb7&XUe0EjZqaz3(V$i z`=maVdCNMh__>+KF7By+75>GiShufJ_d-OwdJ?zEwXG>pmvUU{=8I1{w^aH^|6RK$ z&c5Cem+N@c-~4~`E!ZM4DD&3&hgoV1m%Y8TtaVNJD@9jx+btJj`E$QyaEBJM{__2; zbcaFFtKhhU<~hj~t=w!`kArq(dalf@-r72A+7I8`n|(7FuWfmML`=i{?b?FNlY%ba zJNJ8Xfv0$VedQ9}DG3jjPjA2dWAZDbLfM!MZrRm;nom1x&3&5am8d9iiP?U!&4p*@ zFY^38J=5j+ET4T_4KFvfKb4DT`J*n;pE7Z7{-hf}So5C!On#rZk<I_KXlUSTqqiS* z^q(wRu>C>hPPXm^>w=3XZe1q*agj|&$BfI<e+KEcX}GUHr5-DoTDULtgyH|QYH`oI zi!%b&9-RG2GHS~Hl;VaZfnTPYaNDY$bu0HQ{;)FiN=(fJ6aM$Ax}D*zuD-6Pm)?H7 zwqn9H8NHV5c~frJyX*-4DdA$^CZ_4;t+aZ3;KOg<k4p9MY~R2B)3^8f&lX+zmwfoG zf6F&{xxD`j&q^Y8{Pmu)c;Ulu&+Sj{5X!3$%J{GN@SFY$Qwf=MUWab~FWVu@k^g`5 zq_l_gmfYN-pUEfZF{?X$(zAzcOH>O@@BFKA$?9iJS{C`6|BQIJ+n;kB@tkk<b*FMM z9o)Cge#Nge&ky$7_Jjv;d}|Te=Wt6?gT>m3D_$j6T%;*iu~mP{HZHD%(<U4e_nyt# znh?A}pvHE)p^iWf$FYz0v(4QDIG(kM%sZp!sJKHksN&>uZmxqp6ApQo8ZY@^bVop> z>9u03{+9KeTnDdCIJCc}*foGdk6rZie}@GN1Y&qJ_bdr!X-z2dc&KWtrNQ#tnJfQs zag$Sl*^&>pER;2JoP~ob{>~8*X%bd!y?(yXQPDyy=to-0-UR}0_%#3gc4KF4eNf`@ z@avtWwGoOh#6oi7&fBuI<`y_}t$)tg<aEJ)$%m?a!Zy3kzW$l#@$hSne*nk3c9FRA z{4A{x5<MP%{o@h9AqNuhXK8(q2~rUqz%h^A-*Mht@x;alsR0jWR*H&o#Idu^n`)le zn7gK#>HLY^8xEK~b8IyK{$j&{w4DnMNdI<@P>2xKiG3cE%M=`anCqa4<H8ww8e(Zv zwmmxfJ-kOFm%DrYIte+hU>@#PT^?QC;J@>)eVWdqV&HO#gUwsYHQ#fyfybpBo+&2m zwYR!9FS4IFMc@C7PHX|kDVEJ%+V8vGoLAmF)#{*3>$&q&PkPNwnCJBT`H`BXej6MX ze&*X5uu@nj=*6CDy|7m--Xd1-*LY90I%w2-&ia|a(sNP43qR*sg{&0jnLN8S{CUAt z9|I@9qx0q6wMv@;x#so<E*7=QpK9nexA$;S*^dY2eyYMf(^KdDV43P;5IC)p*)QlM zOMA-9ewE!JM$xJ#jPm!`YRybouk(4??d6j=zik&|m#f=lZg~H|1_y%`CQU!`XLv5C z*YGh|utng^FHLc?^k}c{&kYgHXO|wWba>kR*>~yD%82y`k6miB;CYq3Vd0ibIuos$ zzD{!6=yyqH%~TWVZk4kKvpkD8dR?+vGi8fP*c82)Q%ve@ax>06$-ez?Q$*^`TPD+{ zn^~$()L${Z({nTH*Py`Dn;9K1X^45xYBimdmOLTi_s>~=KTDQL@*lbNo$<Bh4s9`o z^IQe?F%5_G-p1`v7AemxKP<a&kN0ljb6%e756&wH^J*w<x%*H^@Sb3qr_@nD&&?a0 zFXiM+H(|f6a`vd5XYy|qPwz)nJCr8`xbKiy=f3Z|_v2X+{d<&SL?@@oKI~etv9BQV zl7^n=tk%bq(jL!=_`S5RAZX3sBKHbSv4-*%;ia*K4_oKY{Tvzg;+*6?*|h0;cRV}H z|96@F6PVhzX<@qK%UKJKNY07cwMew-pIG%2qu6@JD;tZo8Y^@EWQyvhcb*S_Hru*l z-}21M`cJBt8Qnknu_Pt>BM;}^g-;w_MmfG<Rb}@!j{fjhC5PwU$_qc&l<X*|*I8ly z?8lTU7uFwlYPOj8w%iXa@=@I!7m`&MzwZ3AAE)QBP0=e4bJ_NMf@@dZri_L8o;hbt z%%@CSn|#|_Y4-oriAg;=Q4-hpMa0DO=%li*Vx0Z;N<-2HsR)ThY-Vk%8k-Z}b}ygG z-xO*Q<9y?mr?ZAzR!3{#+wR(v>PshA)%<?s5-*fC)ha3Q!~2&>PQUN3`<34N_D0>_ zr{$~uRVG_I8SXqfJ?71SQ0pS=iMeQZ-nNC0w$Ex7x?S?|_NjeWrsSS`vU%sZvpbYC zb$6W2H#OEx7G1Bh*>m&0bsL4PxBmRGSRsddiK2+HtCQEtvzG*#Zb~^Bw)-c~DOtBV zRWwBB4QJgXF0F$n!^{LkLq5*?*>){I?C-RGBhED|SUAF_O+Izu(&`&R5l62_v0mNZ zy|3;4xl@TvSN}|^v5eW-zi(DQ`y{^&%eF=wD9Zg9EmEWKK1i!-sa$ut{xhy61<}pN ze`+joJ5~7X<ISZGe<~Yg*+2g*J-6Z5DlzWUrqPo&=Y+*8tgKwtCp;-N@?eQ#WROQ$ z*93--ljrKJw7Kc|hbz@F_iOwe8$%rd9}Z5F#*c}O32yV=?&)S)Ej{go={|9O4VLSN zxxAk(TOe>p>-x$cYYs>8Dn^9A^T>G-5zi^0qkmlU%=xFRCVJDd7r9jY{qoSef5R`; zD(|qk%)OuLqrS!lrq1rZ^~x>h$z`V*wViTL>q4Tmr+g}kvv63rb{A)*qK$s6s`mNQ zpRX0f)oh(H-Pb9O<NWFLeJ3?LTE*<6^Zer#EQEz3g6Hyavn)TQJ5P7pkKIMtVj@l3 z6I)e3y9IE>O+WwVfwA&zQLTg1x}++yQdT>u-Uxfg@snj+I1`KYpG%)lec0Z}ne(`R zV+c#rTg!Q;yAE7aZaQ>LsmZC(BS1$+Ohnq9<><jc$HGFN02>+cDJp&(UR>&jTNm6A z+_vGAy{ZPwa@N*IJqsc%r2TFjI=3MqIXt3ZgSF0%8^U5XZ+N*q-*9tlzWJVhY14uE zqIEZwJXrLjeoT;<BD6z4ljXZg@1u1~B6lcfn(eC7%;MYSIjh?^D29K&if(8Bq-lrP zH)e>Oca_dQ_<fSw2fs@i_q=Acnm<z1u@P34_IEvbFgLL1W5g628=<8ta$P}O{C~Cv zS8r24@$qR$b(pMi(Y`5%b~c4fK9KV7p6)mC>?NLmI`zM)=l?g<{iE}&-q>K*(eFRz z&&vL2TldsY_oSxGpGmqdKg>;T9Q>IO{bQD^FR!%Gxj!+@9OqsM9JQ3)RPgV44);>0 zohH$ZAD+9U{n>ic(ZKl7!D)$teB7J={Q?a{S#A0kT)V$xgMdxXrU09cO%Xf(cgu(V z-T0q(vJn5%<Tvq>1_vdC)6V7}$~pT#JZBqk;ym+zhHJR(!-bo=rJM?5oONRUS>FET zb>zHzljMeP;!%DU@ynl2`TVsiuh8zTc+L*Jw~DKzZ!Y?i(7wT`z$ZYXkArLWN|vL? z6de<dr!Lr#7#nb7hg?Y3ttjinbapfA=~Hf%T|Ij?v+UHnpcO2?MYLw05Lwmwm+R`W zM!uW}+N)cSON*BZnKrdfa@*(tS)KP;<?O*T6Q<?n-Jf-QVP8(B+_kKq-8Zc_iAF|# zlb^msLcAwFJT`o~cS4+dpz;j;Or86a(h?Ugxf!7XVm?dqJnkTU?W2zV+Y@TfHytu7 zI_<=C`;0C>H;cAYXUdH&Hc<gLH1#w@l39-)vT)pJ7#ASJ!!182DK%ol1}&W%5wc=3 zJ{;V7ZSAc5%7>hUln*;`Ep}qM-Sg$WfDi{8n?Jvrih)-EhZ{H7Q3=P524Mj?J;EaF zj}Nmimk<%t;o;*pYinU;cRt+IotSv=@P`c(j&y98;PgG<+>gm6!cNopGT(5A#aKmm z>pHqy-R?Ro`-3A!<W_3&%>A$L?Ax_gd3LycNs#ciXRnVe&t~;ZXy@Cwbw6KV&ATJ) z$)d0B%vg8+@hZJlt;elWl4tW--dLq69lblNqcv74>2b2UU8<Ic;&*dXLD@SOT}rqF z^D~up?O3ZQSC-`=G~Yy}^>@~#Ne<KZ2Maf;zdDthSer1JPcY|-Vpm@M@;A)7OYI7L z#jhR;mff_`v0Y}(^(nI+O>B#ZSf^-qZ}sd=OK-5R%T}_gSkW2rhS}UiFxKXR0RL=L zmCkjuzWF?iTz+89`T3s~=*_mZFPRd`Ew6et`JQ(Wm)z&LkQID-9$Nc9)&wmKniH@> zj87{>db7x?=8Ka~<;Q#vULjH|x~kQV>#AFR>#GH;SN!r{=NrC4<g&=B)|FgWkBPLt zO4zjI*M6zM6(WyCSG96-T|MT~`YK`4l27ciX&NEho&>BAOVbXKE*4qUymQhi`6&@= z0yL*-gm8O{uIf$Yx_Xeo^Qr%}lE4)#cSW>Z%UiP+wmWUT7`)Kxh3d*biT$8ykj)ih zMpKg(9Li3d;I!2+Vxg6U(#k)a3l?%sO_<@dRAOC#Zco4pGdHae_Qfhw?T;0CEEJLn zTalx_EI?N#bcI=;W(fOn)v5h~%SE&fX1012x-E>dSRIf%CwK+lId3iboqF0KP1;;j zA2m2_-Qc?LR>Z;p**ikNXZ~+_p>^@Kz3Q&0g+XfqSBPEH3Xy&-va0#+q*LxYZMmi< ztan;^V|l>MxbTIyBo+rmMtgicaD3lvuBi{&oR;ob7oZyxyuxgqRtS5z%GCaj>7rT( zRa?CZ0~bc^SP+nF6TX7aj`eE%^VQOSRtw&?u37);w&T?Q1;(-Mxd;EUUZ1_GbmlJ} z<!I|a8(#fZ71}W)^09s5&V765-HOOwz;`Wk<$s60jY2s_0bOU=vXWOfTHRQ-bdUU7 zvw$XBHm{A>9dh582e7kmneu&&GMksdc?V53NsZ{U5-XZlMxA>8B$Ca`;I@P2Gl><g zJK0WMb76axuy5KY%bJOeLONyv+qM}7Y&&Kcu<e>*0Q>2!Q_laK&NJmL1MieaQyZfy z(iWJ>Nv~*jk2-ao!=HD`gPn~*dkg}&zw=%>=FMFCA<FybtVhj_LVFAYx{}$l9`0zo zWs$gm&o4_ezIl#uK+|V7ufl^4H~TI+<jR-^uum_&QqT8iO{35p!+@^KY*`PdG~TMn zU%+=SQ*-`WIVp{U%*;z8QWuDYNv-IWWqXy-I_=ZWoMnwdXAA<mUb1B+&T70hBWD30 zU$*A_mt~R~2mP3rM&vFK+a|rDSC{Qog7a_rkXnD&pBpy1@#ns|?99$yI${3J_AeKX zG1-=Em|)Kz(Uh|@V#n=E))n?ER|tIxULi7HL^I;Yih$gb*B^d|hN_y*KK_pH{<>db znsdCjFZ)~}wI}oHth^U@_DQ~0UCVmfs%HNyYt`QRC2M!B+cwSq*v<8eg#>tln7a;U zB|P*jXpCX`^X+T;Qoj$L6V?AuT%^~f{G(rNZs_rZ-vOo@3Zi&(<^(abU%ztTsI%#Z z4WV=Is(f4J$aU9xRaVQR#h(PGyk(xM8Q64JmU(tRgGa)~HUXcD0$nGik`gDiZBSj@ zW-()_qTaKNj`p2Wla3VfdOmE~Rish2qOsL9D<OHal!e7IHod-8jqS==6OJUBdOU2{ z6dv(ofld95C9AL8ELnXec2DRlIXUgGDskFhMSf{>O?cX$>Jw6OU#DInIi;XmhJmx> zszB3IDW{E2&No`tE1HF6b~Im=dX&`LRuQpU(R0n^2_n;0X}2deNJYF@#Aasq`S_#M z2Mg9)wRPKNJy<whO68(6Z_o?PrdzM3)kN#{?mO5nY_VdsXM!`kr^*e9Ig;H<(ZQM_ zO_5wvleRgfHcxTdY8bxIYKD4xPts(as2R%LIf`oCe9KNO>R!3&MdB<SHN#l3;2DZf z?ZSVvvUNGT?wT22>EyqW_eXSERflqVcCKmtbvLH#NAE?cu-r8%)X>X%v|;Uxwi_1r z6$|<|xy;&Bnz`-tCB-IfE0@AZ=q9<)6&w0qsfNX9pN`ItRC%G?{YGY*EBEvx-*Qqb zUuaoNe_ZDLpin+OXZp(Xk0(xXP-_g|<nbX$>}FcLn6AxqSLyRAhO-aUM5;t6bc=YW zTs)K%xn-k=$mdeYGh(Y%;-?>VD*Tk0y>j)r<%Xs|t_bemd|GVAWtHB9Duatn(+)&M zszj)Fi@Z*~c!(`>%f>)4*_=s5E{4vRblyxix#q2U_MoO`abeUYn>iD=sGReP;(G3~ zR<%)glG_Gf9hv%H56d<Paw{Fwja;%rvs*0h#G+pAO)nl~6(~RM7P-ANChCS}rdgTi ztY-G0D!q5!v)ZdCO*<0n>HW~J{XjGC+siv^o?k1A{8rx=xxGfZ=9&AEHDz(^^ScFh z_J*s?Ia17=w)W?_f`WS{`92qO_ZU1>i7VsMVXBGI`8@Z*tqn{Z@7UC*FeC*2SpQz6 z<-xYL0Go?T(jKmCyRl=b=h=g2A5^V!(s;MhJNe-}tG3?XuO!yIU&(koY*l0X?pMhw zA3bE1TaSAkusUpPnqazSjc(nf_~2_|_LtXZaU8Yw%@Vtp-O;N(>x#^t&}s8|8TGF$ zO;ql-YU`c;N&>u-@OsvRhr51Bc<u>2?(s19_<_(l-_A-1acxh?IG!K&H>`NpwI$Lw zs%F+N+9{Cm`iWP=T3>BO?%CaqCB?B!clYbCbLf4Pw-R9zS+2#%tsWilb$6>n`u|#) zaPE&W{|{JmYkp!lxX!B~sa!Q7(ckcae1*Fq<NpKJ+KgS1QyW@m)-9O$<GAGu^#|-6 ze4$Jt?VI<9f3<FPNH6P>)inC=vAfIjhr3iPXF$)dNz+g9i+GiuT7I_mmCaN2N9-Ja z;Y=dWwHd=qk2ch=nRk@sPjc+H><j!GmgcJ_Je(6hw{F4a|3*_jw^p>i+7sciF<|a? zEyga#Ru`_TH`hyi;NP=qMnnCBsZ$xEtwNZ@p8Zn&#yt0L`B9rMnd<`fH~$s+%W!am zSHoPhI=w#(x1+^6>mSG+W%<MWeJzW|uW%-@s^5)#t+Vz%TmFolV_i6th`AOcH-9(d ze~aQS&L78jK7D?GKR{+Zi;m3K>}&ib-{NDpY!_G`uvwi;Q!MLSg{nj08li+v5$1o5 z{3601`1kA;S`ZP)84>aB`Wkr+nICVa*GGN5JMEUwuHxKU=TfWb>&{-iw$1x`-Nd|O z%h%sHpt_;0#8_E=|1Zz0^VvH7hkc5P_TIO0>%yIDH5(cKCzuHEm`F#c8%gWz`BlC6 z;IYN~|97vN&&IPeXPR}^=AAOX+o!6{&78mPaQpgyt6rVw-6pn8<AjO%hwvA5U#ExK zPoDer>d#ll61v{+`xPE)Z~jxub6;rm?9FF`R^{*ebv<-{$A&PWI@z4vvtpL5Ys_Vu zxma@jzF(VH$xrpj-L`V&uAS?at=+nER_;d0=lfZYpI-lO&#U82%VYK*mG60cEZly@ zuX!1##DXjST?I`QA6QlrJkjoVS8gzC)R}viA1r)*>23Drvp-|DO$>W(^z~*;`zx9M ztw$#(%x3ldnG?Ls?CycGJ!}6(AOCpc#hIo1bG*e*^}5IZ-SbMFD?Qgc+3xa@_>4nb zeeLnAR#Pus-M7oIk-ad7<@@6sH<Wby&K|n^AX0Ke>Z?s>PIgte=O>sR<k{Z5R?NWU zStak?ODW3tZ(N$>eLdYuY}KD<;h?$eoX6g+IqdsaO<yhTT6oI$ch}mFsp$))pKhA5 z>Y?oCpILJCULXFfD!3|X{B!mE(7yrO%tFg^R=&G3V{KaVn<X0D2ajlQA7xpqI(^-` zWZsDferRwX+@ZmJG@|sOx3b%Y56M?-7hB%GHRtR$gTonH7cPx0HMX8SBQd0)V2#AB zBVw{YMQ^9F)kub|U;N+k3J2SN=G%JhTUq@6xe0Olom4uS8M&pvO)PiLR9E)rb;{2= zLYGhR=6<Yl_E?2yv4P{I7@sL7{F7C5J2n5iT?u;e)BoYYRi^QC^Rsp5Ih4Mv*=2Tq zS&!f$&gg=vR`oZp#aqa7Z#!@#sH{x9%JJaMFvBk#e%7UHbK(+%3w^DoA9#9y1?NFg z-i13>DT=Mj?C5<h_2@xc+YXBbigIOt)C`Va-TLX)df(|?TY~v-vN`kLY;)$n$>zeJ z`{wW2{#E~WFulG#EBo`zoMKJy-##-E_Hzd3{68xnQoFfn_RToAfE#Vk%t7sgfW+OK z*Rysmn5_|5BC5L0MO;;S{?RA0HA1T3&7JIlUXS)_EdAi8!GC))r`oIo(Sb@8%3dPt zT~8iT4%}4eJw@h@n5uZW%gMvBfnJa1X)G;>*WkY$!ll-&>*mt=V4+6f9T8RTe%F)7 zgaeB{giMLC5mx0t@2c4;zG%uJ?}avIeOzkRjb9hJ7=%pGXzQHR`fyQ7a*Kwggr=9C zTj!+qi;JclkqGok><Ex!Kh83F@&SuLB?*16oSO<>VrpHJdOa6CN!X;ZbB2<a+^>!x zp;#Bq)^$#mF*4#lc}#a*Iv4EL2>c?T%5B?v#WqG{ib_?TY*^5XkEIDr>6=4KbF+=B z{;F-5^fbxpdf(daOFNJ0+)n&%7SMG5znOtomr3K({hVtoRp%Ti{<k$;H@&+~d3i<V zlbpxdPt4+^cQ%{5y?eBFVs%COlbjo-pG3CR=B|iq5?%Hx^{V}`DK_2q*G_02lib<* z(Je2zX`=Ovj3;_(k~`Z^y3IS1q3oZyYGsvX*sN94ed{j!oZLLKVAsUpGX_Q6#=Uor z=_r>Q+&&S@V^+k!*Y|32VrW6DN|N+y-x~*|gv&NwYq4Ep{7_}u%mOZHo@6Q4c;}RB zucUovBuwTE&M`~mj`q26EKIm;!`YVDGscPhmwhZcU#iVH#N#|S>P33TnQ5`czB3Z0 zaR#3;OXM#0xp6E;xXj>cOY9l*M1F1`i_TWHIfs;-=iYje-*IMIUAOOygig+29n(a! zjk7lNR<})T)|Gxg%OLvE><#U=)#e=07501h?D{XOQiB5ldfWc&N%WYl>$-ln!BJD; zZ3S0ba$^h=*{A#7II1d~*6l9r_o#_;dBL54<$7#VucqiWewV(KcKRW+nor?{7F`*m zMCo?l8wUl2%L=cw*vc3`RQWcufJ>dH^i|@7g?@Wk{G={@<M5kla5PhRTfwoG+&QL+ z?9Y8}9IX`o_Tgg7<R2-AG;7SRWC}I&md^57aN1tdz%g8S*^9J8V!LK<=#^7@^PqX* z4NvjCJ{xZuoo|`^BKeT!m%r+@bC(%h3s}CA<(K3oKfy2NiCyAtNy~L?3=*aJeQz98 z7B2gEqs7+7@S)1QSp{6@_wmJ8ixp&B-S3%q(7OJP!o4%z*G(6%-*9vN*=MsZzJGn- zYqZ`rld$V4#X4vI#n-QYbvtp=>ep9#;^JBJs>Q!Nn!6>9=Z{sv->nC3&AKcbeQ7HX z->+Z&s{|VU%&RbN;|Tmyz4YwWKYd}wZCqc2nsU$D$*HAm47v0$p8efKqrEG4ZM2)6 z{V1cQBkS~6<4>;(4$j)F(|z;XOBwAi8VhGdZJK#4$GG3r^@OwcHq-N8OAp<cl%JEh zH85iv%Qb0@gKP_LD9$?IC#-fO^^nMJ-y4VIgtu+H-Xg1GnkZiEd*iT;uwT+X&gB~p z1S}Vk=AC#`FK;2Iu#Qn;*HN{c#7@rJGm;PSO`Ex)`>EQS#8yuAjh9-2BT`ocbI;}h zsolycyv8uG>-eFiM+CNQ_$|+S<CvUq*@o*av2TnM`G5ObbTX^WIdri8PkGPY^cgat zyB7S6-+ca@^}XuN#@4%kr~K%c?;6S&<rP}G+Uvp{!!t{N8+ki3KYn-T-LVtW6DF{8 zO0s;4bK>(nQLXr7uk#^J$qC23lKfM`rW!q}iBI|a!tMO;I>Ewo;_7{;Pw3b#x0B}H zloVF@o9kR|SfO>-meY+&Zwohg{Fc$W#Ms_la$=&AY~dCKZN}MLw-Qf0W0Kyu?7*=c z-3^?#|3zPAKXL4v>Ed%6#aFu}8?U=!zHI%&Ehg8OGkcys%)j{{SH_=E_vB*dWar<L z(;j4{UG1*leLem(%a_bt^Yh&;XN#2*r<-2od|h{V#k#$F4Q2OU-xpz;5W2TMrA_YW zj6`?CWuHTOj(Z)Q(Y8jS*jO|<Z%LBUwiC;i9=Y)9{Mpq#hYgPI;0f<J{<`a<<boLu zf?bB*u}5dHMt{9+es`Jw^XNELH_@v0i>>!kd*0lxF5l;7w(VDGOV<C@=NbyHu3wSL zB=_#{H2d|lzLcC!+gG_UtL*C8)0dB(y?Xgr-qd}p7tc%;U%hO-@7~$+udCj#+`HBP zxxIJJs(Fu3Ir2%bzJGfq->cgfKLx}V9~Ry#Z6)Ws{@$6kSLQaWoQe})uZ};tic8!6 z?b?^Gt>r`ai$=(PTo9fxH|WQ!zQ(OyopQBGx^+8!URIZ6PkFuc|C_3V9}d6D2|v2! zs{N6yr$4qG>)W>L-dWAWP`m!A*F%jm*C>QN^NxM^bA#wlo|1j#m(6QSH!j}0b>qf` zM|y5K^2vvo-(`2?_4O_ND!W%cKP7Ts)6|A9@lU0`<<+$;z4|`Jwj(pIX35gU<#T5q zoPIhk$+Q2_8J3Oig?impBF{H&*!BFyXOH=x?)PQo<mnl%*rmS4h_6}nv5||RoOAq| zD3N7qvPx|?FC_lx4n4iyFCuA;gKS;Z!DV{ptgi~a7uaoQl`3#wpm?9t<WY6wu|EnI zTIAz5XubKgE7o*#z>dWE2iEY{iLE#t+gNo+c*XhJMz%jn7bes>omBe8ZSst_QSHp8 z_ZyBgSYJ8wD4qLCVqY!Od_glFiOj<j%yT6SPqi5?&YfX!tm$QV(EmG4e4qc@#Q*wo z{NnzKW6SvFb6<IC+*lPOu;P4WBU_Eug$cz>y%yRRW*9U1TKw$^O`Kj?*6O?3Hg*1i zEw?5!m0BtW^gm|$dQ<&EgDey8P0b4(u}r-;buYBombKbGyCrJ2_FM9AmStDpZS{X( zd_2#badWPO(djlp<6Md2+ijfjcAv|u|Mv3KEcztu_&Z*x^)O@P4w)&f#}y-g$V_uR zAQ;&qGu8Eo`lb#`z3vua{ZQS+pow*&3ujK&5A_w-{?WOuZQ7S?qxm+Itsix6`_ge) zKlH4Zwnc6F?xj0V`WT+)_{6z<-@3hTJJZhX3%RRsOWyPUqPWhtGt+_=^8Gdzf4?N{ z$zOe@G6{{u>5M|(Ug#&zuM;x+yFb8gbC39n$i3WGbZ)U`U3<l3>Rr+}YwAvi<UUT5 z#1Hi=^BCXum(;U9|7RTf{!V!3sj90!TVL-8*M6e-?i$z1=g*@QdH;OzxvH~SYstcm zt4!>#@l|!mXR%-XT>Ewb+rFx0S>;Q#R&3nke|~QIs%Lv5W9u%@xqUw5Y~^Og*!;!C zuV=0@O$_zPp1<qcvt7q`{Peln=XA`xY)gT&rqj)9GfmHxek~9_v^9Ozy(#NAz3r8K z>#HmK^!;{|ukSAJZqA<?@+DVKf9bxJe(AsN|JrqJ)z{3Y-X-(*g&3bm^m?l>edX3> zn`Kw|K2?5Sy2>>2*Zp-b_eZ{quXQWt3lDi-^mFE_)*X@T+qch*nf>l_(6bqS_jU^X z-u25aG-lSHbCY6pHt=cBU-@cd^zSL<i>>(Q1mB$*uHC-#s%-IAuialNXKwjYxn<o- z<=9K+Q*X_lIo~#Yd-1Hw?>~F8R@dM8^0~Ku(yEy^ousbLxYr$W_QbQL(L3Xe-`fXo z_0X~|w!6GxcBOvyt+SK%-gz~9=7*YNC0|VaZy)C0J|nrVYF!rFg<H=%cYVoTC_Zof zE4j=1-%4tKKIqXoBjPKTHcgG&IB;_7dBaE+nW?Rk{3(KkJAUmp%YFGh%S&d{jKiAU zJ8SX``}K96eO`K$NiS08$)=-9>q6?8&Hipnzh1uXmq}Pz=`#D^tvu_wGU|=~>ZLxP z-gqg0o9*ZPs=wT(>t1`?sTJHZ{=e?}{xIg%`vg*wmfHn?Exoek^XpqYSy^k$|G&K) z@jv7JvR{46uI=-E+v~G(UeA{AwI}8n`hIVF&iZD<x1X!{7D`XQp;**DSE=0c_nafP zW!)d`s$JwhYz*<4K2_*jgks|Sr$T%YY7Zxv3iaJkdN^aPkl&54yL&@6ywsAqbmsll zDTme1hnMP0h9-S1o>d&N#A<r$s?QM%tmgk*#XaNuoFLZewNY<vzGa_!-mr|x?sCWp zleH3p!KR|duECs>=dXUX!RpqlS+_6iI$rkoUnh5Ul}qa1uYQfCGeQz#)x;wDw{x#K zAI)l(kkZI2`N!w#jHp!Wc{#Z$9$&pSFJ8OT^sN79<+Fc2++KD3%g0}RzmC8DeP!!3 zi74Ct7ti<(ySy`sc_O#I`_6OTiR?WBl_wUwYOT87Y`&+;<89TGHrw#6d@t*6sD-|t zU2329p5sdDCI{PP99Pm;IWR8^&6h8;^>BWBsp`$fh3Ai(@!ZHhJi$LlV&nNX!}&K| zy(GRnegEDzOHSMN>bH<i?fQ$hRbdl6@5y#s)}$LwfB%2cs}jGTCGmgOui79o)A{=! zyYpwbzJ34lOI!5X@iV$-5B+mAzq5Sr;_|=A{?k4lWN)r^j}BgX;`l$K^D=4?XU^Qw zO}mxe7JBMW=TVt&k!PM>i7yM?{&3-!^A$5J-|s&Ebi&~|Sy$rjUkN+DB)#~BqS~`S z+x+K&%!fa(n8;cA#o+Ph7lOy0UR>OBcJaNQlZ*dPzgYQ2V)4AUS)Q7&eg{PP=KbBK z^{#O99nFtvUl(c?N&L(S7uy}sc~z`9BGu5?dBcpEauH|F@al+tXgumPEm9|`?P$`p z2%W^jeOY?SpAuhMyNDG>WEf6o75h9R-*A4V8260qjT0=zx@Y8WoDnJJJ!9tjS;wV+ z8mvF^T&y_a`)!-z$ZW%YQ?buKFC;Op))m`yDoIdVS8Vg4B+k>HbFV!AT4VZ0Nd5`` zSJ`QiXHr)lwYj%_#`&afR-H`|C!D%nbv8ttsp(cdb2?o2!P=UuO+v+=+u4@YZ<=vB zr@QjZrWxmbx>?U`h&UnC?JBb|;!I+<s?4cy-IUmUS&5y^Kb@W1nZ=54<{S3c{`7WP zbJm{CF#D6n!^1LFCwSy`7TZ4AU|xRm%k%E-QD+jnkH(lqpGls4w8kt-C!zVM)3s=w zN4nidc{WEJx=?d9#_nAE%((oHqcUvKXHq8|wPA}oliqNY=~uMQlKDrK>P~K*((bdq z{C*PW_fO`R&YbzQ+<5Q3t$!9SyZ-xTlAe9!naA$kKmR0bY<RCL_G#`>rFRiJ&$b;E z%6q!{+2_E0S<9~Po@syOOO?6Kv!c66a^<?uKF=%dZFnihU3oo8@Uo7W@zo^G;7`0p z3E_5UzEn-tO-uVHRy;Gsu)kC6bLH(M#>2W|MhBAwAM1)4pG)FQ{v67Eb0)v>-g^?= zmOa~MoYv^B+%t2(%aJ~tuAB4RId2!O*mvZFO_9XJ-6?xte(kfHo6dK9-Z|M<pWOcC zq|KY>ZfF0m-ZJIbo<BRC_?`tGS!iR#>@TM(Q@JbK_0olq$f`f<te36by2-ylp<m|P z^NFYT*?hV&)!okevqpluTx-th#T{!aMLr*Vzw7k9YguBEIqTS7O54ufbRhBZ`(1V1 zi<rFDoDyuGYWZlBlDo~c=N;##$#CwNxOd0tHk}U<^I4ajKGoDv8Y!^Jj9ckiisPB~ z$O#*)n4`R8>I=>XKPY(g^3|s0`CTz51l!LS9eMg$=Hm|~_nLiYCN`|!BwlVglT~i{ zrUTDQ#8~E>Y?|;lQlL<eJIU^BQ_E-n|5xMo?s7;r{=Rzim1`eV#8)%V%Xo6{{jPbh zj<xl*&&yexo&WsZ`(0*pcvjbMS+{fN#+9~zjqU7DAL^O8$$)ve&Y2gQ+{P`Zl%7n} zQDWPp&^04fzod3vu<9lIiwCd!pFZ|<Qj(3H_ObNMNlalHYAH*Tl)^OC(n`PI_3M2p zDYpN@*2-B;)1I|g+h5wgcHJKLT`yYKosaD`x>>Pg<;J4&vy#>3n>YO4ex>K#pS^!s z@7_*)`99IqHtwZmd&z#2`Ts6nxfJZ{KXt~fy@pqg$}Ic4e%;*Gys)G`URkx97gFc( zp0&EwX2+c)vEf3SVt0<j#v5&h-Z?WiTv@gB^lq`wGx7|Ln>R%q_$|ggGjU_bOflot zwcV;`&TTCDojvoYjNOUQb$fJwoQ{vUuyDTY%S-p$<d}0_7++{(Y|fD|y3r=+oFid; zrH%9Ony6hm+h-i->i)TAOT+=K?$$kd8#{L2{k<$!=h?iYLhsTiy<W0?^^p%gzNapH zSy6xS>lxby)nkA4XIu4%uQ<)pShYtr!1$+V#sQbcwlks`M+_T}$%tnh;@o{Gtt!L0 zU(oD}Wai-p^IQp|Q*DBab0v(AwWaNv*Z#7(<Wd{o@=srN?7il5UwOE~A$Fh0isRu- zUw3L;XjsoGRphxqa5}40vFifP@V8vM=WJVeTvGbioUMrmET!9OvJQ8AHtX4$aJc3C zrVEu?wcGY>TzGi<&8lgMo&7AYHpDGR%hC?$?`8UWQ{_U#Stj0_N&%b21TxN;HLB%o z*M3uUwoUGO?u+8XZD~i74o~3E%}9H0))Se1xTUmmhF$dP+!q^+<IjKET<WlZkDc{Z zk;ekLcGg$LE(;jLH}5T+*|tajLWd+%?@Y}LEs-}rF8IWGMbDaBZg=jB&Bxmq<!>9L ztmjp>+n9L9PFn5Fd8h9yzucTPSGR^s_F2m7$Trn`!Yd9NHSVfW2-wUdy5c-nW7`^` zj3Zf%vz{)F<($6L?8}UF=lT9|n-dN&SsSG+<W&~CX^`kCx2*qIl(f~F)8Q-sWbg>D zI9$-UYmZ()zd6e*W2Xg-%B)hKmN_W9ahjxUaS%RsL$vJYi8i^{xi2>B*C$_cPhKM{ zX>_7ZusK)4_(&V4^X3cHTD2x@w=N{cY@3%Fk<{fNyNvrv>PiRObDUS62RF9G2xJ^d zXX^cVXI;zb3h64J4GYhodn4<Sc;I$f$_W=~H=j+3ho0E@$oJ~!zW5Nj&+DoC<6RE2 zc^p@sE_1NW<Gk{Gn}c(mNX8L=Ceyp?VmYUGn|=9ltIclrr;~oOf2Ud-Jz2x6yl-RT zp{+V!=6gkdOOv+BIT^N+$2&)4#o@ZfT{2=Tjte&ak`c`~!r6Fi&X(@io36IW-OhQj z*?RUhk+wG*m6h$z9o~{UZ`;DdGiw}A>lR;spZjV<^a4G1)~rdY0mcrTCQob|-E>4V z&h*@mo?0N8;oR?NW>P$*hU@Y)%Oia}l39m463u)nY`L3@PR~hoZohd`YieRAKkKUv zZVU9XSzm4RTVN;8X_E9N{+3DFo%pDurI9Ju4<>Kqtv#1|dDfu`Ps>t{oU-wWes<)~ z)yva<`t4irblT1M1$^5#eSTt8x>Glxe?IH0;_wB6=B!f22lUpRPLZzi*|_lh&zen_ zo|zr*>zOgTHF0Mu@0Nv!7vw~p+HfSVkxfQC<49zq+MLb$&y0_>J*(W9ct*N%#=W=a zb6;#QtN;1w?!SNq&n^nAIL*{J%iVc_-D_5<4UP*0rCFsm2QT2XezR()&X$G8g`|J! zY)w4jCGECmQ{tIdIz6Y(rmp5aD=@SDW$n*1ZG6u+f7Nj|w&S?+aDqcDAJ>(~4Gy*6 zxJ{DQJ0#m}>wf)dC9f@Cs{9U-UozVgPe}g$&~Z6ZV*2G>>im~^>gLDJSa#vz?i~4` zHonc9O0|Nge`k4Rcz55l)3U##<lHw;^;&p-qmIw1r}f&^=a$6$`+4)6Wh-Ct=EEM6 zvpOd`#M+6iIPUm+i(YX~#;?;gftNq|*RHL4ZFP5p=Elvx|K8Jkwn4f2n3wnu=WX*e zO|~CtQ(I{EWk;^_eEl4Wg2Qc!*K;zKZ8z)LnRK}2^xb@xa>wa!!_L~8MW0EZe3a=} zgwB(FrM(^1V%<BlH@3_#(#dt6uJi0e>AIq)b}3Hp%BIe~S|PowXY<125z@bSHY6U% zkZ$YQlz3#eS&wAq;TFN2KFf4}X|H~k)|h5EKl9o~#$a7BqoYZJlXb<6PbP8Zr*pks zHeX>%g);x;-=!LxwKSaDn`eePvRb_nS#g@Lu_|Y~|LdXyZF2c3^L`qAk^C6-Y{}C# z)_Z2}4Vk&iM|j2YD{r=HZO=&g7B#i{%QD_tx75Vc{PZonwZ~EtQzLCow%NT;Nlcx& z>0X<i^rj6)`FAG1WWRiOr_f)cx2yMbpU|H<ap4)SoD<8GTlw;DUU(`iT{UO>!k6s1 z5(OvQ6z|_Mc*HJ!EN4^Vp>=24r)!qX%)ff)@!T`*3pGE_%-PtWDaJiBKUO(u<I$wB zD4j&#zNP1{=5<@XIUBl8cdxPcCjZo>OZ~SmJgp^NwPxGGOnWnvz4gn)^$t(i4(Zo# z&YfYPUoL*B{f+SIXL84No)zCoVqC5xW@K@B|5BZ#9Y>SCMd>7_sR_pIv@QHM^%dV@ zCht9yXYLNkIIx?k_lJ?O|LO}Z-7|Tnt~}2r-L@xHTstjEZkg}1%QcawbDyRC`}I`% z&t3=RI+2V+=YJ+GEq}6#_w45E!!uq-&Un{8&Ek6(tL(Wn?SMAbZK;=|mNB-oN)>r5 z5d6(DYlGqJ!>8`$+e@>3*`9dfw^`54q{A(1D`(h!PXEN|@&3Wf8m3d_1+M#kJhk2t zw?J`!%KL=p=iX*Cs`Z?|KjX{3bDO!PHymhF<j$4Ye5Q?4J|!{L{@d!DSnKT`^(WRe zXqh~4Z*2Rca$!a_lkblCk}pnO>DhCWC8W%6`OOP9x6FDf?zb5l=Va`fX5$lmps$AM z)+H91bN5ym@meTdn6Q|s*HZC9%j7aa8@AgQ(r558x7{{KYT-RAbEAzjKP@r!esQ%K zV{G)qn~$bv9#Cs+%MpWCQr!;<54PEL=SXb0(I$90N8)oMukf_ziMN>8_RZ4H*vZQC zU*3$jB6fjdK8HzKi-WM+KmOGma_#?iv(~cRoXFUmD`9w~&Coe_hJg;Z_V3BxH_c5* zt^bs_EwbdDf8XhAD~s!k_Bc4JahN>PZJc$rKUQzE*_Olu8q#e&+Y--6NUQanJ-mhW z&m4aTE3Q9N{2eMqetg@1+vLG42j_L%CXd=2g!9s(9a75+7scLac>m_a1Z}gPomq!l z@;7bR^z=uv8RJyl<MNw0H4Y2er*y|JOUn>gAt}Wo^>MR<@Vi@2KkZCC+;H2BXJ_)^ z8K=#Be%SG!{@w7CWr^;C-8D?7bRV3}Vb;6cz|SJJDQ1D7Hp{FHM%A0OtLJ@xbBOKE z_JuQxZWt_^Uwf|QUu48h*}aq3W^-K*REWN0#2cY@VFE8x?@f&hExu)fHeoj}q;KVA zw%d|;L`wSDnyrb4c7Y=4EjW_ioDtt&vpJp9<cVzKF(0vvLn6C5<Ywo-*nG5&F*;Xb z<JmUD+qp9~D06H7KD~Vtr^iCi13ft(Pn#qxb8uGUyt2lLb(X<#y{6+K(z9kR;63Ye zp-u2|uEghgyu$a+AKt=RGuhu^C#%jm@%?w0rg500taM1e#%+@5_N{X5n`P&>o=&~S zWX3Zi|8NJZSx;of;TG5bi>sc-M)I8d_rCI(fqL|*DN|=;I=82qmHa%=CU-hFL+q{@ zPekV7387{^5xIwF@aN9haBS7m(=pwZXEx6`U(-F)b>`7Cv(6+5cI$|3zLCV~U8Ivc zGh6rB29xlepKh3(YU5j+`{L6wUR$+W7t$y3GT+;ncp^pG&1YlcnGk6;pUqh>jgBSB z<wu@LZ#sHr&6Om@$2ww$*OJoS<rx|mpWkrm)6dv$OP}*0>&|%1*|6}ir}VBln-(6= zl>RkmW8#5G>9#qW6OSZHADgp1@eu3kX?pJ?&pfW}{<$Z2V}rl0SkaLrL3drT;xkE{ z$BWnexw+%0jNSRrb$`yR*}m{FoAj=nEensUN&m{(mUuu+x-DnxLdlc48E!?=vnJkI zwe+;O*ykUYlI-Fmbsj7_>a=fL#1Yl*qi?oE9CDWoyIByCZrC0>^VHPH&StZc8L7_G z<^L^bwKcnSA$>A0v)OHflx|+-ZMO{4X7dVf`+vShH?}O=^!tru!}<NXVjHd}DbA13 zNt%B&>07kULx;Yp-wzd~`pus?cju|8^Jg-fl~`mrPj@!^Vv*xKU)jv$w3c++nT?4@ zVx*6q*_?Q&X7x0^-8#=U-cGWU))D)#@u<_cD4j>b-ACVSjyUv>XLZg+oeeV%AM$x> zWu6gs>q6pG-q^6)7aluH|5~##@j#Sx+nUXZN8HU!CMQX&z1f)ca?^<<xo+KOn~x+h zdh3d9I+G;0SyycHog_}}qBVQ6Hq1D@9qg?4xi1Q?w&~g5y6{+C`q!VF!wtL5cy^{A z?)Yui^YdsM=U=1wpO#*DIxDoe|FziXorxP8W{Yv}Ox@UVTdaF$^2V0;Mr$^$ylNl( z?9{w#4QHP3oEsgLZfvUO++J)}^7BfY-1FQQ#aG%G=ifAVQY`IOlX!TBe(sD9Q$vfV zbBlepNHd)OSd7~uapQ!^V%-+08)sY=^R}3r{L;AiViI5cbFJ{Yw0&pR#GbzJP+NLe z%$9}6ubX|@nR2+{v>DINByhX^=dm`<`)Sv1XqN0uxq9dErlU3MB6Jcq9d*)+xZd&O zOp@XJXq|`MJgak<<2KJY{MF~_sWnIBq^)XloTu+K`|{&Ro89jmi4FJL6xnkm3NN%7 zw&%<!xUp*KY2NP2J6mR)*X?G#vn}F;Y`1Go`o<Z`V%|6ACciY^d^(Abxp=C!y>WVO zMwPMjtb1qL<ic}b7+ZZVn3i}zLb|PIYvK_L>0>?H6A!icNc%559k}}0GV{$dUY^xS zt1|AMdGAaToV%Ilb&a8U@Uu_<)bi7{!qZfj-M;Y9R(jW-eCK{ivoA9<4>v@b@knMI z?vOVs-_4U;w<YnAf7sbrwJ6i?Gg1uam+OcboJvv*))6zjnq+ucXWECnzNz-tPfxY1 zO?&qY6ozcii-POY+HPD(UBPSHcJo5|4qoQC?TII(&3Ysf56_rr=2LNb)y~tltDnhz z*M0W6`zX_|$miTI^EY-digo|IXBB^F!T+0Ixu5QxZGGv#={DP#@c2%H?mzagu04Ds zzq>BiV}oj+NM`$C*IR3RySE%)r}TF7au4=5dY2TfyGxGrDamf$?x9{2G$DApF)RCS z)o-Gi?SEZwJ$0D)?Z*y}-*dDtF_w3goOr7wTe#Ze_#K@~oaS6buL^fPNLYX5tJ2$o zwH~``qL<k2)4XIC-d%EFs*-HcP7n7OtxJaS;xAjeSuMX>h8Apyf3#ZZ?T6HfWj_~r zRM*5UskPI-#3<fXa^k0w?8i*UFeX2-8xw?C%^p=W_F9G|2>1Ql_}ahy=C_q?$Cm9< z_x4#U`PkrXe{4OAcdw@5^0Ens@7!|K`<%sId1k%rlg$gt0uCIy<*3-5#qO%JPFBQt zcUiz8Yt#2#r+1tBr?|CwZf%xPyT(^kxw~w_@h7(&?Yy(tS##FQifme27I5U+El0!X zEOynLb(P^Z%dXo@l#DjJ%2#wF!umw2^IL`fuUngI>{iK&Y*<$oa3XqZv(mL|d|i>j z)*25F&niFqeD5rEqs5nB-E!nxoyER$%^KM!8yA&LIRELEBcuEkKCZ|xYmKDLvoUOY z;*Hf(+|JJEm}#1vly<epbH=CR>+I_^kC;ro@uyws{fCqCyZ$Yi^TInvo3G$Tl+1%o zODzsOKKzJb{??<6jM-hxPGREgE^D;-I)1LOP)MvzTo(IHY~F#MFzGI4pZO6o4>ql| zIFKA$*l>Q|qlO0kZf2)_8hjl;_E;!9+x)1Zg}Zg8S@yO=!ZQS4)D$)xUVfBO?|B!q z%{ejlA9FPMI4oji1d3K!C_G9jbU4%bsG;R?>&$OAgDaSfSIKDe6<9>cJSbXXao}-5 zVZ-@_j~W`9k1{H<cQGq{6Mv?eP`;4=X6Os$GO=GXWLxbLf6M<1U0=WI*Qto0q!j)| zGWY%*-v93F`7GC|6}#0F)4tuEY%tx^mh*GPa`i;J$<;z)220fw6@#mV#0=M}CmQB| zRnd9)Rql@V^gvsSnUa-l-&M{eM))6!Y5S&f=5g=5mY;iWPu|cl)s~Yx^5WzT9ZzjJ zyMHcFPvksZRj}U1?fZk2v^P<=CL6S?+Hw|0-kEIB?`q5WIr7Hj4GpZeoZOO^CU5BY zY0KF?^ZMisEjw*@gxe)o6&M-+I{VUd#^E>fT6Ug!<vHW{nRzWg&%E%Q5&8a$iq4b0 z^IBYe-aD2tAN!`Dl@i0hNa@&j1+6qE{zXD=e-<X#Ui%W_eZNmgye?k**uy{Xxy2Sw zoguV5i`{b03fU)@{_~qQ+tjV7RhuuL&CdEK#9HIY^IMLB<=N~<@2r-cQn3G7Y~jx> z&pOX|{PcdS&|YUMoac7(efIp5$qsLgfAXx66){**7I5OhEl0uoSM(~*Jm1<Zv~NXa zxXrn%HWMX<_pgzC@*(uC!u0N~%{Kei$v!DwSr%~M=`Baa@GN%Mn6<JgVb?%9)>eks zoV#W-QS$G)t9(T};;c_Rb$hGOKYMF)P2N>Lt{t(~8c+P*F6ell^~~sj&)FFhl1-D9 z`mXnMT1FbHJ^b?if8_f56-T!$Nxsu-FXBF9_pkQ)d#~k1U8n4b(LVC<b(ErB^1le> zBgqkK1?E58>ckYcUR3Cl+u8{of?J)E+^%tXR($S1`m=mzm~wHNigf5>t27zuSnVTg zzGk&n^sE(qWV|lS<G_z7MMdGPR+l@gr%s;{z12x5?|R06x%<~FIwd#1yTVoYV_jIs zOxG)1hQ_<26w~6uwU3;6mDM`KGIp(i@#58@LdBcII%b}|dSd^1b5p-0x8?C!turcP zdf8Ilmi^o6bVdfG{oqwD!_V8J6w|(iX{#i8^v+X$kkxyqttM1i=}}T|#|&>%C82eH z9=`wb>-E!)Woq9S?rLjWw(Q!mZD#v^f4%?i>-AL??yUEJmR^syGug4S{B!bK*;3is z+unDkwI0@u+;L}0>v7)5A2I92*5>NU>VDPr&0M)Iw0qmJU&rqCn{QkC==Pcn)6=K7 zO$(_>?(W;PBTDy{^la%@w{A=QSNY4Z{&&A)S=TX>*=}$C#$J#2KhyDL?za1(PYq1h z*1mcrpM3uPs-S!Kw(q?<<JmOz8*@3=f0%ZBM^NmdzV_GE=HK5H+>-v^a=NJ?xbWBY z*!NM(7OmX6_Fv()^8Z)QPWij4+&Ne|{{ODm{s+xW?ZfVWiAXjUum8(m_4h4TR^^iN z+FNe7=2xxwG<$LLyi0QqKUn@Ras7Vf-9q`VE+tOUi*<W_DY-|l_Sh?<ggJW7$6gyH zHR&bayOet9cGkC;wiV}+SG=leTd9|@<CRlfc<d4BRo#*ct&Ai7sNY<=KH5K|&pUqi zyd^4Y|NQzI{@-&dpLprZPh0hD^<G|jzDbXH-3y~9tMtwm#Z5Q7|H|m$o>`I8%decN z@(G)J-t_AnM)45wPcvUB*@efR5ntu~BWTT@$!4pCu9v<ncx+#~cSgo(A$!q?_W9gv zPTysEUAX?hubvvO?ToLva!$CjnkCgX_TCIg5H{;NA9-`u#)$Kqj_bz$x^pe{fNX4A z&GOS7?@J{=H|q)4T}inmn0sl`gl{ghxBi*Bdyy^cwTF+HUT@ldpsFS)q4t~TjfTIh zW=|X%do3aolKDh$v>dKdirx0&Qu1oO+HEh55_ao3m%T7bTCSH|_R=VEt<L59XY>nQ zrt`T!{WCSSQ<C*sVp?PA%+LheH1UY}maJw8d5yd?!xNOBiQkx^$!eCkaGuBX<XvAP z7I@EJxr=AUveOgFOC=3sryIV1Y4otxcUkRarC2MSYoEg3%?=ZfXy@l%b9xrj>p~B? zm#40svFBbhvr#O<m|b^6npjlAS(_~f)Ox14H=LT!8?h+;X7WnC+G#J19x%tY>0D1e z!WDaL&6U(cT9M24JLFx9DH77!Kg-VRT>SI(%l&mWr0t7KI9s#jz%0qk#;-Gj5}22X z-I$QcYWC<ZleF>P18RGgt6y5<Uz&Ms`7V>!!LhTxuGBmGD`a|F?W)r=7J`I8ZvDNh zWX8g$>+hJy{_o#qYW#yKd)ZRfYiGQ<*UX$D7GZ3xyCE$rD&g#%EeDRpXl+O<`z3$X zW!IT2o8NqFu3Hkh`PHR0k)@f}*6cFL4vU>-x>`?o-ASXrs?M7YE-#Ioe)6aGX`$vP z+rqZ-HFK{yJ%Q=<$FN3I;mb_fY0Frz`S^0LnK@f5!q`%G1Ly9iY?Grlw_M$v6SiU3 zm!0cRH^i4nUh>xuaH)?y#&aR{kORoIa<Q{YXHMH+biZWDfmxC@ji#3`F}*I_bKut; zjSY<I+&L#!GD#QiI&f@`<_6C6uP(Dx*XW()3Y(tBxY~Pj-PNU$`(9mI6IUv^Idpp3 zTdmVy#Ff40TwZ#3dC|X9mwX;@ubFvJJi=I4cSBlSRKnRKTMo>cnb~L>e3?nwaP@&% zCo>yOHwW8J)YC4>4BN2FggYX3*4Nc~XFr8bPy4#+^bFQrCfVU2M`pnsxn<`8s~pV@ zdb^*R#@?)|`8>b$_2=A1-W_2H&g;Z)bV#$BJxgo!-SKt)Rgg>PrS@;#^(Auo>4yFi z$xU(71*J<QHwRAVoSicBpHX_}?INL5`?XKWTGppVKB{Xh{jv1Gt}nlh?^b=$-(bhg zm2=<#leE!_18zKe8w{Pfat>Xv4;Fs;Y3{t#{`aLXKR4?!*Ih|H;lHbA$EwpacJK1p zvHawge5qxsif?D1%hFwR$6Qu_1D`tAn$stlUKg%9@N16V2F7%*oD=()qzl&_I93yt zAbjq1WZBL2-u=wGzF4j}-Qc{7$8yc-4&_}vma9&;ByaMmXWV*(HP)&|?fH5g?-<Pu zX|@pwvCmGOue@1xMtg(ZXYQN>O-$08HXLx%(b{0x&7E^d=;ubCwWps~O|#v1Vd?ql zyLf(hO;;2zmHfC>FIn%U(Zfw@m-l6^oYt}>KWZ5$jjq+)z?aRv=JYP6*B=8LzedI< zFq?_on9$2=mK5CBdow0Mc-xE4lAjLK<xZEr-0U=+QM**q$bGut>JrJ#QPVl)PZ|AP zdQ(Wa^yP<LbM|l2F<f`Rsz-l=o-o&%<I$|wp1)<1HrR8Z%|~m4;Cqo9GfuLaC3em8 zm~LM3($HzTopPz<hh=)sZZD0Jw&*1vdtsEg2IRa|da}zhe`<gCo&99vnjG1Elf!dU zUw^7?{Q6_zfnR?j5}50>HYnPQ-{{!QYW6Iy(RYV_ecjZk>E&N_s(r36Jzu?xry_p3 zqH&3&VeoXr%_TDpqNjZf&b<GndrnJ;)tAY)y(6bd#3lId4@)?EI4a@n<*0<SkE0UK zevV2w%N(6>RyaE0ta5b1S!3<<>OrqB#ZQ-GE_rDjFrBgd%WQF@2z#TX4!z{IS4N35 z)GqIPslC=A^L~j_oz!Wp>77kRAD-Re={=2ewfE+xt4kvfyt=d|zErX(43yrzH&<L; z8oB$F(ch;jpv-<a6qGQNCj415ZS7%p)@!Mijb9@I6Kcc6ZZw3lnx&LB_Wsy#;Mf|? z4V>9e)6KT(-G34J?MK*jyXz&A1+mi=%}XQ;gQpvAFPT{oJvsCK7C&#NTjsS(K(U^y zzk$!1Yt89%Os_YtKJe>}-Uh~MuACFcS<RC28+(5)IiR*@z51opy?VB0FD|7o)?=Rb z(kNxKp7ORAMro_{gtz@oe>wN#7vEh%k3*juIND{t^76U7^ziOoB{SD~_kS;WY3w(h z(YQp?D0sTy<`PNc=;@rrSKjR0v(&18d+2lHz*5G^A>yC<UMZ=q)=TSpCA3US?`_+& zRp%1tP1;hVZu0g1>Pu^Wmt<Zu+GUb089QrhkKWlRx9MrRi@i5bySOy+^ovVtQvdCp zW;^|7R+_EYigW1;UonZT)Jxg;N@>~(y|k6Dg#0@5x9@lv&h2$Z&^UM+U-AFFSJJ~% zI#XG%ooVM<Gm~F5!dPBwLz-Pk!r7V?2WI^YXf*x2kSY6_GpkwRl6fA}D|daFvEF;W z<}RLy6{jb-?&^tHb9#pAE}w{1C$}i?`oDZt$&aW0Q$dwwVpQ4b+KV5UUVo};{93X2 zz^@*S4UFHpPRV>qJGfDBF;~u+7fiDa+|F<EwvVm)a((G}hFCV9E2$?GV%>PIrJfOp zRpXhOYV~BQ#A@&M!lHktHXUhVdR?&Tz^*x38|0RAuQ@-HNxER$fwno?8wBTz-I(#2 z)$C!@Jdf!gcYT?$z<d7o63GwS^qkdR86{29OTKq8^-v0^z)as&@+0PS{LcJcQ3<m9 zG&kto7mMi6X1(^js*!g`V1jd=*o}^8R<mbijlMe;t6zG`9a~ki(tG~;63GIm>5B2M zjUG+XOV)dB^sr0k@_Ml;H`aQ$mx3L)jp_A<y$5!^(byno&AsOQIwt82+YYq7(cB;? zFMeajURJY*!nPCj<X>J&-m6z@_QEJ(v7WQpOQWRCddb^f7$vR-<?s1=vfr{n`TPDU z<0+d;X9On1rin%LN3vc^FKgtT5uD)sOzcKSC97FlU88S=g1<xX>mrBqn~poi{*t+r zdLS{jP3B_ik-*qvGM7^i6-F+L*VWh<;OF#{TTExt=kRH&VWJW3S6Qz;J<Rla<FW(4 z*641)XcE2ZEQws>-LJaqOXRB44c|*8KQ-zpPkU{Y)~Y8QmSyyI_OX|j5+{JFMUzbj ztoB4E#JY(_^j~DXmhRKYD-oUG%qDiD<0GqCnp2~1g}48b(+ROvJQtUq4~S*sxtw~U zAl7Zqy3;evOJ*88@?W}lMTv;c$8E1<)K01U#&&)$dAY%7y595BmxYeg?dHEUdQcqO zR<rPQhkmK#=c#(adaG_0&s<{FUmo(@II@&6I7Hkiv{dkNi1_DquY{Iq>%DE;7dH2> zs%qv_zZc8&Wb<BLdb&-|_T7t1&#hzGYSy2gAYUT+aj{-<-KEq+=d-@W=&e5Yxa(ET zx>b4)q_4KttlHI)y{dcXx?L^Oi@e>p3zdgHFL3aQx;fKyo}TQt7nhzU$5y?$y7avI zE}k39Pfz%}tLMg&(=(cP`B*GTJD<AwmCd#l=h9cdV%oM+FJ<>DrLq-zY0F;;m1*j| zE#_N&?qSEIEk*N-!>02+FL_xMIOoRnr8B>F%zrXv>C7)LE}f|?ku>s~o_1`pxADV^ zOJ~0S<E~q+=YI7}l}zy5^MzMg=LE!_@Vx3eCn)wz=2g`>g0a7Ny^PNbzK;7Rr73)L z$<(<spTD^Dur_wrnF~vg`^5e_b1C&ePHfwmi>XIqVvn7<oO-Cnv^s6xVk_hGfpcd* zUvchCaY*_z%T?VHOQAv<dT)!xR-8**2y*A=!}S465BKjX`RO@b&i?hK=j-&C-@P<? zvQJOB?&8v!Y%h!!?w@5ly>8X#9UtXQo)-s~GHwqMFN!V|EDsU?JolB*KJnOJXHN(* zANe(PzuYN@jZde<b_(t)kyz<H-MsXrq3?9N>Qc!MoqEpSUK%Cs*GuMmVf1jpEZgah zt3FGtv6`>Aid$mUt_g{&x+T`_nsGgJ`iHhhm+YQTcqPN9?Wz6UGQH$wLD+P?=Or&c zuGFhN_uA+|lb*BP<<uk3clG>qn$8)&>SnR!BCGzzt3F#U+tu)R6}RQWT^*BGb^nYg z<y`JMz3%bGBjKxxcW9`sedD#}!qUTDvAfn>T6#Py_E*h{(+#G(cp_Jy?kL^W6S?Gc z%h#-LG5f;i9(P~$IdaXe2Gv#Ek*jufq^|1z=}^jfT6Fq1OX-m38v;F}cAmev)Vuxe zu9BOJz5BTzZCRvKd+$=}f!n)yX0ABh;km1)(tSGT<fD}iTCrv;XMVl0#%jLqD()Mr zc1_4#)qP{#t{Jkcyl-gk`ZBvmby-KLe*N6ZE0ZprT6ZKT*6Pf~rKe+JtIk|rdcG!> zP3J=D38z>$olB`_l48|#0yjT5@-3Bnzv^82u2)R=f@4qoUe!Hg;jS5+tGs6{-SH{> zL+JAjJ|Oq)RDXAB%F@HNvAg~(^6szuWm@~|wNXNYo-^AkqofIX$!xEU9$J62eHpT= zW<lDu<c?Q0`@+vlerSH>R2LF^#D7)y&&X2Fa@Xl~&Kr*eY~*{oKGVp@C+g-@iN)US z8+VmRF8A*Lxa-Tzm8TmH@8Ypsbh=~lt{%%}r&}I_x`eCGJubcabI-zE4T7t<C0Fk1 zFb@?s_A2FE3<^T^kmm(KASeFxna(%=HA=}Fh+6W_vYj5h>a)dKtNF&OxGh%inozu| z`$tHr;q%bx1s)|Y&H24!LgyYf2M3*0tks&UOHW(H&I)bUtG)KxD4|);+3b~3(qz44 zv)4w6-Lq_`=Z8Ko^ewfE4i(?vRH~>QD!$RH)Npm^^bZRkU9wBx`ATM+CMeC#e{m^s zzFzFN*OwkQ=+*MQGD=wR<L&KDJdz7ecl_Jc^K$v=miQz4Ur+X${x&Pkwrs_@^yROZ z%2w*7Y=5QnZH3;m<g2Q0{_@wa7EistynOz)_^nq>O}0$^@#?x+>5omn{hx(i6iQcl zQ{Z_>FS$N>{;i&%L#(!T;w#RlGx6?FxG>=}ld0?$hvajdCJ%G^%&wGPnQHNWlCP}Z zo+)dKW=`9oli02;RJKzqu|Hqs&F8f(zU(RwCtO#R`PkNy?B-#SxFn!_`uUcG?MG${ zl}V^3PQNJhO+q<w{zD-?3H65)J__~CP<S|FyULso+XBij`?V&lKjOk^?K5eEcRgqI zpC5}FYU?Hy95^q;w^RFJN3~GjPW{BoqWdzg-+j)#@J3x{olw~iM~kiRjU2kZNoXX_ zcNF52(0MpPQK(Ns>*0(<AwLQ2JKr1hTOTYq;>2mKGik%=B+hD`i5t!bakA@7E;zw1 z)EA-ma0aW8Uxelr+b7zJ7CIZf4)Lv4ee-Eyi|sX!8|iCXn6G(Sq_njtt8s7bv{1h= zV?FDvf|pCz?bnK0*n76;Bwv<)h}*@BOs28-^NpUax*+7N^5#QrnaJ9N>5hk1#@-WI zaonTvSC2r(0cj@F!)*@AZQNIE9GHA1W-ZQC^X3s*akwEy?bMbd{X2c8Y<wB0^5#=# zi>;Z*4V?%TnGX|NoUeIWB&}^pzUFbm=jP_mT}Ecj4FToGUF*coCAwV<6)KC=OzamG z`WC78uz_DCXPy?PyUx^tGeVr|I%2QhUG!hEThdjW^SQy|7fzhJbtY^$Uc>qO%;bUt zF`VsZCKepY;XHn3Qo$jgh41Y4a~w<)iB(H%4;Cu3)J*Ib7W!tX_pl*Zh|f~%VTZC% zpQX;j7H6ls%<s4lris{UB(^gPnR)whR==6N;k+9s`<tl+Cyoo5dFyc=e>1J%klw;~ zir-rjjJw`V*>ISTb9U*97Tax}H_}(PFmLm)NZH(?Y{t2@bBFeY8S`0ZeF*-3|Jk|# z<1W5+a(q_1t}kLT&A#~R+@kJTTUWHm`gz}YI;9|MvzX|LnUA@ztZ`+{x&~4a*f?wJ z3Wu{%Aq&!UL7{o}%tOoBRy=ij(NB$Z3%_q?D*F+6NUvPwO`)IF_VbLK>@rgdPAGD^ z%S<acBgm;PBl;>Y&E08(q-($DjfXp0V*NaBJYLdL>*s0lU`vbhIS-3R>WX*MMDFUl zs@G^;xw}TZwRlG0mpui1#$9m}HbkEBzF`9j0te37S0}cdJ>+;uP+T=<*#jZ7;(~?m z?3h8GkDIpPuqfy5n5i3%t8xC;nY>}9t*1rG&KBh`4~w*=Ey7`(rTj1SS!M^8Y;BRX zo3i1w6le9CsT<B)an6q1+~R!A+ajsCCE3i&B5`HF_X%|%$C`WJNbGKj-R5<pXOYmi zn|co$WQF){YCi0U6*4pCSU5>=|DS8i48OC^DtNy=v^m?ovh!DA*_#(Ji{=TecqzE~ zaO!oT()lg2b`v+8j(d1T?>Nh=!jJ`a?krLT5ew2x1T&5}HXf4^$T;**#@9IV(GmyQ zU;it&ol1>*)E=XD>c*Ofs&78cZL!t!y77El3v-^Q#gk<%%6T3Z&vvy4uj4E&f2n@u zF3-CAT+a=fUz-b=&0W)CyUydr^Gz+xdY%?fvN_$?OfNW-#Hp?$^y=NmZh;)O>#ag% z5ekXZe+qq@p`JK@rx4!^<%bh)3iZuUeK=#Lklzf&D|eso11bEj`o_TTkltn0Hy_uv z)GqV1NLbb4yv)NQX;Vw`y@>^fR2IHt1SPp0ep5CazAaQ%>3v8}Smljz+#$yIo)#(n zEy`uy7HQL4gv+=}`FCow%syD!-Xgor`$p>Q7TaxJH`2RXn9aN`QYN=3n|WEJH3yvR zn9nNpd9s6W-5=ky0^jg;-gTd^SN+XTy>e^!){XmK8cy5up}+d?-n>iSRtNoETzYoz z?boxa>@VH9ao~^mzqs}LXI{?Tds|-H(BF`+IR3?|faRXu!AX5BvT6H2lvn*N<(~fI z-*%z$AAV2tw!6MF{BGI#+edt7=8n6?bG6<Xd28)G?!)=}O;y|h#`j(q71w)D@2PM; zWT>n%$Kb=xJAX5Bvevx6d*RB7-{=4SjjLaMYm42llxp`__xojOPx!NPGmXkOKK8Wx zb@u+-ujZ?KpLPft&lheztP{E8%sKHNg_n0p?f6%-?WyI>Y2|N!=3ESl&8)nyb&TiH z&Mnqcr<I2u;!}@1v#o(k@8dmhq4F7eMbo>LzVFa0nlG)yzvJd##{kjt$XkB{Q$)W- z=)3;DGqK=64rlwBNd-rIIA<^PIK=sW|CHVGcXi)i^S(LlxlKmp_Rs94+xL|2oc6qA z>z?;<Laj5mpE~rky{1uH_x<tdn{>~g-L_|bk*jy<(x-pdNVM<Xsl9XRv~vGLeBX<8 z7c_a-s1&v5Dw%sbPOQ!m+<882B74rHuFPu=Lf>v^C(iFzmD%8aNO8AnPS`A=zMGm4 zTV#L!zIgYO*|Vs5zZX2sJt2SP?x$<dqUPPc`f1y9sfgtT7OLFSYkx9@N8P)wpB6oD zdTyn0+!-<5t5c_a-<KqF?{q1D+|sAM(>EO6z0+=ellLCgqIOQDa!LK7eodwCk&2%h zo(37lF7v#RysD-4n5RX;rWWUW6AO;0a31%WQgG-t*mk=L!`q+su<PbW{#)>F+IF?; zpZ2Vqw*84~poPw~*3)M|Ue8Zl_w?t@Y1<XTw}ga8-K#ljaprXF$@N?I>=Y`Kn32sW z8uMt!30XVQou@4)R?iXLd0uiN`<!WAFE@D{k`q^b^Lc6u^S&tsC&Y#Ne#9IyobPGz z(CJ@Y$@V?LJEuLj&!|)f2ReVT?)mpwmCuWL*92R{Olm#-6lC@LtaVRWZ%+H3yDnqp zx-EOMy9#&A+Z_~;S!u5Froi|*lh%$SVH2%;e&h?4OR5$17yl1^WasW8SMY2<*U^%l zs#EVh-qv!~#{UrGeNT%g)tv5crWc$k<5bT%eZT+RwDMp3)1u=(EO@$i>g+Y_cXjhi z*F9y8)y@C&c~OCcI`{OAJ2~qDGAomdw0jJ0e{y@?HSLwx>DenJjBh<#ClVHQ@3&Cd zjE^<jSVIarTb0TqREnk_Rr(&GR5X8~6910r(VbIwN*XP>RDEXBhVwa`>}MtxoQUCc zKQp=DObw^H&Izy!%(rjjmEW>wesT8g4ZEMNJ+*buhSg91&WJu##?>yL^6*ow-Qua! zzMn0=IZZq@`rh0aa1Pim`nW*5eCdiypYptJJao$|U)<!)b8c%7SGj~r(ey^8?-B|{ z^ZzOFznH#zW9qx2SgpOsO*wz>QJkytpp>(nXL`XA1J2`jrt8f#P`UnT(YtBiz1KZ; z<&V1e<&WcC-Tc&bPhIaW&Rv)NS|m+Mh1;0_)b+)eKAlTjcQ<fr;`T@8n+hZT&0hCD zwq)isyS2W1U(b4dwq#p%`KHUEv+f#kTI>Av{rdL(Ayqe9-NJTr*LOxiPvn$c@}k;K z_+GAv(pYv{cI6kj=l^{U*a<J0%+fQx;DiOId(YH@GZLKYJ#|*)>vzq*d%k|!?(ns` z{wCW@x7b{aNxE@)R<Xn^>&MM+w<vZMO3bmIAAD7h?J3v2omb5Q4)HM;eP5ovI(Oy? zUu&VAr-dd~>xk_<uQZWeXG+)0OYw)~{;ItB?7ePhN#54G(+f`6a=PD{T5zV|<s?q| zC+|bccSlUvA0GNPY2T|eN_yqT*k{KUi#|3`FSpyiD)!7d(LKe=Z*n=U&z!kCe_ii} zlS<_k?oae4ySy_Dd}6oRMQ(#_xw)13p)`-B-z(w|*}eC)NZ8fld~a&O5fjeiK2r-0 zP5HC;Ri1cy@!!_yt9s{FoO}3Xe(L!j3EHowB+2+aJNG<vYq#*uGi7<fveP#l-e`aN z-u!jBtNdNwZ3us&C*OVNvBSH6<+;Ic1s^k)c^@sY)Jp7E7W!tX^RU5Lh|f~vVF$BN z-%b68Eww+Zuin+$_UwIV=<XZKtlDLF8r$8_xV2KEJ$C2kpP_Fb&5k*Edbf;f-J}hN z`*;2hKB&5``_98zCt~-B?mWI+>HAKtPYv2ZhOu=MHXQ#h^zEnLA;xkQnWE@Jg4<PO zih~bvnt%GfYIkhRx%;bEl@)rH^2I+t_aj02`JyD5eW&K?ugDUQf5sJeuUX?`Ym2Pi z`MdME^b8+d7b<_DUo^c=>H81W>+vBw&eu+y-CDM8wqEh{^GhyO$4uC8-jb8u=SAJ+ zy<F`)6AO+ca31HGRB-4({PTBLn_J8B&hW3gb@cqI;vbskMz%Lnb}o|GeCym?{WV$Q z_n#Zx-pTlRZj0=@C-3~Pvz-Z@IQyUL6TSD(Z@FG)J5#OneWu>0hVtUf_jek0>Q24) zcv?$so|nagwif4g-WHE$wIuJGT5!l59BRw{T9vO~b?a!zRZE|fTeq-BD@+zmPOIPf z*){ZSQjp%}xwn;tRo{Fld-ty-w||djQTs%ta!JLaesh<1pLd;LZu34`0;<NHRl(Kx zV-*>rutS2$Dl*1Vhd395gN^4jIM~d-*Vq^E{Vw9&uyEr>|JRFaCRH2!zOvQ!((O4} z>rcqqiS0Z+Z~6bU_4}ia-hO2zb#pFv-s<{2YZq>8j`c6!8+P@i^ljd|KTEEkFW6$X zlXvfJsn^z0(z7n*&O9dcI6h$MVV2lg)oZ=`x0k*&{@A+i`<|X0t*xg2IIZXXlivI9 zd)}4ZKR51Mn^V85T7Bh7)AWote=CxslcS|?%Y|&#iQ=iBw3dHT(u?)G^50C;$$xt} z^7B)r@*7_sw3+RETYEcNdbV_wT=L^)>5ErBTu`o!k~(8~ulisUUvh3nSc<;QiUp1R z=GUg*2nc=2RU9#Yug@u;{a@Cw$}Y2=ZGY>j^6MVC*E-KOUrS=l))D)(@Tk(gjq9Y1 zZziR6e$V+nttdF0+rT#YvvM!5?%CcwzjLm~7gjB}EFFJ+`{JcDRnPyO99JK|VXE+y ziq#ja_xzkwQ&wy)EiLgiVY_wm{1<mGe9Y*)=z1%$r{rDVw{Lc5d;O<KL?_4|6OEYu zk@Z@#Q{z|3$OPtl(>`6zl+VvfSQPT~%Ix+<D?2^U|NR{Ie}(t#y!Cq*uid!Lf9dM% z{Fk@Wj%_YqweJ4zo#pQN#dn&g_^sb{yYlsixJJ|BnOe6)uIKz>_`GNPb<<F}gG*Vj zJ^ZnmU+&YFDg7p9yT6vMom25G{@XA0&^=q%tvxI=`_;F7YuD=LF8ep9=9?pX$jiyE z<m*&ye}#OFwB9_WOmn&Gy8^c-dg-q33jLng&F`{#@Mkl>)sbuN^K-nqZ=GpP-);V9 z_ARZu>4z8V%I3b6t@)<2_n+7FtoIpdznjngT(-*Y(~ZqcWj_{iZ8tZY`Fd*mQvKDR zLJ!U@|F0cs5wk`s$vrx@xO)CQ*}1NN7OZlS<@fqhdUfgUjfYh^cgNIyoB!<>``jJ* z*-Ni(+h%H)!}k7^!QNLt-_6^4{xR=f`S)|pR$etL`LRLD%<Q`Dl`A*STzbCy^Nr^p zr|pxCsk{*Uy>gGOY_pZun$>n&m}KoXEj<0}`EIG=>%7%F@{4OPKb|_fWb1FU?bl~d zyBFEkd%pbZUQOTGwZ^mOy|2pM_4nkrPa9{?|1ZAxU*XIWlbxk+)tskJT)S`W#)akX ze;4<D?Js=%v;OMq`C`XsG=7+!zFW=t=86)D|J!!|IyQ6RuZO?FjH6E;bie%GeeL#z zhuhw9*)^z^aa~EA?r@etBcR`w>Fdvk1&r0KQlB~<l)rJBr0sVQ=DQ(Ub~B@Wmnt6@ zWTij%mB$?pwS8PB52C({-<1Q6B=lNnU6^s1$=Bk0^y))$v)?FHEUVw(|G@H&z>3qQ zja4;T0rNLA@meTfm=Mg=yF=l^jPtCsKD5Rr&Ock$`d29amih--JK+_lw=;d+p&2kg znTgjz@4^IOrd|up3p4UrW))mrS8zaV_aVnl?Qfh+?WdVacd7*RuV;N#9JqjSH>;G< zZ^_wiX9P3O#5Ag%sXn%<;6&K&Ly4U~zi~RXvoe)NY6SGVemknP?dD#OGiB0hZz{HB zFO6=jeKkuiFY|TsGSlw)FQrPfm%R;t$@J>svT5oOpM0)5uDu((`{mc^Ve(%NESdZ7 z>-|#RCfTWluWr5ncRud_DyFEY`9{Udtcs^jo5uI~|5x4I+?T4>?&fusPw#*Ib-n1t z;k1MILS%2hzxsdq_5Eu_XZzi)nyu<!{P*jJXVcPNzhRCmt@;~p%TZs@_<Q{y`Mv)t zzY2cUt^IYy)ZFIE_PzeGyDc{uIT^pWT7UU<JV)W)*_S7O&wZQm;%*$D%%805IjZ6} zE>$j5T>o!#-2VWM7ng4=H%`j<7scD#e|@`2`IfCSHtt%xJ(JP@%GRaEEs5*@-QWAK zSM0{cOUuh^A1mkfzJ1GYx^>3PgCAyHT&DOXcTLEw>+yfL@BOz_C^r9D`rh9rvvy8e zX_~)hR<>r+lgFjLs%~FQt|X@TJNrKW<;Cx8yKe8LttlIvtIfB}$O!)W`lzFtx7v$i z+B<JYh^8z%sP^cYcC7GUcEP>UUUO<AAEh;ZEV|BOec1cWq}Ic7kvnR1xcm2R`fyXd zyWwwAW~`m~&X<o|-xWtaNqeUBX~JQp{u_FqX2>e}-}qT{ebJ)s?dHps+gg&Bc~~T_ zYB>AD!1oZ}d+!^mZ7sHG`{rbOEVDPfdu?%VDQ|DCuWtX0#1(EWl9S@zMcvXCRK2%S z^w9^;-q$l6*SWPwe#mn9v1Wy!pn=K%jHgOYYF91XkGzo*G<<)p!(+yuqVGy8t(D$- zN=whW`M2nL+!^NUIlJ0U*`*oZZYe1@**c4FSrMbL_5Vd-9!GXYDH=Y{ZdHj{A(*80 ze*RUi!XKN$I!=dgb+XaB#`SS$jJC=HueB2<tlsLRwC*}r=gvTFm4^wv0-J*@LbQ)0 z#;z6Etg}w^(Z+>g9p{flDKgH^YHi6`Cn~h@>C<(&6V6RowKAx4>d*Amx6L+xeR}85 z@;#a{lF^s<cAcxYim6aFw_d~d=Jv%;6J~}@HD7sYviax8x_JSj^SJ;1nffkPM0<<f zTjr><DZToiCLe#LVZ1s!Q}6hvr`lDmQuV3pu3xxu;a^Iz?Q$-!A1{P6r=CjnNskrh zUN`sO=4`uPU$uAkt<qYz_Mf7j=$z$iH!fbguDsZ5#~-ins}>1ee?HMpmRHyBgy>P1 zm{6ZHdPh~>OzVwK>Q9of3+p)@ceJ8rk%X~mkk0|Xqb)K4K1Upn9+3(1Ih1&FM%^!u ze|gLP`@Q#nF81o8)BB<~Q|~0F&s+A*?D7oXY`Z+!+b=IA|K3)tWUmum^Gh@9`M!52 zr3CqY$G`m5vB-MS+J7H+l^HM3D>_s3-qh24S*-J_@O^1hH$?A_GuDnfU22({e=aus ze}wVr_}9NIHea4*zWVhm>#FT0pATm5ToiutRKKI{YQcvawz##No+KqG*PZS1<ID;{ z!3`VSTuwAyFY3Oss-sUmT6*UHsC9E~;_e6juc)2B>zdH#kmm;BrFz97&kdtX?KX#q z8w8gscE_F8&Ghlk^bk*YpQ`rg?tEtd^N){9zkcnNQ}26#?{?hkxcribLfNkGHn=^} zlkd8dy!AxwvQC?XO(&eyx@;chPCULx^-~LHkW|bzuZPPv%jp-jUtfB;B4^@;^J$#3 zIlEe%&Acp<rnV&8O)5BKweSJ|%->dK()X@K{p8*H^`=|!LBs6$clXY&eo%65^RYHQ z`F(fx=HKA;z9YEeaBkzSJ3=dt`!@cn(Z0}-|E6i@nr#b@Yf1mwlX$q{dagv#kv2j0 z9Esv9ZJhTbH!MA}<GN19v<K^-zP_3CsXS_d-g1^#g+UAK)LEno?&vk0t~UEJBhPt$ zd9K8U>urkZpEj(0=-HPNm6Pmz+}VHIwXNGX|9SfA;FSX7)zv$`E7#tBrKKE~cKFDP zeQSANvL84dw@yxN_N+T)mvhVdF6c~6dH&<fq%%GC%4b`$Kc2oj`#gV6w!E>4vHoTW zkI>ZQT|1w0K6|$Q#>ax%Usa8l@BL7hIm7dkf644q%ro~a*|hSi5BrR-MPJ{n^8fYw z)Li>zd;Xi=w5a(QRr+wXPp7|W>a(S%e#Y2^_nc4f>fE_tM$2X=!Bg))&AgPlDQ>Au zU*@T&JC@n_Wt@7xWEqoRrq+{5%arOag`7D*%WKDK4ddE<%Qf47&no)q8Z7rWE^vx} zy)P@zg^&{tzOFo%Le3=ks`3O*iGSq2G;ZHvgSQ&fAI|zz5gVM=b0Opahi_}om5?K| zXLU&~UD<N6i_5F$;*`S?zB_v^PdQ%U`}5C&6g!R|cE$@=toV{TA$)E7{?E@d%=cx@ zUH#fUZMru5&YS?B162o?xN2|oGvv!|Rhr`4S#J8&z&BVg*z~EPYj7IZnw1^pCQ}VO zS57-E^;F^V+I=fE+qauO{WNWvjatU3^gYX%j%8}4tXZaXEJG`8%Q7K1&B{+d0xUKD zD#y<%`r#0)ciQCX#*kn;ZxhiC5t_TtXFXN89Ig|@#}%<uCS_Mw>nTS?ZBgNkPKJh` zMJF5l4P8Ckqdu$n;OeWtH@)P3lKWHsy3E9xOKmw;*6=5#EK7g2<)y0c?CVnwYxwT$ zxi;mvgzwLut5Y&R&*G9?zp{gK=E;KPt9~7nczNPfzVx2CH(#w=ap!%6uSn3Z2ijeK zTZ_x<9)9?3uXXAnyYJ3FD>VD(n>_uzc^T8YEUhQ=mMOjaf6*`V>U#UXO0tojnfuSw z*oX4(i~e|T^{nC<%dMvGocUdEjlRy5AMg5G8Tpvw^mqy+BV-;JuCO?eUXb=O@bA%f z%b9wrpO?1YTpzYRIcoR)>+`3+lv#COO?Fb`E!&F4B`;22t^O-}>-R#Q(>6NSb~_z5 zp3Nbfdp4KX@z9xYU8!j^6azNfh_5*9&h+(1+ycA%oF)(Y9GvsGO&(2iNY3LjdD!N= zSm-+Is}EBhV)u!zIBw4Lb*I*a25lzZojMmfyqS7`MlIkJ&y||KLoJ~F;mo)FT&0rV z{)?Bz#RtV)m)p1bTI}mzeV5Pgi>ur5Pdewi<?=_1XEdZ|+VI*om;AlrZ&abXc=>NF z!;U$E90Gw%DvA?bCUpn}Ds|5>?wDh&R24pB-MZM_$NGQ&_M00~`|HiTcT$Z~Ji;q_ z7c!L^xGd0P<GylOq48IR{{qH&Puv9~UYwcED-y?<Y8uCN<w2`Mu8sJL=5(ggk0A@> z>V#JG2fx2ocS`W$wQC;^&-$>YWI=?~8~tf!-$cFHtGkzW+aA4inE&Xd<L{Cr6T%lR zNhwX<7;+;}$8?*xcXRI1OUJGyy?pRH>E)x{NiP$<H-6c;GlK0#h=yk4-AEl#Ju&ZI z`R=6$Taz*$*K8~)SQoL&B3j3Gj^;EwKk?HH?A)q*CFkl)<5KThdhBCTX2F}gw;sn& z&(SxZBK<$@VTO6wLyr2R<t_JrME~=fr?p04#j$WE(;ra_ro832a!{*rSA=c=e>Tgj z<h2gWYfcAy&D}4!;?Q=ct249%nolxqHFRCTXD70vdnMCX1K$O9%eYMr^w=ydDPQIw zn#LLOSh-QEMkhdeGwZ8_K8IKzp%v}^EMD#&jch-3{4<&7sRy*4zrXTtw~I@y&gsJp z-uDyr7xt~2E^t>XUc_rx@-uPoR@S3I$%z|HB0_X>b@Zn3?bDg2H%-Kw|8@7$e%_-- z2aY*;e!sgcY2}8<DIeKdbZ4%g<+5pSbIZYpXQq9x*IiqCOUf%Zcd4E1m8;J0!@_sm zxpwD*Lu6;`(wF_RyA~YU^rc)dG$V1XgXp!V+7}|`wX<n|x^QfH<%<WeZAvy8@!PIB z<t)GYv%!H`GJm+=W><RLj*`n-bKbd`w=yF+S<dRlly*I{=Zdl>|L%QVeTZY**F~<g zrX+L8r|9#|Y;COcuq=9))!S*AW}tXHXV3g(zGKg4bQfAaOU&u}S@BgYozbe&GHQmS z<+6ea@!qG46pp`On44UZch1mC@43X>NyR;U$MZ{VO%;<KHobY)5x4QC_Tr~ErY3W> z|5z$zZE1Al;KbgyGL|=vP3&GPQ~6__vi$u*kpyn%EgbEZix%^{OSxMvIxOmL)>r)G z0gJnyU(u6?A!U5GXGiJAtorw9TeoJ+Im2G<|7%w2{VFu`+aJ2Qb87XTuz%j(?XAX4 zp%&T!y~RwX7Wx6w;w-No1ip2f6(Iik_tTZ_tbbSS|H$RPP)sbX@zA2WSbNL9#@6+} zuku){h<z(qvSGS%Z>`M5?oMTCc8j8d3CiN_7M}`cD9gKBa1~7Hbx%~)c5%LQZsMWB zJ`=x!l!8gh(#tK13T7#br(1j~n5HcM+=8oMUaxzysJ6@TrQ9-_e@;w1=Gw=jSD5nH z$enLp!IMXx@ohFW_snkxH|s~-&s~#v<Y1Ir%$npQhs}F_{FpY8;q_+oZO0zv2+mr{ z+oO?_;*u<^%r;wc<HlA&_WOp99#y;9<fI-sZsx}1bC&PWgVQ+^Kb+6*(eO!hNj6eu zn=G;MBcn2(n$e>qCS|!c<44Ih%8bWS*%OmJw{fV7B})cM9PT)l;l|@;n3Sxd%;#qC z=uxek#>?auAv-sXo2U5>9lVqy*luL+rtvzt#mLT0<92e3k*%A?>Et6vW85@$C$|_` zyJ@UG&3EYNrX0cPK{jq0dy`#~O_kZMOKdEhE68pxQCK)xkbk?x$HLhY8Ok>`f7oCX zePnaNbivl@o{T%`M;<ULo5>kDz2DliqvA|QyL!)$9j81Rmfv*z5iwEsQFO(zjzh(6 zCUFKyA0`P(ua_vi@Uvg1&~Snv|9itn$pXrZY~l0mgeNk@r_Scm;W-&6qQR5uk}RRj zc35&_Vb9e!3GB*xWk!z@#g*;KjD;Sw*Il)r(yDTDrf$u}@JC#qe~0Z4iaV{VGrjc? zS7b(wDtGhx)Wqa@Ix=e}wRT_K^kIXWnBBEgN(Zb8-Au$f#9QP)pKJ<BiVTR-;r8lH zb$q!|N5gd*>(Y~(7KB8KO}TeM>A?3#JrX)1`U}ioYi<gdp~Kzlxyj&%gP57xsY3@@ zA}?4k(y{58-rB!#6Nf?A96{;sBit|M*7xX$xSe)No}$C!cIwbEjz|j$J#O*CDGw9p z=+x9`a9bVfG=7w@v4T-ndD%&)#9cZjX(tXPEYrz3GpV(?Kjq=0<j9H{y4>=`DS`>> zER^;3iRdpdKB>Mb;75p<)cli&5|-ZB)~>4bePhTI#%W*I)}_t*ux|g?7+nLd>%Xqm z%nIwXPd++nd-mlo%i4;ZgLn44o_S^E$}2t6GrL#%e4gFAV`k%7-o2-MWh*Z1Hxtf& z9a<&J|NDB)3`dPWY{wV-Y%IDQuY2dzlY$nJymYHeezH3!XHGtL?Z&-^-7;;?yTiGy ztG;B`z2)Wp{yE^Gqg<BF8RusA%8bW_azTBw8hOv!R&OhP5L(Z6c;=6l`DYE6ExzdV z{I=u*gAZ?KMRxqNGT-#;#pRZWA6v?toR@r%beH;UVf15;vUGgGlLR|=J+Xo(iHh!a zVud0Jh5z4Fh`4S1ctK!)%JsmNsxp~6Pft9s?aR5d{_hhV7e2XyCyx}}<>U&VJT`P^ zoEIWLSzOsm>}JIalTX6C`_3u)TVAR-Ht}F_U(TKfCk}e|#q4=>!dZQGWwYU%dz%cW z39>(yC^VcW$p7BhN!mb}kuN1br?>j%y9+w9XX0EYmvjjB9`2ElNj!2a&`m}r`N+{i zH=8*LM~-{CG0i!}cPJrX8;9&>KjTFOZGyd<dnD#0A30X%CKHoz<Y<3S#f}pl{oXw+ zJLbr?^d7vaRARL2P{*NAH<NXSNd<ESrOhP@3nmMSZ<qL3Fk4W*T!M3hrZoq<^Cs69 z2Ak&yo@$d=Xwo5gs$OE_#z}&wDkK($v<sdplvwzrP4HCKrse`eljxRn^7jp#IC+$( z>@;xF<W`=t+Tc-wr1F%x22Por%2WCcoH{+q1mxOMyDP-D87<n-E7<$CN8(Mwkz;;t zGH;TO9L;mH`E#J7-?oS4#%$S^{)ab}_O;gbXv{hPE@9s>7dMU2q$9^v++=*xjvN(n zv#B}J(Z8~XWyUl+7kw2*x0Ky75i{oP)d-kzu;ZAI8&8^H(qm;enVK^l-8XwGW*q3~ z-`T_RV@dtlmD_*WGI2Azt}9soYd@3mokJ`BEn4u#PfRK~CD9;E%<S07LkBA&1NLci z^B1Q)eB7YJBqNgUl02b;aqoV)Nv($xBQs<swjK<OykVin&3-)f;iDEEn>kZj`-3-e z6hzGtY(Dsi^-Ef<n;2L6(OEe>f;*cRDlJcmdm`7?edjpGM0Sap?=$8cwq1MHOnG98 z!}DL)Rmx9p&(g7ZJ$pIVdDc}Qoffd^8=Lq@9ey71?);v<!%IFoEvxmCl0LmOu43xR zo=G8}j-Od*>&32Lw4^}uvdav<xN|8Xo>mpDhYO6>rLBLf@i=Pgm&|-E^DX=OUSCxc zUR1bvmlWrsH&cUb?!37_H9g(p?BTUOsuw5wSg)4<bgizl)68U|_MYqOvt5nn#O=Gf z^c+WP^QH?H3f#?qH*MJHFUGg+)S;ttkr!^S)2Vqg&9x!+D!+|U{P#l&g>D(nw>7W1 zNZ;a%yrAhU#&$a;F?qd?#_|cQOT{)V;Bgk4l5wKx6E8@eaJtGFyCbs>skn$u=|0gE zBoukWLZ90!AjPq=OGhJiB5SJdrUg%2=Lp`KaWq^w_l(l=-pD6%eO+IFTPS^EXkXla zCn{QV#Ra#3Og;0j>%P1Q+_>T1B;L6XxO<M7vmQ&BEBbGncK)JX^*;}9U9vBac1Vo; z&T2BPB>q6c(fNnjtwlvNTB~m@v@eTq>XZ7vtmW9j`rsy`fA($hnfbYEU-`(p{a<-Q ztLN3Rte!W=q<Ve?R|H>+cr`6FGy8b#RB<hla+Zb8h0y|PBHKfL^lV(S;)tw5ZsOXj zbHgJpue}~|cddCu>R<oA>rd$}m7BVM+N~%nucuzpdb|(Ti0kqFxc}?EV7B4ZX~&jb zyZ$dNG-{S~^vjNqj_GsPh^UEb_VO2dm4;eu_0aUu4wAZT{rUxi&?>KFXYZwpotE=j zMf?%@_oFMYb@@YKpQKxt?#LYU@{V>_t&#fN5P#)=_>w4{7*FQb)mK+Vm7FiIvi91m z6C>U5>(`7+TcUpK*}P6n<IL+di`OpU(mFX=NH>OGUSjfNF7sW>c3GuAoH;>^$9eT( z|K-Ldy16qBPF)peYO#LTx>+|Pzwgdi>A7`U)i#rW=ozAAKg=UNf_wU{U)S9?W#rU1 z{eHl`@{VH#&-z(bEhqP6iH6%wyuNVJD)lFY5nq4LE#1HJe`U|@%+;F><_WeIMhe+E zHww0DD*kkJI&`<`+-c@bE$2=}I*IO0aCw;eW5t|~J@>9$S-o=39q%v83N|0mxU=eB zlq&c3%MK5VO8<JFthsdd+Ko?c#&+J%7kjr}HnH0CwSMl=y@p!x7IXGYoLgGI;=k?j zsn>!IXRqC1-4K4|qO|g*-|EX&-CF2hDgAL5?{nFp#P+tO>o4d@mAwf4;bZGJ`QM%7 zzk!MMZA-W#yQcpab`yD)#<}*EjGIVW`L(}hktc#S{b{yX^w`ol<f=oTh}GqdU$cCs z|F0`O?XmJu^OSF%r|m>m99sNpu48^_|7C}Vo)TNkU&{H~>b}tmWp16DxnQFiFV8l0 z$KdG2t;u^=H6H3TxnMDgZHo1gM(M4aRo5x-h?p&JJhsfl;KmG=K<n96;mobQnF}^* z@bZYcIj-)ybyFZzfVE_oKXdQz%!C4c&P8R*)J0w1p7CJrotc?npv`rv@M`&Du0;-y z{iG!B=>1*wZ~x;3PVWzI7ZMM(Q(UREs-{Lt;>_#bU-OSUE<Jm}@|{D<?Z=_J1Ve>b zxvpP!cpN1q5#!sG5%PTT%YLTT;>-nwM!Y<2ZoKY}OV2K9>p#wW{B)V>I>Wear!yB6 zn(*@IsX1Qx(6*#$#lx?e3pQHt@`N4ZZFju$;p&NflKnqoLXt%^=J+!A8fPXHSaB|D zlXrdG#ngH{)4~0yiGhTqsSIo3;opzj9t+Oz$?kFAqsD8}x4iM-MwJe!d6Po~O!t`Z znoL{Tc(8BB!;&NF)&DpBOV2kk3AvY}X`bkR<D~iI-xaA5^)ec3yqK+Kw0;klxiGgW z@MLJ9`?A)vM;i}aF<FotEG1DRU8cgPmD^>tNq^JHwSNt=ba!r*U)p%^@rswP*8a6A z47^-CS!>a<_T`PoW|$aA$ePODfBv?sZ2jJezF~h;Ly|YW(!b=8Tx4=4<*K@><CPDq zO%}X0S*e@#!!L^`%$@i8nqRAStdKReQPY!R<63;#A=yPr!lrw7iaYQB2_XWeHuk(G zVoMqizS;56V1egi!S^r!ZvOQ@=l}8l`&<A25D)NXW)WdvVBlbIc3u+|c-(YxFarZa z9|Hpe9|I>tVrfcden3%vvR-Cha#3gqD+6=g6vYhglV{GJ@$N78)bZ>oKds;O{fxJs z*ID1Q+MZ_$PoLD+_trJKVr*^{q~WJ~%ID0<HC{R=&z#@9$xFxU+zB1;Py>^2g8&2O zB!xq4y@3@Hmog@BGr-)z0CvY6r7yY@xEL5fm>0zzMJb7JXJ~BI|C`{UZW_W+)iy=S zae^4{PDT!fJz1g*2X-($X4=mkbIiA0_g&!c&~=JOJcK7Jtjqk-spv2LjZsfsIePP5 zRnN!PHH%(L-0dtoRT_1MaW>;r#)*vQ7Jates*=9(tkAZb6F*)Ex!qK>J$GHni&vRX zfB*cmN9FkWl_5eVMp{3g?kG8<`SZEENbkn4TJH|!lvjlQ5&bACGpkqCHT2=;j@$Bq zE{YddFI=5(QMO04P}pj=_Kw{Xc<K`;x#w+|6L4{3uf{cQ_lwE<e@|E4xnd$`;$xTP zSz0o}_fBj6JbHWetT-`${gbZ$8>jW1y7=P8hZ_+e*sJc%n$&E+D92)2*Rn+$a*U?= z9DN*QK0|nh*O@r+T@N{gKAtUOsp|ET`^7I4=n~prrqQjX|N7LU9g%0FUKX60;&s&N z%%YQgyMOoI_RG2VqfTv6Y+%`az48FzuHcs;RqbXbRgab)dMvlQ`<<72QpLMTHc5y3 zHDo3Dg+sURUVm%nrRb8)%U6qBT@n)#^zpS@c}Q!E_<bQQUS3~Q+vxUfn-m4tY?9MH zn=JTz&$fkmhaYt_mY-<SJD|=l6d4^OAZY)Z{Tthd`dnw1^X=CYg-uyvbM@sPo>h4u z?O|hB-0@x7TJYS$W|rF%k52KpqZAXgA;(=o=~#%~oLR0uZ_CO#tNZ7jXLWs~?`fLp zD|fJY!R*)o{fxESZ^<SdKJ_wW^SN32Y4ti~jvFgx7K*v4-=E4}_jrZt_LI65ua2%Q zYG0gj^LlV<_iNUhp<8wJ-mT+a^{X?`ce3zd>k8l2U`s1;o^S<r&vq6+F~j+HW5sM` zuX!90{orV{QPQDf`|jJnlveV5nBDQ)-{+Ul5kooQ!k+C5^^R2VIPXz=q+IuS<L?{Y zI~qTB+<eq_b*}p%U7@|Z?-usIQ4oC3w^6$7d{%``UaYqCuHBpTawgddU!3>gyvt*i zyK6Z2sRq<loSkID<g!n`GPU^H`CkV_>biG)m!IK#(lP$sz74mpryg2dyfZ{)>Ycji z@b_ubQimnw4nKSwAM&YRAfRALh15skf6V6Zm^aQS*QmPleB;l?>E28CiG5su_>j$Q zSJm{36F*K6<2oOBaxb5FVfE|d(bJx2M=WfYy?ZxRTj=m{os-||ADDhWd-ux;USTWg zsWN-4WU@~*Et|1)QRK&4Q|=fm?_(0Vcj2y7o9Dtp<-`Bor<{3JV!!gqg8v7+Qzy?1 zl0N1ucWB9sI~!&$oP9LVYA4%uHA9~`adXw9b{o<UHO>#olQFAgEk3=eGBERi_s-Pd z*?SnXjgOr&;k}&Fd0x$Jr=_K8aCyeVO=k{mIjK7%JoVD+O(r=-A8d_|=HJ<RHm69` zqQW;z{HjfKeni>Ji^lPvAFLFg;cD8qd53TI$4RG+X8FohKC~BqQ&D$&$(D5|4ZC_; zCr3Wm*qb%Y>?cdSSZKos>FvE2KK59(K7Zz_K3AenTRc6pWb=w8v!A?p@;R(C*JQWa zbq8<W$9lT;@vL9U+MmAJcP{qht+veRriL<Ku5?Fgv-s<8FgkO}U~SmPT@UoFcAlM8 zlT{L8VS2RFd#n4z$#c{;iYe9POut$ovh&A*xMO-6uk^h%r~9ru_&#vU@w3-EfBe=x zobu!T(O9l-*M;jo-^?-JH)EIN->IqMWxjHmiS2USvfJjf>@PH$edCFwhvrV-ODB~# zB>4N^n{;!@ohP@NOFTY(Su}f@Z``Am;+w9Chpk9Fls;Q+ZBEg9S83ZMLAL#w(^D^= z)V#Ye{AB=7)#Jcuzqb<(C?0V4*yeFYK}PRZ3b#@h*9Z1{m&27CrcYoHc(im%(PfSB zj_dQ@3OwNMF+Z|P`a@B-OL^d@n-f;wENgD@cDc6b!HXE9KMZrMCCU|b5AhyoDUlKo zO#gA)wkq%5?nNsL99(*=1N0vV<QUA#(eHRD`QYEWE}cA^<mbP&=JURk?mFO|;oHi* zOO8kWqluCFrD}1Nv~5?XF~3{w^mxsE$qUxqGV^(DogTAs=0Dh4{(0L%uR}}aROWwR zYhZY{NqpXg@|OS1ALgC?F8omWU)P`XuO2VgZ<c&>;sbMCo3i?+#V48`O6se+a(#%~ zCSxZ2fWyXA{d!rWO_jH&^;zzPE9P2XZ25HLjN!tPZPD=)&X%@|l`pnlm$3T8E&e}^ zrA`Y!-2A<Bp6JV6M?bXiS^dzEzSsX(?TXmJEa!<_M|bbldoK{}{L6Tuo%}?mR`c=& z>#m(%ydrmAKv3M3eG9v@zt0SiVBI8nMflX=P#*P3-0K~83Lj9F`nOur&OzXjx{aXH ztPd#`tU{e9U(cF(&8+(2-#vF;sQr4d`3+a&<Q0rdgSa$RjFq`2shljh*mA_+`I46H zj6x5b0(q8ba44NpaFTFpkx-g=q=QAM!za+zW<`KPznbvWK2^!Avln^|daf&~mt|h> z<%wgO<(r?o&pvmx)!c;MfCZ&f8SB4&oV#sq-l|l&;N@F;BJN0;2{{+|b^lr(scjVV z(`uJ;>C#in`?a{PPVjjB>zD78Lx(4?I&gX^+ss$C&2`eO@*x&V!Ls`~KdG*bWR~$v zO;?dLnsa-*qqJC@Lyz?&EzcBv-j*E&*Y93k!ou&}a@>bGSSB{+Twm?{xwERy)LFd` z{7_h^84yxidf<&$V}is3_B^*wd(U1onq_n-f~%l)pXr(PE0-3oJ6U`v;92QTW{Knl zGXJL@k8wO6k-b%+_4bu`^NB^Nycfk<rff2;?wu~?rM8>jSzEZzH}<^Rn{(fN4krA+ zdQMD7C_&ZitkdQCKV~u}XH&M$3=f!LzCB4{zvR3(at(@WUj7tlzOq$z`<!_}-ifDd z?LI!)H2vdKuSK6v<^Nt`{Q2L(>8B5=>dtRHl$s`f@}Rwg+A*hv3v^|QFMfETlG6Mv z@W`xQ$>Rs6-T(Bt?bEWFr5j%~OkO?nP(%)cTAJm>wkl3-7G~22I{b;s5gG@6X>OOE zvitMe#T@T-<lK|K=Dg50&)A)q|LMnr<-0dsE#0FT_gX;t5m)mS(Z?qn`jyo$8=ZfV zP<iQtP0)$$pWf<Bs>(Lj6#rBjQoN@$+d@*~ztzgKpYO`Ceb~od)zZMZ)5x>TZ1DvD zhulA+`#EhsRdQ})2t9oL^W~6x;t4wQme1$6+845@ZsyhK+%+u*hmx;fJMpxVF>Ou8 zlef=2uWXKV^i<*4vG~mEyG*lQewb__z$30HTdnZ4Foi><TI$&4t8ULOYeajz)c>$z z!VZ&H+xjyf2Fb8K4%zd?GSoG?VS!}$-UT@U?>Hx}bG)h-8}~FLar?}ri%mDAXhyvJ zbe3zrM(eLep``9b=eIQTu!o$v_Mm<JApx=U1V+biYiB-bm7aQ+Nz7r_F5OexCo<R0 zu-`s~t!}<fzt3xy2Gt;z|A7x1-T%){U}#vxQ^?frdER#U%cP0}p*oV*BKH;5(x&{m zapuNq`5mFlF0}MD#b4B5h!-&4tm-OI7a+T3Dr+R$_mi@htseb$&Q^JM<JFP{edqQZ zJ8$GNqv^;Y@AfVG*B+VndJ}8<jxuEiF@Bx7FH_>g|1(@&xY5SaZ>pS-;A^1;?R8vV zBx-q&d=%)<yIH;BhGkj?%ZB$neAnJ5oh(xq?EWBRt!&n|*X)gT*S?e|^-J$B;t0^) z^R1aJU}I53Y+b0n<<j~HVViqTS>jfChArZPwP7J`=~a(@=DcTOV2I*iU=TuX!^Rh- zB<f`q=jXv2vTvuv`rkGXX?y=u)P1U2W6Nxl7N_d#7c+gB%x?AhIh`n)ESy#FCc(Ou z@8kXS<qpo~8oO_uEt-7fx#jxv(`)xLzRTp<5OC{|_)N{Y#;2#|lsw$GDfAn2=o!=f zbE3BI`O_GdzTbC|LDvIz@tAwL)~!o8Z50z9FfucntVo|>miY3i?4EF*y(Py|nlxoT zyJ)|7+@qdxFCa5VKUqps^#RY;NSE6dkBz5k%`n|tvYB<Z-lDQStn%J^K{t56-<s<u zeXP#hj9t7qd;jjs@7iQ7-u2f66vpm7uTyn3Syg+VsN;_Ny)yT|y~&$v8?sExN3BEm zgv;c+73?LNs?(=m=sVdG{liXksqR5nv14aB`rHohI@EZoSS?t8(dw`ZBE0*jOz~8E z)+Vi>X`Z7rVcD5YJ}aWTqO^IRxMgN}Jzw|Sbj2!_y{Wsp8e=4<AFDM8wVZXp%}_g6 zvuU5#DVe3F2RE2AFe#ti;kut`jn7W57&A%nu*aXe7nG{0=~hnD+_H<S>fXcIVV;*& z^O)v|H*ITLHoH6FqQs`n@>}ojxY8}M#8Z&%YTUi4ucnyRuHe_V3Jg6o{h4G<=C_qq zpJO*2Uu3XlzxCk@cH4?ArkB0CR+n^Z#fo;;KP>ZBG4hCCE&1{D6GzB}j+xmOiIu9U zd#)tU&x#IvaQ)DH#m9z9qN1Dv##bvmi}hCCmn~~d@mX~#=wPwAe4zx>#f*@Zv5(J8 z$-HkdHMP&!@~HLd$VaY@o=bvLV>cT<7CN{$LNjN3wcgaF%MP@p%UtGG*qo{LBI=dY z#sw9@yYx7(SG_v)<k+s_t+PVrXO}3R*>EcRs#U?~v&DBxBxmXJZwc%Cc(UO0#cf$q zd-J5^_kpQ7-~Mg+6S;y@_?=$SR@+08To#J+PfX~!eo$HO!{O);6PMpxzT4&cD!%3a zqUz@7^v15P4tgehC2!AxT^my}uU@PuRQmIIL+tLq+Wq2-*LN-F&I;XO_G9(3{W9|l zrv9+ETm2&HYQ34AxHSKMcwY~aPj>8MFHmG*U@*61U_kBbm8Yb^v&h}3w|Tb>c>e5P zzEQP%%OA7V$&9}@Gf8iFeqVNK|03UwuAxfW9>GV<T<=9Hl}`Gb{`>3i<FC)B{!v@x zXjap-ru%QtE7enqDs!)#)R69T6{?d@xx#sUQcmJk#&o7N|8}2M;ycE%qawDAvA)0e zUEzZdopn)rKC^r%;F(+{`{zkIXB|tIn1qYko$d`4ANNTz$#yJ=EJ?q3(0-d!g6)M2 z5iOsI%*nG~7+!B|`*@ktaq`FQ^FFN?{2$uvx5n<zb)9|6|2O*nOJ%8Z{O7&<#|ekr zlk&<2CZ`y>&;NNGa)aq0qkO-9o`Z+M>?vPYa0=b&j%1m{@%iHwp@U~?GK}QTecj>f z8Ztrr{0q?=kLO%}x$H-1X`$zzU#E7kxYp*zG%ea@rFSI2SAP1ja#7JD&9*A3oh**N zQ)7hw_xk0T@V(Nys>BkyHOsQ8Dr>8Zv+mxgz6H~gbln5IH&0Vuu{_G#;EwlpvBZ^Y zV)i#JoK~iLFd%$y9b;qAUQ@>pfsTh2W@x*I&v0+DJTxckaoOSW(BgG<JC9nd;h3r; zSkd}TJI6e$u0q0Z#)E6J4=fC`UluHkPmn*D?W$D&{r+L@TdI$_UUshT(fYym!}d*A z=iPTVeVE>vRc|e~yEw;&Ti%~9<IZo3%{>ce&eZU!NK0!tH&al|E&936jV~8$y9L!b zCTg!)H*>~g&f=cM+J19>q|Ur-zCMTR`kM2`v;3v3(_LI!xN_Y%`IM#lZQOQvNM1Fz zX5cAUTv8#}7Hcf^@=8P79Tvq$LUCpNGJVe$$CxSV+Dv}UbnX1y?_96VJ|CZbJ7aP0 z*&Y5@OpT52&eLkHNU+-d`=&->=Y)HoHm*9$`r=NtTW2VDC6lg;^18tJOVcl?Wba;> zFK5K9|J`oaxm9P+^zCL7Q`(fI{X9H<x2=D`?*B)>Rc`WG^GR~Pi*oV%&BrE8e|IzX z?eTk&FDv7(R{2eNb12ZfP;7&CZLvzgoOz77CfnEL+dccSDfr`@s4O*|9mk^t&sLPg zJdPHSI$vuXa!Bp?xy{|B0_P&#cg0(3xkm-Pn|ipl*UYSL`!p88bIb*9w^z?Rru^p_ z?@i(TG3s{7r8BRtGx)@xuxO8!keHOxm$Egvi65J9|9OyZ{$bv&XQ!DjK9Qcrs5}2n z+T8hf_wyh6^;OZx|K7D?nVCLwyR2668NFUPweef$`CVHd6;Jb8anGjezU(H!_BFLD z@7-F>XI5NrJ>8_VplFZci;XpJ^pro{SZxt~{a|aPsPg%=1$&>itX0ta@gO6=Rw{Sf zmNOI9Otw6{{_DK2ciEqxyKwhmW|?fto!ug#cAc{}DO~(}b<dwT;m|Eb@{J5DraV~_ zE7x%2T+pn8_LHaR?_6o|E@ubxeS5tNneS^q&HcB+`CEBa|M#fN(tnmt-hckyZtYLc z_5N+UzU9b^JzesT;{&`InM9ZoLs_Te>N6dg7#OawGcd3-@G^h^BLf3NLnDZll3buy zk((15!U-AC0*z`Ro8aWUCh9qxPTMj@28O-N3=9G&Cgd|QAcn@`i%UwA^vb|S)lN~& z0AX~adMC@U=QA=egtIU(2%{L~!-8p4Mhe7XAd^5C-8AES%WC&BFfi<3K$r&dR72xe zR%Fu(5{nXZ;obz91j6X13EtK1W#nLBP*Ovf1_`J)Y{;giCKlyX>Q$s9<MrvB{&gHB z5)2G$*%=vlQGBY-k7OD_b8Z{|+WgXhf#K#}1_pkpIS@adS4TFdI3uwj6|ajNx5y=% zF)}bbV?y`ey9gwc;*;_di&6->tLsO}Cp%9@1_e(>1|Af5#YG{RrdN@N!%++jptL2n z+|^e@g@FNtVZj9T-eN{X+R`g7NyK3e2iP2K*8)zlGYkywXF-)GB$Y$V(LRA}PAaG> z<z!$6B>)gcBm#(g7M)c~-p0zn0K%y5DV@TE<eq|*BpmL6nDff}!FNjr1_lsDjkfq% z$mXP^Rp2xU;xmcRh01~qpvVVdWS^ZwF)2AG8>dMSH(d|na^pSCzyQW5Q6@SY*-b@w z%mEFKZ}>cc|FJOx0|@h>m=l)9j1)!{Nzgn3DpWuiJ+Q^+UDt7EVPF7Z)IiFcg=|n> zUQS*yj^Kv0of7w6V`y??WXQ{BL(3(v=OLSvl3E5e3FICSMz{%L66(l5B)B1^z~ZMY zNS=et17IyRu?^ir41%V}XUGPD2lBC+191>)_X%PS#6j;rAen>InZjcjY8w;TF!3)) zhUtM@oG9k7vVj~g#vsNJ%ErKu^Mi#!kHOVMKhMa-C&<xLKiIj_#m&GX*wm@WJS{WV YATZI(#3>@x)x|P2H%Q;W%hJLY07mT^jsO4v literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_placed.dcp b/proj/AudioProc.runs/impl_1/audioProc_placed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..bd287d079c14fe469d27781795b963517c3cbfba GIT binary patch literal 535166 zcmWIWW@Zs#U|`^2@Nixe_5ERlO#l-EgCYk513LpJLrQXiUPW$BXb39<bH^0LjJ;D& z=goEyX}kV4xF=$3w}6j~b>|$H13k%6c}z7YvrG=`Say&{>cH0f`&1OWf-+3*l^lFF z=l}nbXE8^<{M&H)z`RdJsXy16Oc8zgFVDbqn@OEk#H{dypVNXvId5d1`>}S3M|rb; zaBX6gfHiltyIsMFzI$HNPo>n<+D<z+|MTY7)Q94Mvw6cD)?e0PT+6dTW=#fDP7%v0 zuC{P<@yF6nrEVCotXk9;XE^Wup;p0dJ*m6YIhlVy-($lPe|+&~jkWu>1|3=*R^FlR z5;|p7PhH<SxAeo?RJ>Nry4<ku<UQsaCp;pTeO!IP@%0Br%ZkoF4x6JkewxJ{d6CUy z?vgW)*O(sMq7vw~byw1|)z@eHUf$Iowtd~5-h-dI<nC!@7@KukZhkQ@EqBk_3ckHP zA=1an<-cw=S*&0qc3#(UU*=AJ3l8yJU&>Q=ta;^e&wO#R=Zt*~>We#z3}yWEb}zBq zVs|uWvQN*G%zbI=b60a~aj0AGyt(T?&!>s$nf<XouX6jBMu!+JcXjJa+F)v8aH(c> zyF2IkzE6sst)8;SJoDD<p2cs?BV%|-xG>1zy3Lh^C!S6d3F+BTw(Fa--ZR$=b4u@; z-0Zk{y((W^`Qh>QsOxcIE@$4Jm1ka&+%aug<A%jISL{^0{{Cf})A!0sp)EHf7qf?` zT=bN<AKPLpQK!Uq?wUka?O89gcHzjjr&9L+9J@C!7tZ91GL6re>G<O%%O@M*xeYHR z>cl5JGZg>)d&Bco8^M@W?;Bq~uw%&+__Tf!XWTWeZ!*{3`}1X2{rmTMzckN321M#} zcU}|qoK2@~86yM3US<Xc0R~Qn#L|?^{D7kT<oM!}(j>hya58M4qL@)T$v6MD0Z-fe zf1);d4bzvLb2tBTMYUbjyImopJ#l)|#2IcYlq4t3G5KG&XwtDusqFi&IZs}%aq+O? zncV84SEb?)p54BFb9&kplMn8hsrRxg43ZeWM;)FeY_sO%Wa|ksA&2)&$lg)F^!-zV z+k_T()j6%KvQrfP+bfq<-O}XWl7E=<TUgnw2P+QoMZIS75R#H*OXbZ8{iCws^OJ+~ zeQr$bZ#@`wmg`i`NybBNiz}LYYuneBe%Ro3cb&;X^^A+`O%ah2+QO#=>m~=^+Sjyw zu5igcJ*x#%Rw@}*IUH6zI(3Eq$>vv=EX#s!PTl<I^7rNOr==FHo2)nK++@4!2J!#) zNcPIr|5<zRXF}Eo_qth9S{?5V54WVAGD_aL)^T#qq`t|1ll&&1n{-S@zeJ?dbV0>U z?!VK-G>&@nv=v7@n);|r&`iGa*3qlacT0pWd&^Y5TPGv-!lDJ0j^}M-{cpGbxMKS= zd0$?U#B+m_iL(ytv`4euW>wp_S!i-{|H;kApWI6R^w!W)JGoMNq49(ns&RSy@-^o> z%q*96m3-DXWunQ<-CaF4|Ff>zqegD;WEu8+Mh1p(76t}kERma$0x4#|@jE%*|B!*m z(fi*u-z`?lYwTR{hLb(;Q9#oSiTG*j)?NsRzEpN%-`=-9e>|h^-TG|({fo_=V}?(P zE^BOyk$iFQ{@2G_CNKMN&_Z~(_6&|W2PPEHux@U%yc&|L>AC1f#f7sw4$ASI6yx;a z^pOk`aw|D)aP@hU#cGitUY}!+3&pO^+P3^juAx@<_2Zs#WxM~z8R>NCbgeKrW73dy z=!uUfkB>Wp@k*YU23tlOoj5KD2H_3-C;lC@VR2KO(0*XI_>-f>+b_?wtF2mj$*rRC zALofohy8pn_BPZBU--@V_uA@WuNcKhY5u~ge~wK3BpiO)KgQ6$@T!eV^1ZTeicL-& z4?jtN-fDlz$)zCk_}Tb|;|>=NKImU@?rHJUg<^5rR{QZ)=e_rMC~7P+J1X$PvULu1 zbL&`AdXhqOb@E&y3tO(Is+ODWpEN00Ws~x0x#`j_r+ABJuhbPeoqRoWLhXjy3I_Gm z8ElKQX1R*S8a?bvzo?HIQO5U{)$V0rVA#Qc9#I8}MTxoa`T!JBj5`?I|3~Chgc(}6 zIw^obiaD54NHm!EtL@UZ|2vglyDRLuuq8S_-aq%zO|k4(?|U!S8)Ub2mCNkeJ5RSK zrA+3k`|5*tcfN1d{I({pY|E|B^5!qrpIYmAe%q>li#A@77To5^Y0G~kHZ@s)o@3ps zxf_Mu9lzaLRrq7p2IqkNK8qjj%nF|JO*ZPxHI1{n5w9nmS{7~HyS{2;>OA9TW@!hr z<V8~DSXVnKe!rCS`k~7Du;o3TeQ8hSP`zDTy-Oq(R1q>VFi4`L&&+^~%3}Y561}3- zf}F%kcq#Ol>+vRz(>mThC&M+2jSP$~8i#nS3R&Tyb@q&B;ED6W9R9w6AAHw@7#IZ^ zY}sIBw%KSy$cIwSK!%l~lRmJnVmK)!r6~~+AvrTrQmP@vM^s|Rikx+Vy=P2YXK^Ey zjG%g1@UCtzBL@S6k{WuPq$U>SRO(fvB!is=uA`%J^B?aJ{I_p^(uTL~Is(?)CdaIQ zr+zd{&q?<7gekk1v^P6?Z#f(5d1c}h;qs0D_Zm-$pLF-|wi(P)ES)No=NvbllRj@@ z?$YQhZr3mEkX8AZQ7G+u$kk-;+=I_^mU3DCso8jO=@#+40#&K&BI3z&O_#2jv)7|Z z!fJxl!Z}OS6=ub}<PI+{SZ4gG<myDec%iAUWu|Xb+a2)LHLNDeW_7)O)$-Nvyyw+2 zK3-eCTm0?&@9oW}+G{VUO;3|x`}l-2r!8MAe#NO>io9>*FR0F#ek)|rl*9YaJ^yIY z_5b&!_y^b57_!~Jr!+lm?dtpYWZz}F2Br%6vE93Qo$u(>!V6x9)3>jFS|I26`jURY z3NJqE+6nqw&GIbf`Z{k&(r&+4@^3=ZL?!uSi3b0eU+3#A*?cp_kniz}=LLHteE;p7 z!S+3o>2G3wNBPAq{{Qpx)A%)Gzf|x2y}N#*eVF&%9Y;U*^0nuuKd7j$p0(k?$G`9W zKK#G$Cs9*==)rBy>G$Ur{CwN8`ZCLp(%yL|QvxG2POpjSsCn)DW$NjDTwX@A&R#6< ze;g=1r%rlya>lKOKm8kjdhc$#FK}G;h@t(1na^kJdNQ+V^Bd-#lD)=RdkYO-e64da zlKAp#`iB(-52qJ*tXjkE7}y}6RA{SxHtbm}-x+N=pT3pZ^E5roPyK3DxI9&O$M+XW zON(TdxiBs|)wn=Hb*_Q6g32-xzn5LipV`%X_Et$NHnkqOxNGvv$(AOfpEPVrg#Syi z-?~5JLda8<PsWujf2Ycpi#uM)YhIDz5~y&Fja??7P~qQ+mWYn@)3*-PKbL$m<JI?y zZ3!}}i#-MIwi}+-nQl`1=*g+H)&N!3n@&p&U+u_I)7Gy2Q>=UYc($@fcF39y-)Ekw zdSI}e@w;NeHLqsNw~5w^r}3)t<pum)b7vX%LvOWgmdr?rom1WfKZ#s;_`)NxN_OGh zPUd;83qLJ3p1PHHU(@@P<<b$K?wnZiSow2+OaH!_z|`PJO?=0h4rxqPF4rqpcqNtC zovIkAvD2r|)2?OnKX#Azhg4dAE4X%w`Ur6(?UHU6_#@*o`9Ye{q2CIP8kPJ9OU@iR zvGim7qAz?+Ct32E+dXH^5oW09QM>p>@ZBMcJ(X6=iXR>SD|DpLz<04h;=?CJRtKfd zE_<xen-<(6X?O0i!NKkqb#|SHK3bkh^0=f>>yiFZ*lu2*8vo;rU&7p~)34<h94VQ0 zNM%?5rGlPAzoza_DC0iSx!8ISZ{CHYnvY!0-b-{$5o0PjliQQW`TlU`tGQ*;OM}0t z+<8=LP}@`~u>9hS#HQSve-!7PpY%cg4wK!3unC(Fw%7JG-{rVccjSh5=jtG#!#6*> zy?ebw+|w<E@3+|!rpM<Z9d??!hekHqW^QfsTF1S0+Km%)g{Or5O{l!7&G%<<;F_1B z+;ZFROxS;+H}5-RfJ&7`>$YhO&lZVfdm8o4s|#Av%d;RWi>F(a{Z3JGieks|xo&pm zrzROkzkli6pdFH>@vLE$Qst#j0!6FOZuFVIzw7(K`8$m|&K;kVcd`F1vzSxf(v4fs z2rM}MT$**4o68Gp?fU$b+m?HHZMhY;pP4gP=K7)tMK1Moo=Iz_IG<m)_1yf>i;vX& zh4}LJ6|6oJell_PRj#8EeOx?W`OW6}KID^BzNNd<WS67oai^1Ojh18>@J>1C79io3 zw{xoK3Q?wWX2;K%y}e!e?BsFA?FSyL7L#6|x^c10!I-J@HVdAcchQ{5b*9S!rTdFc zojJL9#ub?f)9)|^FHR5f?ydf8v3<&#X>TS)+C*&IF*QMCagh|OFvqhM)7=`U2kNlI z%)9R{fA*<wo9zUHOVhuWvE(*y(_g>hp+jK*(>m3VgF)`oV^(cXx_T{t(f77nb5@=G zwypVtX8wf0sUJ-nK3o(LbJM%ISg`)l<E3rqE0!#GTAOm*%v9Io2dAjh$){q!O&^(v zx#-=!J-2j|OPIVUlcq+Ol16GDpAKKgxrJGqoVdPhIibNXYL}e&r0DPJ36~Z=a%`#4 zJCPduV(x#FrWbKDmqy%nPEA_)q^Nea-2H@7>4zIOnX{TbwwP}4JZQ&R%eOp>?lrYe zIQ@OquNyPwmiHaCO8K+VF<VmpWY+4Qbtk5Z)NK2-<B`!{NzF}bPf6z}m;F1SaQWX0 z|J>BZ4fp$b^7i#S-=eMd)%k<gg7@|%iB}?)zr4V8d8wu<tEBd%W4k)Leh6>>zw1$0 zck=y$0Be`l<HGla+m)^VX?`!vKAHVpkX_H->lK4`k3rhumphKn-@Iw>aa|?1b+eP^ zugUJ!46MAn+Uw~z|BYR5YAcFNy{lskyE#saZI@b79>}!gS+LCClIQ#$ivnNxm-wk4 zE%-lSNrAAF%rZw4-s1uro}3ijE^}cc``il^8@~KBWm#$e!Amgi5I5iCFOl0SzMM5I zJ-hVLidE8UVp`AH1+EOT+8*n%a&G&cOyQ`iN4L8cIr2^UV#z0#dMW7)+rwGj7hbi9 zI@-NtGYYJf`tqDVPGd#TrH9*Zo}R1cqMdPcVT#~6)9LGsV}lx}hTPVA-QyseYnvc) zk#}o^$CW1$%d@ZWMEq#@+4;$I@<!|WPwlN!Pv2ks?MP?Rojm3!mU-qkHf@`2F1&TU zp6Ra8$SJl<)W1nzJ^$m|X<6AjVv|aJ3eGP6!4qFnbdl-ZmX~aQ<F;SFU4OiB+LnSp zdMvMh^IqRG$zj@_`C{@fjiomKztrk)_Tt4=r{7I^|0Q1JUwHjUm0N$k)druBC+4TV z`hWbd{f8a@@7AL=9p?0}<0z3}U|7q}$iR!-u0d@y%+1YzylvX?`|p<vJgD8s{l;Wj ziuK8u7#$Jq^Zbur+uY3w<dBQLyu&x=YEW7b&#$}Fr-;m6vO@6x^0cl+!9AIu|CF5g zY`<j1Vx5zZOSbw<4*j>h+*a<G`*QL2k1wxYRDb{b%jrLwKY!FdFZ?+t#d_!c*?XSo z`&(Zu`t@m!&ZnH`Rx;J{duCrvnWz3Jf6vL&Is5LMyv+Y+_D`*wf9|)dJeT(s``P~W ze9G#V=Sp^-DEe3WF**F?>-jhD)=blReA;uG`s(>{>6iEK2~9lWZ~u16|ESv2v(MWZ z<$s;EPHgh?Cy(p<+#bIu$@%+r|DO5F^;e6sccpup{V)A^x<2Jo>LYuh^WyQ-?tTAr z-sI<x_Zcrl-HhI6?h~k2S^u(VYQ4=~sk`?oZa&El`ukpM<{7&_{=+9OI4{5aF;DJ! z<i}vS|HY5~&eEB5^~p)?3Hx-y<NnR4XgwO2xXIja-@hlV6AJC_?pXSLQsL>M{Cy9O zedMe6UiQ;{#rK9gzuDiX8phg*FZPLH`&c~FJoeMH$XzjKo^{9X-Ls+K+0xIhY%{O^ zmQMS)|55Uq4J+Tw`S17aulRnqlPSL<{`~u2F<HMRqp-ec@{!~J-})}Q^TmAe(elr! zuWVK0C#(Dau_)j5<El?g*2m1!jfyMmIJsUWJ=oVB8zesW+=oodzjt@*ty8`7P;RC3 z(susMKTW>x>p%3cvZ6+!-*5e%!t>UDOKOGVTpwC|(tFo7eg3-UhW{6;d@2ri7hiiD zH}8+USnfB^Z%(lr-dTTf?42dvE@*aB(vW4_&L3@(HE+I)%GW=?_V3Q$r}}4(_DGoB z)_r$7@=QW^rr_4Q4;)t?^gnrqy?3eWDYNAKw>`WL$(e@R&)%@^UU;DQZR4>^i^^wj z{9YEmjbG}u+Nb-sV{bBM=;*%LF8TX_b8qX$-7_}+vwqR;f0=&`|DvLjJ<Zc?;+s5I z`gi}z)w6pbVk(}!^2EWjR|QHo&Tf#uDJk7}Y!~MbXX|8rhvd5~e@;wUd!<*l86@Vu zOd`tso0!Qp-vjn$F%iq!E`&~dZGR<ATlb!GEz5g>Wz11C-j%G|fA;gs<fhgO4PqN^ z+tg$jUfi5NqnSBsi}|x3^Nh-O7*%y;-rrehv-JDFUr*)Zo_`5`^F4W9K&-#~|HwbK zY1?M+s6W%2xBpPWJoz1*zmJD4X7u^YY##W(CH=|UZB3DN57b`FpSkJJthEV~<ac!b ze7<bY&NS^AkJ-1(xS#fV=~W4a;6L}QGu-=^$wtVV9DPw@7c1iyXLA11@{{u;`qwf2 zx9#_yck=QsDV?8Pdh>j*R~2lm7QMg0BI)i7^-d@8Rbmq2zLK|g=Cv+}EM7Y)hxyv$ zgu526zKL!Soe}ya!|IY>8UMu8%ggVp&hO=#U;16t<RV-0;+KBbx0y|(Hs7q-n0Q<N z_~ZTSe$L<tvAf6jcyVT^_5Bm?nQG$g{Xdz`w>o>oT|&tB(yfW_6sFhCJ!>Y%eX8@A zZCQn-Ox&E+Et@6TJT5z~=>KQ^B7FX`__^miODbPAGVk>l*>o*Xu&s7?;75z<dB;~@ zubAa=>Ci6MIb5e6DwrO8FefwZ{N;y>vOk9R7WnT!9<(H>PH>gp@xzI|fm@Df#A?2f zZ8e&z$*pb{xJ+WHwka?FjC_d|b^AL%8P0uSDd7J))#@Hoa`CcdrTqUYgg&`Ae3IAx zQ=a=lKEGXX*QLuJ7<i0t`h1dmE%xs6!?XpzV>Dk|Fx;KKUoB_~r(6E3Rg7I}5{kZ2 zw>b|7C@?9eeJn2DtgM<^BegJtO^`3+&^^B&0pB0?a|Tb{aOV2!mPL|o9zNQ)I9-3W z3+O$GJ({&K^t1eqgBAr-kKb8bveGO3%)wdj1FXV3&&Yah|8aBr3m2*2#lpS@R^gmy zbiJmpDoMM)uw=^-*4NF`91>~;RQJS8(}~}3_0@r?%i0#6=*%%Sy?$MFO~9NeuaIqr zIbt(kt-T?;N$%^RqTIru*;n#&752r3cU3t*oIG81Yv$i;Y>$hVF0XMEl5op7+@vCY zn)mUvu00x>(TO$dM80mDC-}F5ZQYd6lLwyIU1V8YyljQV+&xN?BJXxf%gbFAJeYcN zopxlcgZhG5TfX~#Wc9mIp1J9kUwQwfm7LZ0O>7>f)J|7>@wUWfM?y)~rntQFD>dxP zHt#+XvS_Xz%gZY%d-qrdeU|llu2X8cB6rLG8GgRkzMt90eEDW<c&2xSf|9z!a<$jh zY4;mTs<$iw`Pd?%R^aIF;JdTFx&7H4(Z7yms{5LCS9i=<dg$ro>EV_$b=hmDa(U_+ zn1y?tdMvtlb8gxGe|qz^b$Jih?zY^!F~;l4Y0cQ=!<W9;rh7>pdK{E=`_1dWv3B86 zRY!HouX&$->e^wU8Nv4GYr2H6uj59$3@f&;8~uYP`>BhZcdtJDl;=+Hjpd%*nK~NU zd4~g2->Te5k@8OqE_|&rKVi~t|H-SuX5a2_DxPisxzs*G&-eMQGkf#xkDNF=*EeJO zjAik;X7{6FA1xQH>&>jXlC}P-Y2C52OFen6vFn~muDx(5Z@F<*+_^pO_f#S)(<A0K z)<#K~otnNaEXzKxR;<a}`kJa+$>g2CZuy;OIh$22_UlquTBVO#(q8j}e{-AkDkG+= zNrm}TwOu(P;^C82e?q_iW9_cJo$c4>KVs(Ow^2O5%cOSW!Q|>`6}FuIISYRjo@lc8 zbbYyjrFg}xd8L_7SI&J+sNVBM=Z&=REmNTii#es4R#*E(+OBQRUa($P`I)yzwT6)I zsWlVcsEM0b1>9Eel$gBWTcF0Q2R9ue{Z=LwoSd8$;<qYJhV^yvragkp-(w@c%a%+l z&2-`}a|#IyJ<GqruT#~bN7-3UqP%)p;6k3R?!z5#kFhV<zw)a~6z{$5CO;%hE4qu8 z={Ve)|4opMKj}kY{I;{JRJ=CqxGg$cmu+$O!AHjaQcDsPmuOYo*82C>c%S!~j#BSi zGv$ud%~TEcw_By;7kJW#+rnRInt5jWF7_F}xPs668%)+-SjBK`-kN>;cG#}}#d-F3 z$I&328Q<Q_O!@frsAcd~e}l`_97-F-xUO+-Z0lUM%X!0P-L4ta`gwKQkA&>xJm>Q2 z&HDBuBKuv>tZ)s9nkmOJNp*5f@r=$hk!el&JjO{Yr<E=H;BY3^TJ34eVv7SiXYM-W zzd}Svdsl=(>o=cGdwxH<vFuFCY3q$2ysa<u8hlHsTyMskV!trRV-5f1b0%yvQYzP9 z%h@zPK`lH;Lhi<KTi1I>KdZc|aauYdBKKIE=M$YWpRPUKwr-_%2Yucz-19zgTHD0e zm;P8ku`dleVSQt<wyaI!H1>t@>bDs8ofW)nkQ8nhZ_zO^(8O8PuwZFNI>X$mhWyW0 zM3zf?mh8C4zHZCMh&ck=mIU*EnA*Pk@QT&HctbssdgqjF5^nIZ-4ibN`dP}V^9e@b zR+VRTOqUjQh%{=?f4kDo`Rb&I`r~b9J}vQhD$u#+)6IFWjAxvgnl_Ii<&dKErcV=! zCEl*KbDlam;`Z{nZ+H}h`6iywnhz2>d2g|hKy`nRLr)C%3p1Jf4Y4~Xoi<4M9d`I( z>ZVgilM7By_f4F{t#-_%@^qQZ-G1}!*-Nh}tk8bCN7#JE8pqGqBlHXoAL&~XZ}(SK zSnRBW!>op;xfizZylK(Uo_qL@VWh55Ny?6{ul&z?HvYR2I?29>`}2>_X$c<wZ$pk- zUhj3V3ja9I|3jnc-OVviSD%qkU6a1ykhrqg+06DTgXJN<0iT!7)71+3tlHr!JiTm9 zb&ATe^PNUQrRyFQ3-oAYtWDUyt=zZe;cB0GNp=5o*^~ZCay<32dVfY+Z1eW8^}#D8 zq=mD6_v=hh-sxk)^f2b+)4djt`3^iS`Z;6MjxMfg$^Dr|(^Ko;Fh6=#y6lbMl-FXd zJ|+woGhXF1igi7ab673D=E8*wz5MM@>l{ofw?>9ZUA%DNFJt=AI*VB^d!meI?a>gf zet9cInAgFi{iOSODcx|Vl%0Lk4jefyF>UiDyK;|$GhZL9s9ux7Flpy02RRo{lWHSV zi&LgXB6c<|yH>wyX410m4f<-wE5JL2IXv>@UJf;m1u_~>57kO3Na?Y!Gn&jU5@5-s z7P{>+!^H(Z<(}5wsJ`+&@d=Z?`{Y*hUHe(8i<d6m{%r2qUk82|MIL#%Jv}qz#BJ-R zD^}cU$S{#TYNa^sI-|8Bmyf#C-8t`Et}hPWU?2YR-@&rm{r^v`UnDVMPpHEBOQ%d< z>=NyGu667Ft+1(jJmOY4e62!v*X*9WaOSklUB=3v`#hBAFS_=$@cx9-$0y`h^yECR zoO#yKBkI8RMiaJmZXRD1!Z~lgEk5yev23by{qxFs3zes;S-hRF{mds3FIGtp<*hai z;zsk0->vL9=+`FtJpEkOg&s$z!-nrRoIb8~`IuHs``Jj@SraR7Z=HCdV1@OYuJj3I zrcNJJb<afV&N5UHH-5_#;kx5^`^#TD&y+g%Oo-@THunQp&x%)#@3W?S>O8Tflf(YA z`<k}PeiiIz4=;_enAsUyVlNjrKQAwJ-&UrVF{&oYh5N6~$}^q(;*|7{!0=xEJ)9lS zv}W#XlGz^Q757_dHs_P$0iXm~zLLjj`q}+^H7bst(dJuT_}}&4uZvfFvnN~*H8XBw z@|kvSDyN2*bSKB=^OC=>_J&G^n6Nv>rke0y@Cni0Vl3#%>&?Bx!MZq%EraJ_ZS={; zWj5-!LR06NGhWQ7<hjAAJN1B-;&o;#%U+w0wi~R&FIDBs9yW^CnVg!c%T{yZhn4D$ zHNmIS6y|DY?lro9!Sr%xl1))s$kRF-x6P%6?c2)~<bC50`ehigmpNUTac=(uUX>)x zdEXohlcm}IKe#30zxnJ84gVAw-u31?Q?9bP6pKD|-}e5!)|q*K7v6iba?;7XGy98H zF^K6px<#$XPnTKT^VRtC#E4Dv;$C*Vke#0^W^?II#va8>JrVXDvZdF5K3OK%^4wZ` zf{EM5Dd|kw{Oj&>?Z{+#bWuh0P0O;JW!@`RlvVHCmh-D6PGFYT)|*x7iLZIqG(8tS z&D}UR;OIN6Ps=8YhG|?b59@q>FYNuMNSn}Lo1f1LEpM+fQ<$Z_clXA-cZAct^TSri zz2o^=rS|Fi#3dOllXeE}tiFBQ;n0h8PG8H58y;4<9gNAmEo&gP^{_^gd#vrI_^k&o zY>T|%A=|rcSJ;eL`<3FQ*Q?Kjatrh<%P>p$)*QHZX6U?S{ky799rM4+vt+SnruAK2 z0hcYC)l+kOCVVWp6rbN4a@N6W){kwM?=tR-R{ir_#pdUi>$BEoupHXk9wpo@*Esir zi`1JIwdo1!E>B<G(VhS4<oZtWb9L1xFEl>z3Oy9?=F1hg%D+n{{8+kv^38nS^PS=8 ztxLir6t<=XSU+gIvBH@lwN_Ky*GguU{<$pSyM~W>+pOjoSm)g-)&IE4OhL*w=73*@ zq1>Amv25$P8dB^D;afVgW%xf8?D!J8{e0SLg?S(Ti?z>nkj`Y>efL=1<X)cb6UE-& z&J78_IjPq!PdQL8DmTT~tn0DUg5Ky5@teoG9VhqN-f&CGoE;|Z-g|vx|Lq+|E%a|! zT(yv6-_g6J;ci}X@|&ASbC(?b9VV?Wef+T;$dFq(GUk29Ywx(ZTNPiFIb68#X4*!{ zR0lKZPVKX1ua9ZCeUs`vvNUbBYQhwOn-*Io*O}g4^K6mCO^fZ4dS*us>8y2&o5A$+ zIj5qna#(5R)(>||BzLy{n6hwMOXlVehfGrKy2I-Zh*?dVS$y?$YvW~4G5vtwGM;B< zzIuFV-+kdLR_>3Cg8oKFw#VDJZ!!u>eR_6}i0S!>3uI<1oq01;C{bqR$%&c=ABqVn z^(bpvn!SuIoW#7fV0LJH3b((H;RcHi#^@Iw?tVTu!waV|ZM!hBZ;s^gg%*5_(J#E* zrF4#bo|${iEpSgxk6FFIv~#!D6fTOmVKDt;>t{3XsTz+rBrbb!`dMz6%tT$AoDW9( zGVY~46u5b)nN9z(_p{t<{{uqSKa>&@J9B%@Q^na5rZFyuiYjNjTBZoM`>H+KwCU_7 zsZE`U&KJ~lrn2{yJl*h8>(L>j0u>#0-*CZjv82MTFyZrO!VR^#S`WKf2~Gd3rtG>m z|AvSAFQJ)pb=RpVezBM|<FJ~tD{I~j4|lENya;Rkbju9cjceRim!`A54r{3u%yQ+M zTzl&6m*heo-_qjLr53w{ug{a`RSpza*3fpymufQUYkA=$yP>u6zR;hf%Pt&mTGW&i z)Lj%`$iybSU-W5iXrIMKTjpO+E4AjSy*_sSUx?iHQ;OG%?yT~4yr8Sq#8l<R<SXr$ zAngz=P}03>Q3Ic2K-lLMH51Ymn!~_KCbMN#MucpP`?eyc=>!8;)s@K5&f+7B{U_&{ z&oi?wF)q5U>*y06RoVCB^VuJ2<<|@Y^Ya2-t~#Vto9s23_wwG9ekSc1sonyU)sC6X znAScw^>J$cDbX#jW~?yJeCc5QjMXgTXprAZ&Pdyxx_xU-Pe`?%FmXoj7NfYPo|We! z&YTVinI0t3U8b;jwap8z?v01+Pd#RTx|+p#fBbjlUw;}mFA@&lAs>3|RDbg>`9!zy zo99)<%3A8Dggb@xPyFF{w?AR2!Tg9ni{^ghO7ST@cB+5xF8M&Wthc>k$GCk|TkEG> zPnZ_^>1R>ZXD!>Esva-3p6+DP44%8`f`{YIy4)#ugqD;{{TOp=8t07)552#~T#nBE zWb2VwqW;SE#XpwU`+pu$Qh2*0Tp@GSWHIB-6~A1+tDSkF_jseulT9D{e?7XqdQ-uR zy1V@eQ?2JmF)f|?Q3&LSz=JQ!U)Qxr#-`d#-}Y6_{NK&VlBXBVdYnCXV+Om?u2U6y zGgCia@2w9xcBubxcG!32GcU9rTe59td^f+gGf>5*wI%0Xtd=>8V(d~Ib%m5wj{9Cd zd1kt-$eF{aAgt%9Oh|w0j_dmyMXvshP@U{}cP39wgONg5>c)pIo8_i%E=W5X`Ca|o zORe`i85W;+lIfYSsQ*T!xYx?{+q*!)-1Gd<pFJ7M$*YW~d1-cufgCzF)h6LXWXEOY zpfGju(-&kp^BP#LI9a@%@PN%@m9yB&)r^}mIGlE!syvtDt&=CSL}bq-uA^N-XLlJN zjGb^G(r{k!1mmlg-I1A5?GJj^eVQj6qx&>gU}K_s5YznyQy%DfJXqs&Uh>3@te((Y zkEKI|QcJ>CJ(r1VH(2_mHqz|xi+>k37#}!bJK;d&-Fe&-jIL^SOICha=@+?$MKd<l z=J*3X<L?vp{14pg6;Q6U<Ex;B_JiV{_E6URkmK638Y<R(n!|ji+9v(?!MP{T{{2$w zEpRz>S@4v6N5k3ge6AU8w4Thku_w^_nZS`dm-lMQzX>!rJxyWR>Jars31+gdS0*pI zS2Fc&OG4GT!^`$C2Hl+>!f@J5Vg70#^&?6B9kaFKH~tCu*<~g%fAgY1_YYE+!nyRO zeOE30|MNv$`{rGN?hk(Wl-e!+UtkwL)0gE_;eCyx>z>*&-0ghf#=fy4)cT1c$IMp~ zF3B~#^OU$0I!(B7cmEwnFW>h?djpG=b{*e%wkvj(u;adv=h|UXrvDGttot-e;K}2C znKurv{3&qv_Kmcy2Bxm8lEHHeH`g!zEtpm^^`cCJcGA1~zyA52ZGExrm>Kuf%!(V& z>Q_A!jm$h-t#QvRdroE$<I=l_Oi$Ih?Ywk-Z+l)!&Sslg)6OL{8(;l!YU;jswi4$< z&5AkFZr?HGRWHiYb164w3O{*9@WhN)J+4N<={mO>d)5`r6o?N!@jPVJ<>RLEP3a*` zxnZrBO9UQ!m)d<^#q_Lr>S>vV=RG^}pM2JQdb4-d?*of951M&j)U0q8(7*cOj1<S? zou?Ao791(Qexh0|NilHhu4}d(dC@tWS?0BM+`AVp$Su1@S?sLAkzBD0!O0pOJAPOO zl<A$Cd~6ptbIJ3h*-yWl*p&!n)f|iL_`LIUYQlfb_rDF&7BTLM-FWs?y_DRWeZOA& zWZi52I49L0VbR%G<@aGZ=k{E+>Bx)7+5BrkTgOS4Rf=Mt*Y`!3Fbl>$HFW<W6EaW7 z=)7ae>ZIAC0;V<<d|DOHEIA%u{3NkKIDDpyN?K#t&VB19=?i~c@%35M)O<~?`vD<w z8%mXVQf9XbiB2dsyms61Ufi~~o4c0ndF#KlaH{N_j?g0O-Z#_svp>?}mFQZUWbN^E zS}u>ltVhjrcU-!=aqYWht9$-$DB``(r&eBFs3KQbS<PE{RX}CQNxd7KzCRB5b$q(~ z^Zr@;gnkp(7b^}s_g-Q?`nOwUzW>ht^qdW4^*@=a%ouW2xlZ%vJT<<TvHJehW!0tT z+{V+Q1UBBhak!0p_6hNAr-U7%J1<?xc^!B@<JI(gvzOkrc+u=8bhvKwMDxarCu}D4 zylHg1r1XowrzT;yoA&>d-O|RA-_|WpaJbB}K{zU6n}A&5qMKe7&n{b>esq2r@8$)Q z=B(oEa1&|%&yHAs0b1f98^G446V1RNpT@|*k1|hDoRL_N3SZu^rj|V+^!QY>@20kL z9BdCiS^5R;3SO%>@rdUNvrgW)4Z`Y?YsI7=ZBQ%|E7){XtLpvU@As<Ncbab~Jj(jL zkN3v1-4E*b?fm}Y>~4;icUR9pVsL+EaoV{n)=%eD{-1Yy$;pp<EA96$EuVj{{EvIx z^tk%-@Bb~AtA9K7{zCWva@)VXxc<8R=iB#xUfcirw(qb1-_PA#T;88<e|tGOKVko$ zeS3d>ef4{LoNfJ|sTq^ieD|&WpZ#g^j(@8^oZQD_o_T3m+Dhq+-&^gi)C%TK_Lyh6 z?YYlpef9kl?ET_S^X2AeMPFSQ{rrB(o1m-f9&g=wQmxW=_tw1&Uv0Dh`l7bo%c}Bh zzS#!%{kN;WyqP+?=ZWdt3xD0rccmK5y1u6PdRge)-DNK-YH#1)>T&E-5S#Q3BgyZJ zc9*}owlMkow39Azo7d$<bZ^O?d*)<zWcS_rX!XgJx_b*|T{AiR{O@h6nU`wvwjG*2 zJ!jhWLuajgv(|YYDyzMoZM{8z>Y1~rMfIFB=jKfGJMC?+f758atJ=!apvYId3M)^B z&GHTT>`^%@MM!L2*vV^^JLOI;t<kLXyqEg+c3J-YZO+H};!3Z&#b&v7zIrzQ+R4*f z7MX9mD)ct*-IayEx0=V6dw+iNw9?ag_ct}(3Dd9q4=cUOGIfvA`kms%ckS=`9Gd=V zR(0axi&~XS*Kzq*&rN#1Zs$q;=ccKXC9i1x6qS9yGC@P@XXxBCwujl3XLI|+PX5^w zlKb)YR-d}LwFk6aub1ggx_{xK*q>$BlY3m^K1JuOD+}Gff8Fb^vOMCG?w{Lzr1$Ne zm6Js;TK$|`;(syn{)M%*$ETfqzsvUA;mVV%v#ML%v*!Mp7v6sT-k0BfuCcf8U-|ay z+R69NxB55-o9{d+zd`LpMe_Gg-jnRl1ZUb>i<IVm-z}~0|4(CmshfY%%jv51bEZ!} zcxUBgwfKp9tlkIN@9x}b6FK3!RqQ6Mh4Hg=&SX#ZG(Rc+@`<s~ic6uBr|VoxeY>bT zv&#MV>|L?-k(*TX*Ejc_R@v?|ZC2E-g{OM6XX+U5*xeqwDaL%$k@CGi)uyg7V43bH zJWK8NlcS~&pZQH!ub*^%R^#kdc^S9=F6+Cy$3)NjpNanYrRDqgz0J3(dJ=YW{!6*1 zrT3W1&V-%JzBI*Y`lru(V*cif9_5W+{Y2kLvvuv{{VCnC;aC6d+7`R3WY0dY?ZK~8 zZh!glMdYmaw$-vdTU#^pxq`2*`|Nvn{rfxn7JHSQ$kuC^`)N|jahp)@4@aU@tY7xs z-g!E|N637uSKRDK0oxPnPwR9nD_M4H|7Pw_t9`okPOOidaQ`OjPNmA)drQsMPK#E} zKapJ*+y3Q4*2FiHroZ~P`<;2oTc?v^C)ZW3e7$S?+Xc$JdnRshj&A&~GU4y8(oNEv z_bpr%ecbQu`u|%KGv~gU=UDuF;%tlD7xxN^qZh}2esZ?zRO0_@Ri^&`j<JSpO8vBb zmwM%`S6Ue}_o%L)$>+&9OSOLb^vgT5uj-n|Ew>ljf8M+F^^|L0`CBq$|3@m6dZ=oD z7P3+_&iw10cD6ig>Y7t)Dt&*3Un^GM{j54AsO0SZSwFwNzOmH#@{`=kkFWFhtqfki z?BqPPD^J;`zL|RcPRpuY_phuiu8v({|I9S|{<diI%MNpQoA}<FC%%7a_V#c4vuFJY zj{DO#JO5?KMU$Jid8eBD{+lhGHF@%%;<zo<+h5OC{r%+Wmi_<I`oyOG>Gqs6|3=yM z^4#iRzfXO8R{T3)=6`SI^ea~mZHngFZSMbXtJsTM|H~O&t(L8C5aRvCx#Gft{ftLl z)f#taHTpfsXPR-~KC40Fe}RCDwp0JO#~o^ro~8c(^5WN9W~=`{-LOe$@`w8COmjc{ z=g_$H=zsQ+(_VQQ)Bfz=BV!(MQ7Q863|6<qz}9K`6J-({@4jM=jR-kawB3Vm(}F(P zH-|1ciD{Wz9=Z6lv^2GNvU6-oMQG8C$=A=w9x&gMd*8SIMw|7U<$AkRES>ag@2q=J zmMxnzP3Gkj)jJ2LOqY4O<oA`*In(w{k$L;#=WXfgyI-bU*!XJM>o5DS$F)C^a6jMl zNq5)2?BDU9SKr%uH}&L3zc_7k>Fz&QpIE5B=Q?{Y@_Eg|kjqah)b+3V3P-7`zhI59 zj(wuF{_v7X!gKdjSnsuZammW^<jL%d+kU^_wdMV_k5T2W*Gw!UyYJoDSA1OTq-I{~ z%WErM{JX6^DR}N4?_KvQf<vF{SskBU;<xLzXjtj1C6g|fgzj7$7jbQ2^wFg!U;53< zy1g^`YVY;%y8&0fO*?nDlsA6XoQccI#8-KpyK=~CsaF2cqRgUchxJp_SWW%Um3=D8 zd-v(JUTpnsRVMXWHX@!X>N<C7mqn_~)ba|GpJ`{ba$P{P?AA*U@6Wf&+O+tecW&7g zBllX@w`Et(hpwCTWX0OPUy`#_CLLCPv$Q~EX3nBn3q0$D%^y55?_8?n`|6MOq@18B zYbsyA$u|62TI#cW@x9_Jxmm4?tyau8T0QSoocmex|MeFW=kZ&Fg$15GHL>BG&7(9q z|CgmZlK-XMD7>@5{i5W5i%8@A^B-sI3RArr`pT~TrfgZr)U5S+0r?iI)@lX6mi^kY zc;6bUsF~YJK6gE--g$iKg2F&$Khrx64+~pv3Z}8~7&EucWIQY^_^iXgIAMmQ!5JQx z=%rD+es|uoU-F{J>gE1d&oVm}X+M9Ja+W9T-20H7QSVlGU%A}(YQ?{W|IKd&>R!=v z|LZt)MR8x%u|VTDY9}X7`=F(|IrmOOc%k6KP7Z$+`%5NMA7?IfUM8b9Wm&91m&)8{ zRsWx8{FR@q<q>v%(JOxIS8k?v0;guJUHr}Bm7M$B@)Gmi&b8rd)ATPZ{d}e6{oT*8 zg#W^?xF(*8-gl}e9A?NpQa#ad-Ea?!B*(RGi4LzO9tk-%9)4!I{^<!JLMaE5zX_!r z_;5tU5hU2w&e(t4+dz%e=z(E1r%^)1qe%+PZS9PQ4>ufttST{`Me@Upy)2RjHk_Ul zK!Ohw4?O-T<m1uAQ*kD)iAQ3d3{?E&#F8QvSvDKFx#vn(`o)FkJ&~Uq@b(11?1TOP z?M**TKJ@qJ|7jCu{#d=*($VJC3csvXi=$T82VQ@9)2E(koAoLO*@6)BsSn&=^smZ} zjh}t%rK9=!$3aqmZpF-9Q#X13PH~y9%ND0uW$jx0Z;6~?{Q084mVfiVdarFe_iOd4 z?Y|~Y&HmFA^Vaj^>3!c;PoMl~=H$ma{+lV9t$npTyO(Q{>Evav^8dW<TV*D^WS&TA z?wY4EI}ZegXHTtK+1sae#W;g6c>fD=ySV@2arGJLoA=!>4B7Zg^tw>2#do{s8Y^!9 zwEfw4D%kav*tr|`*KXgpchc*JDOa~df0wM^{nd8v%y~s6a__COnilVmwR)ZXBeVWQ zp!sWlhrX<(bqmw1UuB1_oBpea*W@z$tfS0lFGQZ(H+{LD>D$-;7r%VR@%?Wo|Ni+Y z|6+R9Wyk0%37<?4dzYvhH`ybMe}<{SM2~YfmSmewT_aanmLGF{%4Dx=HAN-<b4sUr z#I5v}=#6=r5jeMCF89xlBE7)0))ljt91gw6w0zM?edX&fzpav+G4bGzx8IGLXYlo< zUNAgp$m8ZyVA32YY*QSgEo^*HnCF=92a#sZBQdRCJPd!kt!0=J$#c-)x%>K}EkcI= zod>rGnOc|4>Djq-jorp~9zNZh4$R+lKu~OlYP9CW5UbBQr*fC^#J-!<Q^bE#<NKGA zoy(V=wl$4?ck*RXxbcf=)tBcq2fg!`(lMX;`LX0vxmgR3EZHI?eA&y#L)*}9?U9`& zPxF1W3%5C{o|q$lIv^>cP00CD?3?aedS$Hafo7p+{hQXkDhqdAvr2B_#DkL#Ze1F4 zW<m6+iSqBJ2A%Vm{%LcGrulO3pP9`|Rf_AB7Ee(&o+5GhO^m9W;R~&eJ->E%>7-r= zO>)?^cl(m55*}H5y(U=Nt2=iF&TQ6Qd*sFzq0N`x+8W0SbFb)MedO8}p=PO&rZYcJ z@~FC{Yiu;SE2Dks>_(ed;m0dytv>Rzq&UZ0`(tJES;uF8mPvZ`oD4|v+x7gs-}gVA zFYPvJ-IckzWS7?)5ABbx%^+*0E<AFBNA=p0?4-D;&Z{qH&6u6n*O;kVwrr||1K1(^ zBin=?8%>EgbtOhsY}wR^3GakIU-shh)82UZl`yZC<Fh}{B)xjhzY)$<4KsN0m6I)2 zb(-M|Ekm8PN7ii-at1qfr=*w9iGZZMU6x_Xr$$T-dz5RobM=vqEke65d-3E4a2h?| zej*?#sZHp;5r24RxkSm-h}mIDal4ZBy!bELW~z!!idrv}axQ=7!Xry~RP8*pKh{Nc zD)a2}+B1D!=famKv({gg;XNmua_x6TbmvJ)ubL@gk1C=%lQUKOmQ9tI7nT$s)tLx( zYnY^$&&hx!=HTL~5%b>(zrJ+#V_{ThV5X|yq*q#oi$D=PfBM2BS9nzIcBZROSNC}< z)9ltci}Q8a(G@MT3-V6cd79sM+gx+~{;_PMN%N*n{PL}E>(o8Hj@xVW{Z_1fA}&#K zdV3#F-p@4S7t`{2o4-!$Kl5{XiiV-BbLZVm)v~2iZ=77P`UoFetg6|PsWT>rCDnqW z;r}Hb)wC21!-Sf(LRWcqdA;%0-l)Fz$g(X$#h1NuazG&zKW*WWGd!woOQuT92}=@a zUMIvXSu*v;q%cs#odtXDwDFV~Q+Ik*)bwk76pFqd`lw)+KhN6GMb8?2ciHdqI<r1> z(KE%M*P%^kex3+ODr*y(e96lv<w9r@Q}aEoM#t@Hat{_1OpTZjmSlR|9h3<Fck!q` zOVKd2xhr${(pf{*wMU+85#nDuHDX3yAG_z;a89G=^_tF|J0-n(e6$Uf)*d+#o;6kC z+=A6dlrvSumQStN0SeIi)Hb2dMpGo_h9!w?E}mL3i*vVEkJtCk(lr&~ovJUNMO;lN zo?!X^w4~RW^8ra3!NpT0EO%~GOY2+vc1H3guQk5f8%<(`+rUZSzgL?Ox6u@dX<<nQ z&Fh4eCBDpRb`0<2%~YMXG&?C79G0sky>!k5B>6;jUd&W=TRK%Da<$9X#V_qP+N?b? zjcuwz@xSXvQzE7;JaS}<knkn19)E2^v$aPWw+LxxO<i&Ce!_8;;<~yvp>E?TGfrJA zpE_ewSW;0`r)Q?BoWJ%)qqRqp!GXO#U1Ot)b7$tuSu-4KV^o(dw|-{A7OOhXLwlpv z+9L<S_Lv(@iI}zU$eJxe#+OYEm1Bi3UpC!1)iJ#DYNqNuaIDrFJ9nl^dg*w*Uwv(9 zxp4R8-JPZ{?;ct5MXE}7<vO9sdreJN#+-b%qtkGP$-%Era<iJGC46Q+C}NvE^MS~+ z3wKzS8_mdW@;-6l5X*F<8<$wZjaT>-ur{ytDNt>$RNK*W@S56=u7lo7s$NAD%v|if z_rb5F>lQ@hvg)2+u|RoqmiUzA5+&L%Oq%mp=O$iQyI?cVE>V-3b$L?To6b#q6?Q?L zXP4*~&E`DT+G7i%9p4+j2)pncBxDHEkZ@t`g2_DFRxGc0b}v-?{qtQF7jHdUdqU&h zHs<dhAGaC35X<Lo&iiO){6Z}M`<CjZ6^Ab!y}e<>w!h|vFU0bVoAW-pon4drUuxL{ zv3&XFypP|EUWmPaqihwsy`osn>-Tk@`>R&w{mxI^mG?V8^+N9djWX-<K%&}j_y2xr zyX^1D>In6+m7IOctftR=5R+E8lT)rPBAroKrufI(8M_X#u=`!=tUbx{-RJ5-?YkwC ze>QQxOZuSgc5?6LQm%N@D_PghUHAF$wmGW3=CHwxE9+-Ju=`YZn1y}PFW<wv=Y;;% z6~0sX?CgwR+c^F9`y1CBXK^?D5i5M>bkBMtO`asK`^8^VKm2a9nsQKn#)GhD-<%I` zm=yWv1WUNVk7RT6Yrn3l?Pxy8BwW)J8kEtrd1m26&UcAR#b!T9F!S!@T$dO<W!8hL zWzlI(uO)QM4{qfN+p&jdo8iGwo_|MD6S(Y4k4pTR$=R16cHJPtc*?=~(y!FI{vKj6 zH~Qf%T$4H>@9Z|U9gSDY)>-+i*~`h7%q1IR7-W6Txa`c`ZF1K8FC?o+T9<{TtuxE! zcY413{H?`WHzI$R8=spKo|<CN)vZ=u(C4ZD=-9GyaqFEL1?<n0%1p`&`qb82Tg?Bu zPe4TZD$hA7<utbRvk7cxAFP>qc!A^`)7g?G?8_JKYA#l6e)piJ?d7h?pHJUOpK&nK zxSQwQ0~5^|2O~Gnbu_lf-e&lM&rkSFT2bC?L(BE&)-1Rsw!&{agSNT{ca8pH)@v#2 zZeNm|ahr9rqf!Ff{qrXha^2X@-`^daXLPCkrKQ%5#9#bIe3!4MrWn}8Hl5El_F&UF z$Zzbm!LC^H^NoP@EbHsSW;<SPko=>e+{`N}>Em(Ve2K9K>zN0~B_(stoJa`DWm~^Y zdS~PTo^|I=Bm}#$O};Gbx{Kv3b6UWJi3gwc@Te`5ww&vjcYue>*yzTji3cbDQQM_z z5kK3pyW#E@jm5L`wi<89`*J7SX0qey21$|hlnuIzS;{}pyKwdZ%h{<9|9qL6sGYiw z=X1yg?ZcaTyl&S`)VW*ZGc$@+Cpgn>W?5Rw2cO*4SC&LCEj_?<%Gl_})QJZ-Z<X9L z>)eA$4U!=#DI2Vno1M2xhAcPUVUoa>Yh-j|^2CFGxl`+EO>O_iiWxM|Pf6KeuH3Ai zWxT>Oy`b^{Pu;AE2lw^xe7l}zU_Y0UDec4N9-eoypA!A7niF5X)c-5^H|PM*t>wlS zzPJ=UtlfRyq+3n?`p+4UKX?QQpEcasY4-e)-7HIm@1F~QKfSa=w>a}o`_Iff6Mkmi znfWvG&Z3{0cUJ$*ytCzJ=AFGiGw&SxnR(~@&&)eFerDcz_%rj)tDl*7KL5<T^XF&g zozpu_-hGUbGB2%@Dle~-+Fn^F^}V`I%Dk>ls=T32YI{?i)c2M;Df9L^sq)S`sqNi$ zQr~;)q|7JONtI8sn{_kj*Uuf>zg*sN^2_BNx4&H8@%GE*9sj>v-XZw)@($&%mv@+c zy}ZNy>*XDhUoY>-{(5;w?bpjY`oCV@vGD74(eiC|c6o(=P2QFM-E`;6ugp7te`Vg; z`RnrIx*PtFkKOQp%y-lOaoA1&$MbH^*Ec_3x6i7?@OGws@9(VrI_5X(q{?sANo~JV zC-wbaos{{*I;rx<byC}()=7PTUMFS#s!po>O`X*CcXd+VKh#N?f3A}%|5_)t{d=9% z_n&oA=6~#F-Te9cX9dfH<sWqp_&;9QF#p(PM*H65EOk=nx&N5R$^6JP=`7APnOdB= zrT6pY43+20FGij7Uwm`Ue7DIK_PuQ-byB)VVx)AB$4L1e{bO>g=Vzvg+~>;~uFsZV zbUNd|ICkcIx5<{%-GZ&AyWO_5?{zDwlUn=okIAg7KQm2ce!iTM@qGEkWoMT5u2_0; z#~J^{Q)kY1D>k<8ElaPH%02bRBx=#mOcUMWOcTrE%q@nWFK6UDTYmA?8UMvUXU=!K zY-HcdmRTpIdnQIo_k4_$@7X^lx90rJG_m`9IivO2@{5N+E|@jn&DnUm8?(uDw{Bzm z-en+@FZ?l)TKqH9#N_kkjCs$NU%Yo_=|#S?OD}#n<G+}7)_k{M6Z>AX%sQ#JXaAT) zt^Ap3VqKhR;$ED&#s2f<jE-l^FDjk&Uz|B>zT0MF``%+&by923$4ITc6eD%^!XJ}c zAd`JQU(Q(gZ284sXZ#nRoi*Q0*<`xgW0UD_*(UbAX&{p?|1ptT^)u7N>GS1`UC)+Z z6g#{0qTAV}7d6iMFD{)m-_6+6zV};JomB3{KPFKderB3@7H67-7H4kp{d_rN$+P7b zqt5y-zBy~Y+hh~_-nN`NDc#F4Qo7e-q<pXZF}VdYIqLJ}jJ?m6Uo<-Fzu0#6d^cv( z>28Njr@MKZ+V`qu*Gc7G|6?Mx@n@z<z~{>u*PboE=y!JM#k#XgFD9JzU;K2|d^ce; z``&Fiby9Dy{4t5z{xfqAb6Msd>2IIbHXn;lwC}xsxK8SQ=U<aJiQk!fEWcf@XnnK% zqux#b$4fWOKXyCSzW4f>I;r=w|C+?v{Lb9d`0a9q=-cHV{cia`e!6A;vFI%O-s@NE zq~5RnYjW%FPLo^AyG*1Qer0Z9`Eof!=*9AjW*7Vy-<?0-E!)w)_gTGOZ(5^XZ&^c~ z6fe_XlPI=dnI?i?E@%9EzWidw1^>mO3%nPXF7RG#xnREAZU_5bwx&8MUDm%QQH;Mb zx5$3EoWb+rQijTlOBtdsmS42F;J<k8g86REj`qFB8tSBcIsTg5V%cSKi))vO6#K8t zEka)|XXw3HEV<j!UOt!UZ_O5!FP|@(Tv&QB<-*d7ZWsI)Z(K0nZ8gYU&2>_~%(W)T z0WY2}Ze8HL_~`=g#VZ%gcbg3|y17njEyrJzD2`v5TeQDi&d_*qDZ}B#r3}Lt%P*E( z@L$ZkaK2lzlYMVnQ=QaV?!P9txObV{65M4X#rG?7i^7-78D1|IOU`z(mw(Ipw`Pmc zm(Le-E-bw`=fdjdlj4^$JYFonxafkr@v_!ByIH)yGD|;Rth%uD;;IWvFZNvUUu?T@ zzT06Z`LhuczkX)ezIeX)?85o|ZY_Gf$6EDzueH=ko#py#a!dSIrisay%NZ^&7E4}s zwwKT4jFrmeiIwW*`fCyek~IEuIV1nY@{7|h_%CK%G~aEq({#6B=jm>@o$Pzv+Ulg% z^8PiMCHpJWMDxq#jE)z}FK)W9^x~rnOD`U|;J<k7!uf8Co$Y(Cwbx1Mf;_1FE7QcF zB-6yEBy$VM+M*ZBFMhk=zgTe5d^cui``)z9Iw{}C7%AVF7^&Q-KPFP!e`K13f4rRW z?$Pp#?~eK}X6%{o<}D$AcE*t(nOk~4Ue4fqy!_&|qx0QmYmU_&^IxpkJKwEas$R}y z?Z?koC$2Z#_VIE?*5m1GyWNiaO*dN;HqA{_(uDU#OAbp#@e8+4ZWk|{5lv##oz!!M z>q640-X*G27fN1U$rGg_`Sqj3`n2h8`RU=Cx6E<xR!QC}dC}lR?~;QPyuG<Aws?GE zlDqYLhsiC*ohJA0re9Dx*)qR9%|@^HnXR5~Y^j{?q%$8sU$8p9^kUZWr5~r6H;Z|y z{k1XKRhVgV%+MsccCur)%IseiJ-WT#i+g()N-mzo6Qwfq(?<zm$?0yFCC&Gj?5)`1 zv1w-i@rZppOrnlR%ra~|xxjVO*;<=p3yv<mc;V>MrB7|5RA#<@zHn}r_u{`@v*mfY zjWQ;taLe}_+Fz74RZP=7cK=RqQFmoxh|0}PdPRpyJ<E+(q=rgbJiOJm<I%da9UH?6 zzxt-nkQDXYwyJwh0dLeDo~+2vMg@nqiWX*WQ7n9V$@K9>rIXj}<dT^lUCNM3$~Y#b z(k$i~_vo?VG+Dh~F?l^*-RBpTPI~{fF}YEYY4W7tvv)s7znEv-v&V*I5_-MgB=de= zDw5NkwCB$8<TKhaQfKve&fbVjxuE3v<AbQ)y163zpFFx{`QA(Xx?lL!$7fnz`}vzb z)(x<|*f%q$+0$%B+G(GS$!WI|vfM5`^L~Ex%!Xt;v-^?!b2c1Y9KR%WmHeiI>Fhcm zHpqV7Df_vT_uK89{u>V(c->~7-^ng^Gogo<E&Az7yBu|cBYl-G47qu>Cim^lX-q6T z6Jb5yv+T_2FgsKEbz8MQibgk2e&+qIvHwkt-%Z2EZ)^N+8w$Uju`q4@%f`iJ%hcZ< zv&wuvdEsqM>t}+yotEAFX_IyQ%!cG|w+%PHnZaCkCcVtA|EA&dw=<5vouU6`Msu0Z z*4U5!Hw>BI&QO0l<L9<K|C@%~Z)PliJEOJijB%LH+1F*N^ETdo&YWEIxUoOS;Dk&- zRl?W6)wSEsZb)L2wC3l}F+Zj)Cy{f!ChPQ@4L9w!C%4@&dj59C!m=|A(mb0Frf)k_ zV4b#r*X>5a65g_#yK>E^zmmI=wkF1A!}S?z6$#Te#uhmCR^QZrYG}>kc_3zUbwZD7 z@ZP(9lG(@KY)C$K)9`bTVK4i!+fr|4<Ztxdkb2L^%|z}-rg+|T-mn`+yWh^(-Mh7u z{o4)0?>7uDzhRl?zqvbMTl1uTS>t<sk(tM9ww=~`sb!tEkT>jh!bIL{Hxf4T&Z|Ge z<o#yG)H1<ow+xp*i!?m_W`;BSj)t!Xl*=yd?h)-_&w8^;D(&lpS+-@TdAI*Q$01#l z7k)zc_gbI#+b7rE>8m`@S9x=uX4wtT`}W4RtXJk3Kc5$OW^-AVa?jHV>|r-o&fQRY zJNf&?{<kxp>&~3@xvY2H7VVS;v5NUO_I|HTc7D>h{q3%KDW~`39M{<tow$*A+pWvT z#H9D6Z{C~Dv(@H9<JyDwK2AF$6rDC-w)mc&Q?Kl16X#>^JWjuvq5p=(Zkwq^>So>T z2N|T-oOsb$##DYgVd>dzx7c3ieAvV5cY|}zwuA0wH&RZo*?v%Rc5bum=9_Hlx!bC9 zHe5`*oz#3ix4=wUe)sSFx#92Kte?qRr)`!E&TVEiv&i6|S^Z|l^*4%}@Ag&R?YsG1 z>ASRC#nrx*X4ZoIGAA=~t<{qM*rac~T9eqn^ZQ#CzuS+$Ea5$OBjHy2v}>Dl4eqiP z=NKGjE6z1I&GtE`;6&V`9Fy%GiyHUNxs!7A%A5_?t!L-X?3wX1%+56Z?F`**XC$rD zR?8aSjN6~PJ=yOj_bu77Gu>rb*12orw<VX|G+O@l%C+0vn=`ku?arMkS$0OwI&GQk z=IecyIoTV|_eC0AVN!07Ojs#ve5x-p?Rd|&<Y~7Jli$n`1?8HwrLxB7`XW=0_iRrN zyKN}^W`=3m85ircwX()1`y$hi`)o_TcH1!c%?#eMGa=S#i)D?^_T5Z5?z27F?6#rt zn;E)gXMDh}JKc9P?f98($=hxl7QdMxTXrVKI&HbE@%g@+smIT3PcFL+$}hHMXPm6l z*2``_(RVZbxX!lZRk<6EurcRuxWd+)v*8R|bMA&aY|c3w4zW4sZn(sDIA_Btw!^s_ zZm}unY&gbdd`5CHyWCcL*7vvN7ym6g;}iX?LH2V8uiWN?kIgJHnty)lJd;@5@}_B4 z&y4ABW*h~T2R>iB%_@$voxgp*_4=DDez!K?cwKhJ()t;%_14Q}XJ(iA94mjQYn|3* z+rKaQ_@0#0d$O}982;X$etb{TahZ+5v$LLmZj?2?+h;jz;nu%LEz*vMghw07&yJQn zd4<h5XB(s0jLhanvl*$)j%E?*+PhA%ZO+|x(99xn_2+%O&u-*6=NMdPbIvWe<oAGC z`po8=Z8sD4@wVMgXar^Sy|Fv4nnh%6?%i@wGkWe~vxt<<y_*guN%w3!m}%XZY!;EU zxp(8iAnBg12aTkAHXmf$U67r#;ZB-#ezWm5tK+#F4yDabXr5{|Bcpk98Pnu9GeXT~ z<TPJ3n~~IPY8H__J$*6nva}61A1Fu9EjF8xwt4O5gC){ywjbOaf8+CPTT7c9`M0Su zi{EYGUw`iQ>aR1u6}oM$eX#ZTw43Skh2Cu6FR|Q+FY%4+>4iOO7s-B}v^V+ZnR^bl zxu<PXn-jnFrLM27E4Y<bZI*mLqxtjZwa#a<k~3d5Iv#)XYHR;&v0FLLZ|@#&Kd!ss zSlaA-{lo0Hv!5O{yPaXZRkiF4i*;Jp+yf_iuI@T(c1G_I!|%iP2l^@x>fP}+lSuH9 zw%Kr<EjZWU2wQi~gIi{m2@_<E56P)Melug^H@CN%zkl4^D)Z@pqV=;0YrnRCOQ^cr zS9vaub<T$V2j_3ZAJF_(cv<?}gqfMgWi}@-yS=x36|dcv&z9-WjW5cjZO)x}K6mEx zH*uv`>`MP%*_U2*ZraSe@T)e~FV)}9d?dHqsqYpi&!*eYci7Ikzs~mBqyLkiIetr- z?DFaD-)D~Bv=^UjwrqPQvAcbRp;w1=ccV;k|NSd>)|nfZ-`n|2ZP(JBa(Suma-wI? zzT$oM@3W`Ru6^Vx-d>SfT;AuXv;SnMU-9<?lk%^`i)Ox)o2RhQam&u?6P+@=NoVg) z_E52v)XTmY(p*ve{SJ?&e%tbm8Ot0O?aYpDcqaA4q`X#U;_G7)?g3jaDBQgB^^uFW zf7`nS0a7Np?|%6&nyQ}cFpvF3Zs#?*1=$*Ug<H-3P2F+DyVvj7jx)?(&K-Licge<w zb&te6y~kYorgA-xyyYHr@~-=Gqhdp|ezz4%{KOiLeY4(&iJo`*XxiWVo$17R^Q+l? zvp$xJ&-k5L<J=rl{QZ-}{Vxpp3qI<KOH@bJL^r3Mja?j3bDY^m^H{kp?~6s9tM~Z4 zeBSZCGmqu|_oNqVd*q+&<~<WF{O3Zq;RE-dd9yDWh}oBYHWXXF;})|?uxlfG#{W(y z{tFo*u5t@p6}oI4f~}`iG<>Vn_{zY0Kj0Z-)aiwHm`$9WTiG*qx0%T;2$ZOM#ORmv zV<p?!*}K)2{)pTcy?0CdAycU*X63!xKYXs){?hX4L-C(^o|QMd;-6LjZCJ3Dy<%xs zncRcFvbU-m{P`9%PiMHV;^6Mj9QA%d@V?tUcibM{s@Wb~5Zw0KrgR6tb2@*)>(=<n zhTXb<ZZY3Ucv!_>;NL23b0Ak_S4D%hddXJ?bMGA&neWIyoNseLS8!Kl!)}F=?+oU4 zJ5Dm+dHo=bzo5GLeno?|)Sqk2CEdq#f2Og_t~ULncYm#H+|q~N<sQ71{P%z{ZpOp; zwg;?@LaG{qE&i$AUmF;gvQX=OuG_AZ$MJh>b}Vq}ui3t?lCdLtFUyOQJ-2NRtTy@b zh2i~_3#XZFs*lU>T~hh2@Ah5^xy;A@atqd*R6XB)xAokoAKPonPkdlwssDDPLbB0L z?m?mTze(@?n)j$2ke$cV|DtfY_~GJ`KUbM;)*s(r&G|t=uGaRz<)Sa28Qu$Bu)n`n z_TKUbdirhOHGf3!i&osjc<}ySpUSO`|35I~8-L*E|1gW`|4YVuJ`eWW9Qe)tr+i<u z^`80z|0{le_{aGFb>`XE%zxw^*HtGsWF2?j!+n6kaZkwshea;ys^>O1HdO>R9Bg{A zp-<7}WX$!Yvd*j@rT7oGOnx24qu%v=mv>apgg-m%j(Rx8C?!uR_#`8@nDrwUYqE;( zz2Jm3Cq91Se5}%U{Evjc$l22KZwwnXCcVD*FJX~_-c-ZR6_x9D+Z;>roZRo3z!i8o z=DUehu&rOQRb!^o@yiK5;+FF=6W9WEuG_9Y=HqCy`+yGr%(Gl+)kVj?F%;XJJ#&k@ zSk*T#&cOc>&n*8XuaB-dy1~IBldY-MWtUpdK`yb%6LVfBZ+ww-K}b{ZeA9*yuOq>7 zI+IN**Y!VLWO}}GgHw=U#?mQ`&)p(a3r!Xt&02IP<~kc!wu-%!W8&HuCy&Q(=<6u; zovpD({Zj488;*(0g5KW3nmJR1E(&qYR`HFpPu%>%X|iUHpx-*KjiEscO+~hK&NEqf zsO)0vv28aLcU_nwZoSt?f;r*MB%zOU_;#!MHh-(wB~cvzM$~fN?Zo-ZXWo=r;P%Dj zMc$&co$GFMz56(!(Cffnq4W#2O)pX!f45GSX0cJol=`xu;L=<Meol4X_KzDf(k>{w z?AmhdhI~Jl`q`F^@fN&R3LVRiRh)4?<{{nhJ;#wf`|+1WlKujPX%B>?{Hv;FRVUfF z9+`JE+Qvq=aL>-e#zMz$TiJLYDLmcoU(nH^JZJg(3cn{6GrFI5-gswo;3#)fMMk$c z>&FFR?W`Y{i0ON3*{nKxTFCu$&>p8Lr%Kmqeq5z+%j1!$<CdBuubVPvcbeOz=$L*~ z3+#@$9w3rmdE&-`N4XOhi^|>;jNNhl;BJ>W?3o?y-(8HQ?mz5M$jkb$@5DtRK6!zS z=PIO{77MvAHjojvlxljoLqV$kp|hjOk3;TF8BbdKSubwjR%f|5gIk^TVgvVbmWvtO z%ULf5aHq3ewBSx>y{N$boaJMf@O*)d;sxT}{;VHUq~~)kx+r5OZke~Va9+pKrOq<@ z6q0-|tmU~X$ba!84>QX}Q(k7)i<P|1EEidMnprP;@;I}6>=SkFT*0j?W$5!L<7mgj zri|<k!KMtsj)_}$3iqx$+n8Y@c>2Ix(_<WqWaW=>sc(NEp<cM<$>EC5$H!!aRr<Eo z%+M&jQ<*FzSS@CLdWO!NEo%;oJX~TbyNqiQtL!q)MJLsk32%J%a!#Y9i_G6Gl?Qzu zE-~d!<9xJHJk7gq%hrQ3osU)Ro(UDUT;^f;%<}P-{AbpW4;6JL-1+%NNKB}3+rz^a z5h{gSTn{I8K3>Q;&EuU7<AET@Eh0yaF18+*i10fSwWw4*%tNL>XhYDGjESA0Lhjl= zYs6flJ=X{xN#<KK)g)85vsB2P+jEV$%W97t0T*wN9F<4mZSJBsR65u;-S9bb>5_(6 zG|NQ+v1rzdKSXb{T%0R#Ta{hTKe2sE$Gi3$nuR4?NAw&^b{@=A+GZkKwV}P^zVEJ^ z3G0ts6p{R`VlQ=Lk()p+bHVl}$9F5;QSLCe+A+OjaaZ||9sUCEOA71-vnOo-QQ;tv z|L)_0f=_a+{GKxJr9Ny>n0NfKprg&%V~d+AB%A*RvBgC^Xi$)Q_1MtS=Et$eY)^OY zQ>#2Fw>|Xh%j)R)_Rp=?#@J8aBjda4YJgs`ZN#Tp*<SvC<M+?A{q<epX2k`GqW*bX zpM<TG?wa_&d*b<0S=LXBW;LJqp_x$<J-=po>+3la#a~}uovyj?<6MIcb1v*ikce>0 zuyNqgG2FCu!;KS{r0yM1n!LL4VqLwy`A#k^WuvxVE=ygG-I;%4N!A^MPf4}EzwSTG z;kCr`N`;8POPT21^NcHfZ^!?CeW<BPdfo567cX8&dYkv^(!C|Vhf8Fpq%AVEny2tc zVZltxc~h1xx3!+9loY<$)@q)Zi*$*Naz}e}#k3B~4?&FWDxy-eA6qJwRnKa9T<K`S ze4M+fBC|u>)i{p*(FTP$#z(4-d%hh$-Bhu#J)Gs^D!%nxkG{&p2_NY;-=o(tJr}fe z`o@Q43UPBE>N@TbIefdRLa?oz<zpB3d#*=Y#qJ3oS*;@@c*I+4kABB>{~rzl>*o}N z2&|u3;3KepT0xA!`pF*_D#VFARCe6Mbm(|f#oK1#ri$xblU-y=_QW66bleksG}Cd9 z?omm{J?uv#9rye`V(GZ&>Jjy(ipib+tRKG#&F6fySH({Jh_w40@gvc0HHsbI+gJ2e zb(FVBD0F;pk<jQcZ;(*wD6f{#>G)nLq10hsDxuY}y;wr6!@NjBucJIyLa}3ehJ<E^ zdAfvZ$9u6P6G8iqnwtX2EEgFhlRIy$&n)RFY<f}L{jurA&MwZT7q`1Qn_m3w@@#ss zx%XsK#pV`G7n$vQjvokd+%w~#iPARf{4|5uM-}TEC0){z4g40*6gu85c1FnZS61@2 zC&zb7ol$(mv3$PeGcOt2y@vh*wc8Ej1@`XV=pazLa$|tN-nAP&1paQ^7$IQ0ZKI38 z-+3ED1lHGX@F`h1-(;G%jP1&eP6D-SHwFpVPTS}uP&;d5l)&D38{GufuiOw;v>@H+ zny1X)>Wy&%whbE{1!`M11`6!$*yt&6pW|TKqG>zdY0KVF>Uf`$uv%eXNMml(k1&>P z+>f$(Zzy)WS4~*15VtUKddAuB+#8}F{b*wN#<7Us{u|dKaoca4i{!1dST0VMDd%3K zZ~jiuB|qzqcE`UnJNyOW?GOHSxx@4MtcZ<5$NGs6935*8v+#31daY0qA#h*hpmNiX zD0Vr~Bj$D+;stEi6gmjpFF%;<vZit$L-TRgkFugNB1fi&eP~hGceF{J_2X7C8`X~d zc@Hu{@oem}CVSt+rgYW5^(!7sP}sM*={d{CtwJ{X9q(s8V0End$fD2nXtsuhPKSQ? z169YGa%O$*N2g^fTm|kQJg~ayM-W?_@R9FoA9gA1i)l1x`S_Q8k7mccCl%ct#j>^c zK9~va|5j3U*xF^z>ldM|<*I#ZD=YdtK6Cqe6}EJ2J#so|kABB7=N}Fhl?OW)Pg1*o z_n_g$R{hTpb|~!I-NeuOF;%QarQ_fI9}!!YtrYYVD70H#$nVFssF2T(bCD&FANL|l z?sFWA7&*^zJyJD1$GOOm%}&t8TD?YV=jBZt^Enr#3fPIeM9a((cZrs&QS7*;z2aG} zs^D6G0|$Y(wgv$L@vDz2DCIqUv7_Oj%NkCrhY1}DQFD?t1aEhQJ(E!DP!pE$J7RR9 zoIzQoua7~(^N86ZUMn|GncfSAvpRI$<W+hmTKt-wutwq6jD$G~Rx=Z91gjb2)eo{< zoSZM4u;_)8I<L<pi&@PHn_f5x3-}27&AOhvs^HQRxyvf-vo0oE3GOd6X+F;5_{Hm> zkJ2@T-sgtim!4Gbo1y#kargci${qPk$?FvM^>tUe+}U$+7wczL_FWGX8Z+F^tLdmD z`CgdK+0AlsHCs39#mQ{mF2=hQ5?3y9+ODi4>=Lf5BkuBDX^nu(bfq;SF6K&Wgk1VH z*G&8KLbcVj>4jwL)}|M{t))#b7+b$Kz4+R~yES8>n>6dkr%^dVN6fP~Mhe9D9u;$} zVV90({kSwDNBGG14I5(x;tw6;bF3+svhIxVt|^q-&2mwYZ+EB9ZsE6zN%I#Rmi{KR zQU78m*YA!S@4j4f>V4vHUG9!=$}z!<woNbAcT~4d-e$PN|I=bgfp_9A-BLCRKQ9_E z^LxqMH#p)vNiAOb$l<0Ra*A?-N5cPpSfQ}*cl+O_A4Up%9FJ}{R`>|SKREoj>4%#< zAJ-%8x(X)&-iaSn1hYHW|9hy}`S^BaMU+7N)uYBPe{Ox=Yh$C`vHtZV0m1!8YbJ}I z=X{jCy&_KF{)wa9O+S9=#EBgF9$y#%@}hUsk9QhzVn?ofee6=$$J`ap`tfVP9<`45 zMUP4yYt9Pib3C%1SrIG{fBi^w(~msWdtxrPb@w<QiF$N<dPVr7AFEXE2_M<6QRpHN zf8ywGmo<+2qPzK7E;ezytNQLM?oJju9-dklBXEE2(e|bvRoZq!F4_7uIx9c4hR&H_ zu}`;Svdf>c53G)Jlpf7FTK=~n>d}uy^5-}far2!MDlED1mhE}Rjq;aS&HgI(QWGBr zKU}hz*G@TU{_^*HcGFE>u5bR_^kQ+dKFdXY_Nyir6c>HxVdHpYtu5hkq`A&+QIliS z4<{}*;ipe_y`PuRp<tKQw6N(%2xlATBWEQEy^ixW2`vhC%Ni#({g}e;#`%a@RzkN! z?W2VA5q+lKyN1&`wjQ~D!_e>1k7bs}xF4;4K0~47eSNZ$V05c~OY)>6A9)LX#Eyg? zGjtWWuXsGfv1Y0CWfjqVZ+l+~9lyTc&{?2<H-j<j$LqWX-U9Xi88)+i6c;jZ7l@r^ z7%os-W7yyESz&IC;rx!&<;nVD0vq`+%@pVEyrKT%kcF71Rtf9zq|V2yH$*5E)kKSW zvtHB?I^A*O^^ZKGX~Km)A5}xw2pstyzA;E3e(f<W&(`-*$y*in@%5TE{irj!#`S3R z$q4n1_gcw&7544v;cfa+XK2RtX!W5RDjoTP$(t4SUF+0!d2_vHxo|Y=#qV6VSuSdF z-R`tl^@5{Sw&}&6mbpzYKD5L(y?D}cx9P==7Tcy5XIl0)y*SWP+w@{fi<DzY$f3JU zFXpsVvs_f<{LZyVSm>Rw%W>s9`W@%oDjWo4dp;~suo8W!=vbn1=y1~un-+JLi-{cl zT#F_PeB)k}EWpRH$XGy5*o9reMz6!q-a@0J&#uBrU~ct?B??ww9%wq6v>d(M^x{%; zI?Kgg_VZkeUi0yBF51mEPuN9V)<(Z0&$7Z%Ahz(sB86S|A8b&lI{Z*o=^JO&frqJ% zU#bpkH@$e-7S4KcH}87RMefpZ;x77TdlWn7Wmg0X?7jM7nnKlzhq;blybfD8z36N! zXTA8F=RN15<C1+Gi>6E76L&dpRHM*wFU3NuLoUTats^ekLa$?9(vJXvy@x+cRH$ls znC$q4=`g3`mp_L*9lv}#r0MwO!=X&aFRu<sI(~U_DAMuEy+f9cUv3;yZ+fw`)t~jE zG537VMdo65;x7C;bHrWNYu6}t%nMtw%vVb=cAsHGN3qJ?J%$rHYMa*Gm2f#SbK3r^ zO$S}(wEooAkWlJ4Z;_xeab|008|R{*)@|NeH8IS}Dt-AG2}_nZ9j@=0bmwOqtDCn> z{YSRsjvr?i?zBI~rCy)Q_BiXbgGrn~{YExnmW!Hl!kreIFUQMGR$;f}Xgaw_a{jLa zB91i^n1fkAZshk7KEiHi5G$}($e{VeoO+?NQ!MQ6HAXi5c*c?D{jMgRrI_U-tKb>Y zBjL^l{sQq_2WuQ_WLdabKDr9%h#XOO+~D!)@%x_%hJx8m`*WIAUDo*jt7D!fG&5A^ zqLJgDTqYgyBk}A9xE#Mo9Pm=QrXK(8m{#ZG>IV_J9s0S+y$buz_ik<a@yYZW=c4-z z*Mv9j|L`>@XM)8)HFh(v&L68~Z>V(af8BVt>BnjL8%iDf=Qplx`oS%IL#<=~!N#{u zKeT0UD0b{GZJgWm;$B0ni;ix+H1lrNzWwtWZJU1lmb#(cu|KG>w&}-ho)v;e;`0yO zZTex&w!>YZ{w2eAu1D`#AJ{qmnaX%azvKP)$Bd3O59Rr}9_2GUP;{&bl;IaHeDdLL zfsIp2n$jY7){nNiZ^VyW-vDZ1ygQcc^2W9%P`ck+=KiH)j*c~k(*7Kes_#?;J^A5e zId781KIgvWtRJTq+bGYe36?qUB@-`vT+#8%vg5@~FC6=yvtG=W)8|-ZZxbitB3~gR z=CZ$hk4neBcNLKWe{X;6Quwvyaj4^$u;bZHFBbM)XT7K`o6oUG-Rho*%XQX0u1Dg| zg}Z(*6FjaPFMrUw=|=_QJ=LW3i`j+m2_FgPD{!&+xry<f_!0K>4~&Ah=dNG-V8xM( ztGSMM+~EFkI^4o9CG3%lJeT_Xpo8v{xavRi$_sA{{c$?eLb0RY;Ng-bPW1wFCVl$o zt2Sqv$xrVr3+0ae3)?m~{dk>eq294yz1^SX<MbyVRx12!ld%&%65oILdD9Q+LJR$l z{e12EEFa4s2Kbuu1be$MvwSSSZxA3bcY{GkN9qD`F*f0i=U(KrDhj!$Yw}FBu<}Th z5WL;7|8(O+mo<+6cCor~JbE9WutedX6Pp|7qx_77EeijvSdVc$dLN#!Mxm-Can6(D z_xlc1bUt1$lF+2^ua8lU>yf@}!X$-%Wddr#AA4H&-)q)v`tjRxhE~V^gyzhqAJRrM z^g8xGYLaaFQLQ*bvt$3NrpTrryVYjscI<!FXxa3mT55)N$Nr4Q%BCN)g=Xk??0?n3 z%I3;7$K}($ht=my9{0=S*-fqXUG-twz1ph#ALW0(t-kYZd7S;3{QBqd|1WO;{<i+r z{P>W7kO}v<`OmLm{{8g(+pFut@9+Qm>gQu`W#3sQKfC^|_f*<he{5Uu&SUKv*JrL> zUbOh+PD|F6@4xO_T>k%_?XNHY&c}t?zI%1^_qo--|L-lonp=MF;{Nw@Ykz%tRb6^K z@9wHyVPDs2g&Cgd7F}L<V}ir}f40BA|8h=TI!!agrbyfK?+VA6w|{Nfzqmg1e%$u| z3l{{b7HItL;kwgPv_bLPRuR1kFR%X(6P|yh;l<LG(~fyP+h+Z%yUzFH+^&#^tU>$c z<n3J@zkJ``s!Y-U0dGz%-Jo0+`s%ef$D|Lpt$%+ran;mX6LNNga+T*Q*4&s?ySDA# z865iF`q$U+vw>mLOU}pDR{eQwwqB{L#P`XgwJ-XE@9)(}cD$Nv`|I0Z_t4OZ7gw?N zxk~wL->@ZU>ye2sz6ammqmgVCyR^Wd+eBL{RC|wb?u?CVf}TFyt0Qq*J2ZRGY}RDY zrMp<(rysnrEGV{X`{Mh)Pt6ZRtkgSv^F?^@^zVDVuKV}*{hr15uUf5IvCWU`%F_tR zoe}AmH8m0(_UP3bRk!Ee*WO_KYjem;)}DR;uCM&f8@m1b+mHQPm!GE2sNrO1?$eF^ z8UCoV!D-j-8cF|ShgPn9{YksRX2%BWbEmF`8|v)XaB}C4pjuw`r2AgAPni;hZoN8X zusO<3?b=P%C2Rj(I41is{`iiJ*CBcP_fHQiT_=0|zQe+WQ=_-!FS>Ezl+LZ~0beeM zbk3Y({q<e-SNZDidn483Lo2?{t$%xUyZirp^{<~keD`*B)t5In*M{%k{p-u}D$!TB zBPMgHN3Yx0e0Ak6ErrF?_H{G!^3PK`TOk^EzA5lL%l*UNyvAa$<^I&}iAcYjZt%+Q zH}jkfi-StG$$td&-Ct{Mxc%tfod3#ay4qG<oW%4sc@6({Db?0jSvee<(Mz~C7%s`# zsC8u7giWfeIA$L{IZbS3(i=|A=rvv&%$MYJY8~A-Vbju8Jf@1Nr$a2?@o7e{(<<=y zxXE>u?R(pl+{JZGzk}>OMcJq82HPL~`*2fk@S?i5@4;__?N7UHi@qvf{r%<r)%ok^ z-L3xfbbalf^Ih|7)*b8&S$;*|X?ftgb8Pm<7o_d?2u+#pb3e|uYTMhJoAcM#{Y_E* z!QGRw?74yQ`4=buo!k8@{w`?4YG~~HOQ~DMjQ1bu@o288`jpfClK1n=r9Rd^-8Gh_ zE6<kKZvS@u|16$Ww$ohp&1#apx%*V~{(zT!FaB=rb`xLO7xC=H)BZTE*GZq5%eL}; z+qZbbs_$#d|9&jH%6Yu#aCqdzU1G}4p*uBq=ly(bptWaD+{BV&FFt&7o%$+VLO3q2 z-lM*E<5{a^b@S%d?6?2=FZqAo-n{pB{mT>9v-$krFZWn_cGtRYX@i9uSH6&X+0@zV z>w4Wg+$SqXtID`QS<U?Qgk8%FSB9*rKXk=SZBh18>1C!yg0Y%+4fjs$d||bd(^zU| zM_lXOp2%Jw7yst{2j94<EqZ?9ev;qjHQSCJP20AcRo_i-m(~==zt%aseq0K4d-s0h zMn<*RoAn3U*k*j0_G<pdeT-_ckEce6|8;wH%4(+7y}+YyPw!9goa>z|bmp5Yzke`i z=#1%i1DRg0@%XFV7r*D+oxQ;;Uo|%Jia4DQ-k#(=S1L{K-q#tsdGoLBl%BB2qseyT z{&OtGKYnz+X^p+OY0AQMgSd)am+#tn{Zh8;U=<MFns<C-&XE^sSKrCcoAHjL{?O@- zYx<JBLh@~99u4dXdwRdX=E=q;Iga{c$v3AwzIN~L?faF}P2!inYVO}GIQh~4gRiI6 zADj`fK-fYuwfw<bho6<R_gfoUO^8^j{VlwQU+LL4m!-eT_sB)~_#4-L%t|n{-Tv+H z!-Ue8eUFu{%J1H6DRQa%$G+GzNg?Sg-W^(gQ$zEwi`(`49|8?jQ)~Xpx;t^an)g$_ z!n|ccUR(WxxdKg9KL6G4aVf4)|MUOQ?8vJt&qTy6|Chf<-o>Zt`TqwQW=UI<4`v_y ze{_XI(ml7A{|(H-<Q&!<5nWwopf_R8%ge1<4b7a6PnK-wDftkUU}-DQTlB#z!Se2t zX4yR=yRByP7k6r27hpf{y6KsIkh83f{LeVqcwV6_`{_2l($5mIzdT>LiQA%9b;au? z+cre-mTyoK3)|z;Tks)Z!mr}*@0VsRX=ZOaJ#}RuU)V7(>3Lu7OfIn0m3y0zFyT(h zC#lB|dKHS+O>@m!)7*LUL~2d%*9&sbAGmy5I@!+V-ofCTPp-XR7i}EoBU~ciy_M7G z;mPvSMa{8{s(U}$o;>&~!tvFrHkIFH?{7MDPg!x2@7l4t31_`lJNOoUh;Rv?pZLMg z!|HYY|Elo%_bi?1zn8tgcd<V`s&&e&RqhSJQ-0Nb3(A_+Y#G_{fzQchnYF}2lQq)U z!>8pL%kZ3Z>YBVx)%5bgRXSHTFS(+_K6#E6|FRqN)2)Ae4S&_A6H+XrbH>Siwb6>l zG3<-yR{5RTvEAV0`Qn1$y*10sr?2|S_wW5Gwx3>CnAktht&+H_-}P^aPKkl#B?0Ap zH*w1ulA3;h7c_DiL|d(Vf0XZ-Rq9H4bCtth8?tgc=lz?bvuV?jD?#kZn@*lG*O~TG zztAl5=H85*%?od)Jbk@hTZZSfnsznEx)KAs*=uT;v!=#Pw|E<DfA*cq;=a%6ckiv1 z<;j>fzf$1#xt=!bn7h|3Y69OX@mL9Ny>{@CN8&Svr!Dv1ZL_P_yYQob@2^)eTlZ~c z-;*`BdE0%D*vRQEXP&>7{qr?R=U&3C2M^BWUpuRGe@`#pHVbj@W4Hh178M*n8nLJJ zaT<I5N`3XeTW>!~`0QHz>Dt6-*)8j*FZIYRzOa1XoG$_Q-X%;fj(s(KVf5Y$zdyV! z_~5z9@b2<OL2pY8c0?v$x=?*Aw#xDHo9T*to0qPCb4}SahAGbf*5czii@h!`3wnDs zetUse#ovPY%@5DkNj+0q<8sv^V*0Ph8M8M`3M$<$r}D6+`}T_JFO$^f6nO63b^YMB z35P^q*|Fbt=9<3p_g6P%(N&wguQKzMwVmFv#ldz(`0R%*-nUk?%e?U@%{a4k={$S6 zvI48CD}El9Htn~t5M8ISwDnaW`)=v*DVMyq-aokWnChZ(8FraDxiLydr@k^|PnR;C z{>uNvV?Mjw5UE{md{!&+rd_=@C;iRQSDfta%GX(JmzVDEKKja&y?N8kHCN>hR0iJ- z=Icw}Z#rfDhs(Qi7?<qne`n11j$7ert@Qk>rx!)Ux{J+Zzq{)ypQVM^-ZMt8zr1>8 z$$o$9iMIIl9`njS=-Njv|J2l+|LbJcntd-GNY`z8m)kB|^Y>Cn_x^iVm&dQ4)MX$4 zeZJfC=`t~;k!+!xS5KGSGimkApAs`u`Mz~E%f~uNZ&d33v8q{KI%%V8&Dn!j+ai(# z<I4jIQdgDQ@7?$|COf#w^zl_`zI&(t7QGURmvJ^<X)Y^cIC1;eod%zD+221ix_ZWA z@~hi?_fGyzdv*HX)Qex&NWD~iZ}FlgP;R~bp4Sg<<;S+TM?JQ+J#Y2Z=;b!cMf>f~ zl?TOdo_S{4s?V~acNU9QUw-nN&+5wd=f>8IXTLW#&-?o3g3Ugq(vbSs()T{Uj4SfV zZ<fCES@fmkhaJtGyvDC?K6T#Pn>8cwy_Vf2=~-=(UvIrn-rJfvBT(PO|AlSZ;hrkl zvY__@(kV|OA8YQ-%%2hXz3noeo9n)4cjwzZyL8gO_^oubi=DEWPt5GZa_^#Z2b(+& ztINl*oOb&p%zk;3#l8(LJNo}zIrt@K+nO@J`7d3o5AO{K|NNY9nVZwvEm~J9+4b9^ z6GKE+=zm$RyQE;@s=fzHCmeFka$wPK7tsr2R<2N8QqU<Sa&Wa`tM}Ch9C6&5G0WOq zK2&LSEAzx(mzFx%Rpo!Kr{P`xVWkyork|gk+wT5B|Ldnp`5%IUUsg;%zj>#e<iWFF zKkig7Y|RQ`*?!GfW{>azAyca+#;?87=kBx>`mhAQUOQ9ieY2TaQ~fG)$HU=^j=36a z`p2?&m8AT^-mhvIKfJEAaIjsSzo6XD&ai3SSG9~+yIg#`5-Rp`o>DI@VwwBu*C(#| z>EV{DO}AequP(T`%<V(_uN!;j8R$0EuUjti?v|r}#bxCg@|kCh;@0b?xqOK9^8YKY zV_(~SjW2e0iOIUa)~qy^$;k)9KgE5YD|+xzPS{D?toQfN2JVvEyKz#^-6eaD{-48P zmNt26ss0ji<6`9ss|8d3m7MQ>aI+z3*SF{HAAH2R>(^dw;;`#T+j>{aM08t#|HjgL zEoo6onX+Q8AKbp&7B|kBlol;>Q1g~YYRRcpuWzbmEuZAHRi&x#>zA1GcB@@<dlNkO zK0ZIE^fk+L*9)ufv7BYl2|TSlBf7rno<NM?!TeXg7iKGlz4D98Z*q-Oj}~?OUiEX& zsz_PK?NvYbtW5D`iG3A(;i-0Dw(^X?{Y`P5*If&o_txB7v)Qic_3Ovl->MF^an!}@ z&!1`4edQQO-F1WbjkiP&%D>j!V9oS}<F?GfJ#66@ZOU#f`t5YMr^+X{H^J|4Pu1;B zx=lAH7QCKnmQ~G?-)hZ}v$)LVL)nhjpxI1Yjho7yxTdd)t=gA)MR4M}`*#Iv=Iz=h z7{`6@!cF0WKiys~nq83362Iit?)Mjdy}NwB`&XDxUj2pLmuGRLrEZMhv|jlAHKoWm z(`&@1e}B<8{peJaaQjIY_X>ujPn@WFsOMdGLZz5w;k$`F@A?zc#3Un?&b(;kxR$PX zbm!?wRR&F0{bq+Gmf0@2A6vijZ-dQ}=O6DlOfuSNzb3ssVUm%vozTHcce+9xzfHPV z_2VMPHP%y`%Cv6FAN=-Ul8K9*z`?tXQ>Jb`oh)c06cf-t@3?Zst4HiP_YVC|VEJDb zD7U_KUdMx7-JdG1&+&Kru)6B}^)FVxR&tbGtLIpr{o^IawyWotq|Z!Oy78*!_I@v; ze69%Z#`Ld3J05=ClT@nFbo=%1rmn5Oiv>fjo__weZ(jd{X;nY>sGi^!{A1>E_>|Pg zqa4RrU$tzletB5XhePA#)W1tP)YztciF){yLydLHm$sMMf<BxYFR#8?E$G9o@pA2> zxbB3i1sBzrUzXbXvY!s(le%|d{+fnKar5$Doey*HTpxJvQug+SNpXw!tTjK(sJb`t zqaO=5lUG&mr#zN!Ca<dQx8J{r2&}Q(`>)RB{hX%M$ZBhWgPR&A6~!+wzt5<;cm4Z| z9ARuzOwL9w>3gYPw}I=^6kEB2vl5!-t&?}#U^ZjH^?JKY-m?;#;=cckSa06US+)1M z3(IV#(AB&BF3jIGSulrFapiT+<zJTTwk(O$m~$=uoWP`C#Z_uevWhCFwXWXeC~KXd zvp(dx(hXUsO}<NisWk0voOOBXnvnT!8}uut?fLXWr)ieJq+Nk_{SW4W=yUD`@f@Ce zKm1l|`t9uT%57!eqk{sIM5kssi14>gxN_FgpsT&v`_sPs0FnN-30Kw{S#&Wgs(k%a z;vv%C3gVk|H6Pr)_FPefh(C+?72Avo5q~!ED~qdKMEu#suk1}o5joE$er0Y#h)8?U zX1g-ou*HjiC|I|Pewx0*FmO-Yb3<Lub>6GL$4W~deUb5Z&b{`W7?H(`t}pT0teNv{ zr+;GNOey)JA3{7{7MUt`2_`PpzH&svt!--FVzrk=?KbaM1x#LSG4EIDs#@7Y_g?O8 zuUK99Tz2ojlJx&tcSGO3_$vQ5_jtr*hTugzmS-kk{&cN6c=3+)7c(oh4`c@3x&30x z9zQeNF7{ck`tBt}g@(F@e_t15yy(WYEyb&HW&iv;_A=`0^?l{{uC0#Wf3N(`J;&7( z>%7iAu`%uv_H~}STx?Zj;G8U}b<Lt8t8WI@Trvq^*VYLrUSuI__L|AmyvsOosrQvV z8g{(eu`8ar7n-dpnHVK-bmxXkOS2M1=C@AO3+`U@!)8s%&M29qKQ~+w&GHn9Z<(66 zc$!<FY@VLBPRQv+5&~sXx&fybOGt$63Rv5b6cF)JX{%A!!NjHAS66AYwM^9uT)kLA zDr{HC+Rmhi6KvW+*<ZKYrd)Gn&59LCKIA37>T6&RuXgMbGZ#a>H6<HwNgVyL;gV`r zu!yizSmnlBGDnY0IHa28EixHY%B&6a;nR#+w$0U0bxFa_TXILQOgJQ(<t}pBiEDeH z_2L<F@A$TOyzNSI+tKs&#tqq{XC@qK&GHx7?8GI$a&O=nKFydl-&_sVmlSla>P*V> zco<Y#F7nxlYx>ISMKk1scEsG3KH3CoS?$vhYZJ*^{H{MK(Bk1Ermqen-cDTNtJoJu zNCoZiuoXGFX~LnSuO4blYZuWA?04Cyw4`8Vl}XoB#n#hT{%M4@3hQYHuz#7Sx};!Z zl}=Z?GuQML#}`+qEGbxNC2@2yNR@El8-C50b@O^2Ie0we`WhnA-zt)~cwXP5j|K8Q zMPGeH*bk@1#4VWD`>1omq1IOcBK~b6dO_!1KZbZbO!`_Q;t#S}-{qr^$HSnnNh0Ul zMD&8&7h8w~?TFbca<uqii}tCjrvvv02JLX#D|B@B!<O43tHKvom@g@qSf$(b-HA(l z_4UOTLP0xx_6i=oIN{LKS7$Z)OiwkfUJ$fb^yu-gQ3X>&R&8H=<3Y?7l_S>ks@1#f z%~PJbo}N+uHOiV>TmN@$*Y_{~Ou3D<?iF^O5ZwFV)FFjaLJJ+Q{I1$K#no+^<kVjd z;>SPQw^X~oWo~bMl_B!h$+RGHp_SOt=7&}8krS=tjygYVIjw!AJ<vuzXh-iajV^Ji z=Mz`|K6yNFjt}e3s+FatmJ7e{Z`8}p@~!lHwKm4V^ZmOYQ||e(P8Rvze|gt(wkcoE z#GQL_wbI~Z()%C3*TgMjoATw2<GGhplNM;pe|-AG<-B4eOKQ_EldiLkC8gB?nM;4^ zbj?*%(bl^9Jn#*tp6C3tp9AkmJ8fDMRJ(Bc#e=FZ??=cU{wFZ0DoI}8@Z*EhOZNov z_B@<<XV<#3Mz%U#T2~iH^nJSZhUwg|yHR`ktSs7YZq)gcA(0=qSvSNvX@`2)oL`QI zmHw{Y_0_m*)k4ELr{5`Vxcy6_G0|`i@85vfg;H{d4<-0d+xazerQ4AYaR#0{qogDc zpL=ua#^u^M#UVWNQ{GoApKiElnA075<-Kc{p<3J7i>sxoR)qH-*=T5U!613Im#JRc z@5Mh$|13Bc|Lbm^h_w9S4;d@;qh_0BIq}H1#b)kY8@EL5*ayM>RQ(GZ{@FdgYvk9J z7|8M6;CyyU5s$aC$Lzp}HOr1{usShe(Z1m1A2zc>%P%{3F<nktadKCEP(l??Is1$G z_j-(!+w@<3Ic=m`mj2kw)VS^VqMOU#ZoRlhLhj@{S-*eR!Y0m=J$(A+Ow$SbBhGd{ zociXc_mvpi?{1r_)@_J5+x?KU>TS#cZD!wVn?HZO6Z*bG#Ci{_;h8npw;Y<w^F_Tb zk>`8r!IjT@T@CNtc6j~ri`emk4UBqouL=GAxZ3>st<;B?B;3pnyj)u}?Wn<WM!)rC z(~cYLWAw|<+^N*I@@sKd=EqYKX(k6=e!UWExMuD2&EJ0SjjH$C{NRfBeA6nMw1X?B z%VvKKINubk|M1$;4f@=EduyWP58u<V_<AeVnWy^IlQUl)Ua89KdAL=_V(YxwNfoBt zerunn*|u$da3y|=uA$7j;svQiVXM{}&dId!Tqm;q=m)36p0BpukvsgdO|n$<ZO6lq z!#%sMl^^?1c(~_R_kQnswbwFxRJKgbtSRTY{OX~Q_;v}k>xZAN?fG`hVBxu6$_II* zud}Z7c-x(rw|7pS`sJl7Iupyy<R{2YvoBk?%ew8LqTS_tOpoRECUnQm%!>Uzxw-sa z=xz6Ry$>IrSReHE*Oy<5^Z!0leZBBk&Xhf?_UpH4esoiNdiBrWU80Ze{c4vlTw;^G zoc)WC-oK?Gak-4v|J|lk8MMiMQQd2>l>1ux%x>#hhiya-Z~ho?s7m*L0gryGh+a_t z3sDD9fwE$rmlN0Y6@ROaacRb^;(O6)xTIial}_7rXRhh1k0)0sFDYoXk~lnDvGw)} z^`r{zf0siF3mz(cP2tIJKfPmxgRSUcYsFUXtCtP;Nc+7``s%|Y-!e&eRlxaUA0jPo zn!TEE&#GmTu3o_TmrrXgZaRIf;knuwu*vmRs{~uiWZf|RV+ICua#mWc*)Q=h<@6PA zLmp1m=%5vGeTg9!H<iA6@hm=kQfzg2vV^eTHkZ9(hi?>YTKej%#Ie@Ny21G`meyI^ zEc&`EZg$J$wE_7@4GibxNPjKknJi@QDatxcH}w4r*YFiN-rQGqSLF)&Z421D{JZDF zl<q5ndj&XEqvICc>radYIkH*8tbNrpu2PdW-G!UhY6Kilx*_GaEkgFX_S%9?s;>@9 zl(kLP4V6E-K|3ULYoPq=ziBISZi}oCt_q)>G5wdP*rtEY_L0@|ube*Zvi!>V!)g!b z>dv{uefC(!qH@*cUyC*ydcKH#DY^HB&mX1Gd7(n3d%o6&t+#eQS*<Sq{L3ZdDSNgD z{%~1u=X|m{`jr1yCX+oi6My-vcRYMz_OIu~JynuYQTsLo&Ask@@xrHF#`O!s7cYF; ztsPn_^Ipwr*}9Wn`_9SdKUsOD!NSfXW>(h4cgytNt_{y+KlbtP`Xzd=3unt6-5&b& zb!J@sie6a}cg~=-C2!W6<gNHxAK3hO$1%~@H=U1M+UWe~$4$|dHnPd{K5k8FJNB{I z{NVl+H=gdt%cFMN?X41vyz;Pc%C0Ly-BwC{Mbo450^N^&6rLWH73h96@L|wtomVd` zZr$LQs8-JPk-5L^<UIxn>EryHtel@QUslbEk<m{re-;yT)cDoM!kQVj^_{Z>j&t9f z8#sMcXQ9p1*jr1Nt(g4iheh>2B~#13^v%!p!-5}KOaZBrI_`dR>Q*1$?#D0RT+%b% z<=_9<<=da5S#C1tUFv513Tyte=ETfGnUj-tdYtWg{8ndX_tiHR_fAgQ>2kL7@m{O< z8ZD8#{>9jyblF$=G2Zs7apx@Y;}0rgJ0~Xi{oQlq+3}4F?m6#|Iy{-RuqU(O^6xI) zp5(f+_k5eSa$Q|y;pbN4UTw5M{P;%OCl|w8qlAuse#j^O!f)yEJexC<)_CY%zH55L zZtoHY-Tvg16;ER_H%18_|5C6?H7ixd*y-f7>8nBu*YK-HFAF=qQE^Vr&M4vI#|k!y zX64Gvb~+h0Wo2pM8$R{ub=Qt>RG*X6dQ0H=y@E}-SB_bHYn_xEyvXcmVZFsoqpg;G z?9!XH^jC&Ne=*4O5n4JmYqi{&PQI1%Q>VJj<u;ys!pzoR^;B?p`t%dATk~UbuNzly zQVN~0b&k(Y>o3zaXDl#he&6%>Z$i_(lHK0=w#}SX*6nu-Wq8$I+@18M^KpcM<N1jE ze3|3ToK@oYet%KYo3UWK``U}za}t{FmHxO}wNlLI!fx69?)4s%9INJB|M&gXJSh$5 zohkeE`+^U$?3H=n|G31!as6>yf#Wk1n&SGa4f-}8WO-V7+~ORo@RzDPhYM?jd@j5$ z>_74`6Rb|^c=|z>y>i>_`_4CWR@uIDka^Dh)ndJ*eD~vS2gy^1r}rNZylXOH!Jcmt z#~Tuw=B@HSVrVd9!S%=UdXfXcCM*qq3^L(+iHx%ohuF&WM-sP8Jd95nPcG!)R(U@4 zLx9X-=987IHF|x5jZdCLdhPY%Q&B%3a?DU`!W{E?aXrZ;3YPg6ve#xWQz$AD_!cCS z+-$O^nqe7hd|z@*!NEgbU%g}=H*<@Ht_puVLm+0uj-b6_$B#T{s<Qo2B{R8+`xWaQ z=|Ueqy@;@t_j;1O3J!kq`Whw^+{_&ovTFO|8DcRTe3w?azh`9rZc(N8@^AbDo}csX zR2QD%(OXe$BXoStgQi`__sSoC1EOmMj?V$nvc1W91&((g_Z>CV-?89b;qk{a<YNN9 z@8EwNApoNLA4N!j>BkWwJC46hvk^T0=z!#>wR<KP>Tv3v_^$CdLMmp$`M_fvbwJwF zA4SN4ly5Fv!=bmL`p!;^Ft$A2wJ+5R*Kp}97yWC}ceU~9i~S<UXB{Z2N~n=Le(OL{ zRm`0J<k$pDTb+F!$+ZcVwpy!%Z(J~$q2Ik-Np8Y{dkt|0_a;>&F!i%d`Eu<@v(OE> z)vxzN^yMtQ$);YgDbYJT_MakWO+<g`s_p-zXB}9Qk@%`wyx^zFyBlY1I@YPSsT!!R z5zUk~wP|EezVBK4l_|4W_gb`8kqeXaV)69Jk~t|%{;vC{{`%CKvxevKrqCsBss&a- z@{|31di@dt=05+rPr2Ast&w?Rclhc^x#_Dna{8Qq$FlFhl=2v+{FL(jPXCll?Ha#> z$`4_o3gaNT2g@V&md#jU9XMfrfhq6e{Q{Fq^w+MRxp$(OW#iMYCYyQsG&$F-=s$aV zvXAeAU3O;q-KM&YQ@?IJncT^_W?lbTX$NDWie--5luV5qL%(jUyuCP*lV^3i@2c`L zCV%rI%j~xo3svk>H2eE>=IjHLc{je~Kf8xzUUrACf52zqibaZMe<dR)99Y<P<4bsQ zBGY*@gG1a`7qLuBwZG+c<q^xhQ}32-3DEU?Q25UwRc!wJGmF1J651N#ueGr6ZMXIn zqr1X~PEK3>wCWp=`fazh9tjm1&MSnr8Z`<o+@z};x>{(4q~A85wSEa<7B`Ewsx{tx zn4*2<7R$4i$+?TKsT!Dvm`-2$mGcbu)ZEC%TN4iaDcIDSmCVF_=%m<6Urrq!_2@Nb z>KioX<aFMebl_3JrlncgOx}l1PFtDFsl%%ty>8p<n>wIUX`%g!hbgyL%>AV;y~=yq z>eyeCrL=B;V%i#AFM0T+*ecs!(z1TrJl^^y_*&dd+N$5UbzzD&SEw)3ZIDH~zv?MY z$(ij`>d*AOWwLJ2ceR3ii<?QM@l4-aC+miOSO4Gus>2<a_**Bh4d7S%Pynis7qZB4 zRz~WD&EbEYn{q-WrS*pg({JIo!S=kfS^780UyYvYwshh21;2$W)&yq0XnoGO*UmR) z_Al2LCVS4FNv_hot`YmC@AF3%J~J)ez4z=^grDg3a$dh_{srs%ZdW_E*H#9-SCP7W zwM5u{X}LqMXVd=6%gmX3Hzs~BD?9%})+^cM;_XT4!WA10JzwaaUdX~{e(s8E|K8_9 z73(Lqow1twT-f5$iI5WJ{SyxS?)7oq{;``=CO1W^O3z}>fsMyP*XdpG=B&A~!{v+5 zhax6<Q$4|0&GjlD3LgtyEd7+lq%JkR<K2(-ss*bGJ728(U&XXsdb)?}(kkUf<6iG2 zzDui&8#j0A%qv^<xARNV*D$8bNs;ocuhv|-%~><;?C-!hHIYj7#`Bvk174S3UwdqR zEZ?JhSA(Mqb}D_1V|u=r@yQ;o5ce-O5-Rq}%iFL0=G+tTynpfJ2U42V@$>kFB&si} zPmKt57nYD%|9Xk(<F^ZwIeSjLd(&=zFL*_t`iHE&VfTWV<<$!GW_?|My;^&Fzt9bt z-$kz`MR{k<O_(SnTffFUV&eLxdh4|(x%;Yr@zZ!D{;#<_q{^tVbmICYdS`n?HXjV; ze6z<P#H+}vkzHS)RNCkGJkNylu1TfU^L!J&yC!{=snBbTElpqTYJai&`!40JPnL7u zi7#I=Pt^W$blWcFuQ}g$-s`?1yK=hg^RH^l-+An7oPOYLsr#qvr-}lC%T?+p=&=8O z)%PU7?`cAQvi$xJQk4OGYNp>la_1kCnA3dn<LjXPdki%H>)blv|DEUL!N!2JAFWv# zd~*D$ZSfHc+MYg0@VMT{WNO3i-y)(HqFnSL#p9upsS&$>tB79M;-U{F9uI{~t=P}E zi0B0;7ZqqMDcC7ByZQMc)8d6v)0@Q)r>^;Z;)je3pL$Hl6167}_D(o-G%Ja(uSG;J zaB|Uyx*fl?0w)(2n9RvpIcrjLGN@sDMMj2SJ$l`;zx&Ufja{Co(6LBmqwzVl2hr!! z1>V?b9JDwwN!fdwvSarXrAgdeQvX`MKjvrrxGeEatNe_2FXHCj)peVd)j7Z9os9UA z^H$~J(~szDg)e*D^&|Fq-s4$6LZ9~)I{#T#%zQ_v{>2@E@+0%D%A2Ym>Cd%3%KUNr zxw#J~{x~ixxjtfk=+mE>tk(^tUwBPgax+orsC5DRG2cyp6&&0jy|I5Md09W`psLJ$ zp4VSJL#~!qx9Nv&ng0B^BHO$|G4{Zovtpkl{)%-;*fu_Ut>5FYOY8UtofA{Vn0j<t zUPR0|u+hLZeBRa=S=}^=e-~x;O*#9F)$@u&=h}GG6Sf_<$R(RGGB7-2Vqg$PK4CjP zDL=6&1?4`_xq-3XhYdt(zlX<MxUQG?Ys&VGWdRb=FV!@Z6ut9eH)NmkU9~#-|J{-< z;hTpuIGz*~+n=+%zEIrsrqs#p8_uXF%{nJE@!WFm8><gZIq^LwdHahQ@^?=kGgs|i zo3$;X^7ypJGD;B>P2_fSoN$vqT_fA-<zL|>`0InJw*231g-d3voT+vHNc&Hb?dmGm z!Y0YR*<!^YYstg*=675Wi`!?#h)W(elFv7*XUJqFu6i$WZxQqIrYCyOY~DxlM6xd| zcKX3<nsishb;bLl%<y2<?I-d#>GWUz6C}r85yl(%!8N1g+19F^MfG?2Y?&Gl9lE#O z^wZOniK-hbdejT9*tA><x!b(^)}-ezZK@+0C%^x}%(?VgrBUB`o?U(C=Hy(qTAacu zEPbSHZL93R^PRs6WWGMSk$x$5zb9IFN0$GL_w!_AFz{q#;6V;=y^1{eapnx14&U}i z<Wz(iTDUqXC@2^i7#J8RC@3hHCng!lFVt!Nn&j&5arE}5thm=-;^+50E@o}JV$8`Z zGGYBBi8Ya@m>ak79na}CT(J7Zbhq2Ni+PsXADyzMwe=Lw6zyFf77E7ZNLKMIN%x%l z;P3xDOY>Qg-|qPbJdZ!Vtx|K_g?sWDt|6|@PLj<QQLZQRj?9~Eb^F}4_tIG+9@`~f z|9WDkU}5Xb^4V6EwWOtDW-v>u?DA!yN3=Fxxb^M&)Zn6h`IAz1R7hG+*%o>>V`cS1 z-4!w791at|owT`oE^+zP*!*M4U4hSSwT<KRZdb^f^1I&@nz3W@UR}EdvdznOuIsGV zKkOQycGbD@n!mZp0?9a~T{`z4IG=4?*7r1|_-KaPrZ0;ovPOkZ+~_u|cZ2A8>5Wq7 z6CRvQwY_0_WUD@}<O%n@g}j^zuagu*p9KHtC~x@^xncIsjFKZ!Yo@)Q>wc!mRAq;h z`j=-9<C#A-dHFp|)2Uy6rEpb><et~L`r9Y$ZYc9|`00JzX4>@Kl2)_l#vI<&-`Vy; z_c+u0w%DGD%*tIgZkDwNE~}O(R5w>8oQRouNiFAsdE;#EW%+g+zP+62@NMshBa<Vf zI&OS7iLzV8Xs5reyy6)LpNY=@S5>_Hcl>j<?6P0;ud0WUF+kR;XS2go^^n;bvEq~O zuAE(;I@fk~x$^U-aO<Mn``I#oZv?7Z>^{VH$o5x%dK7D{S*(x!RHpKiEahD_&D9q( z_a>K`*nQU0->lNXC}Vb7KRfEi_2vANH|=*>k(%LRu`c?=t?Y-=J*g9lj()wlJW@9N zi2B_Vohw2X=InTXyLVPT|F<17ox<GTthsKpF0ZOPYiimzQKPyxaMR=^YfgQf_VtSS z?*g%|E3M4(URu$OvF;ha_D5_l@Oh$g|LD6@EBtv^S6J~%Tq|3WW9<5<>f8SH=6i*g z>ZHV*zL@Y$arfEKfKasv(V4=<@pW>0{@(lRJHscpedbIHi5micSPJW!9$#1WZsir& z`D7*cN$--E7Jv6jZw@cEx@3KBw{QHETVA3%?>^4zyTbKqiPUmq8zuf1zmJ{X_q@}j z#o=eDK;f<0rTc#M`ZGn{jeXE{VbbD-g)jHB>i_@Y%71%CtbXZ-g5Q&F`TY#M60O6t z&D*OmEQqabP0V>ovAwq>D?4BH8b&=|8lu4X=i%{q>)BbC8&(SZlS-0Hy!@evrNR6A z+nk%muGf1vEVbXgb8X(bEs{so-!Wc&D|7Vj@}9LWt=)g06#5@HcKlp#VX?!T3384l zy1V~(^*SzJkaP6oVWTSMzSym?{+D*#+t|0w;;q!;0#~NobGpmccKtC^ZgU9pz80<W zG_EOOkKiq*?Hi|LFA&M_b?5u|s5f!;gNEw-s=h_Ha&P{;DyO&HQ6uEgw&mQP)Y#u< z?@tzfQ8ZzaGSBXmu<Ys0$pSyzU25fOdamZ&mQ)C_T=1mLYE6z**xbuA&fA?@&8EtB zTI%b?-w6VNYMJvLqjoHLp0(mK*Xq2gbBrc;q$X~DyJWFMLCh}Mde&z@HF<unFh0dv zl4{S=-0vRSQ}&7ZW<ZANn&sE^^yIaqZ$<E?1lmPCK3#j<g!K+<r1APf>)MI~2d{40 zSyNW9>x;P<f0&G?_vMaRv&|YVxyjty+rH__`AN^>606*=Z%SwkV(9PN9Q66;zj==< zBD&l|?|ke#a`))O<^aK$W*#dmP1)DY+q_ve@tEAqr&{v5TM9nu{`7soRG@e0r_ld% ze$0WQrgzJ%8LN7OcidHJJR^L+V59yMlY3Dojr%8S7`aR~5}Fq&v~bdUr}N*N7#lBe zPrjC;7u~x3rq2Y9{V%N!PyMi{yu_}n_t_%Fh^s1-f^$DJPO<r*@Z#IAU-QoY|MKEf znZwHkmt?*lwiS)<5<Ot!rMiRb34^`sid;F44@%$m?$}_i_SAbqzKc)!9-U7-Po7s) ztzRae&@wUhbV<2+q~8<My(_C`Xe|v1`dX;5>Dy<&z;)Ajm}(Dl-`c8GQuC?x-jga_ z*~Mq0-aD|LIa;RvvgCz&;OoBn$^|NY1r96I?u%WHs$Zby<&*SyiP(;5X5zNNcKd=S z)YWO6o_Hoa<nG7c#%c>~SAJC&*lViW{H;UGX#Iz`=Y%g*v)K#J`tW+Lm!)$@wsK)i zwAgy#b*q_Puix!16;R7OG4hzk|AM6fhmQR{^S$+DdU9yU1y!Bg=aO@UWw-uX{3~3e z%C2dtjMx5L6TkVQhx}G%hVp$(*?0HTCga0QNA-Ab%{aVFWXp~jssjIySKp1hyR-Js zstJ$d;?4Uxef3K(hjxFBS2%i3Tx|Mz>$m#L9TgK-N0hley4B%(=j=wE8wILOS6V;( zOySG%uh!cZ%NnU_v8Su7$V-`jQuw~S$6TyN9x_@H3m-M~8wJas*c|`Z)4hCo-cHBL zh5J{`_KcO(N|n0o&B_(H>g06CMXR&i9%X&e7TCM2|8m!-Jc}Iy^K}~8P8`^7(#7%M z#JMkf*Wb-s$sF4{zj@kDowLQpK1q#_4HrLf5l>z1`De9|@vEs)hua^0js7ae9(B_{ ziOuE?Oa5OjuAS<<+8>y@zaHZ5&6&7qvg$hD_cQ#vdTTE<`d#vVySSpEZh?DO(4URE zQTCzx_n+@*nWl5%Xo;}Xo+DQyI!bmfY<zxy+bxUuEGh51lOzgHnrBz<cw#nPM@8=b zi$Kxc?cp&#e{DTFPjBAqFVW#OUu`buiY1&MJi;q8<LoBMohk7Td^)W(IxN_zyvAL{ z_fYG_iE0PC3XJq?erumzmiIUFOV3$lk88W<7hcb>GM8d_lD_GuQy|}RmE9F95386x zWUH7i9h}DDEt2gr-z`=&SKzi^N5L|A$zAG``Myrp&_A#C>%|r2Zx^bf+B5yw4u&q` z`Ou!AT-#SWXZPb@$Lh1^9M$xFxY}{qV_CE3`z3@9hXi=Va9*AAZ0pB=tF5fBaVt&Q z_{Z$Y(ajt7=`Wlm6%?Vjc!oRwlfDUxyYD*1UwROo!`Xa&cVuE<d0JpqY{=X6d^6+B z!z=Oy4lz#hi{+hK!Od@(oF%r~?N_YV_kcCJwR@b~J;H>TkH7ofW96u0KjW8ld6J?v z!;e=B8<}|?C&~7{`<|=&S$FACySrs9wN`UP3@%CE-`PLa@}Ingz?vH$grx#rzuA6h zkMFPl)jH;}Uv8{yTIjL+p5Z1{yK0%#X5Fu6QuHp~o%j3LiAk&xOPJOh-+7YfeEznG zvh&%0Nyks+MNQjM^45Px>h(0OK&E}N^YxB*&3+=;y{GHs=DXIazt$eOcfx4ee#zv> zt+%J@th;pl_v;6abB=n>eiN_!GUIDhWt_O|WdF+Uqia`eD%?MNA^XhFVfSWvKTe2x z{XHW2aeTeVT4&`)8&5Nyd{bGM>GEo4y!mr?ovUgGEW5h}Dt5~7%{<CnVcb%*>``N5 zch4O=*1O+jG>bD{rrxVtkbBnbq`AH3ex6x-_k7%^oAD>)d&865CxyG;ncX{YuD;%~ zLiiWsRJ%yyMS5*&YU0&~Jtxl250aXjZ@XfduH?D3Y6=Fk&-i$lzL)jzl?^(&Y~}to zVULG9j(>jqO80xkD<ALdRoO4JX05uaux>lUk;g%LEhZel7jN9MjB)DJP3xm(8VZ-L zQcU)XcG{A{zuI}mz5O{&zdjenY~RKGZW;R;ou=mL!ZCK<8{f$NUN@h=sLbqQmfn); zb&8kt#oov)3Ouyy57!P!@!hICy&|!3j}GzJF?a@WJwLZJkk{h2n5IX4<7DOLmb}(< zJJ;x(S?M?U*NWV@apKDFEt*HmR=#lC!Ls$;YlGmD$@+gTFAVTrA(Pd}al5mpV{gMn zCCUF^)Lg%xGL~5%;l3wwpBGnpYV;lx{^I`};foSHgT7zp43+)+`=#F>y~?Yv%2u$= zU12Vpyft@%@~4(3^O;h%sM$T4kTLac$7kWYUOKzw8Cg9xbWDA5a<=l`cLi>{D=qid z)<+cCz9@UcaF6ZHj$2Kpyq{Kon?F0nVrJ!}4NRxz8!9QK<gXF9;JP?ydCHR$dqqN> zy_W5<>iYHScHG4eYjvkt%WHRJtVzC^u|@RBg?ZaNyQi4NF_rCc+c00}I{T7VuYSF6 zwpHEr)-Cxz<=z;Je^{THvAnMG)d9W<x#It4JioYi>xS2sksBU`>U}yNSaPxFpCn)C z-g()qd1?>%ZxmQuZs6FuT#P$`EAYs+xm%dd^rUB9ocOAEiljrrlr3dyNf8s@N$E8> zMs1Lp@O;6<Br(^dLd{<b`Q%Q{SA6$3I+f?_y(>*8XW!U;BE5pw*7y0#>j{R_kN^Hy zSjWcsS8Ai&lP6BTa_kc{yNwcG8-1H}&M0SY?vi!YvVuo%u^#m)Qq6zR`XjuXBgK7d zU4~2T2k%b_8+h+-byyqr$0qaR&IyNBNX>n{><Zh>gNI7YW>0+~n72;ZW`UkS))b>I zDOIKo3)W2D^|K@N^<BN)Y%4Vtqt-5sS?srXg3*%uNk<$d3|84$`87ZNy^|x-pjp4x zL^vilaL$n(+vI<wu*}p<-0VDS4`-^AzVv6?cWkFmM9wu9=I?y{UCYWZ#(GPImdUrv zoyxB{XDxOLezrzx?*t*C1sNVqy2oeB=5Ftof6lv~Gwbfx<3~&1imd*pS-btacVti@ z+pVXCH)J)$#T{hg)~J8X$~)1(H_b$R)ApZ^EjJQlCT`WgE@s7$`u4Vb{w3i<nYC?> zKaby>xcyvc+7)YUwdXya?_zdk{kjxqvS-`fy@}@B3m5eqTee3{<;jWuD;ImTWo?ek zaTSY>E-<-b&KNO+>*7pNL4i8q@cXK(Z@(=OTG}aL{G;dNqWZ}mHM#6!`RVg?Oj~a4 zo)i(wAW~u25#B2Pcq+fy{EyzR9NymZ*txVL-a*Lru!jAd1($C=eENUEEc4pk_5AK$ zfAkaCUzIg)-jw$~GxbnMNW<ww^GDUeUlwjOTy8MI<=QdDV`mhXuBrdoz4&l1$HfT- z6S+THTuo3dKl*0g+c|PN3H?VO%w2xww%Lr==eEf{2(3DMW!^1;sA@CaP9?VcOP)Ot zeRZqjeuvtkt>&UDRaN%5I;}m;6L`L?=7W2<;|u|vt9&<~^Gy;6kTBx)P<CABe^|7r zgC!|)wg<b=lbN2$2Q7+O&i8!`y4e5IfF)t(oU*OSGk-hJTwfukYP{$@*V#fQxn~dg z9Gh8F&3R(3&-3BkWMx{vv~K5uilFCaQ}(hvYo7atyVg6pZGMdRspln)ANZnou(|c= zuF>@oY1|tTbK0As_x6#6QQeUNx3^E_U~=jD_-@kvjnkg)S#dB%rsf2Db%N0ZrDL62 z{X}~{y<Y7Sbgg1x=YhvNQ{!!a=6A?wdaujkmeQ3d`zd%Z=6K@#cCH<Fj02q;BOAXR zI2`IQ?~dT6RZaTc57w{GiaVgK6Fx2d>gH85XU&(^eShBcK=#cA(%g#^!^4a1H)hY_ zQIIm+cwK&D@{J#HY2|#3-x>bh)LUCNx$d2L)tv3!=l2EdPT8>d)vl~vrnhYFuZo@= z@zC-;t5o5aYyOAj($+b(8Z3Su<KKGf)V3F0Tc35je|cinqi01)Yrk73@w@*k4G6uu z-Ea}}-2nGbCI_#&a6S}Re^d4HrivMMv0K&7n#FuD%xhqI7PUs`=;D;bxHGv16Md)0 z6^h79Tem*5tu06jH{2xDz17xI^Oegx-C3;{ecS#7`)FL8ugmvj|EuG9+JcwYBtJ+E z(^#uHao)QZB|%N)+n25_sDAwPu-CfegDqJ(0sm_<L>8`HKWoB-8>Xi#cHQ8fE&3)x zd+(->GZQ<5K6WnVz92nysbAZT&NE*x>Hp{2*7E<4`AzNLQ985zgmV^u+P#N8_x|fN zf3~C<WwOU7>KEA-#;5+=>bYNO-Peh`1ts^c<=!@V>#EfPH+Pqc%+M;3y`kUubDbae zyzbz@_y;$%#bq`XD?YZio0(L?U3Vm~gk^e8u54E6qFpNdwKl$gy6mS|aa<9<{^6v+ z+Pf>~{61B><iOR~Q+BrdyPM4gHS*c>o&-Byw6XlA7P}$h>__4DEW^pX20{-{9=UdD z+v)7-KY4T3epfR%cIBkc-w*Z&73+9cePFz)kdPnUD{^m-aHLDnq>Tkd6V0YJ<e$Eq z=%;z?X3FlJ774)$;@+7&HzUeYzuhxrvkku@e~jCpfcI&7*vCZ~htGeNh&;7ap5JO+ zk)Gx0htp+vmv(V<#}}Q9E1$eHaK+??bp^E#US>^vyk>)La;D;`IYE=ZYPIe2DfGGZ zK2E#8#6M-`!=TT1ELs1ozEJ)8+<Hc<qtVRD8$)8!_%4?@KR0>0W9@@2OAb!wZTA0l zF7M^>)VHdw*Oo87$26zp%*8b&2coo$61bxcFXv|GN$NB9gl)bM+Z*(H-qg!8-Y=ic z<6-H`6vfR_!yq=jmi10%WX&0+6_f4kCwMUPKT1C{h4Y1CW<gMCf=uqI{BNw6`L4dX z-u{=@Y5D`X61gVd$8DdCdv!XJ`i>aA-nycArTNZZ$;&n@6WOqfOQoN~+};0byVHk1 z$EPf`*7-N*z^7*G%_0ujyH4t!ef3*z%D27d&B8{<kI7y*;AlHLS-M1FV`|3wcaK+V z+^kHSIWgeL(MKHS8T((#3dZ#c7p3g7{Byzi#;loYZ_QJ8D%h@$y1wPrMg0H^@7@>o zXGOVIsoCFqe((9lqt|9ssb8MF#9_Td+U{n_*?$d!Q~o>WPO4wLad)PKU!bmnmT9hK z#l}_E3I|qh*ls`HhU?%Tfp5Jw`5fwn!o>?8>nceulhCe`3s&Z-aoj4gX0mg{-5y)+ zjsn43ers4CFbY)dKPtm(ZsyUtq5Wv-{EV}Y-ttd8>ZDg<(RF&pg2e~t>8fzrY}|P7 zuVQxxXU}EPx3-@aE}QxE=8VOk7w5HW)#&Oh^yMmhR`f@1&ehUBxr(5dPqwDEXwAO& zlHXkB%Jz91mYOcCRnuOn%o_CFV&b;q{6nuIQZ|;@T)%Yt8JESE{~qRjJv^5^_&tLT zoh$w?y!LUF^$n>|_T|}euks_f7O~xV{^<Rs2p#=dHhaEZwmV$fv@-d5?w72D<k{wT zi*`LdS*>_zcIpA0B6pu#S(jq0zj$Atn&{y0`{5;#Fqzk%#ad-eyW8$aK00?oeO=fS z{@%c+^(tE%Of#%5YfqhIdFuw_;`JXDuiX$?!J2m0L!jvAd9P<IcYoN5gbGBavy^G% zo;_v8u{h~a=o)`{i4&=YHQRqZ?+?G1y#BIdZ9BVWvEBTVV-{PTCUtJvvqxZkf%C?h z1#{m1udcW|uhjCnV}6>hsPehb|C+<EUAtg#;B>>QU0xfS4x~-*TDm51dXf5Vy@wa( zru;4_jQkWg<)?D)<ugtv^p73tFq(gXU2NN%L%Y`AmJ$tHv`0VL)%VAu_FEpI)iPxV zn{IjRx>+@0SL2yVV=;}7PWk0Vn}3uV>Q0N`og<;~q&n!%0Sog4sZ*aX{?$Lm+TLco ztk=S0A?LP<-(G(bIy|8vmyz@Pbv=)Gof8Kf>p7k=c(5_%GgUccY_Tld)L-dzNyLGl zbM-0-@6%o)Nkw_5pN7q4n7M4#q@y3yR&GASqx#y%ILBt)?01X*^cGm_OuO#GYkW9R z@ceu}yP)*&fESFH@}ytMEIt+bZsl#(EmlhY%U)D882__h{kK^%HQVNcMVsp1$s10e zWYt=@{jHB+#ktQ8i!>jvoVKoCi>V^`^gXtxryMM|o<Ae@i@9YjtDV3RwU-+|x$lX| z$P%yORm)TIkV}1DtyN^+Ju7Z!ci+v6+y&MTY|MRrOkjP#?R1vs<)vFZ_)5<Am6-Q) zZ)nK*tD377Ul9CrhRjrf<uYuWk`~7vX5Se$Z_*0EmcPrgW4e}^d-7Fv-~RAv;?1Je z0}?mPr&ik4e3&0TZSG5VoB!{`oaW4)<Pg2gQ}So?q4pU;9rwPR>0)`A*?CC1Z3iQF z>%^vXKA~WV$FpSZ-_5hU_qKJ@*Dd$Yl$%`CiuHLn^{4sPvr%yy4nN<u;OVu((kj!R zNj}^jDR1-@G#B4K4LTP3>`R>m%5T-SU2#);?Gi8Erta}ALQa(b!8L{p%LL3==4;ij zs+qRh=k2$iMLC6H(H3{E`kbD)FQ7k=x!K?NvuW&-^Xzrk#J64RevtH`-GB2fojp%t z?fMVLIp2}-$zJ!bxbDeog^<(lHrw9(R28%K(y~tNy|?+dS(umk^(vg3&9LC%1csAt z@oJvySMHpV<g~<p_T_tDimz?oq^EIyn&@=F`K~MN9&G=V6U*zYoOW#5f$(DsBq|MA zeks&(IL??9s&1CBVDX#O_<hYeKV$MuUhVNZ<-(aUr&J*>;w@KK^14~8a>FF9a=PCQ zE&0_a{`BadqJKXRoQgb=)qO2*T|}yCHN(P(`i-eeUfWmhUZ_5Q-$xPqunqeqzc8kn z_lwj_e0W6ST$5l^g!#2kA*@|L?pC~SYAA_Y^|SS;uubrmREDkYZv1zCOxZWvTS6;l z{qvv*-Mr%lPnBk;uSxdWEqkQnUQ$?XD{tk@zRzsY5A&`^?py8F;jk;T+w(?Tu-uK6 zjhq~(n_3pxuUO{5w&?7Y2~%f(it5Oj+x_6k53_4*CSf<zr8wE9zWU&FuE+Ue;|-UB zmCa1tTEC;D;yvEKSfh1k!n!4!=fA&tVus<}W|LLXzj%b(<UjiUbkTo(l4VcXm30P@ zQev0uqg7Vew%gtBIrT(`*IM+}ACKngwrqB3AGy=r6QzVZU+BfppYUc|-K9VEU+(MQ z%M98vbwm5kS?52=^M))hFjrT6KJ84#Io={uv9jeKQzgvL7I6L4H>`WKqPO!n<1*v^ zRVO<>rg|JMefj8vMp{qlbiSKujXU<fSs-{K_3-*X{qD^9yQfCpN#sa-_++o{j>Eny z*%@;Fdwp#)<ac|sx8Bihx5O{m*SA}XxgL4(GMqm@IiV|6*zNZBH$Jaqwk+nVYWIjb zQ&6#|c%y8KM8uP<vQJ^<E24^)-{0h1>9v3E+~mXOlOOC}eLc=oz3F`O>PIql3mXhY zUrZ^yGd+=SN$eci^|?ywssF#*-BQ|<ps`}sd>+<!KlVpXxW$*e<K2(PTxPlTH@-e* z?eDm;`_{&wU~M^04kj)4`FEBWd7W}zYyba*<lWbbcV{1Jwa8mI<(R773p+loZ48#% z{xGat^XtAlkJy2)yS4}IK3msN`&Y0v=#%o)Q$|L|+%_ps-YVMKx}{5A{gLmB$HD9i z12*ouA8yAk6?k*r_vufjb4pgfePNL{>HhuKpTBt;#8|Z+2+0)M&XK)VXpf3!MLLsD z{GMJ%iKl0ydt-X9b3c?cp7(jpJ(C0LgH%m=52;<c@4a(EaQ8=zN4t+zt>P*Sf4DHh z`;KeWu7_9F{f?d7`Po^dQ0C*|di5*S6ABIpAN=UoKg&p+LpoG9^ueS6CW(LTXR>A# z%{eCUL(qxm%BCw)l_rJd4{ID`#ZJ%6-2S@UaqIIl9>+Jks3`>tJ<*z-xWj$cp{=#+ zeYu4MHSVrrtg-EiTJd~&!t}`+QH!)hZl7+gI2(LS=!B9f+uP^Iu1u{dTfzGL`4<b$ zS&fP}_wNn3{o$6V&&&K*wsIE_OFesG!?U6}I>*8BXHD%vkzG4h)#SOz9bOa`VZwj- z;erX(H(yO!%M{i1wQ8Q$L)C(8d#QViEQ5X6J!<>4h0nP9s?I7gIJ?%law~`F7Czp- z1+84?f*MuKKBT3kei5>pxAH`j&-cYkJZ4`waU@ac?Bi+a7N^BxK1y19u$_1I-W;sy zdN4aI#+0)!oHtc+UjW}N_j7AvdDirv4(Q03xMX7MA@18E`X?2x<%?@3`X86S;J5vt ze(_}oi{R6CmB%hE_%q=b>$T1LQ-01AeaiRQq)2^Ric2H^+jox_Hy@o8TjQ&?aZASP zmgYb0qI*ITZq7+%QQVfgz}s^x(*dq%(cd-O-k#pLsQv8e<C{G1{}7H4Yg_m5`?FUG z-(z`0I_ei$mL<LG&V0H)uIt5}E%Mrr9lHZ9HgGD<QkXC|t9DZ5+HKP`Te3{QZp~Lb z->KU2<@3oIljfP#%s!LMZ#H*7S5)TR4*m}Uk2Z<<u0GB0qo?ASt<~S=)Ox<Vx+Own z_JL??W~<Bg!CqaAqVKeK*70T*Us@gBpx`HX!bgKAw(nY>7>7*wtS$GMf~=-l@T@M_ zt9mS>hRxt$!?D8$vcAN3^St^$`FMSR*8J6_o3H-d>GJ=R<KEXItM<+4wPRQOd7(hB z!|=&lmtXy^yWL}Me*7r6P31&{`#VMHv*s+bKWq1YT<V{C>+*a{ADy-9U+wQ|W?d2Q z+QanqPk*>!RiEvLo~rw=_Xu41`gq5Dk40O5e-YYtM}JRnn9!g5z1hbaZS@Q*`X@=w zzx03O4jb!r%OCx}`JusYL3;L<=lc~zjY<?OclRz!@-UhoD3+6Vuv5q7*5j52lOnHo zGpGA6-;le8RiW^ZScU1{ZLCXv9G7HJICL^Mz;k8ITDzLdJy8Ku;+@)JK2~i%Q=K5d zWp?(*hwlgUJ66_KoxJsV8~4$Lor@iwg<Nzpcd}bKu|tL<f#+--XD@g7v#Wi9E?vL2 z89dzO$?TE+NHOs5dB5}b_SMA61|{BIl4#8zmf6H*7q+ZwOUHM)uRD{Tu+Mo_wejX> zC+kBVCsOlmS8qxXd$8i=xqz!h8e3T&wAM~k(~kQ5IO;y%*L(gs^IO<lxo_>@UE+1* z^yi+oz1AIdPnSLDNH^Rrw_n1HnSVw76yEH8;pwqo&wP>!Htw-~{pRd;0eR1BU6=VY z+TY%aSR4G4=TY$7G|#dGJ?jn8rO_)U7Oi9ZWqJ6l>y6Dv&h&{sOA7aBkW<YF5trP@ zZYVD~-z4VeyvD%!|9-D_^_ceMyS-n^t$^=g3TqQisydqgI~qLmhoBm7?4Q#!z1H<_ zk~}?WYI31L*PFz=_H#=QB}VR%Iez(#b%xj4h+A`FtIAXw!o+zTq94X9-&`5g`9iqu z`{kkn*}9I%Y_$!Uv8f)+6@N<KZuHlgcC^r?QoMCTY}bSQ4bT2pYIKMvnUvjLXfCA` z{pN$S|IBay4kb@cS#eD@VvbL;-n0jSmNgcN9mY2b+D`C>C0ZS7(mBfFc*<+lohbop z{f{UpwysJoaeb@8&YIR+nYi$cS@X(m%CU2Hz7C7-`tj|sT34gOld7y+_rGaG1Z9TY zXSe?Bt-or+zd!8kCnjE<5phQ0M*H6ltPcAPvX9zi9j<z_Yeq_~=hV$kpL~toIPN-a zx~t#zC*-)<hx3=-+?^dzbv8-fK-8PF<k-6#jUt<8FYt3TYPb;U{k?dm|DU#O+ok5; z-+21<c`BX%`Q_im?gK|&78KV_3$wAC(;oIlq-(<5u<K%-Eh}0kIxP6>W3o)8U8J1< zD(`8L6M<RXZ@TQ$dCqHole<5=#5(%(G#T|*Z!)U9?K)fz3NdcJyq)FmqO~_-qvpkb zTx+y0u!SS(!ZVQrnX8v_T|V$J{_62<42_X5cA5+AxU6m5yS)0$^8k+j%X}Hd?)GFq zo)Yu$sj0$7W_5F+`KD#(Hi{o|+-Z}e+`Qu9mmRkkY;(KiHAPkMo4{B1gO|VE+UDxF zt0V4;{-R#CXI8IMe|=f%U6HV<B4pyv34hXU0u~lW98<OtPWatEN6#>pzdq~Yravi* zlE0l^yvuMx0XuWX^XV;ln}ufE1>8^Yn(wzg)5m+~zUPi_R$tBv3ikaN+k987YKijf z2Df=@J}(szjgLGd62frQg8zhEhT_r3jiM_TYRVfFDy#^vOyF93>i(>0rQu(g`Tq8` z_xB!Tm9i<xE8>0n=}ai=Cf6IA3#Tt)Kc-bB5U@;~<Bw-&Nz;)TXRrC(UD{l{{zrbZ zv#)kxoWw7wFT1rqwy2p$IOK5$w0TH>Z@i^+FVSyzCd<~PmSN8C{Wo&@r{sp5sb+Yl z&lBw{UbOX<K>w^&x2Db!uV3<AVe_tu+*xgDClW)}=||<6h_W1(5%14Vmt3|_QKzGk zm+{T-y;mn&To#ghqMiP3^@gbJFD;%d@cy-XwSKg~>uIunrJRzl1bR}eeZyBI9hn;M zqOZ%g{it24!d%6G6sN#H@+As6E=jC)5jPm#UyMK0b$3_n-7mj4%{*GfCUD~Wr`8?G z%Z$Dqy63QeSCHjax8O-kvXSdoz4;!&yP<AM0sGI8W7gX~U7Yvn%h&k{E4duag+o7V znYfN|v%rd_vdq1Og^Ptbj~DHkW%yY^@b;rs?B4Dr-6snR8~a=D9;;Q{6L8?Jx@*VW z+d`at<=Y+=ELwW=wiKh*#FHmjkM2xQdhxpDe7wn%k3koAo^&WNU;eys*A~t<?>W0S zUsChrh?m`^+Lq>#VDn|=rDu1{J$#RB`2OeY@h`;!0wxWM7l%ywbDj69i2F>f^RL`5 z%4`Xi4zfy^IEN|G;hJT^bPdz$-C_oZPB_g8=enk&rC<}lY5wNo<K6RkJ_Mib<}A@` z6aD46?QYCE$<Cw(_hU|5V?Qo7txnCHoN$$U>({Es-i`gPl~F<peUIXm_fGG7`2UF9 zoBkC)XFQf){o%t`k+9;+ra#)blf*nfX}`G=^0wqzW>AD*<KzFQ-gnk<e_DHF8E4Po z(%{)|mBmhOs4rg_f4}m2$&w$YQ-r5|4%(Z`a(vB{OT0hd{CB_7&g!}C@}mo4`uAG) zACB~LYKuFrS{#2t+9O=+Raf`(H>u*YF1PPn`eX6dy+2<)Or7!}l*#GdM~=*8LQDai z2R}Q=EXdwd>=z-(zi?HNecqY6hl$nF1-zQPi7fvAQ<O};i2kl$$rvx!xncd8Uj^Sj za=MpHlv;f3KGW)ts}r1~UafVqe`6mLVwb41y1HU!VALb-fNWXj)q6Hf3wicnlYrrr zWYvvVr@Rwun)sz}wQ1~ay?+O*=gSo)_gAl;8Bp@Xf9IXM6R%1JmRIBl|8bf+!@oW& z_FUS5KOfBmo}BwCrF4ECzaMkt?Wx^mGA?J>DooX#1h|eRtTORCc<aVIH~m-3_pa62 zKgZI(tg`Zi3&)Q{{W-g}(>*S5OFQ&_YYa5nD&f$T)5xYKINSSod$*bC<gk>^e@Y*Y zw{rTap6Rm<ZtA}l`rp`J&z$+asOrJDXC2fhsJ!3W;F{I@!gF#-sfqs=aZb~;yLaVh zNlt9oQG0d9o2^&<PO?ro^3_ps4};PFCK2`fOV-pDgtBjcBXo~>?UJZo#j4NNPY$&% zaoJm*By{3d`Rw(+hO2JIY_n#(Is0~8!t=CF?Z)G3oL-l=cClqP>KI*?-8=Q@nZ=x1 z{@$Snj?3kyPfLkb-Rr~__gd|T)v7v=>fde*J$(n4D7I#_@nkPrd%5uPRA~X7*KBXE zZ7Gtz@zAd}_s}(mDN+L4L={iH@%zZec6?31!Oj~qe1cbeyL`5*YfG^CVsq2;S2s3s zZYvPJF7r(N?zOgVmkEJteJ9OBnRfc$Z#kV~v2N9r`^|!1{s=#hDDclocycQ0%Y=(d zr_RthXTHx>qeZ4wld-&M^^BeK7cwZ=-xql0vdXgY{Yf{wTS+e!FH7!_k@_nuo+URU zddYUal}mFNYSsMSIxhP<>CPuTb=zwR7dSf&;x=5FyGicOCsn;q%eF^^s=xTw)1Q8O zqWp@jEd>rXVMqHG`_5aM-`VwYZRoRSE7eZ!zPt9J&<TlIub<8p%h1h~e(^oMu3Tz@ z{wV`zXLrfXpEl(iXq)U343PbKEn4Sxn-e?N@wP)wQv}veRW11vrOvUr_QQf1#~<7a zdAZPPw^(XtK7+Q-*}Nn*%}u-IB|{z_6xnUFR=O%m=i$_v%O1xz__~H(`w%Fj{O4;2 zze<GT<iE1s-#=u0l@wjAaHI2{n8kJu0e24tvDs$tzRmuqfA5FIRfP++*IGW9T`Ar( zFDYYJytB5|)mXs^v!&8+Rd!Fei+Uk+W_`-6ciEx)rN6&>_4UlBMQ(SuRcvIq$Yc}Q z?vnr6#7pYzR4aqZ90P}!(HHd|T%NG{!}<jiE*@Uu|MaY-UrceP<e^eUpD%C!>ix*t zu<_4N(TUs(vZQko=VUh8PrSbV#`8S>9<5cMJPeF9ofO^PsMSud%{3Re_o?&98i8|F z;wdi*7rN|vBDn8UL|%sI=4wWUTk#C~cCk04<6I{G@+gb1UM*e4C8i*d<#RQ3^VV}> z+Cp)THQ`@!?t4hoov_ylTCe!ZCilS7^2wWKm~3+K*;24TSbWjPJ|9(I_e;L%KOO~t zfBlbJgT=U|&iKcbeL9EUxSI>GEPuT$q+Ez)15?-CMUCpSSo4&V{WyE10-Sz@z1Vx~ z)4Yr``(1pWxP=DkITX)~kiE#h*Wz{4n@ggPOc?(^>GJtJzd+~&SML^&U%6?C#|!_; zUv4(-&fC-F*Kzsivle|vQD*V$>;de3eiJJHKK5GlXWK7*y}csu7k}ASHdA?Tb5Ej< zTZH0aXYLnE&ojx)+1_~2wdnB63AKx^B&L6?bqmt_chG&GN2kRcfu`M8f697m&(i#O zZU2dL`?!{r`#ZfXpEEazG27hNtkL_$w)FLv7c0+y>-2Hj^9853FKgB@JGfWMDl$my zvz&t?mw7hFw~I>qA{O_T=iEJ^8}((&tGV-*{A7Pt{-J1^Wmt%h(WG^YSQNinK9kw} zP5b<@<d-G=LH%FXmYf!qZ93vTSANAUy`O>8UR8D*3m?to@@Qy?jdptT<8AZr%EI~4 zX=Nv>p9hHE{;()ndAe#z^@Hb~&(vnJZ>o6uZ)M3f?q5=~u6G;xMu^Biy?*)1<Oi;k z1+IQdkjpA5?>WBnlR}?a#Uu9UBdHs*O27T^<S+A=cKi7-V7U!vPRr37whFJZpD0cF zw#&5kXlb6<x1$ad(&DA~L;9Ax{=M^Z>a0u!rmuO8ci1GQ-t^j>e^%buzFqqKHB<Ln z?=?K1ObGDWa!`5&V`_7q)&uqv%-Z*VhaErW-=GyY@!^u>CH(zYj;Wjfu+ni>`Xe26 z<mapJpV_|p&JA*Kh)K9tywdOE##R04@AcyrPu+H`d~MCz8TTg5VEgGH`Q>ZQg<>PA ztTU=-3g@bQjyicrph5kyVqey*GfYRLFRFacR!<W-YjY@IU9RB4cQ+?_{c(x!@>q2_ zn(gG%H*RrnCDnzJrSB>k@2tC+X52Pw|FVZBAEeeC+G(;f@$>e|+Y<AuV=XdHDk`kr zSR}==FFn;hXVD5FPwxoB8z1lM{rhU@uu;Bpy3*g^qR_dI1fCz9!pZr@Pp3O~_D1fl zlT7S(e!th!Q>JU|wc*&?KQ}W48bVA?8TvjuyL^V_x6J5Y$vQ>{AIlwmt02Y`y?Mir zlAm+7O4Z&rULPZWAjpXSYtZI-{i`D*JFXmAbLZ-!*EdDAH+VB%=a5_ULjA2_`kJF+ z8fs6c*S+F8km%DVxpS83p%*z5+zwq#d~xG{M}PcJL5qjCdKZV=Jk34ywr{6q>>HI& zjQ&Y2wF_MpnN1%mYh5-zqscU{+erWDqw~r)-)xXxz0hOd0mtf7U-`K&q;t04t#-S( z=*5xCGpGGZ`S~pL!tK2bk$25pO!hYv3ySxg;C;i?v1Cz_k4Wvue@QlJsk$$gp1G9p z>g$~yTUT}6`P>yE)Hmh!IvI0L!6yDit&dI@R9<VjwmLU$!=WDSB_fR-ayj#J7V-Uk zF0DM_?4uJVrvvi#zkhdBJ(^v<yjD=FajxriH4W2O64yUu$@t#0KFO1R=l|8uWv6VF zmu?b0zUztA>aD5OFS7o8dig3Zf8nw}K>;ru)TgWLNLM(#Z>KDeajD9S(C9qwh1^m< zmVOb@s+bmY>)6(Mt69Gnu*d&;%B-gEwPREDRo-ylAj4maJDRr1mwj5j%*TL#@dgj( ztpyp;nR})OPdnpK;Jqkc<iQEn`kAY@@uoSvn2@9SE5N|7eVa&JJ5zkr`=2r$>ks|y zI=3U>{A+=QIh&@QUU@Zk(KP`9w$C@Wx^S;K(>pKd`6M~@ZI^oGZ|`hrSl?;?J7J#x zLluJ>X3@8d(?g2ye1G{d(JRlXsproxiKxkG#_zaR9eC<&QOmicExPJ;LfoRJfEyw8 z-icQhM<j<=9el!&J~_p?*YriCZp|*A2JSz%E98_8d43X}vs3?3qrQLzWAfBpdrsbp z^fdUsZbngw-Zh`1ka`V{mm0G}Cu^AgjPKbOpUt{g`-5_4lHZ(#wkb}w6H+sOJ+1wD zbUUNf^`A#_Ze7jVeD&ks>0wEHxsIKiIXER21!?<5d@BB3$MyPq?&I6rHM|cn3aiai zj(MH@*yH@IS&Tu}w~aTeIB)m)Dbo6Eu5xNfPHNZtP3s;_b~~2Mo&U$$t?>P{Sw(Z( zc*~SqW|e$(xKq+oZl<F)^Ihy?&)-4cP9MlA*b}&67O(e>n2E;C$@1s6$L>7Ot31o? zLWO_YrL#r>w-)upY*}yTVZ2D@+S+AP(v8(U^F%Cf>4mCz?#|G^t|@iwQs?LSUGqBc zWf?>quAcCmJO5SC%EO|6YqrUjc?qn~$|=yz*uHZ0oYV_O3|oKjeg0#=s>fciH1q}M z@z*SkhpO%_Q+cBHe^pVg?$RqeuS%FbUQvIpU0Q0w9v_>|iA{a06C}LMot+lV-n;sk zW}QF-kA$RU)9Rj>28+w4?Z;)LEq3VjF5Pcsti4mx?$V{~D+h&I19cnCwkYqLe&oAR z{)ZLcOV7Srkyg~Z)1^jo`=JZ{kFIZv`!Qj~CF9iM@4s!IMfZe0opyigpQBqB+Ixq{ zh3!6+Xl!@ZwXNMrGRDcJ-e<A;%Ik9`W&h?q@@JaIazpXj_kX5IFG|(4uClx)mUj7& zV!%(!If16PE<N14OW}OC;@OGPwY_|i%vX+Y4(XW}d-3!UwL+V=#ZxZ*JLkV9_4M>r zkxL%jvvQBMd?4fXecQ&eAA)~ATF?A{Y#pa}_5qH9skav@M9KNAs+z0sdb_=U*8J^f zE45F|t8A)tSkSF-D#7u(<D{B?tJ(<Dxgr{WkGERI%sdj|R%lar>dYC&{Rsxjf$hHE zA9^TcN2s0OD$}&^>Z)>i=R=+bWd&b_l-s|2*c>3`x-vS)rhRK5e?bY8dXJB<E${Eg z*BCRL6@KyFeI60pQXZ?UUB8nzB-AJUD030BN<iTx*M%W-5>8%QJog%R&(0-fJpvhL zHI^~CZV@j16tjB0R>1NXRmW$zoVoMLwthj`%ZG{zihH{LI818H)o;@BIiEL~<yyLF zf&890*-K4vUzfjey>d@!=JiaugS)-;CzLC<-0!}zDrfp`ANQm-w@i&P_YIwg-pXuS z#AAJKo+aZP?!AYtUEW75I`*z9PX3_viy7ZrD|Tup2nT+D_x#ihFEiV+?sXF+J|77w zs(&VR?&l<rfPJqfWUcn7J)7fXZW8uV?Rx*sx2qO@Et=bWwotaZUf?ea!v^2kzeLV+ z=UYsVJ)^-kLG^+0C%>P@4ZAWbX3pn0rRCVUYLANJRpB|+8(Y6!p0PGuVD8)`fj$MN zYG2XFja{?yGT7UrVgzSDoq1xrz3rsi3ne@Gd(9YTe=u3u*~G;2^6X>v!j{b&3=K+t z{q&f@A|p6)>ZwV8;+B0sVKwi_lm;nr)@-A=+m`3g-*?+6_?YY4&AUQA;^O-kNR-sp zUtU!bp&EO5Qq)F~8pStLUnZ~`naNEFGWnp~D&`s4`OWatkNI=8w>)L2|2E+e<I(MA zopak~m2uf9F6Da_oGkb)sJDDwN^1B@qfO6@!nVqmEakgdkYYFAZVmISTKno9>5C8T zo$KSU=xfocE&*5FoM{$j>?-=A@)?>88InsrmCA7?m{i2?cVHHLe4MSi<5;UW%QyK4 z$wsl)CR<!TE^EAKn^xRB?Pu26-|X}L6^s3GNjQ`D?$YUC-UZ(4t)<>fYrpEDw8-wp zA!U;j|7|`x*<a*!^j~<ZKQH;*H8rQw2`_cLPQPEXe#O?1N6NadxEua|GJJgh2uB33 z>;4CBOM1HR%<?%DQBtVGw{+w0WiPKSRtkF<G3WHPC)3^s*KRnqN&eZ)+$(Q7HnuZ7 znBQ7rKC9H>`-dOeGt3pvCar(URubc{zvjx;$Sj=~VaiK+j@Xw9CQiO<w7<+=?Zh)P zZ_j@%!Q1D(nXr*}!`x5OO7d3G52bnME0vwyp~W-p&3#9$iL?1-Pl@j@kY7?^D7Uxq z#h$>6k6W8~*^`&q>9zLu%UG$j=%?=8DX*d*+<d}hV`2Vvd-n&sDmsjU-n^5Jp5wgt z{ql)S)-mgqtm0gJZ{!L5di!<Ot>va~=FI<fU;71b-Iugu`cLMvbkE+pS!1K%q^l3h zG*`IV<uh1V<?nm@J}Y(pq#rMhFYn)2*tb+>hDENLO7i-)B^@2>beAvO*7u~o&O0Ie zdgSr1x3uKczj>^(W0@i*&cDp&UuOT!()D`BXBjcq+~K`=?*4Z9GpSqd?R|G}eJ0DC zvrpvXdl?^DFZ$Ei+I98b@yOJK-CLx5_cq+(V1GH~qs^H~yJicVo?4%xTo$veblVS( z?`QqhdWEhk@7@-_#rwL0V8E;0M?P+tww_P${pDG)&P{!4olAb5o4%Gs>_;?L@cFZg z%A?t189A@N(4Ow8dfK$0VaA)U;x4i_qDib1KfNhAGxtw!O69uu_BKwn{F^RVmzZ_O zzx%do>4JzP6_3fU`Tj9IXq0z6xZ**sZ}yq%HF0NzEi9+b%}({`HWu?RkGzxkvj6eI zmThU$8r#fQnPw~du-sB?DA7E~ZLIbp(Y)`Tb6CbC-zH=Kt~<*6=IUKrXEou&4-?jJ z>9gMyU1@r{hiTgjqoZ*V*R7n-zOs0``o;6DjB@5(tE^V{U)_{*zjemjBG27XKX08* z=(_y!|8<i-U)GrA4>twBG=5fK6BT~<q-xhM-z(Bb-exX{s<-l~*t#l2MQ%pZg8%*H zo!=eIwIqM9bat+_+`ucaYjf$gb!%h%_q$v<Kj%}_9G}fo-Ce3a-bvFu$CVJ?H`%aQ z$Zx{&*B@42xU<#$#N-9i^Ed9@_y1h7>`5o#t1A?>d5l{tX6*Nj>MSiVf3d|Y?Crg2 zOqbqIzi`&;qD_*qgMq@m%>UAlt(bN1rEkBnoaw3bN27=biu-~ZueTk4P-Y+cv~ZJ) zHuJmei}!zO=Fitr`RM1-^nRnqjb4`*{s;EvgcdzC{d7vQEGF)1)z!&o4UY)d?Ta~W zGuNk@wVQjo&K#p4pXV)K10z?wtlF#cbd}1T9*qY9Z)@Jn(Da+Pxp2ZGyS|H7f7%Y+ zcu>#d&!;)ph+#>D!@M4Gi4tY+uqNpZ#}yw%*y(6HJiEzrY#vK*f5VIyHQkC=HI!HK z{_Q^;W9k2X?&UMRuKSXIckB<B)@6K|eBgq)4cn5wpLSYW=i{&3y0B#l;|9MaXJ7X2 zYKY#XaXf;{vRiPytn8h}Yx+x*D}%(Qu59<#JGd=##iY0j{?jv$xajL$-OOiJwm5yV zwO{UjXPyelgvRZArGzp+{oQozl&^%s>413(B^%cLEjY^@cKv~roSNI+n|EwaeM)v( zxR-T>5GSw0(<2W)>HNFjDBX9ruATpZ>rs`PRa<j5K4922iMu2I=$hcP$M(-W{AbHg zw0S)Lwvc?#GsPR9HkK{lc1ioGZ1=RHNe)NnT~EGMz0&_lv#PJI-s=S$y0<k;BqUyu z`4H;KwmG`=sbAF93Aq!Owz@g-gaxoKS#a~ho04<0*RYysC+WUk{$QE*V)y+^bqlw| z-rv~siPun7XUZ-0?>wyYDsEqII$FBVw)a4Rz{#M)Ev0vvLsj|$-#Ra4F#h#s){l?( z<QmVdSWqbWI^p@-7)FB%z7sFzrHBg)y8bjUo9O+w+|bVEYmZ{yzy1lO8X{lMPiYS5 z4?6yp|Ir&+<E;mj;->RgN#-oLeWTBGS$62Yqn9qSU49XMW>RgUfoti%hq)=uKkIW- z)-b$mO)Z|*tbh2+lCGueRs7U8<m^0R^k%E^vR4c?QThV&HY8n${Z_&F?8oIGiTO*6 zi=vj^^k-<Q2nh7o6_35Ve$~2{SGglT`7uvV<gC>_v{c+IWrEvD{^X0(*E(e~>6Gv; zW9Z!+-2SAcH&y(q)<LPORcQjbtGrUyDMe|jnWouBDlz=cmOlT=e4&Y~knZ2B3nG7B z@fI_Gvf}8HGbamH)@;6~@1+^?q`XJ9k*%2Jc-Ew#rK@(G`rwwg);sl}`Xmd+tHB2| zxY)(ro}Kbg`qK4p_C<rBc31cL<<rt1o{4VqHDL~Ao2y&NK7WbJYNk0Avu59P^x&Dk zYE_zH7GqAF(D9#^A{z>}?a5VgOAI&I<0z%R<@vLPeyuiTTvNV!AJ{g-V9VJ6F+m|e zK`!HYTLL7aS3S;tJ%!8qT*cRO6(J@YUHmORe6>BI@uWg1__$+z^Rr7=C&b$B%*a2s zdw${Np6D|=hH54o+LxZXdad9?XbRV(7Ty)pJ~Ob^?0=@dxi8M;%ANQ78Se$G5p!7? zx;1IBgj&>+#WIIYCZE4Fb8f<eIx&uXoBtDZSFE@E<9w9gBmMWheg8hWTeY<J*%@e_ zu~1`IyINVjt}TD-gEKi-KYV(~`*Ht{8i$&N%QEh_-(-6hyF#ty>7<=U8;|*ZH~M(q zO}KiZ=r>N~k~1M$45A0E7g*d&DoAzOJm=YsnA*>Y+&{QAnd+>*7VrrMY_s0IxryV< zlDcxKyP0<DExtcx(q0|8rbuy3Ol!W@6xOUqRm*k+E$4r8E@Cdn>)*f2CF8jF{JQ$q z?$=X`Ae9ww{x!^xJ+%5AW9+9T(g(FR-i*jvUvfV=YV&NZA7Tck&Jz}0<~nR~dhXBP z?2Zd~4x7%qAN%0%4Rg!lr|+)nyGQ3NsV(ga&^McMu4CC^eraaA-&1QAz4$eA{fx-u z{gNfkODcBcISVgf@%mb9=eA%q2g{*g{a;5PtMRNC%y`-NZ09|1?(o%b|7hz}NcrR& z28lBoY@Q;aeB0uRb%-+ef#bi9H*ii3Q?r~|^I17bCD>~@&*v|brmFEB@QL|$%Kba@ zkv@jv)tccu7j`kMH%*(Yn`r#nG;3??hI^M!8mwb{na(ad<$Y_dt3s~V!WoKu%}1s4 zyHAv}rr&>}D;~Fd<=6gI5`x|W-TQnxYk3T#3hj86mMjj}Obnb}y;8~Bw)V(gYkl_Q zExPBe4(^&&z2D4A*Z!_t5YGaB!-OccRJA>!4JH9+Ua6Y7ysi^sb6mLQ(*Cmat_Ric zcOCv9IwRxdm0S0YZttI&^z&B0WA8V<FLYHIpNqa{Z+{YeTYhp&x=MOA=cAie=~If1 zoNM>Xd$%;kOW0aW`A(t#(OnytaGiRT!{sx3Zhocgejbs)%^P1EU%TG%eE+FWn=8Hl zUAX*A-pf&(%U5Ua;@@vi#_YB~6!uT2VQu^#_W9yj#W7W^4j0}u>|vj~Wf||&yq9Zq z1#1M)K4VhQyQcRu<HsKrm+hzC6|nN&S39fqR-}8QfU~Vo<;zJkw5+RcdQS9+OP**L zEVy{?^oh%CdDF7IpB`eXdA-$s&Q=-A`ltStp-Ndy&!1ZOZtD;fZIMj=HR(N<`qK%& z9`7lhcc6B|-uqMDS4eK#z&Y#Wp4vA5AIGL8v)$IM{OR;0_kyhd?N4X>LX@&4L(Rmd zEuUA{7=B|N>)W=(?UCp8e%uqEUeK4T$lbp>W`_G_0eip8%n`B9MGGhN|94@%y>ptw zKi4n*Ejt(4^D=&W>hJyFnNZxCTN1r*7V@xsXuL65;~ejuN=Jv|x;s*5oR}Ho>J|4t zZo!8r;}tc1EUbb0XDXDQ^t)?BIi7Z&lU1X=&*E2IdCz<ITQ{3O7Ier7XV2O;N8{!< ziM-V3T<x4iM;{%sjd^S>c=JPI*Uc3-SR|xpEPr);aoY6n*CI5_K78Kr$T_#5i@9T6 z&!>{|6=#32)$NYi@wlOV&8+)T=j2#c2t0mlyPJKht<=Paz0pgvEOaF&h~AX`yym%Y zp4%0E=XZ^6JLH#5mHH`vWV2SNbHUET^1JufES+w9!c%U|=5VeINsrVi|F2wl{M3Ix z!$xVIoUV;qWv$v)pNappyi!o;ufBrn+$hKYr;oHfOyZh$k<qw7@R9Kpojs>k_g-Bn zA-Lyd+uX-XPCQ`Row$GD`2d?oZ&uh(_x?4zKI^W}y<|qWV}Ca+3_o7_Ea_<1@7<ML zR(BqqmzuOHi*>T2Ll9fX&*aYx=TGmqde$iY{l%)2ub#}7yeYu=tBvWL-i@tEOl$d0 zu$snQp1UYL!r;}TXr3R<mnIwj{ldi~cJ#799aHU;tv)HIqwYEW_gYk`qaJ+hSWRVw z`F_(C5BiSYoOwNe>qaxxisEle+jK(q#Jcq)C_MN5*OZaJa_3gNrBfY>-p>9%x4UbV zRPNSg?N(y-A*^fkK5VL!iHY?-**^P6g2$_*OoJsgzg;({^ISIhXIy6=W6!jhVal4Y z{cEiC*q`xNzWN<o+ukkM!l3MMXMY9Lw3fz4-cJ(u>%EyA?Ec+B?^$#Ck@VwpO=MHe zmrc!lTA}em;iaW5w<eQAfS%W?kGJ?Q|K^YQAoAzQK5@?v;Uco_XHGb;*{sgT<DYhO z(X`6}Jv**^to{9Lw)IaL{vDEk^L|W-c^EI1TM@o*MfaZxJi(6qD&<>#&C%QzaB-s9 zb}wbsQ^(z-rc6{g#lD=~VDXLPECr4>A06}evom>$22DFJDzZ4s_iDaH?xk|u?XNs- zWi*1*m#UpKN#v=W#$2=Xr1JI8Mn^7td|P6W!YaA$Q^c&Rht9EB{k#*h@U;0^HJ6kn znf%cUJ}z55V?*1I)l*gWpW-hn40t6v@5Yv67nVwEeA4vuQPcV9ef`{5IhU`GYdLcE zl<$ADXX>qYJ@3w(JjwnwLZhnx{*pH**SzGI)qOu!P~N{gXuH4zKckPc*3CP$zUc2U zwqpx!Jf2bY>GsPKHy%BicL(aHcuAhxe7pHqmfo)Jwn>Y+_MJ6XOUli&PsmzsHTCWr z>B&*z;-{3J#}y`*?$-YEK12Fc^sXLJuY`qlmw&I}@Y<jKh528=&6}^z$unJ<`)5|I zon(F?=UVw2ciB}ZA29zgIZaVcf6o%mOOMr7$!<BRmuV<B*LRBLC;9ZCs|!xdiQ>Nh z*ds;wxAwGmOu-*+T-cI-XpZ;~w(v(qA^f|w4C4B?lx-_#o+0?CsFR_4#eUsu@9Hh* zi9T;Tsx_(bb$YGsyp|^krw`>GI_fNTqr5bPX?5$Sw=64no9JH?-57n%oHNdnr(s5> zzM|jipxh~Tm4(wc_}|sgob#koxjes4)LrNmgW+%APp5wy?Qfa>A@=E|2m89WI_-VA z@}))U%A^UOjlTUkb;joSZS#UD?~~ge_0;l3FWbO%=rK>;*~n+?{}tOi3NlaJHp)1D zZ`D<~Z7+AQn7FYoexPdArd`>wd`;)${fxUbTKf-4#k@M5X|ST$K=w`Fgxkwz@0e5P zzfCcDzPjC_=kKR7WK6sJMQyd~%QsG!!geY|v+OYX>Xd6M=b0_`Mk(Tj*;_W=gZxu= zRX6G6M7({h@X~0`=ici#do05qZ#uWoIAJa4i~sy0EZ1hcFn?blVzM^m-%X#D?zUgn zTg*1r*ej;EOx7j7GBq}G*RDWK_1_(*Pv|s1mva-44&UBre(TrNMo!PCtp!sQ!hE|* z?lXUOS!?hk@?pv~=gzmcYMT7pG&J+9Hit~N`qi7*u<de8(Y~*DFPa_<T@rG;&35^Z zvh{oaDE#x+eLZidjjGAC-~iT@aUt{O@3or#IrQ@lG1=gKSI+s>r_Tzp*%0?U;zQ(N zuPdvK?WH%l@L9{wxmxEuOKF=<=*9FaiNXK<&aBWEI&5}ueZ`jaRb~fQE-bK!`hD!` z+~5<c>TXx%?;MdT75`_u{!_Wg72|tGcT)fND!crB<>Mcl>cF{x_w@v)4erzO!z=pk z9GD;La5HhnCXeq^WG3-R31~Q8nC4TK8WiAC+kIri<E`$!HlH-@pE^&GR-O9s%(Dj8 zbwciLj}ugrjeo36jQ!25Vs5gz{y@o@olP6kT;Ip8f1G=$;OLDAofEv9u1Vae+O>ku z+2C|?><ey>*_%E%cCwgC8J}A8*6gZuZtOzw?7w0EKV;oz+x#(8<g%*G|9Qt}{(r*W z`g!|&p<S!4CiGPHzs#|mb-<jr&3&izGxsegc^~MUoLN6@h5RDn%Tt$CRlfRRIr%oz zudCBcn^$r%*p#$9^>e**es=j2m#_1W9{3#Hpn9}6?%X;4jowa95$vrFi2<A6`|(|W z^Jw?Jzr}L@qH{Ip9-j4_JtK706<3d<w?7%Zk3C3r*VFdiq#9gxrtszt-iz_?K9o9j z@EfVI&hW_pCY&HIrxto`<;&{_>mrJe-c?mGTDAD!N>eE@4!ih!QTBb0U!SzsbMyXm zVd6Cnhjdw$njmJymBA|e_;}Mx!%Cn2TUPJ<L)`k_tN&NS^-9;?kYAv>NT&8d-kQg( z+s@B;mb?GFniN;{XQ7k#E;!oWGr9JGpV8cMd-dj{Z7b83iE(hc88Rk3*?-`*gJWX8 z_i6{tJ~!T%m9AeRXY4Tc{QdE`;6(Om`8m%_ewwjg4s#RYzM$@M`bhnkSB<i_ye~26 zJuIn7@i`jHEIre1zLkLcdR>8qUp#g*q?wg*TwA~BL%F_)eE;n=?XQA;E|lv%(V1{! z(PZ=UQ3B};kNExg7PE%wHh*raV!E(gPUB0*d3Pu0D~1;_PIWPQVH@-1=IuGPLgHs$ zKh@>kB+}D)cA5s$+Sx~X53Fnd6+gXo$5z?7)0O@PJnrf9cbz_WwPa@p*N2(QY8Sf4 z=<AD||G9d3rN+zcAEdT^5m&u6k;z&3h-^mTxdi)*ySCXh))tB^l@y7v_<p434{P;V zR?z?%)l#lvo^7*KK2N&l^y~VuW#U&3EL2??A$oj@%)0iA|KjH_dQ-OcNJ_V_d*`wh z6JE@mQW(mS_wU`5{F6)GJ%|!ncs5QfGD!Pt!*|9%n|mgd$;}FCI-h%P)r{&rg@2U} zFkj7Ccdw$8vmvrwcHiliGaDuHi|5_7ZdfSEG$pX6<8|2zaWBy|TXWW&OUzj=cFNZ6 z-|^>$Is9v`%{bcSJHh<S<Q%hiW;gF1aJzFRf1=@6%ahXDO43edyKk*by1Kne;8oE= zv1Hi=9_;DY_GO-2Z~5=I^2Zl8YqkbHjw=z;*&v>O%~Ci<@8!q&S%*)G*QL0M#Qj$B zxb}Y1;e8pBnXz&2f~~#2ak7M6wzjHs`n&VN_7im)anlVSe14bmfj`PCVp`%}`=xvA zE*HIN2s-^tGh@L6A6NgnGrfI_-zVM6^^urX{K$!U#lLftPEQMuGJ3hja;p5fX#J{X ze(KM(lpffoJx~;q&iO5*Q&FwA_w(fL4_78_b76n=s4LiNu8L8LTwD=zf#3x5s7tJ@ zHtL%f{*pi5#&Ra{jEtGvsiRi=(%BBAf6qN<xa7iyCmTIxA637yriCxMewr-bGm~BG z=g3T)-Nw1q>#uW)!AZ4V&A3m2KLUOU2^BY*$J#3=<y<+bxAj6h+qalkn<UpydF$dL zWPedbd&aGfQ-2=q*~}qzSybxJF|MmxQ=Y7~Jh|=Wmp<_?j=LDuetu#tnbyCb$!Mcp zM_2e`t0u-joH=X0EB5jk8k(Hxt&|XnPv&_&|2gBt&}#YT1#to2x%%E7=4O+$nigNx z75C7hPBFAV^@i-~<V6cR*=I-goihKtx+GxsYKh8As~i^Icr@+qru!X>14JhnWpgLa zy4J|z8Rnheyl~qOo5LoT+r>f_|1QkhT@}gLc$7gwIw-ZCvB0>;KxxII7ZJ-<BjsE6 z-CEYvBQz;?VvN)=eeHS{=`iCzOaIh+UM@1_xLKYz(KTdZ>c7;p2J9Vkjz2REwvu15 z_~FN;Mj8rn8>A$jUl-A=`ZYbTmOXa*;e5C4PV&+|;j9fMfA-za>iDL*fZ?q<$Mo|N z)wkazm=_sbzW4fEA#Vt`OxRJmkDQxj-(Eewh9M!_Y<~S5zn;+Sc_-f~r|BN6lIpoy zb=%spopW;78ZFI^lffT!lbvM_6vpi6kCN^6Xj$Ho;m*jJ(2;+RkI#6yc4xii!#LgI zyqR|%mxvXo$hIq2=jE=@UgB5rP)9bNah6^f`|i|m?YGH$o<ymCIVb)?VUd^XHvean z=Xd+A$u!wL_udRQqod0`X3TO5`RwaHY3+qHw)Tl{9@{<Un)vaFVNjW<mZXc`ty!u2 z12P#j@-G?%+d2QtGEn7d_1ZpvS=qV#>JJw=A9+vlURsehS*_}t;n(dQem^ct*B#dV zckWKZg!LchDg3^Az{IX#qy4t+2e&<z-zC1iCp(u%>Mr|s(_F2^ae?Ppl{B`r+xJA4 zpNVeWYcy$o*2B&9PENlU-HDj{OrfPXBJ2yxbNk7{GykyWI`@BZUc0fp!<F4r!}4O+ zYf~n7I~_3>(W57nnWuhGW72=S&1J>S{599_ExuK@wRJ<2-jb}s)R0GweaqUzw&)&z zd?C}xiSNh}jg-Dzt7R`czwm#QvFwS-S^knoJx3>d&eHTX!se5koZeo1_amK2E?!(s z<VF6LB{zSZd>t_{dA*D5b<V(VY6{jrHi_yUcde~m<a!}Ul6~uejFqB`LaM4%^I!CZ zyyn|i@m=CJ(~H2?9Oo&<s#9)GzIW@^0-s-5doGA7Hm-3C%e@v@x~T4ldFax!ZdR(c zbMx)91UCO-+j(e>RY`mQ^BK7>6!jnJulv#SjlZz_lz8q_OGP=&iCfMYvwwAe)Wz5k zKjqI^DYczJ!b=wFuuC}_-HlHSbz1sn=T<|rr7!k>b3eusk+&??K266mXxGlXV(x1` z+8205;?lQ2dEu%R^V#68=1H&5VYYesSDH^vZe{JUX?*>s)A_`~*RJjd4VTQ;lRC1O z_jNGikMLDfmSi7Yz3z(T1wp1u@)J`VIv7>>%_K~7113&4<PlG(WA*puFglaCfQ|Q3 zcnXI>3B#m=hmIM}d*8H|Vd4&*U*_kv|1kX)J)Zc@r{Y8VqTA~N0uD7B-ieYBTC{y_ zP|W?5`7I55vKC+ZqkHYF<(~VM3Q6b8L|HO7a%Na7Jx-eXq}X+-+A%(-n~UEyd0o_* z+wu3KYm@jk%lRTjB_|p}0#;p={;|E}rOlDKxhD#KfA2~Daz1i_o4)NT7pLoT5k89h zH?b{TT~VKAXwG{$cfl5=r~|w1#Ogb~Pg}k)^6-*7^Sx$2JgQl;C2Uer;?_Gt5{qAy z@&^8Spw0I*O=jQeuIK){d9%8YeC55i+0etwZJJ{Hzjr!6+xBm4{Ji_lya};CQuGUL zCY^cbwuo<9nqh$QmxQw5U2_9=Ov*``kTXr^N@`^O?S$IT$CYMH{?T?f>iYA>+`ZHE z{8{2Ae)(}VHk(=Q=#Iq#5*sg>8LX_8bebISA>h_`&7-^6CTWzH&oXM^yij;{ldb#A z7Mrf$ZizWHON9jbW>j6?{mQ*JS26y7QQ9fTNn&M*@c~N|rC5zDxG&Fp_bXIANB@lL z$6c0Ltkxx5ryGQ)s+^tQcK_6^1x`;m51IJ&N^2iF%hcthZSm|w#axE2OEwcY>mv5M z=$olrVtE-?(iwb1CaRdRhnG*mfX(0g&CkCsQ>6VC|1RdvmUw%A&bB?%cu$qZv7O=S z_nPb2c8E#!qSBieoEFRH_&o1_&&?de)8@N`IlVOdg!bzViaW)G-%I^T*D}j`!gE#T z_HRDVAJ5*(m#to&6&uq0mRWnl1>tKQRvBL(PrkR*LA__Y&9}HM>(2Q;&e`wK@A5_M zzQCEgnr>^PU!A!sn(O>k;?UH+3k|-v3#^*Ib-BQn^BMxi+v1#TzpE7ouTT&yJ3fi^ znvU;WyTbJ*SFY>dmwlx$Z}W2&W0fnHTmPp$xi-mnY1j&dD`HDCWXdm=r|nsAe{Rr@ zKkEBpX6$-n-pp$5^zT{minAZ|=C0ozby{Pqsep{%p|}|puMasdd*WoM>JYJ0jH&(& z+pFJythR8xm1;KmVf48A>E9KakAGyZSpBDvOFcMlrm0$5-#z^v&dxHqg~y+7Y2Eh2 z{a@9tKlkjn%wM`isfWklWzW&FNynERKArO1|6TtIH{EAW$K*})+r0EWUM;c`tNnlS zmhkfY{eKj%BzVu~*X)zz;%k*ZmL-|qyW&)%^VO+O8pYn*zKV)TzmyVveffdwZg=Mv zoas2i5<J1`+{Xm|H?Pla+VLQesr}_o#oZ>imIlA(4SLMMbz*10#w$6y+RvRc;oIwX zC39-pqJDd?2TmKLUX&lTz80`ry!}H|^qSb|Us!f^**@MqeJO9W#J2;g61LoT)%GY) zG@3s-=kBu9y^GHMUui4(acaSaY9k4$_0|Q4f&*{5?eO}kBF}tAeNJVdheo4RSJ)gW z&8qds{SGbtW__V^^1FGf>$lZ>+jNyTY_4k3v^AHRj<053{PpgM2T}DJYXUDkTdt(Y zQ=qDOd4Z?9gW^Fc{nSG%v#+L!1gh2<bM3d=VQ0ef@W4+g5$lv&Pi*H~>z5t-E;ad+ zkY%F&6g#UgYo=#u=r8sB;{7+gS1Eo%%J0X1Ynhy<%-yJ;b<67D{BIZCqYI8d{PN>i zD$|u2y*4i*w?3`D6lz_h>tO6E(Kc6g&t0Qrm1R>4mo5=9KE1o*%!VlkPA_tv*=#>u zq2g@M)sGdWvGHYr=^s6mi_6q%+P@#abKbege9g;acW>XBSR&Z}@><Bmn-^9a6q&lb zWP1FkwCY9rEx)3L&4HS0zFk#dezmq>ed|QtWv0(wvEJ-UsotF<7*lh(Yscob!WX>@ z9+|9_Qnur_`1iDuzg+I<O%;dUee)GFm<n#kyo;QZDe>@f#KU7%F(Kz(&$sC4e=p@1 zQ+W4oPm$V2!?aDY21ZM6*;}l?bz^C7@{IJKS3Z7Yw0+F*`p(YM@2po;q(ipo{Y)~h z|8jiwp35>V*`bT=ZfWMlYz^1@$QsWlTe1F<O{UVrm@OTe7S*{qj69S0eac!6`mo)e zrO>RfLi7BW?~ET}TEFIRZLQxj`%SGf!_&D=n~yrrkNCh<-85&p$I?}i1>5$C7J5zk zBK+mhV^)dQ`QN_?cq^%_vHU&%kjiwf@?BH<{%$zz@x}OFjQ6tS>sQ|%+EFLuwOUAd z#)XG9DlX4DwWnD5&2+e?os{uWE~+@{=iC*tPvUko>h5WOTNg0zT%AAn-BXJ#%My=x zZar{J?tT7~N4I$nPB~`Bdv@XJS7*#p0;W6U6dhccW7;P+$K_;fQ8%klaf9!S&MCP{ zdNKRXn6A%^7CU!pV+)7N%r^;A=GL*_wr#$tki+S<@Q7`GVV{ldb#AM|8$8znuO0nU zyF8<nV@c7pNzXaEdUO@PZx6C}%74Ss^X+0?%Djuy4E0PW-#d`Dc8MnM1Kz4RbNAO> zZe<NPmtL~>W{&v>AqTg(qjQ(^X?qykJzjgP%S>%v!al8lVue?&_tuo`TYANadCI0b z<zLQS7ptAGzCXfz%F<z>Ueoh=8Aqgq<3!&_Z7tr&@H=hh_VtfXG`vnyRunyb%VjgW zpAXAvpSjoehs8XY-g%{|t())J1bsfK^J_R63@>LZ&1{lZzTlSepR?$;r~2!-o(X!~ z^Bp#=W=$3{%a&gAzGd#cMKW&Ji<dL~k?j3!v*4ye_|nXI)~TEFRg{ijsLgmJ@#t*e z@4e~VhP{o8e=H2%cr;#LXOgRGZekU4>io%)J)dk3-FR-X{Q3>eqvc^2UyGjSiQHD6 z>NTs{^!Moo&EBs!zqA|eb>n$6|M;UU&z$xTQm4*One@_HIx(#B<cz4t9#!&hT1prl zzpe~O-&m%jo7Qor(?D<S(>Ypvp)B2(tk(Z`Hz>4AaIo`k+MUxAxXhOI;X0K+OrmzH z-<^xBJ~3axK>cXn^R~8`j!ru**YKRWsWJ72d`_U`zPUn&I^U@TJN7SIk+mgrLHh#F zFaGvIKl?43&A#1OTcuJiCL!yYns}x}s_s_&w8XVLYHHTzh1`98tALT!*v&<yd!=T( zmcu>kwxphGYmRT=mEcqh*sv_+ynvvQ>&7Ry<#pMtzU5qY<@`3+f46GOb-{{{XHRe} zj=p@_-)2R7wq=B-*2|xt+nP5#Ox<ACcWUXmg`$DnsqPm=OIcQ*-oD_9-^Yb#Shoh< z<NYjq^zrwL*PVUS8Dy?=ACs-_?%DE2g7I@+O)=|2^OiRmma%7+D08`T%iOHG`)6*; z@+|dV@<L803Zo>Jmt07=(4;-9=;fIS%wKdkCpy}lTYE9?KTFcBeU4|pHSgJ@(0|Ip z|Dsy9^^{-BChU^qdMi35?oIW*%2ahlfv-=_w}q|xP%$BRvsQrlq5L+pl8fD)v8w`S z?cO|N#?rdxPltI5xE<2#eUeNv6NMP_M6~MN+Ug&0q&uxxpj=UxDrKEH>r=+ril5yP z4+MIbPB&d3?_sINQS2yUxIJ_F&+^cadspu(x$e(sRuno=dyH%M^nkSIcTxk4j_f`* zLu+Qg^0}zMz30v>ZP7aQu{biVzcZjo*}v7!Vf**;ke>Y;9IrpzH(%6dMXzv(2CLJN zMUK}$Xw{q)_}%KZ=Hr%_i?Mxoiq_=LIn;3b$@gEK8Px~Ra=CTIIPynLe=PUv!lo<F zKQ=8?4=r1N*C{@RZQ8qNli~&@mT*^#^eKh=l`^V)4<^3+v~q%z^5n&3XYD_&V_uXL zruRdQ?P>k0-bns?zxLktv3>on%faM{j-_LY9dlHm!k^m{5826F-?HniOghub*`5)S z-E(j2B_!r(s7Ud8EZrPt(Y&U-<o)@F`EpJ_beC+3?AejF>&}|YAI--_pTB?VxW&`# zgj?m`tmsu9ou{v!|M>LCSIz+G=r7(g49_&Rua!#Pw|3tGIcFi3?VZ`PtKE`fjRjcN zxMyv0|1UI?^RM>r6xqTV;VatL25xyRAs`exiSf$<Eu9q$B*ee+3siD9t&<ZJ^NKzc zxozD%IW?8mi;?epn^k(_yJouG@O~bUHLuHc_42ba0;aE*Cxw}xbM=<#DSfhkja;E- z^l3}CzMCss_?Ps1%dbs%tR!A~Qatg8#*+;N;ft&v>u?q;GId8zpEh&-i&CN928SM@ z^UE!l?>*Dvx<a&P-esBlPZvoQJwC^z%B``(f2XXJY<l~Ap1L1X_!lt9?&P0)cJlJJ z$@wf7W!^UbC|%t3O(I9i@O^d0vcgYa;uG|vst(V%_}+NdM7C*yyELWjq9iMirqBJs zcwz$E90~TI|7IC&7h25i`F4EtY%*_=cVeio+2i>wqxR;PD;Mk~_6P*NUY)e2;LYt@ z-3M(RHkjl}<{U{DnE!d5^xs^6asLf(72ZFyc`7r_>|ONr*|+Y8c<9ez-90fa%TDI8 z>F#GPsxf(HI}gii-!Hw+Fhw!)Z3#!bZE)2FTMz%<8#8Vsm6sl=nA5M?So?ZLu3UEI z&UIV*SY_tvy$wCMZ+lqMp9ZFbrEyN*RhKR|ofv7FCBJ3Ya%o%NwD$W!5A7$PTzjE> zrKY#ok$oqV%WHQTh)Jo>&@$VpH2Htpxfq}NWNDk@QC21DUtVj6F6B$VIrA8c0Pmqj z#@QENe$rI@8hIdnnkLhvHS<qSd0(gNBQk^WpkLz_4Yz-L1qGA17<YEMJd1V86<YLl znp}yLw#Jp#cwxtFZ{l_t{+iCA^5u%gqQHLnHqEMMo3DL%nto-?3XN)Ckuc4|pr?O- zZ<44qT)W}V;V;Q~e1ZRuSRXC0I?=aWDdp^?J8gd2dwKrsj1Ic9&}ld8?nTVJrBiM9 z&bR%1{;=LQ{W?a^Ikk^6WV{@FmN-YY&eyIqc_DAGKS!Va{*MPHv%aq^S6TKirA{EN zs`i-4qOfHqUsrGWZ7Ijh>kxK)T3L(Z2d+l;x#gkT4VRcIT7Npw&vj=1Egc2B*{3#s zmavYqINN<?kNz9^nO~PQZRNka+v)GLuczv{w9ei<r5z<2sh{}SeQ|G_=9X108c$yG zPt9tdcj>~JP3}wfJX$Yj#UZA^ny{HiO_Hro*6!7=TSqlFxNqosRVY>a;c`*e&BG@< zSlOP>pE$!PPgJaD(P{l@zaHQ9*;CQITZ}2~L2Xmc*`#w5<!*hP*nWyZ@YM<TgMqr` zx15DG_B}a0k<nZ+h4ay6$$(TZ(M_d1K4Ck#udU8|@_9x5#^`s9{og*!$>)0&l0Bb) zy0?&S`Lo8x<`_-s?j_0^Ybx32zjbtGxbxL%#}D2fgY~P$ZhJivos*Fsf8~!NYyL+M zh2=}GD0BV%#xO~DvLaVgbn=_^(#^{o%n#q0+qqEKL{;|~Uz^K>$2Uu#Uw<^4&4#I0 zsi9@%&bfNa_IW=%s4K?$`}@u9%lZ#*Vdf~5S^E9jjdwaZ>E7pNhNawST)y~H`r9Yh z@91rn{T<61W3BLyyD<6`&)mj~2aaYP*`fFMU1Y*$X13C6Gt{=-@JbgHyUJ^DL7~(l z*x{J3e!_Mw{(Pkc@4sbREw%r%TJx{pTJ!ppif@cs>gPnyih0j<SF_l9OJeD)Nlp{g zC%bU)2hG}et4plx<7dzNI$S0qp3#B}J3c+Q=@`8G7Q;%*zmF}MmiNz4dhA!~xqS06 zrr&cJP5HN8=N8>IJ9Jgj3LC$@3%`9$uh#C&F--q)K>Ay_*P_3>*XZ0VIdb>d#8u(9 zN*+`Q+<*0Ba|SQdmv1U*)lCzBJ8XHGsv|b#(k_WMrAIwm43BGUHQqnLz&&J>&V-#G ziqw8`#U2fEPyD{F`0Xs?kR-=-e0y15eJ|sTO?C0udoD@9X!@GXC5z8~+ZuZE@+Hmm zf;M)w2)${6(?yg{DLO@KUKW0JA!OOZeH=#;!#6nndKJi)E8qX%z}A0m%d(6nyla`M zP!(dcu%z+k;plzUQ)?Gwcm9x+Ffuq}W;kCpq4;v>>`ze=OJ+1I%~(AxfnncW)3Z|r zd=#fE+fP{bl<Tjs>RpDEh8bZikL|14!1~qf<Gudteski^eE;fDellC~I&Z-l4^zJ_ zj7-l37-yGMCO12_vi$qOAH}C*(q;Za_e68`z7<91rk&P)c})J*Hq+1CrKu9ur=RZ# z<>kFA!ue@&%c6rF>48h41gC$y+7+g<gkR3cbA?9ELfeWH|82iUb>H)`J@_uRzwUj6 z-#XDn6)SRgyfEjLQ+ReE(Cu!qi-L-7m(qzdB}&Ir-f?sr7)r1mTloFh69YSs{a)=I zThB4u8*0u<W(_(USDB}K(x>%jPVuC-=XN-rbN}1+wIJ`8f0clogJ!@nyM-GkOy04g zSM8ePE6bNL1_z(tU$;K}@$W;YnhNan7qs_IDDh6=W$?`Vbs?_ViBl*j_qP0_>5Csc z`uuCvV|k4N0p*9?H+Q9_=|=FKFgmuh|I_ox19_}xm9;J(lRUitafKGI^+yv{L%;O8 zr2?ztid4A-m(AE*kaxp!j+=|uH;-j{`#)N(zZ7NM<i{CTUE*B3NJOUo((HLT1tz(c z4ST-{X&<}1F7R*g10A`oKi=x<=Bw@vXPF$9wfxvaPp(%fCik~Im)$?-(o_%IpoaFQ z+p%@a*JR2nE{c(V|7*+56*D(n|6Q>yEa;((v->T%_11UoDhd<iEZQP$g8SL$-!~QL zcrz&@;rXq_8=HH=Wa2Vz=B;4bbWm0=`Q`e|15QUx-+uZRd+>hZ?~IT#gB`Pu%ylkx z_q7d-^)zhID%o&y%KKYgpHF29^|^d*x?7i*S}&0=d_e0S$Ngo??>V~VT}|}8mV7uj zLZO)d<QaFR$aTvPemuzhDdf`1GTu$4KX<I2dhq58BZWSjmohKXCmvnD%J;?pgWLQ= z?%r<cb`c1*&8>QKR<=K~QYQTG>rb2ZNAYdlzPnyCBUS#|-!$3tO>sy3WEa>JuH6{O z;`PYrUW)IWgAD(DPkZx3+WbtO{OcI6!ja9htGsr8Q{9qxHZ+4Zug>$1@3M+rpY@cT zgn~mj*3P~XUtc<Dnvnjl1FmNu2To6Cc3{}A@owEDLpKIl*NIBX7V#cRQ9VrMt1fSi z`L}lU|A?boro9!P<K8iS-RGsZ_F3Lt^Y+2vZ%bbk&c9>ze)i+Jhn9W)xc=3q)4c*M zx2Lsgx$2mhep|hob=j#IOJ^%Yq&d#CKJLFdx7n|(^=#gb*$o=8^GmxK)D$*WKd;+j zw|K*!w>lqA&WQM6tx@JM$z_qh-k$WmP8S>*q+UudSGIpC$DZ+bH^(%AZ=M;97cEwQ zJ~_?UQ`g|Prc-6frS<Rh3l%qSmYjNq{drhNAnWPIIX^ew+Q*u@{mMD*#gnC`_s^Nb z!Tjj&q`KP<hK~!EPp_DwT`=8j4SVi$p(;TaMmf>hqJ=q3KMzUg9aP-#@YRnZp}e~r zBlLHDUwSdz^uuTWvUAg9*Z$l-soR<N^_4#Ndwn-MuYX;8ceb+2-rJLOFBNz7ZcY=w zX!bdF*W2f6`!g(GRo-Emm*dNFdbZefx!Aw*TOKzZy7+4WS5#kQ)YBJ*^R1_xwy15_ zxjoaPExCW!OQ&7)wHT*%T>Z5%^L&Kd+_b|!S+e0v8PAK~xq0Gv-)_&enk_62TLkys zd2lK2mY(t<bBD{!fv@GZ=geMGq9CE)dc=InohO@1)eo!`=F3wveR^p9)eT|yYS$dt zaAzL>&%<6Tc(ZnYcpAIU&eq-SL`+9{dYoy_rXv$}Sg8K~sIQ-FYyQ;s#=9rx-frPJ zZ1w-9cd~}q9oE~&6BhAZ_h*%&@kgcyll?9gvbR_7<a2(xuwsFM1jmnZlTLevpokNn z@B9lY2<j8smb5Z>%BuC!OHvjwU0HXtIDEsF`?r`@OKXIiJ~^{+-btBGHWBU{qHC8Y z7}mwNs>d(m-CXWnu|>!|ka1Cj>SkZg-{rsTdgg@qm2n05znznzy8VEj`h*R<8!y&w zS2Au6zo}xn?)~)NY-N`H8>>3kRyS0(UI{oZH^pF1=iX_PtEAUUygw?Fb#z5nOIz3P zKYc9|U))?)-p*UD&U8m%p8opkVq^V_H{%OduQsb#wzj)UG5BNXI&*~xhSgz5X1!qx z3Utv>e(@)JQ!umrMi*P9({1a&@3^94EfRUuI=F0Mo>sMs9MA3~=j3yh9sKj-UUOx* z20qwlEVg3XR<WA>pCs2#{uTDn&Hk&^YNNmav1i>*Aq&|vY_8TvEN*zjIIrog;{V{I z`7c8b7TF8lE?2+nwYuP%@7en=71(&)9DaWAT+`m{VLIcWp;!FV$aCR#Jtq6--#XNE zWeeY>LYu?an|o%f*c|@Vcr3kudlye`)wYK-bxs=D)(CGn_BHW!*2IJZGVMMmWs@&( zX>ZPbwpoAT(+wOymp$;GEjE2uVZyJk0*0)|tQFjSUe3+i__^ejr05?9wq2UijGVGR zwcIbM#@d$N)-vYxtDioxE+yRL=$riHnqT%xsk8G}89m{%ebIb?Q$c0hPeqfrZ-RZb zE#s0~Og~?B+{kRX^Y@K6HzK^=%dBs!KmSU{=kqoBaI2kHr~llUu<g1T+f%)}HueEO zW^B($m?q!(R9*c|%<OMLYg{%)DH$4_I^a3++>Pd~-#BdlZ_iE7dbOwKny-M)G?B=C z8=eL7*ClTH_h9J(iKY*RC5GqUBxwATvyJFmJNv`OTM{w<r!5IDQ!8)mR9vTeEbq2^ zSoQqkhho}G+dDh-@Ax<UTD|xDthGjyq(Zmo23gcr+@7%cS$|`X%Q-Wduh+#Qc$WvQ zs5o@OZ^b{Ud%e?{XU?9zqqF>h#DkZzf0PXqo5RZ%i98PZwP9=NEz7Af-!;DKhB~|w z<?@uy`|qn8%AvQkJG~~zO-t<g!VBxO{Erqbnk5$@ab0_n)&}cTm&@1PcW?ckwRz%Z z8L@{8IqakEmR!$tG+LmuGTGC!lIMx>E@goxy`(Z$;V(%-{>FEgSFyHl;8?!r9)rjH z_85_f6HPn|UoTcxo)@ZMAjq-eMAL48f`cY^<#Z0suVy^`!seFTV-0=o?P;GyuU6g) zmDT16k7wSK6Csm2W9cu0^Z(@SLu|WZX8e<S_4$p-hB(RhS7vcOe|DTZSN!qsgUrW2 z|5Tj6xO2v-&TI+w&7y6aW%z%_8vd4x^!l0j?_bZPseyZZtc+zZeZRX(U-|b#E7nxr zPk&sqg7@oBTfDXZg!zk*;H{hVOxzaPD<0UYIPu!wi~pZrV7IBsaI^KhyZGoo9;?}# zzTKE|a)pj>llg_YuC~#!Ow7L*Ue3H)Wp;B`_5<;!yk9&wojB+`e+tt}myd;t{dbpq z&McjJ^|Yx0+X?>0nbJ8o)Q+qazAb8cca{IHMJHFklzo+Lv+8U3>a({jzKFD&edo(< z+V?(7YQOCc_T3Tu#uaU9%)&h(Col6Tx#r%Odcf@Y%@(fm0+S$nlc(wnZn!_Sf5gTV zq-L2b&CPscqjxdCl}_t~OU|5IdELX>BDB8n6-TYxRrKe~=k~pg-(qaO9x0VpDBqn` zvr8m)&#ZT|*)}<dI5EZawO7{YF-aU!zU}CpDb6HVz`sB_$*#iaj{QHyKh6&d1^(pr z8%NA$S##>BT=2uplJCX~Yb1lk`DSbp+Wj+CNmb~QU^0Wd*bR|T?zvyqGDes?m`!{9 zPQEa+NYJuAvwi1ffu~PrnVjJ=ox(3tW8|y3Jy8GefhjstNfWMEzF)XLY?r38@;#1R z)2(~HE_+hxdrN9Dqx*r0htKSL8JAL>p-{&m<+XC&+3#h!%<@;aS9o5Fxzfwt`MfaF z=ndcLZ}U9Z3U>S``}kzyrw<!6ru{njHzCPxRmU4PXIUY=JshW8>ki%!7vCgQG+jgF z-!ao!GS{Bkolqz_tsV6}y@;zQ_=M2%g76z#UPS#9Zt+rb*vZ%Kx*^<io@jD&)xwG! zhFX=qT;X&6g&dR+uygrazD=q2Ph7Vh|H6)6Q$KI4>+@y3$5CSF@hoex{Gm2`PTLh< z9J@m$x}8J*bl<Hv4?ZnBN4I$+<Lbj3e<@tz%V7AeCOuC)Pvhy-xkcBM_nWY37u{H= z&i!Pye%BqwD)Ti{eVdnN#+?f)ZV}|RU43%ig@^+VS;_}*?mO)>Yt!%lXYQ=q?%vOK zV1xLz6DccN-}9a<<yw9`BxUcn2*)?6TB(UkcC*Rs$XRgyZMsVS(qk5K(LSG^G2HA? zwv&3wEgECCq;=^p|EJTPcZpPAuD3gyUs=3oa>~aQ7dKS-@X2Ov|9;_dba%4llcE_J zWvq>2If6acH|FwBunoVF#4uxqR$&+W2mZ^!lB_2CvyN_yUh__Nvo%+hjPd4<w<aeS zWon*gNMYQ-BDZT*e6sWIds&<l)0tlDSl{U0_MfM-T3Xgk^YM-eoo5>~y%m}-v{o!| zSePNy<(D-zOgl}{M~p`;QBSK&y({-ynX{+#v+2S2IsUO3{?*&uc;L`94z`$|iV?3? z7gkuluD-R-Y5sq6JKbNmZrF(^wQ4-`%ksCsw{XJGq;=<>I!rubcK&es=iJb3o8-e2 zOQfG<NThRzd@D0PaQG?T7FW&dEo*k#iK_UNC~qjPs<FsB{H4Y7L(syC{ZF3#H@oDv zMr(1@A=bvCO;>~S+(fQU6=t<%EK%>Wj*ytVY>FlSuiQr-sVNU*^_DaXyB-%StB`Ep z82{AgUbg1LOGnGrPTE((z!3JMp#Q<I`5s<Ms|qKTS9{2IoX!p2dyt3y?Gw5B%~C7N zU!3_NwkUk@u4SL+&5KUDZCEOpmmN8m^)HuQi;!;htv@cW-4fE)s+Mfn@AIm_XSdvE z`TVzfP6s)R4~g@%Oy;TNXyw!2ee&Y3&OLD=ez%tf&QAL_?PP7$5%minr%x4IB(mdn zsrMZp8I5Yqd*T~3`?W)N8(1;zNXgOUImCBw#v30V%X?zq)-JiD6qUAeYKthNM)Qrc z4C~$mYL*1e@mqEM%>!en=-W?k&bLWj<e%}-`C_Es{QJCqQZAyql`Z=F_h!Gg?rNO3 z!$#`cnH(wo+NinAZav+}zoVj}3bd=f_z9+L{^YWHWrDiaLZ#;??kzeh6SZVQt5$r# zHh-hcjW49aqOFs~ztqPTs;t>*H&eg2XfJo#NrBX5vu>|$Qx3O$JZa<6wcA#_=$!kc zzBo+wg#3TEloS5B6Sg^VU20SJy!2Xb-oNRKgFY`gvUsMFj>Joa8@(?3HhFi=eX_}4 z!Tp49&dWpmIrA-8KA(7Wzc}>UqqE70^4m*K?=mRYG%YkZSfSpQ9J{DkN@w+>*UIlA zlCGN8g*By2es^@G-Qkr*-(ybAoxba=U3i!7xALX)KZW===|*3^?VwRs;Qnq$_OGC~ zlZy9zxitTDn$a7hbIaA8^_;wqwpUHORmj9$Fe&EJ-HMOt9$V}leD#`tA#Ih^bKM%@ zdAqt~H*{|ll+4_;qVdmLN89Y><-31bxL@USd}#K@=cUPx&o4Gb=p5Fz`Z{I7#|x4y z9AONSuj|V0)!#HfC(t`_-_`9iVsFl@TCUJAElBlh{@TK-SF<veo_Aa|uF}zBEzDuM z@YXrK_x8WH_GQyPs-5|6&u#T6ziQ#UhaK?~-ZbT9)h&`b@aVu@9j=V~SK6dR*YA_m zUT@9+dj`X$70f@PR<B#pykolsTS=z%hu_PlzSc>ao!(^ODK2<1_}fkHIp^Nl9+6H; z$Z0K_9@&=6XfV^w;Q#r25qoJ}2KC$G`bEr9hIzr&t&-c)T1t-mv|ivDtz+2Z{`o*b zh+-3m@<Tm_1Jx4g=Kc9HH~8P|&cFZitV621(Sl7!+#BBX9%BD0?xa-D_Coh}8B;2s ztoF@jw?KyqGh-cD3wvw3BS~N0b#YF+uhv${xBI;8y&t<SJ#2T9wH3`gJM-(QiC_0F z+4kgqN$1<%)vw%6PY?=8l4Umx_;m2eml(glO)cHIYYG@w3x?g&^q!|<Dc?6+^mK`V zHqZ3S?Y}?Qu-*w3FUY_4#^mo2B~~L=_2-hTA2t@ASZx#NJW2fM<DFk-rFzOV?A!M7 zg5y?~XD_b1t=4{9vcO(J&{W<_B&WL9>C}l-we`~&Y`?B*67ITJpwcU|+&nw|eq{FK zU_R^SzWbjHw=%la{@S-Zd!>6hquy?@d<$un%!_5-tX)aAJNVe%giMtYZ}Ll77trqT zGqx$u+4c8hKf_tG-%V+IArtgK^!GJg$&|dW-}VU#t~h%lX3vbGFSoC*d7rF&WBVfR z*Zwoke`oo;zVrU9eI47H-CXs8zkN^Mm%FCj_wn}W(yw*}txO8qFz2dO)a||ce|NKN zRcQ?upB_Dl=l1lznHi1mI4w#hUrETl<-@L~cUWNa&vGw5W|uV$i<8|wRcg0~Px_VD zus7wU$yXH-=8eJEFMh1jc{aD{VIj}zb$V%r8n2^oPOeh@z1sWQEbo;cCQb0%RR3ka zy|nx?AvtmL`X9!^uitz<-*UZvwb%;1g428q75TT0O->Gc)1f<2_mrXisjc^4g&bJq z`abj@cY|H3#unqNX%dOwZ_k|1q5Z(p=&tSZ?*V1b`Lk?K`*hvk;j`!|<7aJ!|0WSy z%YqvvgwIF%7|3~qO#jvudL}ir*EB=-tMayhN~yL#=4s~-_@(`c$~~}l!-5O^lMX#> z(KEA1o;-P7^~?V?S7L2{M5eFrU%qjl)Ybfw?bAYgPA=a5aO!r?IuRQV$y>A5|Bn~Z z3SM;JjlD+N*Y>k^0)IaiuToZKe7@kAC0EJmx$jmyJr{bH!T$K?{eiQWelyHv<UaLf zvh=%5smmwaUf%t9ZP|k`$=2iI;#E8SYVQ{|?^0cS^P|}$E46mcAIvc&{3cPY`;P@~ zlYg+Ngd_RL_1w-=OWsM(obCSho$<!69$S5nq^?f$|1`y{&bB13JLcG7uKN@A=3jYJ zROZkn{5yMh`h1C!Z2<+<yHDJE@btx;@BcoY6kqFHu^=HlO|wm|`kC|zFUHN0cTd0g zAR(M_E~Cxytmd}(zq6UH*7<Ng6H;>6+0iencjals#m=NlyLEP~z4hX8#wBAx-Suf< zPj|iR3fvXcEc!iAaIZqdwZMy;GA>u>=Sfd-nI*OR)XxWOlP|F+iB~)Mb?C1s_jBKJ zbJ2E%;}+=>@`tu`w65mnezaG|<UHpG<-Fzw_BG8Ku045e>N-aR9<ds-HCa|3TC-pR zPx%9#)c$2NH#<cw@YCy=q3L8c?QP%9pt~kTJFnciW^gwnPQ~}a=i58_Hwc<;xOe*5 z2mN_V{CMtN3bRNy4Eoi%()f5|vu4akwwOzHmEEsgx$gZCpLgB$k=~NP{RcSfi_Xq> z6hE236Q<UsWE$&vIJx0C)BCD}+%ta)lsz`Ejb2gh8hm%k9%lJi(fLpHD)MKp(KOVQ zb-xnd%gnDE-moSA<C#+lck6ccU25!}|IOp6g^`wQ@r@17kEoTabQkEj?e%J6G&*Z} zrmy~*Xvrfz2gk+#>q~j(h%#G#UM_DWGMkI%iOc7OwW05RmTc@?zrW6G*HahKFx{eg zb^LjMcfXy=y|Ltdss+o20@XjizUuo`^Dtd{-oUght=LMT=u`de&04qi_8E!YfAy0^ zG~q*K@1=_N&23-z{|{~RxW=;SY<m7T!K3EQcbV9FZ0cHjMOa_Yxwv#Ecc-k~F&mjX zwawiQpJL}K-YxPx&3`L|`}l%rM}?Kgs-CW6Q@s4O;X~?IG3U_4efypWSWA7{U?-Tn z`lDQuqq4)iz;6ae7%#2*%haB?$NABWRridyzv3~D+2~W(ADCcN_=4>~aYlBy#H#FR z+af*%P3qG6pcmcw{=|wYuL5RG<QJ7NxtHXAy&>`*LwWb&{LI*c<~tQ-7oJzqXKG@M zIeu=!{G%oO)(>~RmR#^JI%B~PGqX<y8_RoQnE5j`*uQmVh;L%m?~0ywb^94Xdk($V z&k_RsU#~0Oa-C@(+s=m`n{OK~3;Y!w7WhBlaji+n-^de5R=;-bIcCJa-LE%f;@-cK z@fD2eQ(Pr&KO{_wJS^0HZE|72zuR9W<qBWk+noMKz;RD|d`q|TWDWCgX~DgG8Aq$G zyPfEaI5Vm7XikC2VU3h$$1--?Obp1IXI$FX7y6^~6NfNUiuj~8i?6P@Z+eo?W=qrc ztp<VOEKaB9dde1F2tNLo*)qx^-K|Eub6+6W0nbSbJU6cW^yTdREsOXI+BW-W{ZBb| z;yHH-@2Sj{hweTQyHfV)wujU8iXK-tB~{&A*|$|{!mk;&b$Le$nLRk2>Cn2*`m@sX z66vrREA>2B@2|eK%0Ti%*}h-=&yTvP7XN>tG3VNet(NJ=<=Z5(4sMk0F)#B@Fq<aB z;OwLIboz@g)$UP_v4uvzl>)gG<8HmLtn5))sG%~k@soy`;(f_2d{^$R?rgAQkJg%I zw{Cv0+w79xe_AR#`j^^?=jhHnbE47E`_LglALcWkcIQlB`Zect&{6L1mwEC;GcFjK z8@lVeo;G1pxOe_mvCN?d#_}ys<ht(gO^R3LI6w2Q!1ezDv#eiV+V=6iMek}ZJ+Hds zyXsu;YKU^@J-zy7uch$O16RCu*x2QYJ?K=G)_2L6v&2}<lw<Fm<?K;9#o~Q6&VCLl zkuB#v-$+UxSht7kl4EiG2iBvj&t~s-yJQygYxSGi^_$)=Zrb=&X2-uSwjVzN-_J8X zB=@4o`KAyH<Il8t9zSY#obNEc&-1YMN{vvg{Dq_SMdv2%k2&SxEMy=0xT1MA1FsX` zoc1q^I9m$aPdjdSF102r)8=rUU8L03c()VZwN6gDu-y4g{`+Kw?XC;H9NxD<{CjNR zvUJbWJVq6Uvl2Pm|2<4TxMbJLiNbF*G~C6D7p;G`(D--twc~%P^8^oeFIb;%x2MI~ z-0N`bif=tK7H?~Jto_w5qw(#)G7}}e>~?W0v73LRpTsAc9(73wY2W+#!ychRsjaIr z`~U4qouP63#H|aPZIV^&Y~OagHQQkKa_ua(ulJ&FwzSMVtD^JqzP9ep-O9Q){W7!u zWo1?U@?gB2bl)H&D&TI5$y;4buN8Yv{N~o?)O@~l?V@XYKCF5y9J63?g^yhH)R*s_ zgx4|rZC&`WKu*}gS4#Jm>BX{3s{BzpbFVu8`#<Nu+6ghsttwg%Kk_M^Rw@Z$s_@)& z?&+1*04A$%90q3`U#Cg7=}6p2KF4b7t@E$uc~$IA-G#GK6aUtP&UyLefaH>l^`CxD ziMxALx#Y3!3im4aWv8yav)FcK&$r6otKR)hIJ`urn6H{I#y2Kk{p5=4(>4CS4UFMe zU0{8`epR4Yzm26p{j5WKN|(Bv(&0H@v-|f}xvyf@MryB*MmGLd(20NXx3wXcU&uM- zmF}-4$L%>*9M{%Z+SF9!r5L6)-*)!q<E*R-jLx?lHgBu46j@(tvu0v~g4x#Jg^OPo z{XeDsu5GKpf!a+^y3P3eZ-_{!_|BW1lsf(63IW$@3Edg#NA9t&Yb!E3G4o<;+Sk6q zNjc4J=F0Nz+J&8`mR$*$DtWHsOX;4>sY}hu-tveFKX*EG_lZP_ZtSwwof>Y@jtOr+ zs4lp>l6OwIU{H|zWTD_$MSmu3v`RdFHS%WW-Gvj6{4wA8=TPOkwg)>>y*>0>E*!ij z?bUdLMfsglc3Z;?lRYLG58kg%j5r<esbls9ms<T2+57<gIf-F<%?deNmbT^>+?nHb z*ve+T-0qZ@L46vlC3RYaE-pK?=Lhe<)$7kK=z6^8R-u<>@$cD(Jlfl)Hokb|!>)1Y z?-b6TZ$m$vDZOMJG4H6_{J8#|EQu^PE-qxXF+Az_G%WkD!T<BuuB>jj@4h1@VV~+Q zKKrFtj-8Z#_g9?f{goCC8Sd1%OVxirsH@QzR(t;N;DgXf)v|I&rrN$)B+OH@{U&$g zr=xj)u1c-YHe2*#)g;~AEBZRt?N9a|ib_e6W(&Qs)k2e9aG^I-*yOx(dmR=s8LB^= ztR-CE@W9FVy6N(VNwyq(Te{yTABuaHb5Y(vZvWv~I`<aEyV}29zuVlhVPc~s&uPcw zk?O%lCG)NHjy<b>Q^Wc6s)pI5*rWa5Z|Pi5xNH?-a_?g0vl)VGitaA{m#`{!b;tIV zx1KJ#Q+e>q`aA55L0t7)7~}#BfA&v0mmr@Z<TKxgefJTk$(*}(7<7KDK9QWU`hn;5 zcbtCP*={WRiS06LGZS+IABHL$&5*t;>`@8}9JBg=#!WT86MN!ZsmhkVhFrC&x^v3w zj!rsw{o*gJ+wzvD4JYTaiw56X=pN{;BOu*cBWTm3;Cwvk<oR6F$ydAl+9JQGuK1H+ z5H3DzV_TTk(QT<yO1clZPBPSbo>On3S5dJ@__#%4I@31)mLI-;f_&}DZS_&&ReQe* zd};qJ?6_a($y3P%oJx`3gs$b(sC~JT<R=ooByeZ5&AC4l&RP}=-@8$1dgSAoZwI30 zJTVQp+xA69p#Or%CZ$PA3)gLNTsW&s(`s^o!l9qXmrfKorFD0W%v%1(yY^ma6R3Q+ z(0BIhxjO=H>9*7t#Yn_$n4rAJV71HdExDo2W)~#*mNJSIRh>Iu=c|+H9eX@#^2O5^ zoOBZB-u3(b=H)*Rw_Jba(w6Y&dkwonR;($x`*lZxhqPeNq_nMC@_&_f-BepSJ>+Q< z<E8gs4sAVRe>`JVT?W(JF9PpoyRoG`(f!>par(s8JwKltys4|Ji8FUD73Gw#6O4=4 z&b`4yT<3Z3A~E&GdF>DP|J7ewZOEtcz4y%RJ}1UwXZWQJPu!Zvwzqg0`}S=z@2}pJ z6>nF$Epg)?>*g72PL|GI?O`3b`;D}FMi)<1vcv{CwJe5$hRXP>9-jmhc{*f7G~+M+ znLoSF<G5higuPKEj}D~qA6r#py6V&1IWtWJqOV<45D!&+e?c+bha)#_SE_G?#3{Ml zX|4P#Rc5c`F|<qB!hHRNMDc%zyX)<y<OOA?%{RTM-TP&+Z3&y}EPpP}2~V?|U-ksl z`3GM-5aqMVFe)P=W`>(n`pV;Fxmx~*v?WplFI+iWH6?Yn;}sJVUGG&Bq61T+o+oMa zZ13s+bM#uclH9|u=`CEH6?<HRyL<L6-hVD&in!G2{H|5<x?z&{{@pCKiEDQEHMzUY zc2R9eg7q)WybCG!SSAOZyK<<1@)5}!B`$v+CaKT$KWhDiCq*~luR!U+b;~WLl&4I+ zC3)zfxO#hE^?@ZCs}s`m?}`=#+AsZHcI%wlm#-`OOeQ;Z?BQP<<Fkj2@!=Y|Wc>~u zLAM<N|GQeQztj2uW&ZNSt1lld6jMr)ta`XZd7F-8S~UO0%b8b-V{asXO_}=qV>f^B zovADH*H!LN%=m9IMKITWU*Q}N9kp}r;!o6)x81Eh|Agt{c0Y#6kLM&Br8yPZG>7fs zcx<~+`f0w=vT27iQ_uW8`&GE<aM`&pGvD3tN)CB`X0!Aa$0f@}JvyeiJP|zSzKwU@ zefHhUd9Gex`dLfpX2C_1Z7<w|H(joJ7j~p|xrmkg%F>-0&-1@lc)gX6adE8LD)_y# z_06eEf=W?KUaUE`Qh8%lKy@nb>Zsh>JymD3d|cOfGknNcJGt+oU|HamHC>8N=D2-U z+{ybOGwzUiblOZ^o$iUjceC?2Zp;Xo{j1yePx{B|saEw@I2ayp{eDEQv?2Y!kky^_ zf!<Hc9+oj~y#FazxBlJqnLIgX|0+f^EO@VcaNb%zBkRmm4>9is(-Y}#-+~)tQrE_O znC~t0X42K8TRu4o@Si?#%DueECSulqJMHtAxnJIAocHkc%k*<s?l16fIIOwC@3rW} z8cjok(-w;)p3U8|v{HUrzs|XJ7K;?D?pe*>GiUvgir1etAGYj#um3H&vch}y#GgAo zM8ytF^@(b8_#@7c920rIFGj?}xI@5xtEg!~&ZQe}r<O7(2!46u#LIhZ{^_icQ2W16 zP3{U_nXJzjQ-1Ztr}v9YV<#V1?Rv(3=U2tg2Zt)3+&lj0@)5(5hidcHD_)n{IPDG! z=lD56lU@Aq@=dC1&IWBQNlf+rC>ncilB+d~qikAQ*UwKpznf;WGw?cm+HZ6_YniiO zZMKMhhQ-aN+J6_XdL188*qa#i&(7WVN1oB*OB3e*PPVw4)sZrt`-qy3xpITm@rfpi z6>OE~yelL_Z7*GDxqRjJv|Pzh-P@1+Q>I9rHdbTVYO40Avmh^LiEG@kr~RLH){61j z*H*q=nC5!rJp1`8$KSnXjPEjCtlPI=?fi5PIa?Mk<G2><$knHK1caVERp}}1ueo#Q z<DtXP^A)b0lT>|r<P68jSj8JN3Y?n__b9F0Vy*V9;TNB#{8xc%8@YupXFiv7nR#H3 zZjFZAaoy=}jD277Ixsd)uGZXMS~}T7VWZcgO5IhT4qo{gXp!{sp}*$LhF^2kv+la} zF1RlhCbi*zU}<CdU*+VcMCQ*;FHdMHTwuJhe99l)1Dl;1>)OiFuHWAyy~*pUyHn7O zvhLmd^BD4Hd1ULUS?&5~-zg-qAU*q@Tg&=_6$_)*oO0Bk_9Gz8EALqSUq6}MiFTZO z<ho}a<7($}I@lv)vFd}?p<67nKkfue&&v4m*n;h&|C~Ku(>fl!oF(k$Fnhw@oD8eV zD&?ZraZE}ZJPxFK6>aFcv&2dJwc6%MYZt68J@chOzKr|Hs^oG#qunRXz9{@Dsx&F% zZ@XUm$|qjTYw<MBh;2JQ6&$-{uXq1^+o{IFEt{%~GlDjIH1B=rk*~dY?I{kKkMoX9 zW=fbQsH$`+Rbye3XGr4thOd4{#F8DhhECzJ3$RJv6Uwt)@X1bN{+m1A^Cb%&+u<%> zn({4S=InP$66@JxLX~fXuTXqjU9`!)?Jd7dMPT{Wufb{>irTGmn?6mey8qv+>@nA) zHD1O=n-@L{-(Nk6v#xf}qnVBjJrz$nbRV@&-gnVs&%R5Qw<{_Zi-;;D{GFhaIQi<6 zyE6(VY<$P(T`2z7aRSptGp|hV$=ia;txp$}wT2W&vn^COkem|vaEi>C2JM3h^A(L1 zO>9E92fB$hbj05fD&$j=?cBJjZQ??6^+wG}ZZcMptt);Vl<2iszEt$qwD8mJ>c)1r zEhg;lH>g`FQDS&w)yFG>e>DqoZcT`|)%RlZwZtvje5;?&+M}woU3UI~m64k*?>jIr zWi<ca{b1kmw6xe`QXE^)-2OGeRd1rZ{c62yuV?$rdcJR7YL!CroetSQ9>G3`Bbd6{ z9IPF+HZA<7{p|X|)GJ}kq6tg4pO}3(BuYH}RC%4&n(fw|kJH>;xPF}Zs_eNgw1o2_ z(@k%M%D`#U{JbVR9a(g1x031M%##tVE7<n(Nk8=N&#!U$Z=E+~_QJA1+akC59Pl`} z?DYB03b#h{hx?A*y0uDRS<b;<*ODLnXsMqZo>3UJ#rK0?(#|ar`id7WsY*SYEIIku ze3RM_5pEfAi%dAvD;mX~8mL7neps}pH9&)fLF$YA=8#{f_O@TXS)=zM$Y)O1oV81% zS#&bPL@bPi{p^^|+g{7=$<*Tv3VPYB_+R1eAt77lrhm6xJFbKut33WD-rUDtc_H(s z$-FO~Uyt};s`&Hb#N6cu8*&tHWytVWaQt5r(sA)gvT_hhR*VH-b@+TX@fhAUQmdxa zGd}vrGLzvN_X8$rzSHL`c`|1$YB#Phvg|%Bu<rKTcF!sOMt9F|=snT4DTuQ&-K}rR zJdJ<mdKV2(P0g*U=(r;yygks@I?%<(Rbx4q<E)uc(FPOe2pw2!-}6k*s;Of;i-wx2 zk8#T3jp3Q~2DAU#%|3Gb24~uoTh=ZyA68h{J&yKVvU_XcnMGpRUqoiK|Jd>U)B7SL zRkO<$@{?Ds{M}-4qvNE^rizzW7Vod0@GeR8>g}_E>~pr21<2$VDn)6owq}dc*5bX~ zw{m&!Hs>QrkutIUJ1oBMIbJ>M{c`T^gb(o|mv0%g%F0wo`dr(&!tkQaDd{sOz88IR zd=~P2meIRRkIq|-?kjuQt~vkj3QG$<$m=pIF7)00=2c9~x71Hx%{_g0fF9@Dh11#> zKAf;^<INo(Ww)<A+_LoIm+xib6Fl@n1m`>m3^R>QnZOhtb$q?n(eM<7w$R&!ulw_4 zCvV+l9?!mbrvIV#g)>jQxwvk7`O;G9gQwOh=Bgd!x4aRv=TDVlhepxIlREBRaaC8( zr4{d3?t4^4bgQE*qfhx+*Hb?<HNG8NmK|a6$L;zwnFZf!w(1CWrqo{ukX>f>#>}Zz zli^Kgso)Ngh@9Z2%KcZ%3MU0HR&BJcxE18O%5+8l)Kemx-@bF0_eEGb?N_a!M|4!G z^VOLTvlsX-|Ge(1sADw4vdJvp5(U<{bT$<hym#sel-)A_|8>FM=xnV$wYdsad&3WO zDYNt0Tjd;Uk*F}Qc^+nX-g3jTLoSo7ELIg8?UI}NROR8(v|o+yQcZ3!C$|NhpOy8} zpx2-2;zF^2-*uwX_Akhteg6cH@Waa5wrf8N%IsPehhIvmVzFpok9{t9JLF;1&bL{1 z4UTNBg#}?YzAF#z@v7taduGFK!Hz3$5A{C2oxJ&GUJs}Hp;Qg-6NMaETkp;}YWa0X zvNTu9<Z#A^&l}q=a+jTriIvO!aq79Ujr&&1dk!lv{826Ae4z5?{=w@L!j_BlDckz# z=kou*wej`7f*A}A@9xYN+rCPDVwF$zysuwe9xPcrCA53lg{1RVN~sNhUrpY#?6BCE z3mY94U$;Fw??$hJzS=CgwV$54KfnCYZ|ApE{zUg{&hAr=<OgdBO;?*BIBn&u0vAm| zL4#kNPq$1+?wB0;tovBN2BnZH0rhWZ<k=oiQV)E}Sn^ib;jm=L%bm}?{+8ZW<5}R6 z&Qx`L=l$i4?5%lEZ>1gCaw_-!w6E%qBPHxUnwuA1Q<C@i=vHffWbK-Z&*KXBl&zl> z{N=5{X)%@wb5`7)eWlp#><ZO}E7ztA+*Xx(YAR#l8Lk_jur+X!NS%?V{k^kCa+g{w z>Q4-x{-85Lj#=CNvEmKYC*gI8?q3ZUUTGNrpQP3xcyaBKHG6np$w-+UHYziCm%@-f z_2H@~Nd<E|zL>SI(9WIZ5c)E@<J)2j1(x<tjt(9h*q7WcVyxCN4(>XY?^|0sf47PR zkEyoqKelgE*>dw=9dTH7u4WGRlhv1_eY!2JQg0VV+55%s^U7}Aye2PXLuH%F+E4yH z5xp;TxOrFZ%Y3n4`R4Vq^>+goy0o`*bWEMkvnZ9BCFOKNoyk$xZ#*3_kA6%0^e}dQ zKm3!kF<wJzf^UL%c*@ewqE${Sk8Wc6UAyQ_=6NlZW0zlB9h|-57OR)#9g&6n%brcN z5h-4?lD&F$pwTBQp7yXz^BYsOyUoHbhNu_MFcRPEeejK)_@r6+K0e=5oNR+GWzXf_ zSIFEw%Rkrh>MS9r&s<%hCDXZ=DXQcY@93Ylh<W}>XY&k|FQ+a#w`m`GTdAlWaVn$6 z_vaTMzbX3~pWd!AWET!KYoAfE=+eh0&nC}Veci6a-$x;IPMunBbIsp3MN>|HReJV@ z{lDk`?5XOencf;x)N6JKuU@OG>FCfl*?NI$=~|uNb$d0|zcVhL-H`QXT3GV7XI~<d z*?JOZZKz%F^`SzvZW@E$%lYD#9;#Q@{?qohKl%E6=H$fv#$7?CZ#=f}TglAa;<1E5 zW!~3oFRrVc5>>iZt2%l4t_9zZN}gy~8pppkt&3Gja?gccBZ1Ac-<NF_P3_(5#}%3O z@Z%M~aK>Lt&0M*)lb9x4R=r^~=j6nN>-Qy}u)aUZLGD<hh<ez;cy4{!0yk|Zv8S@l zPhxrUQ>W*;KNVf||LPgGu=SNQ%R3fsJbRn>)AoZ?uS?B%KlSzT9ZRhwC-Jr43zB?k z&v-D)ZReJbQ_Qhfk4?3_Vs!BOnVr|)90>jN{dv(NbIAkJ{_AJX>FoM>{aMtP=U=8j zt7e#E!q_(P=9XrQqOxw2z-;MIUv=sC+86J?Q|?!C$XW1iX3W{fTC>gUJOBEH9=xD? zedqTMk&ye!ksZux?~CqzUQnL?Us;KJS7@{Axkq1|`W?>wRNt`u>?6^0|IR*rz4C~c zkkmx`nN0TTTSRU+Jg7OfU{OfN#_N;j?Du=4e0TebJMpItHqX9zIOy6rewL2?LjRUp zzl(?zWZPz~C;4hc<^jH?c45aXjIC1?qp~*KUZuUV#et`)R^R^MWSI>qZ6D9?*`Lj} zou{Vv8kenXmf6(ld+WBci7)4iEqA%INmz5WY)UG_*}RgjXLDOl_5APbx#{_qzkH|0 zLjM0Hj7#KPR<%aPEo9zU6>TdO@48cU!_Ut69epyNdpIhNRGkoG-5gkPyi#u2bTO+g zwd)%FkNnSdoVq((bZ4dcKiv&GC5$#&*HznBZmSfvY@L6PBQNa!9N%1n7Xq(T7Oc8p z5!g1t?rUZ*!v*P$t<${EELH4YQYGHyy6ere!W&I7qMNKgYc8|V(NsKNesbT{?}6Tx z2bs+;%@(;3A6~%hG54`dq;&I~h+P+?|4r@Q|2KC_uU(J)R`GS$+MP^f`5)OOzAit% z@J7fUxedLQ|Bt+OFiv>;dgZ3wcH24b$SW5+`j|~SvwHEp(wTdH&-+@!GwVp0ql~}! z$%fjSzFK#C_k?tuZ<zCO{ezG<rlNVT!)Lh2|L09j3$K`{XPT?TzCyv%{p*8esb^C? zdEUqv$;j}<H6K~o;aw6^Yxu@kBq#dms<7Y>rq1cxsuNagYI;|{c=klM*L03cqL~R} z-0oJVQi*FVi{B((dYfhNm|MwmUhAvR-?Q~a{VQWN&#IWtlvPOm*E}~Vsp#;F4^M6- z?%01wGkY<Ycc*km($@@;fK0jORONc9qRx}oll(efOZMc(W)$pK+}w6BJSpU7QNBXM zsV=LRdpqixT2%fRmh{*!RW>!`JYMPil~F}_E7uO&844#OcP*KpU7_=S-|7uZRz#OG zN`7{=HM$)CJ0|p#M8_LRhO8bI_OuJ{?6z4*ySJ|18Rf{fZCi2Z%_bLj_wN~gQl7cI zL6OHk^-UBB5tZ7L6vuqdtMqAxx6X;mzVQCTPK6Fl$8*YUrWFR;zb(z$u37#6!mi#c zt+6*_=SQ>0wuVTbJYrO$9UsZSE%bZhzvibK<~{t;z4@cs(x{o$iE*6At`-$4s;kRZ z$SIVpp1bbi&Vvn8v!&n8os@m3K3>sWd-daTBhULA-}7wy8n?Lms7dnI6|sNBtlkK4 z>7`xw^v;prH2tsK49~hy-=xz<Hw&^<e@tH~vG>}V*$oT%X3AZV)9964@U%U1<w>P| zJw*;p>CslR^lk;2PH++T`MleH#u;C;nDZ`obF9`}2{9A<;@>+jXYb_Vmy_dP)n5)U zdX?8T#aQ*Fxb8*8h3nj2RIbg*mkXEN{rtkJxxty+(@(zN)B8y7Pfg~TdVbl=+*1;h zg4VBJbc6Sa*5SBPhYj;p*%vD(-!@j0@zmBnF?F-9#ixmRZY}YC8?>CNU4=9Y^Nzoe z*|4j@DWX9nJXF`nWRa`Krf?C1%d8u^mwo!`Tg=UVbMLatE4OV+V+nD+Tc@>v<CXu# z$BnCZtDC%eek)mDY3;>n5%b;oUp61(pX<D&bh7-(RVm9IPXu?Z`109&XI^*@@3Mc4 z6IDBIL_W`b{Fvvly@1!6?|csD3zixu?%cK3IhaLU^G_ga?zw9Z+B1YUdLP|z_(b}* z@0nA7xt`TFJUxe}GjQQ5-|Y!U#6%uEzEG&TfprJ>%IL8E?<aZRZ<`x1IW+j<&V;jt zew#O{_<T>AS+CONDYnpX^H-;TNA4Fa-zFuO@%8|Rsn7|Nmhd~%lqXCM@9)T5``Y#b z$5EG>Dg%2aC64N+C48sMlqaw3=IGKY>kPZS@K?>@>z?g?CSHoy+V-weYg!&-QCe!9 zmhIVh&PLYcu_EKNycw^ve|>r-V>RQv=<}fYC&DAbL?))jeOx=&W?A!6%Zk!ih3k># zMGJGEexKOy(-qMj^~NMqaqUb8&xIu|MYpT2NJ}61Tg<}S$GuQaT;KMDvxA?ddrE14 z_uHQzuDxon*lKrY=jv>k*cq!YY%l(6z47K(y)S?CdO!ZUUgvS}QQ%RYh-`aFvCQLF zc9@>s@N43Or?=lEEa~H7@{#7vH=Xsi;F-vox%F&Y=5hD=Yb~i_x3l`@cH$o2oT&c+ zU5a6K9|EqZ>ucQ*mtJ(()IYCojcoe1$hSL>vWFZg@!V(Fc0lx($T@qR=erbFto-8f zU1XB3{Q=d4gFQ8h>HGIP?pkom=-ba5>8l^NJda(IQ}tNVVBYO+`E>0-VUF+{MjTnG zyTqnu>gAc-y0Xe~ZurCNab3MPq}j}sZhbtw%=Y@EMfS2A9&%{xn;-nI;K;rYk)H)# zy;zp{Gbv@>HVHreoO3z*IUOrLu^+ix{7@q(c2m0axdPJ_=~WSvz8w_bC8E69w8v=I z=^HMY6P#~JdD?!cyYtRu$_?u+>(n&Nn!Z2&wv;KU<DuGav*Ia<Cxhy^E$&RU{{H6b z68DB?m!Nj1={q=r7V_#PZF}CNa**F;-=ZHi1(*Ck|H*Cb(et^uLLu(bswK~NYu}L$ zd&bM+86)iGwfAX5q-|@ncIeEXCNIM0zo<-M+U!#!+4uNl>XswA?o$s*FlLyWEm1kN zPW1-g>%6IIdv%syUM;%RQY^Xe{*Oqp{7Us*X8U3sw1ino*%vz3T;q!RGxP2CS4aN5 zkPW-6_443+|A&GKxes%)i)Jw&DHL;vDb?we`?&k>?wxmExBr)WxXMI&R@8-A;Sc{> zPTuxBv%WY?A--+}8^`^y+kvxMVixcni=DB{y`iR$K`3Bhw%V@d!=X%*C&W%rc3<wW zZNq9OO+_2$uoX-8s7zJ+^w8t_EFqW0agjnR?ryRaKl^P>Ny3Q<6F;7l)Z)8(pX24H zK(>RzmRW1OSB0&~kgD98QFeG^>c81M*W|t~=|1&K?qkGPZfT3k7cRLueU_e&_QlnO zoPDs&yk>@!rQQ8K9OjRcZGTQ`cTn~9^Eql<!O+C?yW#Xgqw?naxeVz$OqUf0PdvG= z@Abt@zq-ruc~x#9jobJZ3HJV<opZixpK(oCPF~&1DdjrSZxlD><y=wclB(G)q}akZ zBlg>J3Fjp%ZvR!(5|cDi_bJa4d^52xV)Khh#t%exvYnO5^VgD|^WyD-gA<~oCoWuf z!Hu;=E_s76>sc-%eX-(Q2M!+pw9cL1<@CxG7ritdO$cI{_vt|A%Z<-kSHDQVzCR&b z^0&D3c^Qw>TO$tqd${Ht?|R<QB=0SG$G^pM%AWbT@we=hgTE6`_x=8}B+yIKUaawu z%b!UHr`klk_B;Kqr~K%)6|9$YE^gW(xK(%3f2Sk-8dZ~RLVGkyGVZ^Lyg9k@REF@b z(0>;be7;Wf|MogXTj#vnv62+t`MDcEKDz(GUA}T%ZAfaJ=;8}E8x~)1ZCktT<g?B9 zYaZ%bKWq<+5nt-_=^xYQX~|oz_(a^De1F%Wb?;|C-e};r=KGPo9Sit=vd>&<kn^55 zt9hZ-V|_V8&DDk9jx3(lkTLa+)Lp#^S39MbiyexIlKrwuhe2tZ@h6pcQ3?Ov|4x0* zaMB}LHo(OpjE^I^{`mYa;-95#AE>Y}ZdR&qUwr9{tPA^x_QYBDfBjsVzx&5(m)jCi zi<?(%Im6!lbJDl7bI$)a>G!ex!*)jZcg3OG7xmx0x*}E;a<H~xqG+3T*6#BLRv}vz z!k^AR+Ef{F!ueJ}?vY;yXU?tm;#}6PSY*Hbrl6IpN6_)T^Q(77uv^cTyeq2tzg_+{ zH|t5s=5C$ntk^kRvo?0|vbhzS|2ld?{%-P_*}<&K`3<8xW+(5G%DZ<b+GEnTD&7k- ze*fPrvZ?N#GWX@W#8<*qzSs8JepFa;FY#fF_l)m~Ve*cWg}W-H7kc{gu90z{JmYuU z?M<mY7e3vqU$`rO;>s-7gj1JR3I_a?;4E_cHh0U{)UWecQty9R68!%{ut(vuqWE3U zr`}V2_$@rTA~C|N-1V93w04Knmn6;4-dz)3?6&I7)Z;=YKDPL@btIlvvOJgQY|6F& zK|UMTl&U|BlXjj~d9WukH+xt5t)1F7&nAAoe{*$V=Eftc>zMV|C(eDZnvgAd?)F*D z)t&F3R4g@EzpLi?L@p+owGpbfW(BR7EGgW4+)!9Z`AOxjqZbq8((MWs>`#uDI$Cz? z?m;n4P2L~VPMGrcF5kz${pqui&!OwGa%J~~<Sxm&7{aNbc4Nkla8LW4S7v@PC|_~^ z#oxyf+;NO8<#qp!_o@n<VXXf-x1>4V?1e;h74OC0zmC7Y{^~T7!7_){S3~%DA2U1M zboG&4RwLUTw^_T^;L3UaKc<z;Z;x?kYpnkk>-Kqm;I@w`^Y?dsby@VcNbupk537W1 zR(Y%`?I`^0cKzR$;2*vUp6rXaZPY({+^(?X(1!m!U+vd?VR_=TqeVzF>PDcQsLkPy z)VQ8~^$hn4l_WK;81Go4y8NtC(4$KmEsIXa+<hMtY~g*L`*EngwQkMK)mp7ewO5_% zoXxJ;W*zdmbf9!P57%oC_kI8GtlEEiy}$BT^?xQ;|A`*|;e2#L#{aNRfwQ~r8)&Um zntrirUAuvY<7?&*iJ_U*thd)T8MpK}E5C7WT70>-|47HZ4gtQO`@Ll^Hf$2%kbiZ> zw)IfY`c>Kwio9$!pB=H+;7Q9$z4C3P-t)6fTb}qvC)J(X8}L)tyQl4{`Wh1^%P*T& zAD{nY`Gc3bH;a9|!nVgG`&1-8^slj5{B!9Pu7JruHA><g;`qBBpFMImuAet7zAR$% zbKwIubrULYW={GOCx6qP>krdTu8H+eJiqMRXvdKd@+x4;*5vr(S0t_8G|RPYnI@iK zru$~`zFQZiz7?L$IWjYA;%dz&LN4{|wjXL>w%w&Fdy#L!zD?bSBt!CAmWEt(UCcGl zOwZAr^T*Bi1p>V%&U2I<pY&2jQ)AP&ZCb{4#}zLyZm|Eh!|NEQ#N<`4(m5hRza04y zQm0_HwnjB2VpF#sV`zY#1+%zmqx0q{=I<XS2JUzxt=y_ya%KjXY47gYjX_E)bdIVA zxfh!rXHHqaw8(ZJCr8TGcgfpKG7da?wqE8*v*g4Hv)ni%$`3mR-~Bzy#LWJq&7ngw zTMj-Dmo0kVmsqkt==`d~|1*~_xRk_LzG=F{QnM-E*PD+g*94sj+j{tz&#whu)}_L? zUUBL(s~#_q>R++<igWVU2RBpue;e<4R<mkxTarQP$M1T)`z!QU#LvrYxw_!Z&iZ%K zb?26)m8_}MYLdNG=<n0{Ie_JbOSF>ADVJI$-AV18de^vWxKcaTp7ejecx^`8DZAq7 zR>oOjGyk>Ahk2i>x?(C&b2sdE!YVg|rzf@?w9AnA@U*D&P2#edKR#M`{;~a8Cm)e1 z^tZ!kU9LEPP2xpXt=Ssox3|AqIxFw|7Ri&J7tZRoyTmYu@ms*fL&{!PkNdhWkk=@g ztLq%bFiUcCr_mL;qaBU=HmciwUez__R{e{_wjJ^N<n5!^y}p0Jso{I@>f;*{e#CaY z>upIZF}XBv+ow+%3m-4;Vc*u>>@C2yo9RRPzI#*LXaAKGpV8jV^1*t;+nlb8QZifA z8<{-+{^mBacjLSHt^2e3OQ%;0O&^;&Y~Ri_(a^*5^7iV^J?)SFynNF8$$;rzOGJ`o z!Q?wTf~L7BG56%V81tV!!Lobju96?pJuh@J7A%x8mhk#zKdGX|{9elA-$G{=6fwW% zcy#HVF|YD>zDYZTXO+Hf*~HadyZF}qOu46BM>^gA+?)F~nZ>JEJv?*%vZn<nCr9%y zy1C5IdF~d!Z>J^&Wxnt^yZvNA;*F3$zUP-1-8GzKW+=oYT9dw1+1x;*BW>G_#~wjy z>^4!4YGgOuzwa&|sPl>GLe$svx36?I?3rae<KVjVSGF<-s`Z^kPhZt)cU_UI)5*;- zVZ(!z?KA#dU2-z4)n)q&$>?Ox{67q9H5j7i>2hU#UYEa~Lp7=8z<kB2vr<*<UTu5f zx3OrU>{)e=s()#^?}EfrolGY@m>ni|Zu-p38mnddbKmNB{iqjYcZpc4{`#Mh{=Tn` z28J7ocX6DSO_nS*u-@E0EpMaBj{l;2IA0`4#y;Uc|MbU}*pKBm_sx4<^ix!}<X4Qu zt>sttCi)tS7o0OKe6OP5^7)UzwJGWEb^d(0Gws*K-fy3BCL~vgB;|cqKK;-+?dajG zrsaDcs{UnUbLu?);jTygze{GBlVl%0^Z%h*&H2(td4Wh_-oG=JcQ)&P)c^Bx!s6C9 z5kK@Dwbl#0GMyeM*qb}6zWSEl`gvYmVxGU5Q&fINUfdDBU2z)g!Q1nras+cGyx(}@ z@ikqpQvPdBUo2}5hUD4lq}adI_4QpQ66nEoIz_%BWc8t1&EK)Ln)wrc*FX9x?l05% zr{{m~4(TQ<#&<ijUc8B$m}+=z$=2LW%eSN!uE<zpDA3guyl1=nTH`ZmU4M1fUF1D+ z<e<G#t$FOV2TPCF&5budrzsyStm;_1y7IzGxwZqRvp-}nyIFH}#`fLHrE>#buX)*3 z-^8l6Pk7xt=?r--?{igucqHS#&#k=}`QoqH>wG8uo}+?_$??`r?EL1z8+Qu#o_du( ziNnmY`NO{ZGgoan*n414@}@kCM<1E&_O^OR?mhpz!LY_9<`UocfIR`%?@Qe<y1Mk5 z_skVfKCAA(_)uW2YhS48jKf84_dV}r@OxDsdGf*{!BKaa@3xGL(gr6V#l2o@_e>Y- zUCLa1_G(4etC@Fq9F>xota+7X*`*|nS98lUE($1J`N#92tz0%E=l{_!Y}+TEdwWQ` z<I{2BCI6asJ&aQLyxM=tue!aOztWHFIDO}w@B8`)&PkIm2fwn<nEt3>)-(fNjn@Tp zFO<Ibi{hN58{_=VEF#TDCGTPLkyR%oO)uWiyr!faa`tp}yArdJ{L!l$4+<$>>#yh+ z4w<`E;4<foyOST^Shf19=K-ePrQx@C?Caj<o6EFmW!@r@r#lRob$7CSROd<TzjrCt z_2Q{%QYFiq?v~6kU(V0^#LVhw$fc<tGeT#-_FQ?UebbACvd25tf7_DlCz!E&hq=_P z{S_t~IuE!0KitV|xg#;A+30|j?)m+nzNoF>uR9iY;NQ*bdk$FMTI{!^Os+`y>{8V% ztGh)_+52mYd2+ZH=B}-CS$X^mYt!G<>BnYXD%jMv@U*q)-CsUdy&_Bs+MiBXY;ae+ zSg%=A!|la%{Mf=hCpXUDvgTU@>$iE+V_#qSb@fC2k^lPVgoT#u{~2@l=OweCs~Lww z3SYCEmT+F}4=7#raL#+1H;3MBQvJTGct(l9!}|Xs`L}Pr^)Reb{(T`SXoB<Jw3)wo zmngV>jH=52#Mt(Dt>M$+D-||R{HoowUwg3_s7+9;V`*^v$p6iz>z%r4#Tng7t6dDq z%Wi%QI3Uno=;CB}d;h=FJ;Ci$n|`>j@?f6#>FSw<tv1%?Gj16l=?Ji4mG~x6`TfK3 z3)j~*+T2dLSNXB4`HPTPvHm*l-@bBBw%3>MU9#huoYr#(tIE%^yt_mDZX|3K`yBGE zcd?~nyTqf_i*}sm+aGUme?hofOVaQ2X%a6!2u;;=U(Xpj-$846Qrcbtq3+;DN7k>O zo;fNM8Lqrle)#*T7q_-FzBqTiTxS<Y=YcC%KXX52DG%yU<GcBfuc;_N+5g6=`)^G6 zEhWze{{LWgys9KOdTF(UT~N^PuK8g{XFmGuU&}c2`PzcNOPSA3-1;dus`LG$9hR%N z8g24g9Q<_6U*3P~PhD}4Qm%S)<iVoggN$b<3M(#Mej&^M#k03RQrp}1S<94O?!R_I zDC2^dsnNp~?hEEV{u1fRkn?=Es6@n96|)wWo(PU&n+S1Hxu5xu9^Z51U@!Ty?i}Yz zwZ0Exds<Eu-q$)-k@Z#4vEYqg>%O<=qR+25@#3c)``<H%;?|#<IoB>=j^?5B=HBi6 zGSd#dDyus!_460!DO-Qlug!(h+Et#@5?2T9PoA}AeVEPE1f_K=e@XD3)!{poU#e0S z`EAv+E|K4^|8~!u93*(3BdVnIr8!G=Si#$^o*ysoVb#&Oe67A$^6{oje$m!%TXJ@; zt@1a`S=DEAdy#jb``q$C%Qreq1tFq&s}ydl2xb<43Kl*gf4E!coAT-BH+C*EReRkK z5g6m2{XEj{-d;vQrSlhs-<-3XBIL6=NQqPAR@5D5rq!Q3_L+V&6`3)S@A}?gjW4n5 z{p2UC-CLq&U%XQCZFcbHtlRqcdlv1zV5cO1``{LR-{<CEXJ0$3H%V*rgl8{j?$<kL z_S>_lm*M=|($)L59+WN)VKct=HYQ4V4gbcdEgMfYt&1zy{^{%BX%g`-^OfY?)i&O@ zyC$iA7ggEZxhZ)&+xMPNVl2UR3284?WVO6JUmM)e)?1Kw#jMS^u0Ufy*A%l=7nX&d zxM*Tu^1qiY{vUTi!Q@r?$9LrRHX2SX|L15Il*|>XSGRipDv`}U<}z$J<#ztGPeP&p z;&(4kD(Xu9=aCnGx5IW~M9%9+Hhp`&=Z0U{@z!jOz`uO`&mE#IZCw9OB?w(p^WJ)W z#mZMl=WX)4c_`9rp4E|ajfr}qY7_2#{Wd?Cq3_!Do3UpPunVp7Re8U`LXH3R%)%>* z2GL8SyWSq@i*%n{Hzm^K;K7gg?*CG}X=FHS$&{J*kEGrBUngiM|73s9AL~D#WOr;9 zdH&i;uHWzR`>@Fu@)|hVtFG+YCEc`jKb!xKDZvRJv>Icd?0@LX!O~V35VV_DVP$*A z#U{hdV{U63wL2A`_{*$kyt_-lw5*_^=kgn=KkB8foqv{<lrmXQEmq3V72Px|SFE&r zWxxxK2Y2}&DQ6h%Q+xM4>bsxYob9b5F3fHfr^J+H|Gb;5bp3Uk#Q*;n&K+mwdg&~9 zy6gI}pA3};9OKR}lsJ`oGyI<4Q>Q(=tnUjReF&H?E%0{r1$obp>wB+lciZWIpfkMW zyi-Zu`giio(Fw^9|5iLoSnU^Z+-ObUtS|GTSFF!Dx%EYU_SprF&Yi4+ox5#bPTbis zx9*sp{fCFze6gG7wdU4x&!}9<!Cl$YykEI#L-v6iu1{7xaGf}N(hCo>Y(bCPb~!pf ztbh34y}!q6qUu`iWLv$Dlf{28ukLem6;M=~V6b@Od0QDtp{Fmebt{?LMa%Ts<cltq z+q-Ym)X%Z8x@E_XaGQTU5#sL8<q;6*%3J#JP5O-$tA7VQnD8>R>w|fj?)+5Y3!PJB z0=M&O>#oiSfBJogZC62#Z&hEQT|*tu$A3NMcb;Vh7Ks|ko3dS!Rrs>@wx@9NWqt+| z(}bY714krGVxt%8@%>~!x9ZM%`;sq7%xTq`CDZifq^2+2w7W0wNvn^;<OJ#8rui0| z>hG+LTVZqX<BFGjh7$~Z%)1WM&)|CWz#z=y^ya6rcK-G+?ya@2T0MKUr`fapHcqAg zlH$9RB;Wr3rxpAttKhfph2WV+*DMutuCaYvrpD>gZ1+Cu((Ss(iZ>iIj&O79y3P^* z`DNnQEjNmP#fm=uJWXmJ@5Eg9dCv=0ToLKsKYy{E_O&B7t-qws7gSsPwIleyO?hz| z^DjS!%Lc#Jt&r9J#;*C`$Ro{iz8LqDrx)xkz8fZR-@=$ra%<#_ypPk8`|@QMZ=d%t zqk+rtv9jfSvr1j9H4Bz2vbwHkT)Cz7(yFU6AEH!CrvJ-&Qtm!q%i(NPho2r(Mp3%5 zpVE`dHq4P#i?_{ww|~E9=d^!I9_1aLAk{fx<KsyR1-H(!9kz|q&rSZGnGmd=y!7_R z7h+uLJ0cWWw|<xVTUM*HO>Xs3;WPLCq|TfyXBj2_N^|$t?>36d`3&x#+0`HR@ZJ`6 zrTWx$#ciARPV78hu;Wh6-kj!85r;Lp5&~6%9^7ANY+Um_a5>*D4@<}7bxRJjXfIGY zx<<3#xn|XF{Xn*@(_J6wT%5t1>u`ME%IBwN*KFAD#(zg|uj1WWuchk++g|TvJhVxo zUUFZc+mqF2Qh#c3XiK_uT9nn!o8!{Cah+PFc}07(&hAIcKP;<Vs4a19{)Co%(REXl zF8_Hww|`Yb`q}al|8IdA=QgkYcg;`7_f+l)yT$LD?sey@c0KgjtF=#nyXr~R$>u*U z22)P5CB?2auKHflIyZ2>zwqt0d!qV1lDa20?7HzF%^}>OM|q1e$L7bf8<rh7v$DTV zBkPyKp{~;6r#8Kz!CVj5xXAtPT%I60Wv|~AhSmMzQ3t<B9g_c7xJobhP>0NMt_;3i z?k9t5ow_ccO8GUlXQsFvukyURxpl4wYP9EAUq8bcuQzM+8SapN9j~83JM-7S%u^D1 zU>v<BY|A^jdy%=u=8R^WFMM0PCv2^6SDR~9t<lsEYC7ln=dL$$cs+Sv%GMy+w~gzS z4|n^EJV-si$m3I8>21EviLaRtA6w!2?_TwOq1)!abe1iAp%J~AE%1fk9i0H9dC|Ap z*U2$mF3~!%S4yHp_K2mZs-8yJh0>XAOS@EqjgA|v4L#PmJ|^MrhQITY9-lg|zU`95 zTBp`(ZNG<4l~(LiUZR+=L{>GqH`}-G@8%O5o_OV-(qnnhvD@NJXy`5Ds=8Jy^(B|p zT$gd&n(KRixys`}@ht&S5^7HiojBxnM_Mmu^4u;xZS|LXhkxJt=_9*oW`IiEu4TKW z10Ox>IA!yC{S;G4m+B*KUxc0(dDbinpMPdylxt-7hJQCq{-y~{*=%?8L}#|o<BjV} z?Iy{8;cV66yWTFso~qN$de>-|!kdeEYZGo5oBHWXZn*#JP1dKg8aotM9cRhv*la6s zSM7BN!<nE*-ddhhs@!Ioe7qNOeV6g&35svOFWNRM`bx9h>J@iiJlpnveU^R@>vH}1 zig8zMXP$Ote*SLvLaq6w`T0dhFB|s1-B+KH6gXvOV8)Ty_%}ODY#KQ8t$G&MU6Bbk zU#AfDtk~JsqN;^$+VsN{YAT+wJz%^LA}0Aq`E|mUw<2G)7Tv#Ky7p4)^~3S^PVzs= zy{W2pe&2*GVk>N4b3A!<w=Uy-Xi%kS&{@H>>}S!|66@BcE!&bTmQjAoU$3lt)s-*W z`KR(i7O*@o77X9fGig#YcaEW!=CS;SZ%Y&ZY-BjLeaE8DOJ&<KTlm)ekT+VuWYAgX zKQp%f>7U^C|A!ZTHj*#pd!DuTvCOK?zq_~UJN{<8v-DD}*j@FYhzTofC+zHCcp7e^ z&$;uXWooHZg4ab2!#73Cy|~*otgOAVmwc%{+_>=Zzok28PciG7w&mgui`o}PbqN)@ z&;Q(>b-w*U?UW5&D_`84?5Ejytkjnw%)5V2-X^;Ti(6NIy&ZHs{LS@3i{jk}_vcKm z58t$@ZeE<AM-(@6%sV&TUOs8bm6Hy5aa6CZSn$+-(KOvR))sOdZ?6enzjipmXHoTp z-YwGg){LwCYMcW));&ym^zrzKvQz9o{LY@=^p^GHLHVL3D~~+SeKWOd_5U}ywK`9; zP2YT3I4d_iLVESL`!9MYop;(AckQzL{vYgV6Dopb*R&|jT+6U{lK#)V(pKMB2fVU8 z*745pri-HEi$r&pqf?ttIZfU3bKc<}d(&KflyeT4G!+YMTRcr^{?lc*H(tNFaqq2N zr|<OEu_(_tEq!dhz~i%5mq<Hbx|t?$FP_Owdb<JNis-4Wm0C|91^zf`88MfyDVvY? zav;MDqg`7z+)SO=I#YgE-q%UfqpfeY-+1rD?kpw6QE+>?nZYj0rfUVc_a7_~TcW#` zxBp*%Wse7k0EhBMMmw_wEDB~lEC&wj@7Q`_=8y7STej?BJyiWZUQZ=_#^lN28F#NL zM=bdH^qqlY_=4<XtcS10iM_Ac=%If~aNVps+pfhdk2~Si#rQDE)=nd><F^CPq&Vge z3wLeG%b(A_a?1-{PEON?zltfcEDtx{6z#oqYO~k$<rDee1x-0uq<hy}aP^WSPpZQn zb}cfMuht7b)uZWl|Bm&C%sA$fx!rd@pKS9#H=!eHb9-+858VqsdE$xo9DHZ>&9t9r zSa)9AkW#XRVc*)VYx_l?96Z8sS;)>oDq{cE+qxTGh}3OSSoHK1`(mTdJWKccWV*7< z%{Z65Hz({FXUQ!M$sgg9S4>*MUS2Q0AjYNeaM0T)HVRC~ttEH0)^O*yOT<)42uT(? zEDxQlJ6-J3H?ht-uh|k0B>F5)1b^P086Wb1-@SFy=jTgK9n}~A`AO2--`jcDmulC9 z^ozgWRW)X3F;-kT_vDZKgwRzJW_xA_{P*4!KWX*F%b68iUHO3zY__wzo%uBL+xAsn zHqj|hirkike(q>-U17WIJC}mG+mu^%>-PoMtULPlL{)^<ql@0_r$=&&o@aBvaZc*) zcCmJjWgEV7?<pwU5gQi7<ukP|Wlzwo1%{!^xV?T{`?mhXsjcG5JI{Da=H8nb%6_C= zzDwtnfX(qoxh%eC0$mqwV`ZCqr0$q=rvLXFr(<Lv)cuZJWa;g=b$43uDUmDup&Lzq zds;RId!1pnb8boRFgVDkqLmf?|9k8n2I=>&XPR6$pWf16-IP*zo?E%(s*lOso7<Av z=HKNy_;z<&O9B6tlzUfpZ&zQgzwoom;THLMOZ4yOyjy#)t>yB*8&TngTXpvAN<Z#% z!-xIOZeG=OJJ$)Wl2Bb~(Z0%Uir=h#yvO}`7d!Q6eY7)s^IbKkMQU2GoLQrJ(RaCD zT=UjhEEW3e_V(bflAW!~H)XD3*tkXKhOPNE;W$G_*Au<LC$<%wzuIhgVPg!}>B;9} zXUvNhbGh+o?)%zhv$D=dC|^IfJutQUrzO{HfxAcU^Dit*dGzpERO<Phv^BHZH#IDo zv#QW-(&il5KAxo!Y75UDtdLro(I6TURC4c7%dUV!zjGH)Nd6x$zuDmYLN@!L89IBS zdfm4OUfDNC;rzEB$;}ym7UwZK^_~sr%E@f%=9?+=Ia=K6p2?~tqf<8}Ppphf*|9D7 zG;_|+)?1bGKHpiyxc+J+D12CWq-j<7?PJPIgZ<~OvUxRq^Uifo&a5~U{@hQ|dX0Xg z-a4oBiTjNWZ4TYs#vNGr)%N*g=^g8JyQUso`*!7}o)b^+FomSpsYUt6oOPeL_Thr` zOx9!;nYZt!wY>^;>iWdL!@Bbizx=DzfQlOzI5f6u_h`zP>zlj^^)nT*Uj4A)=EI=# zM<X^jx~@95yT^h{D(I7be_4Fr!4=O-w|)~3oAzuji&ofQ1+z&>GtS)n@_Ku1tYhcJ zdHdw;*DXA<U3k$mqj!40wAG~o;vXzt@>F(V(kCeowu3kOFQ3?aW8K%LKGt<LN^OVT zZnaC;x-V+2ysWpHFW1K`__CRInT(aMTG^S)aUTn$gUX{nh^+{b(X>x?iWm8CH-77b zD`#K-FX@SY@Mp69toYCmiK~UxzfDW-`qTK~1J9h7AM-1Bub1-(F=EZiNS(XL%AhfK z0ZYT3wqKh)TN*0mZa%t~_Ohie^x&2su7xu_B0~=F`-seb>d5-_e{$B}U7Ru{r|-_H zXMEPC&~tTHV^gZk-3!HxmPZ2jPHUUWxLS4L9w(h-xexoNTb#^`fA{-Ab^MKc+oHd1 z__0?sD1D_$TBN(e&6i7BpSNEs&#GsQoyIghUz|BPYlrs5&Ud*jHPe5vZoZHeyexg| znxE5uwcK-3$dT-wBiqwE|Iuc-yUhL#4K~~NA6ML_;-;9z{>}4HszV#o`nT5`SENR1 zW?kFFAsbOrd3>K~+QJ0Z4O#q8lrwb_?F!!LS!r;cd6?JYX?6X%@U+;y3$8y5GkUwQ zFjS!R9{-JOlZ#>Zgl6vbVxIAK;yo`VqyEDex7+!!y_qnRQO<Wh|2$6TBmcZxPG5>y zTm8>;<&VkpeVT7|pDffB{;l2eqOd3<hsn+3NQF@m``-}hon^-t^CdCeII-?q!*1Id z!mE1bFlnkDUpdEhZ-P-wzWff;DYYDOPalZ+OFz0iq13lDXQ?vV#b(_TS?m1YAI!OZ zBsx20jv&{@lKeZ9R-IXrn9;RAqHM$EK!;@U>;F$pXkJq>;cxJdoiqL<*J>x+?GpI( zO7hgY<da%&H$;7#v~yoXuIE8_?p>x&^A{|>9=b}Hce>3(@gI7+VrOFm*GA3{a~Bl0 z7crR}!Fq-_`hxMU#aEM8zN|7`$+kdDth885_~DJIStiH#SM77RuPD-D<2fbpKkmVM zz8|5lF0*Q!xSLdS>~g{;#c<JsM<*^f3;cgXW2yfnEsLo2nu#_CEUp|;ytAOd=gZ2B zrnQVKlzAr2x$v=~x_-foqqn*K&$2pqTZKh>6>rXbr4#0Q>)SsGzF}nD<=LfrOn;|u z>Q<R|Z6|c2Wo-_GMG5Ln$oUl{vA4CTT4Lt;`#ZNRNozc|@bVHahnoK7i{@>1<6Pu7 z=eJPSL~oVrYJa!xIUKih{+;B`ts!eFH%MLIc}?=D+7d&_6}8v?w#aTzbU!oe>+v&F z7k4>*yu>aaHYtSdSCuD2+WJ!yXSMw?`f||0d5_S^Y_Bcv9C+GWvO4;EmakV0TdWsq zVwkh6s;KH|z(U7X%f;@s=4-EQOTDv@!>RbO(afX;w{4O{Z`re*su8=Vo6W&%P$s%N zr&mz?Wq{zem9obYfB%a6o0fgynQP#V#Rm@UImk0L$E5wb_?ME0p_S*O+x~7&n7_tN z{FqWp`vliD8MclErIQXM_)k%2m7mblZ*_gnj*qpnZW+E3wq84mUM-1hxpQNwy>%vE z!kI4<9^bZ|vS!21ug-r{7d3OFD4%+%w?Dve(V}<*?qzM?i}fD7`%^u)S^VXT*%nV< zPq<ut<&X3^X3jpNPk#P?(hkJ-hAm~BG=J0UrnTjo5=E;IUD@G#(nRWEbiju%lQOIe zW>1*Nc=(*_Cx>S@cR$N|n!6(R!-}gar`9LWs#b}+rYL#5LQ#71ro$?$wXXil6-{AW z-12hmw#X?{!`ZG#a5@`2Xwg$}IsflrR+sg&-Fs%;Pj6+o#PrQ=!#ms83nnz(U$%^M zzhr(ahutT>b@M-){M7z_{C!7G3P+dk#C);9ThZN%D@zu3+b(i?cWa59{GRo)sopj1 za@yT5E6!_*cHdn6%;eG>@s?zj>q1p4*BNp@W<9&YN4-SsO>DU<uU*N_$oF2ZEkV0q zKWI$OVMx5%?dy~EY@LkV^S*93v5$K;^lemp{<5q8{KvlIrhz4U7bdE?Oo;#H+I^bc zLGNUlnB>`t8I@1X1SLBr=g;2#s{6$GZTF?PdZ!=#%~1W^<=;%xzvf#v6gC}XU-56+ z#kjIyUDgX%UubbZTly-XC-hz5zOeGkZ)4a4{~TOe<`hz>&03Y`Vg9=R+~pO^ULE%5 zDtO#n@s2Oiw)M?Mr&AX;y;L~l-Mm(<<3Z2a-a;SokA9Z<Dt76LjFFLg6D%rYrCJuw zxU+RrjUxjGOUs#rj}@2iarSrW`EmN+Ve>rJm-a%;i(`pl<o<rc#drRR@EzLeyC#6= z=(DE0yX*6RsxfHl-g{QKBk9@w6&rZZ97;9cdN90Y%7b#JO-(ub6}+D;2><86=Toz7 zj<)UM-4|xRDGd>m^i~b|Tj`r@A744SPceVtxjgkUr^uu?cI;)7#ge!__v&(g@%Itc zy{eoMrO&&N`|R;<-)oE>7a!CyEPbFasHz;H<e{@@$xROZ_1a|~e4Po`>h8<R#;37- z-hL}+wMZ!A^sXwi*V_b>BaXA|NIg(E^J2x+ewL3D8NS!=yQ#L4_wg&H5cv=OhKZZ{ z)OcNd^eoc#>?M~*T;jIZ)BOGGJd>|q(^Dn^o1fJN6OVd6x~=MStxM(H--X+zy?HA- zOLMzzN??wA!(o|L@64<VbDS%6#a`VE_Diz3<+4sTB`QX_ceB!h_&G;@$vu7<d~Fj~ zw{e^7e1}^MackVfxpd|)Pt57z|Ev?i{nAZx;eUSxue6Fe%DKUpIod;ww0&IuJyNMC z(>(ln%cd!wGY`zmjTQa8b6Wm~>zy{s9{s#5VIdHcR`MWLq;=z&l51a_{ztGLmgrHD zO}W6UGVf@Y##hhXE13+Xaz3?8S~|Bpa-Q79>mPTk=O#Lu70J)pIB(??=gwB0a}|+$ z<UY=8kqml2-%X;S$!prW3Def}x#S8*OP@aYZ^iQjzj;Nut~NHJVP0Jqs&h48wU^qe zAB`-0ZCm7gzll}U?)d66ojJd>BUb-!;$jVJyvbu`tZ+{AbXcMH6U#%BGn!<d%cl3= z@f0(-5ae9tY@)wZDBinj&oa?BVXri5&-~U8$X~YcgSg1eu#GW0vQJIeuws(hyF#I( z#$tIVzW6S?zbGnp`KiNmb_Lv>`L~Qg%b?Tl5A(U-cMOa?_OEMVt9UkLx7&m{oJyh& zCdulPuDkN{ACmW&c1*l))(1)1Hs!6GI5tV`ap!93ku>$qIA<8PzHJNFr$CbzfBX$M za5g>7i8eA(T7B{lW9L)12k(yU`@1K#?OcuMwyATZ|4clvOVIJv9))h@`%|a7+;Wt? zp=x`vF80;}#V2~Y$JI`+_|@-JE%KW6=hNjUmA+my%@X>O_O5{?H-0w%VfNcA=g(QQ z@^(#8eekk<COf-l@2m6q^7q@qPbSmT%nK}gIvcrT{>LnsaC*XmyHm5ietInRBueb{ z|GWcUuYW1C?s<IZ*Nyl4q)go{x9?GYu=Qs*`)%&MO1EzI>^M;N!*+X<`OFzQM(>hW z*k9vYnE9s5Niy@z%7<<X8{S#IYucF~Gq>h${o4QLlA9UcM?QDoZ<BJjSEYO6_B)>) zy#zDNTllUP`ov^yK3Mg|bNMg-a;uECmbTrWj(pdy7r6iNljP6yf)byEgC{*U%lutc z5;<pU$_JHA`WFqIN~YO}yI*8m{nqr@ZSS4E)^ZQ7eYAfzx%Q)Q-miP%j~uG=@BEwL z>Ay#Nf)W4QKl7wmgS#_pe_8*YWm4ui>$HOBbtB*6>&Zd;Gpaq4{pyoqHq2jLVHYR& zYSD|STz5^2YwISiwoVO=j{N9jb$U(I%tx6=?|WEWW)tqM=nDNk@#TaSD&pt8)(5Ws zYnEkxd3nX`-&`BH(`PP@5!h4A)Kcu;F1(C+Z%-xvv1waxi}Hx>aqZ@FEJ*2K4z6Ev z<M8FWHgo=p<%S<8$b0F8PUX~o*~Rm4n^WcA)u-y0@J`V@E6JaKy=d)*Wr9y0T5sH& zExzQp*r6r=reCSq`sYXD^(7suj&lO?!~$9uUbE4ecJgplmD{Qr9S1&N-6iz@_nJWI zAM@Hz%}h#f@a3G)>b9Ey+KDO7Cwn<JWK7`ZNo?43SNZ|(v$E8!yM9V3&);yic2dLk zCy84>{JnZ1aONQqlf;`QrXp_-?3lfsMR$h2aXMenY5%LQgRjr2bbh^H0$1|af(a>H zCtG&3E&JI!Ppxosp32${y}AwO%NL!ps4ri)^BKqLYfcv)9A{vA@#&9Vlf%-?&@)AO z4Ue1a*ZZ7e?wp_Dl9%wduRv+~`8%pE*|LAP_stOtylU~yXM2f)%e3cJ$9{Bt%6KsM zv-uQT7ROsp8P<25c_pL&!M$|;#;Hl$+4WW*7t3JMl5zjXX)-_h%fc_*XDvAyYrT3Z z_a2*ZwJ0ona^R&(r}e6H&)>Npe}5OF7#pXF5mS`=taF>sRh#KmEWFqE{+RA}7ti>f z=N=MDYXUF(&u#CC7nl)#MriTIwLfppT6tyTCI6;Ux0!o&z2~hyd-eAk)_rPsE+#Ns zzN4)DDd&5zSA}a=R#tHEX_pxnOBBxDRGo6qfp_(GPsQTq$ED0kA;%l`am9akJHvib zd-Ik^i@hIpes3wRS$ysG*1qB@9+SD;@xmSo!YvCYHe7N&w^LYdN{-KC-pYF#H`oqc zP8GhFv(eB@l40RpCM`kRin6t{8%+(0j1GOPH(tGb-HO*M^MfBOKG)Qpr1>{yw()`^ zQctf(t~=4w{o{h-{gu&o@=q6?`R8f>Zq^m$n^)MBi(f1kSi0o*W$}YtwQ{VAuj;=k zvv!I}K5MyGbZX8~0kL~Mn@$Drf76rv8D(nsty$1M==#Etbs<+jo(bZ9E^k>PBELVM zZHmN`6<6a7R{31%uom9&k?l_CstuvbF5G!HzwPnuvV;KB<FnOsj&D44r1?q0|7Qn` zzbyW0qQB?i&c>d|7q(}9pR0Vedfuc+p`ODNuNEg?{t*4;bw_rK&)y3&HmHd*iLk85 zu)nkam-#cl+JKt=*(VF4RXpC>u9@>zs?4Q$^O+-$nay827EPXYq1$;&7JCD?fbx~& z=hl2V^kcqV%Jhp8GK;g`Jigf%<a;uXHL1OgDX!hY{hGy=yRMo~X020*O_%uYwwuRx zhnX3Z?`{5|*}UcbMa5?}wwVh>1b)7Lien~+dO}{U$1~Pj-QvtYrtgc~a_z>6b$RN& z7hCnuGq=^q&N`bPefaS_eIp66$200@JX_nSy5n^Isjr@!YJv;w%Wkneo1qfz>)FK4 z5F1eZ^3Y*!7dic!DO;z1-7l#2#M=4`bJoY@(s?hBs7E%+O|9l~;@o%oM*I$sdDEjl z+jDj)s(P#sYED^}xX@U(E~}!$+>et%#^LdPUi0mltlOC%etvT9cKWQJ94V(u3|6iF z^mY9Qd*7C*$B$kc6x#19Tp`f5Y5j!j4{L7x+E6@g)&7<1XG&<#624S1C+jxTRE2Ny zuC3dSyoqRuY<f_ow*7~9<-sFc)^{9{oV!Oq`}fu>UrygR=@TUp+Aw#+?I(veJ+gau z>k5ZsRH@3l87fX?mphENR36pW_<CM_yL_|ak(X|qDN7<3Eo;hpx+B2*ANP)OPqEs+ zMemj^N?<q}D1GVR#c=(vPyUwGm#hr>Be>zgYo)_K?!LUd{>7$i&t5<KVkvmZ?qqaA zcze{bj<b(8?R}WL$Gzm2LuP6Dv}IeZ*BeSb+T0+yMrQr-J1<OjZ*!hf@wd0V%h&2O z!_4K*SGrv!4VO5}av!M^*|@|u`rORY!%f~SJO!sW^02UW&nyz=6gIh?mXkYuZ<pH( zW5w1ZQY}><-JGQ>Q|>O}ZhX^Wv39$&rZTJHtf=sV=5K?QCTrWWMy<MNxnaGkz5b2d zWo2ILHfL?zy!B!%OVia<alb2XV;;xNeSMiz^{Lpi*^3NHGjBI?-^npQ@cG5#`lr`x z%ddS1_MiLIShmgZ;4g#k^S&CloSo{%@IhmF@tN1cdagz@zRr}plQgkx>d_~OU+3DZ zcXj`Z+_BF#`CzAyz}kzEG2T<S_i#<ww|GkJQm5>tA2jU$+*}tZ5?(pUZ1V;=yZk8g zyCKs#UrBS_d&r|cam%fsdC$39o_cM3?D)5T#WtfyjOs2oSXbt#Y;JOBxf2xleBaNj z_dcC3P+`?O=gnXy{_x)umgvZLI}8$Snrdg~_p?3UvY=b{!Ti4UKDQq;=uC?4zbXFK zJumRC!u<7B3JWYa#6K%|_9=^*DLOsj{9=6hPsr)Gc#}%rZ!h!;ijE$B@NL=Cl*CS6 z>&c2S9Y-c7gj)4RzulC5?{?jT)=%Q$)w3OxOLV?{y)c0>Y+3Dx;0@h*{kJ?+uChv8 z>)+1OHM#QZ=gD(q^=04vT$X>bHssun<7c+L6pzZ_JJ<WdManpQQ{<lf+{+8f#6B>t zh&*x8P>!jwYSO-l$=kjcyn6J$I&r^3*>#pCRT1;()VMq43<oclJWus{XxO|eH{<g9 zDZIs>JW97n<;7h(8b4v-oBNWvx8E&%9;xd#g?sn+_EUDE4czC-Wb%z4ea{XTde`xy z)g-6t#lil!w-+DgyDwHaTmQ(``B@*2Z{M{=>e!k04|0~K|DON<>Y=5-40gPCkCh4w z&06y)<1K?$R(0jwisx#gvzk;zl~34w^DpX|<T9_WA!|di|LoWclAjLTn*37d|F2K; zS@n+ky;%F}<r}-*^6iEzWZP$~%V4yc60oWD;JyBH^HnU?GvtP9%$~#Kyx&02JV7i% z&CEBaezv2yGyk2aeg8L?O?>r#ew+S*?*6(Bnm_JcoT`}iOtK`l+(&!G*`}DinlqXd zIm;gRo-JdTbzbYhe8zVReWTwp+~483Yi<t9xAvlw+>=B-KTGv*&AfP|Ho^JQ)LUyW zzu&VZpy8D7IgRNqcD&bFWb3*;w3fbm>;JDe`In5@;T7tOs#zpid;_$U?mh`und^|P zbj7~ddh2I{t54D`3{sk}yIoED>e$W|6C$Hx^K$-~ZYLAB=|&9IqI|#Rq@2C@gy+rH zfA4PmEPAV}E;30dcFneUakpJI-OR38*|AB{-txisR{8&an_lH_f2&DJpgsQkM2G1s znldv)tzJE2vgJ8e`>tZ&zZbEbsXr?}-j+9vh}~~K`EbbBRbI=qfBeb5+W2`p54Sr5 z*8;AVBQdkRVne#m-Lne1_uzb&wNT$q=eoz*e`naUtI0p;OFF*z`s<aO*dF=2KJAHJ z^FLsIqIznnz@<Ei53F2kr#PsxU;BQc<rAMzTbRYW+s-B8>VG8fy<Hnx_HClWy%ZkR z3>HT=2LAOQzI6JWdw5cr?UvesBkiXO4CdL*Uc?gi{PezdeL=>ZZm&eGSYJ(_!JqQv z<>c#nEfb2P_8t#gSS|3SlXuF476+YQD`!-{k~>rQ>WbOd^T*CF+AezJbKw5=oxhbm z_wNZ?B(Tto#q-Fqytj*H)?~Qq{x5uO)G_U7;iA>=<l=u!a$Plb<;<vv-~G3vv;>yk z379LnB`@Q{?1Q@*Lg%iPwBei-`#!#a{j|uDD_a=n2b+GK=_0lH^c{t#C#2TDRxrrg z{YHSpPf@kF{bsChxUTbp`OLqS&Zy_j`)uR&cxtoX(jQmbAK7>oOIA#Ey8ryz%20L| zahVszts8qKg|u1A|NiQ9-Sym}>7IHpSM95BFR!?^$$YI_*(cZHQPWasZyV@!gZWEq z?3COm4inlo|BTeMXl>Bmb@Ymbm3;Pwc}#g%e);+9?On1l?>~#6yl>DEFIB_oyUvOS zu$<AoX7c-rTinkdn>n8_DK_t|e<QAZf6C%3k-^u)IZx}T2PJoE*zYPcFiM@SCDj*n zS}S?2`c($QJ$q7i7p^&PZ`SK|IZU!{+25`oYkB8LoHSGseRCk=#tCJ<ZN5(O>lg5C zwXovev*bbDGGT|v;?MQsrAwA>j#;;Q*~>NVlOA}*>YdH^N{`>SSuxN3?Zt!Pi{j22 z9RJPxq$fbM<i^bsR^I*;L;K)$E1PGoxV7#0=X+|WKTj#z9cZHR_oc|fx=Tw>DBA56 zy`KNo(o_9y_s!m__xZvyk@fw~A9pTJzj34U;_}iQ#(8fuMUBeVKA!jU^`;f6+t;_d z8VgRIQ=*@lBlc&J`qBe4PF!uNOwrApvsFhcC)0t^GD5KG&6zdJm2VyKkE`DJWx>1C zv2!Dz%3f=7zj5MKS!8MJ&T|YI-G|$Kxi5XVG`~<l`v3m_|Cu+N&6{{mKIl)#+9-83 z2Hv)`;0Nzs-=2Dpsd4vruJd=Vy#D_~)!&$B>zd>@dkSi!mMnaC<x9-$-wCyW5|#74 z^lq5X+}!psZ2hd9`#Qx=XBmpqIDF?HwkQ)^_59=7IIh2|Vws{QUVp-VPEhLHtY;-l zuNhdk2pL9tH6L~LZg`NkYh}b%xzkH_XKq(tX7TlK;@0)LqIz2rOSg;iZWi@b;`5*X zyy%DaDkBB~{o8y;B3D`^COsB@AiHl%vHj-X@jtpAz549Pcm8_0xUHc@|J~4cCc7rw zFwiS}Vb7N0`6?oy^9sYvVp~U{2c_~px5X^B`yLUyzlp8;#o~h(f+QSLOgbug*qmf5 zwv@D3YPY%`{rr6)*WR%8Wz6rQEv3)@6?Vz@*RB=g`zAhjYRi+CjtnnsSDg&4Tw2ol z>$%diJsPVwFXC4!W;aw=)VxmDc>B}~Mh_nH++OfjH+P4?qTO4YXLYtN>{9=h+_F4^ zM?rL|dE4S=ht}4I>-(K*&+oaDcfozx*4VPV**Q(Cp1ov^&<`+ah^lXEU~+5MtX-3` z;LPHl=(qlY0cOi*oSHZB(qbk{v+@SvaBfb0_Bp{EyVBk7AM@_~s8cudZLRD6)BJo3 zRr$STQzckmTP^T*%dl2F^pyM8O9%NyA~lH{gTMTJGD~`b|ID7?)k`%_$WA=}Sxz*l z{r$eoCyuOAx{DVxDXDdH=klMsx8d!QAF)b_8v>pS<_JBiI@(*cLRt0Oz3cqdy9A!J zMqLRBKk)YS?2_gQ_Q6rRWjEhXEf1KHz;MLf<cB*?wyA7j5=&mpBCVP}r6bmNX2(Ad zm&siJ_Npr9c9zh&&s9HcHTW}A@MHh{jN;;uAL$!&JyWG;MfFu(o1ggZv(w!$jd|Lq zk9WH4+1)Y2Qs|h<fu;8oP6|IO*?7YG^1o?n!b$2XKMb4hot<QHzwTb$<4JXA|8jiQ z_}gK7xWTXN+>f>ClP=lvoPH^OZP{VlgORo=`z;zOSe6`qW;DBT@uVj4jnj(HZBfgs zyv5bOFYNyM{T)k}E`4FYvhdr<OJVAfJtdDbrMG^&?)4|(_t}f)`_x~(?&_4^eV)%L zsab!eV{bd7x~|ThnTk_#)t_wLHnWDEZ%^j5`g#BLoWs56t^BlYv+p#uofj6!sCuOC zN<FsG*||A(_O$PN_dZZl3;H^hHQe|SL)DrarD^jkt@o6koqC?JQRqbGwf-|!>trG< z9#7ff6>xC&6f-97&)q507dUeyRP4R_KK1d`e9iyLJ<D3}GheyMcwL!i=`8~_mHN_1 zZ_~n>sY?X@mqcAtliIV4tIIVhmPu39lizqA<Gx1wHTQNOxGi+j>Sq0h0#3JgJMX-` z6nf|1I!=8J<pZvvpRZi0ceeSvCStx*rQzZl)u>-avtFGza`wl>y7Z?00R28;t9-GE zoBDUWj7+JHJecUhl{#nJtT$8VESS12;p6;!y4B5Lf+A5m4`*oyEX{P?<UZ{J@4-D1 z(N`2huhyrl=ZBSF%b2tLv1U9+N8*F^pEd1Vmo>hOi^zYJwL_&`wCKNeo@aO3#pDa~ zPxSu!yzk6E`&nl!OV(uvJzB&q?P_53O(=!ifA_un;U+@i?7v>@y1*~|P`K;E>c{{0 z_vgx8fAeVP%H^8=tAfwvXNbMH>lWLo$t?fygTwzWAv>nHg<%%u6PJ|VUK?uB{CBFt z%^lYnwlDlS=PCc}`u=Au6J{4PC49*)l6G0**u=J@-*9eBUDIh-d6Sd124&xC9&KO0 zMXSLl;+lHcD;B1|(NBKtS@25gL9}+A=%JUvImhj)OWm{Xz71F865aMoIj8)fY@3AJ zfzKO7WLzFH?yx+QF1&vO5A*R1lfW<H-`DVUK3iU<I4PmTd6~Gc@3F025&K)%I=WN& zpF}*fX1lfiS&4Ur)1Qquw-($#?i9}Ya7mZ?x$=ECXFa&K*P-9sR^`65{{LuWwnLvx zzRtgPq|)-fndz;>qwntcwCOT^`pa&<{qMJnhi|-zm^Xh(#o8$~HlkgJk4@vaH2L!X z{Lk^avp;)R{nY%h;A4m!<I|O|ZnfVt_+iGdTTDwi!&zqP-)|SA)#m>0Pc@&VoO<1Q z?!9+{*DSdb4t|=mSwv%YrRcBEMyjE*?^ovCIW|o-L&m?gY`vs?d(Pft&scsjn#+7< zo^wp~(RQ8{60=z3JRMw$`H!9~DT>(ed*QbK3_|O^Fr{s?>iM^&x@P(BD`zY&{;R$B zROH<0IKj(uXWq!q_`Kv8Q`r5>KealN`PaXiZ^aX%`Z~-(yGZT9oC}+orPsdaE$_^7 zVpp@>*5atC$0^hGcm7KU&iA`TOax{Z{yntvYen(hOv_iQ)3&T{VV$)tE~flXu<4b5 zrz&{)E^W2^cE;h?XAb^~SK{j@+<x%vmFk(#9?l7Z-PLjL8h@3Q94*zFF!Ay(Cygbw z+GZj<lm2SQmA9;5R9AoA6Jn(yv6GEqqgCIR%7j@eFYepzFBG}Pclko&ll0nIpZ@&k zx+AVNOOoNzueh{w=^JM_G(VRb|M)(;GiN1Z)zd?7D@_bG$>|rKo)*2EQ_`@)zipAA z%*HoKHRsa9A6}@Req~wKH|K13b%FDfSz=teCcN9RXt~rn9>XigBPL8JSQL;@x@g|* z8&^{w&Ds}X>9wi<{zj*VQ@3`1I{qPG{S2GzBa&S*I-7d#*JSsFiA`JZ$WhDE>io9N zuMRaIY!EOw{nR{$-DHR8+`BWQh1CsN^h*zy`5jxOVK!mmovbMRzq|h3_EkD*GU53) z)fb<l>K@;+Zk@0rOFB=aU-Iged9H<z>(+O#W0)ypa>@Bbd$i}1r|)ulFQ?n2c^zM3 z{_NfHXMs85<^e}IM41zuY*>E>UQ=G}|H`ocKkFRMlL_&yr!rgHCM+yJTd#Lda4y#i z@kU$CNPV9pA+cXrbj~SfsNBsvd0~0g1lfs;CQg2By&+L!&Hd#Ua}=jZAC)lP;PA8Q zck1LfDeK>b9#u%pI@Fidzq`i!tYcr#yRWg&SH(YAwW}g`Pq(J}cB%K54(eu!<sR>r zo%ZME$yqOcFW9=weZ%AO=jWCcE^tfC`s9;;D=&%n(ZTeUcf>Z&jgSe8Ot~1I{*iU# z%5$+ZpUU-QK3%Z#-BryA>+UPvIg*oBR-x0miru>|t=`~-#n%Z}zq(#{@oo2`@V%n$ zXR1W97hTFUdg}6ELRjIH$+kb)d;hTpZ=TEfqWFPG{v+@5_QMmONn}6WaND%L@QQU_ zaJO`c_7RO;U)1*niuN<Jd40dL`5xbK^&hPt_@^*z+)||_E>yVTR|E68Ufq``Z#+`7 zes|(E@5a{93*s{;NL=?xc|X(kS?I$EnX^#_Jc4$XUmcV_JAPdM+h%2o)WhSlH!fTK zy;|X`Djy~5Uf*=?(zWw(%>RNspDyLon^JaK<>sr{g<R1E{AOQ_O7;cD8C_k(%<)NM z_3ioyg9+a2HF^KWl%<wQr6n*G$Is1V&1Mx}{*`;$hba>amOZ|blDI0#^|)nv`P#j% z3c<lTUQ?#8OPBN)J%4=Zv0B4(C${@uW(sfPR#dE9!2YVRK}V=DsOQSJ$p;so(NCZ8 z=0?B+V^=}r=Gfz6>x#<f*|WW25Itp~&-13>VD#^4|0d0d&XT{)J+<yu3E$tC|H`la zW!;}+y?1gOgS_Y3l#m=n!?kUHB;QK%`cLK9$K_`(vh0}q8)nDfay88Y+gJa+y4A?+ z$9pZ7R{7`ZFI;*<;skEZpQ-cn{BLQ!XERRit(@}F%p-8;hL|%^pSP%)s>}2{rToo% zH)B^@lIA?Ajv3NUS<9Y1nrFXDSIW{y`mufQd>h&GnpHh>XPs3$8F+?6TmS5@-M7@g z2nlbwCF%cD`Q8qrSqC)U=SF%Yy|9Y;kvqd%rsH{d7sIUlKV^3>?UiEalHavn?KEGI z#k@`IVG>`TY`o>h%Qww!zwr0YsCD^?QI#SJI){Qnx(>hZ>W(=#Co00;ecPPHpDS11 z{J-V))ZJ_ei6N<)er|y!`?>4izqgbN^1eLpv9C|KcKg|`Wd5?J4Loy7i}~F%xGOSZ zYHPoLS3C8f_}BHPUmSl{@%`0|eDvkH&Wz%7={0UQ_8sr+T5%|VVLI1U=M$<b0&{Y9 ziO=4#GB~()w#i+t&%(cMym>I`{@HHzX3tFH-x?LCWpw1k965zV7q8Y;T=6GH=%v?z zDZfq&oBG^m^L=#AzhUS0;NH5D0Fw`wZ)}>s;KKFXJ8L!<bnRWo>a+EBdjLby0nMzN zg@Sw5h%5^|E;@Cen;ZL;J%`pDp7U<ECxd>5zw!$?F<YU@=LHYw^OUT8-0XN&@0&`R z+UAqVrkv%w`b+-0Pi}b~b^Xc2S!{ZKDe<gaWi9WLQVP<it2Ir#y7X*)jKAU}mvak? z&+e!#^A;7F_fz0zM&9OgEuV9g?j+@`(62cV`>iqm03*BHK{L&*${p96G<jLh%4BUj z9He}(M9C)h0RLB`vrdz%P9NG8sIp&dUxuLA8~LRh3s?TSv~~5rpASU~_Aog{tumZ@ zCinUjY5xUV`JJP@r9ZR%e!rJ}L0`(-HuFjAF7mGljbGCK{m98DkN3TJx6ymr{X^{S zO!F%elyhGj)}3CZ{v~b0`<$m+eYNg3yXl&)GkvaPpU;p{BUsX=VAo<{>GEbu_%wO# z#naAi_AdN-Cgi2@>n#HHUb5FGTOLhx{HxNxKz;pVy^zOCKBvx1b6b7-*T>N6&Z|uo z*P~9{PUDz#i}kkA;-s@08GQmbZruC7=Go)b6^Xl>JnU7^oWC=JUs3gf%d+GZl{c2k z=kJ>#A<M6Fe2$-`+ZO3<nGy4yIbCk#J?9U0DPk(!%ckJ|fiJZ$)Mnmql`B<pnUZT7 zBzk=Q%DhPQ+oPsz%%^#dQ^{%mPM4M2L!x%pSIxbheBEf#r}(t*J_V0vMcZ$hY{Tvw z<NIvanW6_l&DA}pD;hW|euUjt{~ENQ^x($p9gnQvytmx;_t?$iTluxJ-ajrI`eYce zhRc`l`xYc;)qnKU^UX>2@i~`OxH-btJ0ER#c;k{FE`3Vt!-@x{^Y-U+733|*7EV`+ zO5N8lGC4VNH?Mc_d7I#%(7Eb@5ghZC{}gopWOMWD+;=@FA^VY+@V~NWb;Z68Q~g3% zcOOc=@L_)Xci!#B{hICPROA+Yo?$+xJo&MT0lTi>(oMG{d_77!>~=Qi7*;zyE=)M= z`#er=ebB;`6WmQf=?4#lv8~zTYJ82icbl8=C3A*<cN@02xTsD0de&EMvWiOc?nv9i z{P&mtJ=n}HxV+1)exp27$J$@Kei73=gZa)n`mC&K>#xp_tT=6XAjEu;5mPd|{^YH! zTV9ka*n5?@X)ZC4n)<9JIBQQ`bdY$^+T&h_KUv;gCv!d|!LIDNgiu8aA6J+^pVTM2 z`t^(UuT$E8`{zUE=f3x!pR=sa&~2;OxPOU`obCz@D<frD(@PC)ogNa27Q#z4XZZ*1 z3iA8an7pt{&hSC+ikA4t$`e^VTNliDbxiR29Zy;5Do*pQJL`^QPq=(e??JTMChj8< z8rzH;-g^EL_$qmV%UeY)I&k^PqV)4QPdI{p9y-2t|9Q6NnzHK2&boX5yEtyFNwBs0 zrftg8aLzM!ng66IGSR!I|8(6Z!tqJzPp`l)PacOR>4n9q+gAqv_~mb(ruJ4=at`03 z%?I_@e)|36m#Qd}!ozcNA4-Cri-%^&-q*A5eA&vqv7%bYs<FdNbW6m9+lQOm0ygRk zT*!#*eg3*?cA<&Lu3PQjue{f~cC%eZdDla?uj{tDuuuN!AS#sd--GpEWyYtLX%dD0 zOYWr9R>*R&Z!8V_vbR&3|I#Oo9RGbK&FW{$@;ubu+?dArmiOFq!;=$ABLDB{%aBOi z{*}4sXxN*gGSQnYBG)!p^WWbzJJY7qMKo|fbKR-+@sCn3BsQhbm@<j|V(FhblUOUo z)6{%J;-r7<{qa$h+fn4lhnBmhzjrT778mt@m@n{>KZK2$^GB`3o#zLRhw!9ad^LG0 z=iM{qPeQzAJLVt!?{R;7ec+dgQ&P{SvsFc9Z(&^irSi+Hp9vwGoGM<=vRu7tWzC(^ ziMOsVVKnXHySOdv_T5awx04jpKhCW2eZ#4<>H2c6{z-ZXLMKg4a#<P<Y;-exaeztv zeZ^eOh6me|Hfa^v9eflm{M)8=!FKJ~N6XK@WSg}jvWS28z8{8L-H)_f{9dk6H7ibK z@f;(ygD0<+AJE-=R@JF2;_LhyM=BTpeWmzhU&NYAn$0JFX)JT@*?s&Si^V~Qm$7L+ zZv}4WYMs2hdV!eDKWo`9hw9%MuUvA=WctEG{V$AUE;L2*-Ok><H}{@!B=-)%JJ!t$ z?)DrF_`2fAdjE+^S1-*_bDxmhXt3?#n{v4?Qa?V<`w?lBy{+z4rroa!VT;p`D=*!( zP&<68wYm6u+)p3LC1>O1nlEVgs_9KW^NQimnHPum<*S)Y`M|GNTC=E>OH=(!XqkNW z&7&ty#XtM<tUIPK?$AqF+XkK4o%ZHuVjMpAB<6=*-Dg`I(OlaQ?5cSqkNIF(QX0p3 z@hy{2Z2WJs_u+wPE-S;ykA+=Ro^DO?bB=BmRLMCSb2s|d((M`k4BOI{mcF_?-8{i^ z)shKL6_vqr?#JGmA@pSd!-dm6=W`u?C|p&X=bNXQwV>?h>;3F9vYMB^rSr8|F%^9L z^4k1*#MHTJt3EGY&iPpGOn2~tJ^gtXw0{Iear?@LE=}YTbNakEVXN^1@06<&KC7FL zvu^jC`9Ak3zwLuE?Yzx<Hmtohh3S^yp6}%fuRcv$`J;lprObEd@`bDlw<7+D9t^HN z5H_jcqreuic&QjaS+48$ES9g&T1#mhdX~TA(u?rh4~_PfSDDYviw;z>IN@)-YHr2u zl0~AS3s-NrpY}@fJ>Sjkm-4x1ONQ94d)hi>J5z7m_Is`^w;A85FL@NQrKb1SO14Gi z-EW=GIfktbS+M4e%XMcV{Vu1rBaR!ln*L1X?wz~k9aqI)0qc_|*U$QKd9jw!#<lAf zKRsj;(yrUee|z#(o8_mwpDOUmy^`tnG*~ar^h@BRT<ns2KX2UTnxeDu(W0Mr0aC}a zn~E+zcv0tTJ11<8>OXC%2O4>?SIm|de~L?Sl{&od#nYCcmVPH!!8?0Z-n?3IzxbZ! zM6)lC7H!xoDd{@jK4q3;v$_BBnd~zSx7#P)(to<?O0qP|C6S2|JespAjz>xzy*lrq zqmf@>)kJyrnUNu4uXUnNGym@hzxR>vy;)){%aNUH_r2z;dE&S@ywc)xSdT?+>AIyn zfre5qKmF(J3%DbB@SxI!>(@5<oPE!J)!p7~?=0;ZX{Gbt@AfJxw0`zAYH7AX@%H5b z|9$!9El8_s^PatOV$*iZQV}Pf-v{hjd7fCG52`W0vTIJrOULt?*K>OIoif|>a$d#i z4r$+4>=&eNrGzO@@n}oE_u|0UZ-4h1UMQ|!D!Sy3UP;*MpK}-vz3${~^wn9vndRGt z%BW+H_sX2VyQIa{?Kq2yoY96edl!4Gw!GUB+_bVN|M>?kowjmIk0T21PP@Yx1hOkm zh%q+WweD}auuQx3T-#K);+^~S=f(Pe=PxYRTYcwGm7dv}8;5v9z8t*jY<BtMiTo)F z@w^8L)Oeh8S2w;-j{d#ETx;%;_wLM+n|}n(RM>g>V~XsT{oyHAi{6F%*W6%P^nQ*G zujb5Xv(k>Vqm_~>=XRX8Ygm}GW$KM{e;@8;D_)~DcY^g@mb0aACiAu!$lbX6q^YI$ zefoF(WEC}ulG(D_I&G@{!t=D$&eS_bv(_$HRFNtBzU8=q-`PbHQ?v#4cZwZkDc4JT zDBi-e`1((~Y3XP7ir3$KZL(cYez}a-X62lW)D<<}q3c(z%V&$4zAn0QE@ME1-i+B^ zYgHa3{#ks6NiNLD{Xk^R-kClhlsUv&7=?1B+G`gEYP{RPd3Kd3M-)eN?y0TWk3ZDz zj{n`-q5Sy7`h`k!(qCq&Je@l4l-PCmDKn*<)~VV)T=nOHg);LkcV-@T56hRQ4V_<e zV!M&V)G*E9oz#_@&EIAnQQNVbYjW(*b(g}Ae3*TE?Ix99rR*EOg-^Swqvl!b|C0T` zPBGUBPyXWzb4=ScO!nBwt>2mbn#JqfM?KHW9I~7uYn$HXwoTbI<qr38)3YMd1`%tS z+8ejTik7Uk$^ZKElWN4`F7K<xKl@hRUip!K_m3OPZu&+(bo{+9v#opg|B1I<*-ve= z>^v(Ly3Cj}XwSbF>wj*$>zTHF`$YBiOx9Pj&USrXwPDBdP{m3M@#aI*0vQx$zpI_@ z^d^i&YQwuZ>k_%|y$Oj__5LyS{N?;_ll_GcCJ7!`BBjWh+5Fmno(oTaK!2d`>^--Z zKYXcGtCO{S;vUt%8MB;P)P9IRpCOT!vFIY}sYqF72f0mQt6xNI=iRAZvg6wcQ>7Hy z!o#anS!TITIqS`Rg~xu$;SQDgmcLCGRM=@X@GZ^xveoU=;j4y>_p2s;_HI78EJCX0 zM55dg%ZDLr1tmCNUAZW-O0b^g6#F-?aCK9OCnr}F)bFl4!gJKGjeX{$@a^8;{3OC$ zEmL;9JDm8>S0VPw%{9S~GuAwNrR;X?;DPJG+Lb>~=_brtsHKz6Uga#bRp+6kY1aB} zm0<#}+$8Tz+|t9brfdVJf62c8n_mR09dZeA<lnPq@`V8Yi+6i2S@3SroZ=ug@zHU= z)EVy6&c)v4TeM-3=ayNkrtqH*KeFhw?w{b(Sqqxq|33eBP2Qn{Dfj2tiFDOh+i3hV zn=9*b;^9M^?O72=`2%dzUgW>1Oqe{Ux@mRIx~EyE)-2p*_+S5NyzHBmSN4Cne8k`T zwyfmy{2l+eKR()>G_@%rp8d#u=H+P($HgB_=KOf_#p~??7lPhj|88>o-ow`?*Q8a8 zlsIqSZg*vQ-|a<#51s_ge|O{YQ*G~F#di7LSI4%0Et}>Rd3DyT6Ytet|Mbn7Ab(4> zq|$8Nl7;Pjw=c^7$(yoiIrGB!EkENU3$M$3HlKdWL+k-(QAV-4Uq-=><TEm{T_4T< zd+*tO`NjNF$(wwuv*P!znf=J?)S;gXjk9mqp1P&K&bFj$+uXcq8{XU3B~3pu!_ep8 z1lK=huDwi>QM$WgLMAB9ZDss={FUZe`Ns^bN9vYeTKH+1Kl2`Cj<X+UcUerEpR?iS zc8QWd8LS46&7a!Nx%1~l_~U(zHfL__KkM@L#Ormt58pS)Dl6EL%r7R8&z%2;(SL@! z=2msa*r#gR;Xj3z|6+H^do!ug=91eRtFWAHY8xs__We+6{G2Dcqv=}0<(+FiPT0)a zKBK)ixsfNLwQ`zA^pb`x*OG<bUtyiFAbDknp!-P~<1eyaB?YRbr(0LtT;nMtTAplk zXMT5VN8dFCl|7n$a%o4-G8gSqd8J%sB|0nKr*Y2--@P9tk3XB;Q8?|uMZbG%0$UVs za2FL?b-z6{TTSn=*7uqRtB>?|oZWbD#mY_lR5$d7ccm;!)oA2*)||^fBc^wScgp3@ z$4ZKOr+)tZ%Ivkqk7sIgp4OGiB<)&$j<J`q;DoVL-rt!QCEo`W>|2x){@LVx=Egg3 zejGZe&*~v5;;gf8!P}Y}$!gw{9xm%^G(PO}>O}4~pHutUA8h*a!)7nTnsdC)Rz9m= z$OWCTY15nI-Lo}v-p?1G9dv)E3iZELNH^=bJJs>*hY3}uza6T((`eTg(A3jZaL>W2 zqi9R<3U&onu0RH_BmL$#G}cWnFIAfSH$hoJAeCRU`cL|H#enL?KUOBcu;kTT?6K}? z$eHQM3bNB&&Gs|A`Leh86_eu{{tF9rZ|H{3TJO2DYVBu3=`(^mKeWb`Z%t;j$Xc;v z^GykzXMJVHEBPmcq`g>P`OqV6Dzn=48*3t}YEDa;NXDN$vd;O$Q}$226aN3PYsg&h z+Vfj+=jL6}KX>Wwp4oZjqsbXvSLsWw>C1$ot{wkdpMT|c@B0d->3<A<gvMW=zI#{j zs%Q7-E_nAm?|qJe?q0DR^T167PmUXE7fnsEljrR_(3qw1u2nqBb<2Y&Mk}e$$0lX( zH(1^-$;e+n>4<5%@WUC;bSJJWS|P#oZR4tI4<1eay+^HzU;X8&+(zO3`i1TDF5k$? z@w+>5S<+>d=W1qM(q~;dK59hI>|nCIXY%Ct9`=|>{_X!ZI&f(I+10*#gWl~U@0oJ! zi-rE)&N-CS?;J44Reyf{WJ`BL13g6zj+Ab#m@ST(DK13~r<TlEe6d){{mc>;m5N12 z&6ly;u9xARAMNpO_tJB1uQftnZ}xi{;jP#Htw)KoLB)D+68o%+XLe^kFr4|a-^6io z%X`t3<I!3Z&%004D`?MZ)%LdHk?m+c5LOfJnsM2A&lj&J!Ko_mPsDt$KlkP5o=G7s ze+ni~D#&N+i)UWJaNU1Z<LQd7(p%@fO^<R4%KTWsa`bb$rL1M5fD%tx@dpX9?@J#< zcz)X3d+wr@+bvD&<s1^v*H4~&BU9zBPSu)gLDi0|EHC!nwV9U0EEI6w$fW%DS~ao$ zg!^lkbgDeQDq$X{tF@qb%EgG*ug)1xnscu;-|4Jf!@u?r>knqJgRgwWc<=H2lzMyP z?nTKgp$&#B(=Mo=^VeCUwMcl&X=~5@ZdwasTl*Cjy;m^s*)Dfy_n8$c)eFTw7|%ZZ z`qPn1^HUd|Eq!<E!d}M*Kd&8Czf$1W*3-6drqRjVo6m*0F0{Scz3b$47ID8~*3X(P z{PR=gzxXKTP2%W{kc>Q@@8xD;ar&!W-cG@8xw^;eYoAOI3#@u4`+mk_nX>`m2RAhT z=#u_ypzXrYa3|o+heq{MUc>yGOK&tD)0%B5vu51{r87I&Jmz1Y^n0!WliUA_GuOl} zGM;MP*?1s9g?Wv{v=Av~k*mrdh4iZTmb0yRuKqfrXMy!y^OTG1+n4FIn|Fo?>^|ta zX8SJZZ4xnG@~>rFWvOcj2$;F;@vp^8j7|ycJgA?_a_jM~wBklZ6^$i=YZSP8^*8e0 zPA(N$Bhtpm>N;J7dvW34joF)j>|M9+?_I{_Q%<kY-gaB8`!=`fBN6Xn;hKpzUoW_C z#@wH}UG#9o{F{D>U&2i_y3Jf_UnW@kbK2eR+PmoK!Ihdn`I)7km41H0GW(6gnIx^b zQz})a<poxlE}U)7#n9Q{?RWj$FZtFxW!tU?UfJ~QZ|HUQHNrmxljOelE3I-_{_0o6 zCC$!<N}nTY_fPwld*$SWxjRhyAI`dXI)ClwzMsz>Hc$7q`>6Uc!a)CGj;ic^H@5A2 z)D(`gvBiE5thIEu^_6;j?n+2cc%FaAg!(TfTgq}+LiSY8Y`!VCL;cAN|5Zz+6a|*8 zUz?(ut}EaZu9|$y@rpyhPo?5LC*909wY*Bc=5WbYch;tSuWKv(odRX=zD-}HP$%2| zr9nb^^(vDmS2kPdtSS(mQO@#4P;j^A2a|a%kE=JYkGSW#>QY^sM(Giji@dptVZlwj zHh)jv-G6V2|M9JdI5=MgOFLGV<o$8~@Bioh^#e9}?AOb#u!;G-2%56ZjHSc$=zYG+ zXKrz8xY!DJuIBw<zDT(wPWilLRFcTW%?>@4?0P~+dd(vJAF|DQ_$ZNS_Qxk7hj>F6 z{|OX`v>p4{e|heX+y6eCbLl$!lkI7`|Fp+v+b$Ut`z{v<NPqBh{kFnQdv2`DICX2X zSygcHx5$$R-u!$1X|07{zgwi(#!Jl&H?7j{g}krcu%+wM#R(IZH9oXh<`{VE^lsMO z9`kQb*_-BbPoyb+kKcmoH1<b%LJz0>&-#6J$DJ1$t8LUba^^EmYj_o4%4*YbaL3)g zi=2Ns{K}s4+;&#Ye11E9Z)%%9(-M(0Rc2cD{uR%qyad+m`|tYw-6tWtm1&0#oSPx^ zVvg;knKF0V0{?|*&kQ_l@%h_glPB+FVtG?^ABDuHOl;YZ@c)Qau=U@~GQy|6>AEzA zeo8sUdVS6Mi{=M3@3d%k{``7fJTK#dN$C&Q@A<b||7&fC7vKL@YhPXc0q^&Udig=~ zPKC7}=V?+ja=)5*YR%1Ck6#>+Ug8?x{aH>oY)-C+=gWgJFTaQO*qlCobltD+mMc7# zvL6;1MCq{q^l&+ADq|^h+WqdV->ZMmZ~WsMDCufjefnmUc^u=znwAwAhjY&B@9~m) zn!DRz{+-Z|#;lhDK4tJ;s^5}1r*-}}^GEE_sj7J|Hm_ICI<fuDJ^5PgT(f6(rCT26 zPPpuLN@^R+8Y|0X*|t-sGhH^axV7MruR6P{l-DYj^d3ozFKeU=BXyZx&puSsaxv~v zjQ7OEZA|GUo-RKhZV%Zdm2k&)np@1Wzh<?f{~PpYm8Y^DNp`hV?DH$!y{znfR=afF zZ~t13+4qbt_}nXcyH9<_zvCJcxP2%5efqVjc*=|BMZvo3&!*kuWL#2orpxSH{?4_X zT1k#CFKTLE$}s+>`r6wgKgxlXLp|K=QR9CbmsN8=m3Y=)o>O|Zc<%Ar$*rf)O4qyf zFJC3-mvg6^vpco>ky>2QTj9+=qTg~$AMlsibVJN|t0VX8J*Q>l&fi#eF=>V0i`i|i zYvK;B=I(OaIpLq7xwgq8-sgXw%}!*wb6Kxr`SW8{O!b#vPU!ofe0D1Ts^ev5+V|GK zx3^QRkc!G;&vyTEesX@4^>gvLZF_aAHvi6UzcfYNx5y##M{CjcwKo+f%uU|Yy~edV z+3Hv4-a~>5CVmOtQnmG;YSg?N4Bdh^)n7{o$;7T}_;kyEh0l9=Yenbte}!B=YwcNm zhugw9N6hzC@u5ZMr_M~B{WD^}<C|GGGACYRxnjP_cg~#{0fWM`C$}rwTy(#?E>HU1 z{M#!}1#t4r-tj`QUoLc;d(gRQmb+?WRvb9o!!&2=#5=G57<!gCt`e!u_BdtBz2}(r z)b>3ot9RD-NPKv}=yY3_-}{EbtJBkFZ?Y&mA+b-?YuAcY#S3*Gi%ZoG`srQql3*_E zKYubMa^^FOuv2m0Z=Lm-E`3Bq@!_dJk4d{zh5CF?v)avb@!G^tbfk2zz<cfa32WNE zG0i`^`%B7x_VR+I6Z%*BDBTO{pHu&&Y;w`l`Hle`9#hKNt0IzbZqbgiIC<mSgZFN^ z>WkSNOJ-M{)&5gDxnEZ+uGaA1`5$?AUR-&{Qyyi^=BIhvH#zeJw>zWe=_4wKeH{3w zc8H&Hd;D+v^*vm34{xltmi>4BXYjwScdM^YiuIT}J6x^dZ-#TU{?@}vFLzw(T;5ZD z;hcX`$&`1ty>iYk<rA&XCg0IK!M$+0x~I;~mc_5;G;8iOlI4%x+5E*Wso8A*`xkF+ zNdzWrE(*Nd^uLYu=M*+Zh51RQ_tj>{YcNgG6Xyy%>swY`b(4FF`l~6EF668_>STZ5 z(bHQEA_69Bd0Kt`J@}x&aKJ(LlEs_$trl~3HP7Mx`|t3J<;OAvmo4*T$UVQss^+?% zk+k^ZM`!Q6y%Z3XwDxoj<Hfvt-*zd?HQ2tMtK~NH!i$=M=X+{|&Ycd_uobinSrH@= zyFg{%;X^y-gcxe@E5;tSV}E(!(!vDpkDo*miq4DWz1?T3BDgI?Nt|!v_G6tzZ^Y&a zuxouWm@~0stEj7PRbdYE*$ICId~?LhEiLyj&zdw>-08LP7lC%SxVo#GoV=M<21Gs6 zEUc6X3w;-SFI|3O_O33E*LPj6JZef@yyl>171zrZSE{Z}zO*Lo`ou{Ke{iMQ6es@K z#_4;ZGMfLqX=UA+cfAbB7h2gZKPm5h`uqKz_h(&xw->zD^4fj6i*J94X!x<8OF|ys z<DPXp{y<Z}*CW%5_kUBE)o3Q39eq(mQt8Bcfj6ALYWk+f_8mR=Q<CA6Li0Dn&7~#R zCp{Lua{NA%>rv;(`spt&neLj-sq|r0s9^WH9%XL5hXInyR$sotrYYLH=-rcijU0{S zid#|N=G^jVZImlhmsANd$iL8&uIPE_sa^Xy<9LSXrLV<m9|m==p0wqZNKees^?$W4 z&Fbh_b@aWGquz?(CDIDhd4ohcmj2D2*2{Uts%9T&=VY%>C#S!d7WqjwStQ(c(H{Qj z1ND7g@75+7C2`jLVpaKnd<NGIq1;t<R_0r`tl7CRaZ9Sm{1XLNr^va;ems`GQETFz z)2|cu`%TvV+5Is4N!SKe9n%kyM?Tst)hT;9J5u1ym!kAb=T!GCf40YHaq71`F1?KR zdIv&&?+S=l;V{#g81cz_N<YW-jpoH?G?nJuuacVc`&wkrDyGZnQL#I-nJO}V*((<0 zDQuH`v-5FF&x`fVhb%jfr&!N#E58y}pJ`;)`F7Dn{koqFlixh7Q*ZZVUn7w7RH8xd z+h%T_2U{-nKCe5o%}f2}xfBl8McOjq8xGfqY)Rkq-_?53$4hn#jwMTUX?|Gd)y@1o z>szPZmn%079Sxpe?>Xf4KYuH`5zpd;DQ*sX?ri#eaq_t*fuV2MFK;+8`KI8h!;Y;- z4l)0~*z0+tRqSN?ZFi-kVp?lI<t15EmFt@?*EFdzGng#=bMBf0d5%F|i%O<cYG_`G z+<tIb($8u9EGNo}?mqdY?0L1?e_JR|EPL(}7v70?0?u`*%I7)W*m!uAsYbB(pR<K3 zLKWWo&Wm`2oLDgNi~PM^-5H%WUk!O8GLpO&zw-9+FKPMZlPr5EarOJ1-=6S0P1?!# z%Ooc<_42N6-{WH6m)J742v2<KVz*e+OkG{XTX9pso)=Rp7hlWU5o2Zg!uIB(*gGY9 z>$vxwFo>R!ldPk>bz<X-HCz2e3sxQX(~x`~V1Gi&`Prdo`z0RmNC<1ploYbc__1~G z6H9UKfBxEr-#R^uCKpOSGM6~fyISiA^GYw@H6QL;UFP34-|ASS_l(Ptu5a?hY|o^8 zay$9K^Jr<-@iK=qi3%ULn3esUy}OsG`IE}(RN1U;({cpD1Qe$6UjOrUX3LYftxtA} zaeXglx|IA$-EZEk1z)DFFJ0PN-sWvJrQeOOy*e-axS+RZ!JOYZzWLTH9~N!@I=i!> zrh9Ya#EplKSXH)Xul(@LHbe2t;yrD}rcOJvL-?N^JZe%F|K_T2)$!!A$DY9*jcXJ* z^X230OJA+Nde<XIiftp8+q(;EoDTaIG0&K~+l^zvNqs}c+wYiH>b1obo8A6mJ#CJ{ zh0~Rv<up<s|6n&Ra8TC^$oQS|&F2y?YqS&3Tcg$YC1<mT-Lc!CP*k4b(QWFx%<$CL z^*04dPeeJckv&jQ@Muy)u3zf@(+h7Me-qW{Cj92=$>flG`-)#~YcIAqrrh)9(kG@R zi{{tn<O}6=ChR&8`^RqS{<GHimbmun+zs}Y51jMRU&10o?b!4=%jZnL=hk#-X7EkX z8xaw=XCJ+0nX}7mi<gttLakHPWwP-f^ggc74BhIS9k}O?uj=87J`Q0o<yE^6NWT(e zXOW*?Z~xX~id@Z<3F@alW%Y6|er&PW$K0lMj>*~sIwhNLU!STjI`gV#Z@ioT?>&X_ z>0egPk!;a0RNLWy@vZiu>8BF(ljQ#UysLEx;a;@;&|NXzi=Qq&F%a0x{I$*P{5SQo zl)25l$2NB#EU>OVp?HRI-rHAphYtU(*xCA3_b~4zi))%U`=jUGTCTNDcM7lKlM7V} zEGx_Zo?a^y^L*8*+0)e*?5X8AXDINAbxK;Xx0d5V&&dp>q2=d$ZA)UL&(?mO&Tzn@ zVA8Xx$)yXw-Ff##N9{=V)4v+&0ng3T<_X*0z7lPClu!JH%*FSz%VsDf9gE2b5lN6c zqOeBfoTJ6u9@S2cdOlOv+6=encg`(6{P)_=e<wG6JLhm};i1hw(<f!=I!rs}oDg`r z<J|i8l_j%XFXUZ(b&8Aq&W>DTu7u{O7MJoohkN;V9S*+}Kl?E6$=o7wwN1QbdHbrC z{JplGck=h?H5|L7I2lT<mmGh+|GNG~6R!3!ANJ_hN4t-`*HFp3xj^jIYOfSozt8i2 zdwHzQyEi>y5odv0Y~Nz9pR4p3!>)h1xy`8kT87W-BEe~r0$-gh&F4IpSzgGn(71Tn zr48P%Z24ZP<y_c&za>3Wo!#(KpWV}k4;ykh_T7t!yphBqG2QR1g6Wl$izB8)7VO&~ zKKICxMbDS5O5Z%Ctk1H<{h40(!Q1xlmj6z<x`fH0@Y{`AkLGQ{yJkyA%`Rx&d_nB; z?>CQazP7REYP`DqvdeN&)jaWD^_>$#HJTZZ$TU24wqE6Y<;v;ydD;i|h)eP@>&^AJ zs_fd@erz8z_x!69qdT|He`V2VeRjv3d7mc#+C72I<?Lp~YcroFp71<8+3sd{>1(Hs z>Aj_rO|hRBmS${T%vHhXWM%7U+$qHUEWhGXZo=FZvAQWwr)+t~%@OyYnekNlfnAID zSb5y}m{fagYQP!JmnT>@Bplw{qq`>|`S1Ltj~7kY{jpttMbhKBZ?-=?!0YEyGVRSh z>-<H}j{VwxHgwU~69K0lRqdarsIOb2^Y8p`soW)LF4|{Ke*M{ev&!LMa0fR}dWM74 zrzS^P?^2tS+dR}o=fC~Zty7Y?I)!oK3g%>s-wYf^FN=NV+n)VeWP19$L_Npk6dQ-i z`|ft@TfYj=s+$$$sCj17Y&K4g6OnKBa!9PccCUctnDxZMO0ABK`(H75r95Q4K5hGB z76xUVl?9hyWiVV#nD*zr+Q!1Z-lZ?zCCs;-%zQ?A$-n+NU+l9@j8BW%@OypX%Hx&@ znv^;7WU6Ccd(GjCT46Jigu6wVt!i~5xw~~2n%mD~diy-#k8ico0rt*+4Iid6EL*&2 z$~U&sz&Da*&ELg@bE_^~n6I3{aEbTkh8Xv$3>TGEMRg8{hgOEDetNuIZ(m<tbjGu4 zUx)UZ`OEMAZ~eYu-ge#OwJG`&CNp|#3r*$I2slz>etemMdB($wML<-K)raWA;yO zC*5MD#JL-`2mW}?BFIrG?EhhA^}epaHzi45Hgogc+kN)2`gglM$&6B6vq~nY|M^>e z-fK=%S{d_gAE~W7YTg&u{(kQ-xNTjg`7QSA;=J)&g#P%Qo5PlDW%BB-+))nG@b5DZ ziWp^VtDGG!csjjf6F(QnW$jD9SUz32vE|zb<3B$cIr1$!I2^C5J33GAT-U^^w|OR) zP@9YNtxpV-TfE)9CO&bA?<iPoZ8c-BbEwGwGw1)O?AzOwQU3p9eg7)UhSZL|>`UWT zu4mxnIJ|bj&6pn!E4Yl^PUgmaofUSVdx=1Y5L*uS8}AbDA3G+j^=ILV@%H)n!SQj} zRX&}D$5Dab3OYVJhHRYi>15b>&EmbTF>_p0%cbQ1E_MGgP5T<#r6L}$Lpj`Uze&qi z+KJ>&KK|o^FQfY3H)8h+w}+{n%=TUSa?|}u;<8>JHmuF6-?ZjY_DTD#3KKpoe17=x z4}q1sll#7%mwz8xVlXLZnfEJ~y-v*OFOF<VII*qg*8Xh~o>Qz+!!4(uHU9iI>vGFJ zjiWEJJ?1^18S--Fc@=)CT|z!;4U(qk(sp*0iFvT{PRZ|4`Ly@y+uq*jc`Jpa^;g_m z6`ScFpUm*_&^A4xs~288C_B6??ySAT$$9VDR5+!YE1P?bny$XBthXrkx2U^w`n6Xg zZ##GO3A3yB|8JW6=bV47-IrTs_S@8U&0cDry=py2u$txvvG(rgTvwz%$nyHzmp2Gj ztz71KXCmtvBgO6;OvYObUQe+Tc)VlVn%lL<e0<c-wQK*aXBWN^{P<o3zsiriT7}9D zlkay5GAn+V7i0UX+Tg73_bqi^&jV~W)*NP?&UN2<ZcAj4P~puvG3u`(&Mked>$7Km zvGV@|naj2;-n6^Pi?QJ5DQkh>dk)M>>R5MnQp|Qaui&=Ble<@R#8$_Z@TjaVp3OHw zckUK@>mTdR>@LxkJGDXS&PmJax3RO;`lA%<FIyU}jdony`;mD<;wF~IPpy_8Pj8Tl zO7GqO<!7paM)01KZFvcoEfe*lObr%!{g;ipU0k;HLBvL$F1b|Oud%Z4=6VQU>X~%N z(~_^=n}2@B1GX<4HKh~g?BW!*J33{e{TB~Q*T*N1KGIz)<KZf!=MlN%#LbA6r+4g# zS-r!2?_IAY)|L*d|3=+V<nZ|*%pY=>v-ODL?#;{(geMnV?ze5;QoG4zb>EW(GKXG0 zb_hT9=7L<7W(I4PaemF}4z6wAR{#4D^XH_UWUFn;qb-v}?sz<Aej*ntHTB!%D3KF0 zjN45<&hVGJwoUJpQBbb&AI97FUhaK#vHQW<W1U~h&M=(j>`q-0dhA6+&#T19X&;hT z3cfnzuC}`3c-bvC-mWD%dpXW)_8xbv|1Hth&1&J2b<xi`vF@7wy1#1GUv)PwjEdcJ zRVv5C?cy6rfnzrOb(WqhnRoB7{l{aypW)MFo<7c|cV|;>3+l0N>-*hu(=}BwPIlT` zaeF!W{e|iG+c=JG+;sKky^H%3SWD~g?s8!F`5U4yc2P-UPEAex$@G5b77M{;%eS0y zJIJT`{`Z+ZGfsX>3YCj+w142~9>%*g{H894(-Zbp-M=DDCPfFSgoVVo^-8-|dnj54 zW}Gg|sIsuw@Vwt@64T{{AKSXGtj{;Q^M1vb$EoWc%e(Y@3(GvM594b4GUssue~s+V z3l~>=B{6Ss<;xNXSSs}Ob<bVTJsoS$?yXpRfQxJ1st@y}FMlhti+S*@+hM<LJUc7P zi!W_4k<3fe-`QIVU;V4Uc8cwb)1JR;_s=<^BqGY2B_lg&J;&~^Gu!s%^-aw&7K-_( ztdw|uS>&WP>4=S1N9+GG`jzfhZuvdK%vL+c@w|t+VfCq_Dgv9cmjyE4dA+OtLFd7v zPLjN>DJHdyf)|UsIGcnoy?2=2a^ylyy`fr|-Nde4uJa$s*~%%2+<Law&#U-X@)V=U z7r6;`->-aFxL())w|%Dk`lAmX#Af$6$cMlB6M5-;vT1!igT@Bd^6<WMng%7g4=ZI~ znXGW}uwh&J{IZ(5z0*IN>6bpMv3{*?+@qsEqlrD~?ZQ&N*{{@mc6+T`zkH7B+{P)l z7I_)WTD{lD>-C2p&ktAK2<UychPimow@Fdg4DK9$XmI+UoYeM0?`4;~>rF4V3OCG2 zC}O&My}9pHPISptqx=UVH|`40EG>EY!u8b_m;Oo1eG)eG?z+)>cSd$>{0)(3I`^(@ zn!!6kRqx1@@(Zh~5AQf)=$JD%II&Fd$Rdlyd_qZq%$$Da{F9v~-Hl<mo#rNVtI6}~ zq0E($+Un|VWw$TJb}j1M+m_D3Gt)xrnQ^dsS9#ZtYFXj)-cu}R1qK{C_qF))hIKL# zZI1IlyZz%|xWDb~JLi7xMQ1O)I2`39dfT_z<xPi2iR^>rmaCNF^S0Z}x?R0h`&!nP zu-B)uzuBk!eDv6AqQ29X6|HBc=dLta9;3d_;prCNcmJRNm@|L9#Z_kZt$EiJ&5Tw} zY~~c1*7)P-g41HV*1na0Z4fRIy+~X3g2vhO(5nu+j^FvqTPK`q^?34xRjzHEhwANF z%opwblPGpp^G;;T$I6P6jl1;vb|k)N$<kf0^Sjr2*UZ*0+pMoDbr?^uU;X9T@+oSn zuOdFm>*+Sd#0JV}i@xBveuk@dMu6zSb;|@Ys~@!-mn{m^_j+#fn_oh^+hwAlZEK%q zap4u~1x5<>TclHVBrpg$yxqUQY29}fgA4g~7ereHYtJ7_Dg5yN)}ObFDj&~1m^$~` z%%rJ28y6qAwrAVvZN=YCPV}4dz0&;H&dbNAt>=sDct7a}YkS^$*_MM}{HAZHOqsar zP)*;OZS{5<*<mMpF5K)m{QlFiEt@|dWzOiCtY7o#zsib--`l2%+!xh!RS-CME+#`+ zOZ3g_m^(h{E54q{iC>xPaATHN+Nwn=`UmpXJG)jLY?IFsI>B48>x1dUZ^pm>YDx2! zcQN;xnEuY#>pnZ9UZ67X+d3BZ$GaCNJz25v^Ul)dyZxa*wy#>`pAamp%J@XEQTyZJ z)5}|eWw$Xuox4!8>c90Tmyl<x7+0Dt-Fr{-@dq!X@Wca0nK)LO7Htu&i|}649<_w$ zl63!JCdIjlcMKh`ZoMP<SuZB_h51RHEynZ9<7-MKxlhb`e2nw;;im5&PAgm!H$6LP zM@6xSzS#`MX-4czO<6w4?5{ep+??s*-?n9?>73j7Pcq7XI+1wUL@jY@oc+&T%jE;) zjBTs*PUTyFU-)79qf?i!|GC+Hl2b#F^ZU8iu`~8Kxowp$<k3>tC*{27V!$!e#V!v- zwc{rTYwr`*aXgqNS!#C3#-TU!9DjuHiVfUHuivxkILRm(aiHXC@AXf1>y<b{um9A! z@Hpe+UiPK_e^tI_%<XJAt-eTI&2rO9eUH*}_h!$2aOlwqkCICby+>X07#?MuN)LCl z-QdMqk@G5K%TLwCe~xRJY*x5;yLjr8#GUcEhvHP54g79SY5$$mx^lVWrj1<L(vwVX zuWaEH*`j*)^%j}`IqSt*&Q_avuBsNdj_~@$es6|p>@<m(#gBhW=6tr-pH|p%*?+IO zo%aP#o~Is79S+$qVpjQZm~3PA(UO>$Ci{DB?~Z+{*QYLMy?6d>bmon{e}0?zFFQA9 zm0xsS?c$i%MU8gZKPE0+D#m>?bHmyvQFnXwr*4~4=94Afv?BI-PW8c~^Hs0?7FyU< zAMAEX;dtP#6l0~M@2hIGr1m?1s)>E_>0eyk>G!j5nJ$i5mVUAJmR!`UzgchMTp5oC z9j#)rU38}7kFK7JyJqU+Ujdu)l7k$BDt9f?uJ_xsHa_Xxr00$wwl$tGS*op5@7UEb zspI#`vz~zp2^S-Ud-t4sJZD;!#Cr>&6PBC0^D{SCZ;#pKYb@sE=Q#DA;+i6tp!os1 z=}bG{#~A6lJY5>toBq7NCS&@<4f|UDTxyPN;}Kx2Ryd`9D}1TaQdQaK47t-A`<<3% zNeTu{azDQH*ly1|7Xmt}V}6Ac=jC)M#WY(yw~t&r>w%uv^(^H-f1(8EEdI2LMa?N8 zY0i7?146yIC1t`K^LwQ}Kk&|4(YArR<)7mwr*HlXzkIH-+!Ele6u4@o=Ysp6MKd4l z<nWs$y>jX8x3vau+FuHmZu(y;CCAWQ``r28mdaI;Wyc>}d25qiBk?zH##8Rh=RPst z1v@5HM@t-ksWzD<Z0E5!|Fk37Uwx8pq#k8U)##I!&-+;%_Kht=a*yf5h_m?>%fs$A zSAY4bS-0lBNZGwVTkW?LX3yQ*C&?9O#C%xl**(p<4@7IMSKV0k_+8X0?~NarH}!3< zOg|vFh?PM|cw<xeMC0|(kNp=9lJnrK4d52G;Z83XyyAcJ(xqpzoA>hGcyQ=y5{sUs z*~aL-%uDx+IsclbH+lA(zJ|NoB|^7ZwasGY>Ahxh`jW#m>DdyOJlAsQ<aBQ@STot7 zd#n3rp*M9Q;;C!zhv@i-+FPb=U^tc;wSMla4NDY1COe*AHfPF&IH~^9UlMj&3s-Mu z%XgipA<mJw>3?&YPPi+>Y>(IS$_E!5`{~H{H>1IShk45qeVa$iKgz2-^g3U1q&+bD z)K7<x5_1|~eloBLl+BtlPv7sHg4NGQllL#!;UAaC)?`0RqT=>?i(g#L7k2k;PBBkh z6tzbsBi11G-m$&hx$!xQjd7COK8A~&%gxvzd#l{7m+|WR0?Vi8IVK-77QSD5|J~fo zJKE-(J}I}a;+dg!<h}Zbo|$Kj{dk_Nj$d^u=9z2$of~JrH9IqI^*_Dh?4gY&*1x|k z>7S_ibY{aG@p5UGD@klwZq;7A2NYh~h1wOja2{B7?5>)Jfy<MBc{6T0*GSfQR4u)d zQs*r)YqIvb<IH{Q4YcDA#+|*wb)j~Xfv|4A*sW!g%6&e3%Xw3K`m##z0h0zv#^^WJ zH{~t0KK~3ks+9ZYyW5IGdYekWP5yanTA<Bk{yBT3Dqn?sP`&Wy*5aGy!o9OD$j{sp zZ*b)|(~^^K)3>_+T4;LfUDizNg?!Hz=`-&SI<furza@-SyVf)4JrKFS<n*^k4Bit$ z)x<72c(Y8o|EA=>;l#50;z?XCtWS<#6x1~RC*EGXlqad^^}Yj|7d=E-r}obZT(?D( zNl--jT9#?+$s4kP1_GN@mQ3Fep?z?^$m{pN{m&|joARh~EtUR~wCvuYj_SiQr4r$@ zHn5h)Ua9=Yd?0Y<LM_#0QT3B`gx9#Z9ouEoaOk#&<mr`jUWHW)HBZRcVe9hm@G-ZP zXOHWXa<Z8<KXts$i@Wn<zJ~9q{V#%N&*u2Y>8BhuQOV{+?l<RK?x(Bw&97ehnC0Ga z?oDkTfd^ZemuUP<4RF5U{@T_{_LiacKZ6g_n{5p$S-##`8*Zt2^zj|>6WYO1_KeA1 z_8Sf34=X!WS$oY0kT6(i%QWjt;upED`Oo$Egbn;6qZ2Y^Cr@n(`?78F51A*DozZ;H zD~ozfRGxgDVcF`k#CG40gXeZE`#Zn?q4|A5msPiFg!Yx{_e|{ged=RO-{ZGaa^3k# z%YLytR7_u3ooE;D@?-58h3Sg%@{#tNq|Q!#ccm*~@5H-xbv4bdZEahW<(js~c^L1U zlPp`Nd39S>jz+KivWy!)FS6Y06_DRqdD-WiS8Axvp$o?*hb<F`+T(fH@Kkb{N0VtC z?+5!O#%im$uL;d;{QqrMn@`bIp$F%8{5kf}?)j&y)_)cmJ(S<D>(zwv7x#KL3QOLR zH(<*?V0M4=;lEkoqE}d3e=R!gdah^psvW9(c0HbYpRbV1@XoSQZPt62lz+{B_vG;M z?nw!X`|mN{<3IoLNnX#tiQT^Gs~V&mKc;*N&9;6wEwQzC#?h&>6}tDF=wOSCk91Av zW|&&HbHgsK+?ubl=QhZ#{lxX;`UI8tiZ0T}z5E&jE*Mx>IFxNQUhcg8JD>fU2RR0I zE}>nAKUnVGz_jM<t)_#{!mGAetrYi8D?A~muupTl+_LTUtN1=0FSsNXar}wSnXTmu z<n0S@H7)w$vUB3mcwx<d92@2wx|$ivacgzI!}oLH6&#_r3eN?+;E!zzVSB`6w?L}! z*In7Os?RPwWb5<4_e|(V(iL02sKCP2{YSToT|X*((x-fX>+R@g4&0F&qqn@8<s$hg zsw+?0L!PN=y3)*v;_nuj^#0*FD61q=>8sD{p8vW(KVicD&iRMSZ&|Rv5SY-cFymvw zGu8*wR&2Z=Yj2%d>mc+0v8I@|;{5dL*C|U)Ii7#mzT<_Uii@lC^hurUPJ7n=ne(tS zw0vimsapzT{p)g(+pnXUrs}!KwEu~oF;k+TYS%>P*Uv;0BiPHg1o&KBVBFMU`cmX! z?2V&8=5ODc_4dd2T$d-s6L!V>N&oXrvfR2%!S8u!oUY^VNa3xqr3#zAlwA5Krl_iX z{>i<n+id3;eUGz$)P1jEQ~dhVznmje6@(`5^-W$-aOAX-S|p>%xjS!92qb*@cS+0e z{pnqI*W65v{K`}x`S?X%>SIl9*6w|Q&VJj1O-}#bb5hGEbc6TS+=VYg?@sw}wASuJ z=0X96xIM3XB5M_H=^ov>;f=d#lg2?;<vTHP>YF5(kG6KPStTs9*eZO2Yh`ccjvKMF z*c;3Dn|LhFOkH9v^lG)g@=d3wEE2p<36o5MtzJmDIWBYYR8*JBpU)5|`Sr)$8wx+l zr(Dp>J6|DISGmwU&p_w7&=Ku3>SbjInGabX?%ya`RAKP_^3|-HYJc*YK7GC^psFk7 z5Sn)7^r!ex`9p>*k|zZN6chfhX-Q5zyi{aei*b;Jeacsno)t#>=jJWmyjuMHiQV_7 ziQH6G&*2E}p0G+w<Ep0Q<1f4H-e*OI7AE&SJng^OPHlxvuXI>)g@I9Q$e|?H$Nm#I zUM>G==TZLu$FH~2`Ag(y|5_@4(0S#lbyaEX%xTd&t^d5P9Jm!I^3s21#}er)+Ee!T zJ-MrWxA%MfI-S0}Gcr8as%iyxwLb6Vjc;$A{^t+hs|bC@J-n_#;YT#T@8v#xAh6KT zh^LN`pQAEz$^Ou1H>(4tel+i2u;hl=?d)5&eC+Awf$N?$n8#F^XQ!8Lv^`*T!L354 zcAs6}4;^<!tCgC3cWkb|Q;Dn*Za(C!X115{d}5-O<Z{;C8$arJhH-B@AQR?sNn4@s z+51b29<)~plr_FzC%;s&v!G0D@uR4z&vYkTjd_^4)G*amv&b>d<}hdIRx7>0F10^@ zVq6=8%oV?dt$tG2zf!uD&wBE%*`aFrYlBYjSn6uDE!wq3Ccb6LlON^p6*e)ymTI=J zW9hpz`OT4e0^i(L3bG%mNcYP>XU?X3a;=%g&h<w&E(+f2{K2napBeY9wUgEsel30c z`HbwA$ak{u=a))z8f9)fJGp<+rpTAJ_kYP0MVEbWaxQ#uOfR)%#>qWzg6Hqy%2WGq z%<}Q@gN7YXITpt`EL=TfRg#w0Gxd7jho*<^wwCQmn|NmXd7ktYik~!-$}|NR>HAiA zp1yN#s?4O_i*z^-EuSoQZ;Pw^Yx`L~+fCCK<?m&9sgo|F@b>!C*ey@ZD*p)dMMi8E ze(c^OxhQ9^q(*1$wKIW7uX+f&%3tI0yx6EK-=enVu!+pw+n!RNMIHs+jXeCR>*zt_ z2ld90t;K;=vlg<Kq%dl_t?hPMHf^s^rHS00OVge8ORS})t?S`^D7}hHy5Q>Cwx@ne zS@uX=>)##W^3K=E$i|Il4aaniuNH?~OERbR<uvinyzsN^^@4pG;eXaxNQS)ceQ;PZ z=3TGM>yqTn3)XGg^GP-(g6X})kNI6k_J_`|+q*+qe46Crm<L`wmrikccsO@h7D#8_ znjTbMB=B=4XW_DS4op)0n<s>2w>!KvzOlRaT-0Y?gRj}D8>E?8AG)aNPd|QagVT>g zw--HOnYrk1`*Iyq`L5)fYHMnZT;48A%KN+NIj7jfZDN&kr#LoWsd-x8$yc*~)}7gs z6Q+yr`tfs>>%w^)z7yNuw3R9`F}~Ic2}pXgp<TebC;d@_9dpamQ!)}OpDey~`giJ) z-LlLJMSL&r<!J3v&W`TzyBVTh@~-*Vc3Fe(9LHzOI?ETNz3fwth+XNykgPeZ2kLK0 za$a1~edbM#)C>Fg(@~wfCk21^XN{XM)mlI(JY(-3?zI*S!DqgT`lnTfANmz^$@q@= zz3TpiT>ap;t^9{2#J+IpJ1l(Fm|uC-;IU)O-_FJNp62yGWMZ0?my+{ygJDu@{vWMm z_qQe@9skrPH??h@tlZQuXuy@We~R*(c|UGlQtjIpqW$sn-IW2!!7CJ<rv6#{ugLYV zV5l713xz{{m!72^bymHX5O<3qRd!07Lt{$j(-l9{T3cG$?p!&v!m#o4rPl9;#r>P* z^_FdI-c+;QUQNCr<&0*w{O=RXtMZ%X96#CQa@6DPB<VfLy>C}NRWh%%Jf5Ow;JyBB zdB)58-Jj1X=WK1M>)ElTf<J23VcUZ486maT!@r#r*m(bX&vrc)J!O{~sn?g>4sMvW zYT-jC@l@-NyNeI4o?r6QEBTD&GnF5ctdC3gCjAOut-diZvFHl7byDJgy9zJSJF^xi zb4{){W<PJ=weQU7`HKz9yq)TLlNaVm8OQ}&C0}t|TIaByVTsIxkh7jkoWr*!3JB$2 zTYbp3Mo9Jc1lf~SGBaZzUbK%3UHNq8oLOP*x0p;$cs(sqyxQ`g_x16L*Dp5fJXl;C zv2tt5dd}IAXSkla-_U-L@xZV2_}9dvMia{yMNDv5e3;v$<7QfOwA`NaZ`$51o#eLa zrLMKW8fhy-%SUU@@h1h$W4-72^u3>s<X`dZw-Kr57tYf4I3}vq#y4Z_Y0EYFjtX2q z{sk`fXrH%M+x%!bYrvy*#kJ4BL|oIg;IW%J)wcAggx5C_zThQgzn1RHTOhqw{^fDs z7mB4HcCE9see9QXZQ;5$_3yj*WOg2$l*hQT&yLq5u)5V&O+uL=yD2^W<)uzmzhA-& zZf!7oAn@VbF2$EKp8w1^9wzy<J8@U=MX?o?p7m8d{LY^jZVB6a`vA-ICA(F0`F(qT zdY^Q<R{YRD!%Hb?_T*Zw`5UXcqzbLqnHBNZtz47%p>M|q0nh6<=8LPBy|()1^DgWi z?{}7z=)H^D6MR^cvgMb(`n2e-)TOCS+qHfxnV$Liap#G=#5g1M$fdhH<s`J$2mjck z_Tk3nP-D-=t(TZhU-wjh5m1~y_j7*rg2_9ZPUPE^J#2Z(xaJw7V7{{;b8?N;t7-1q zGh^SJNp^o3yl(d^*0v(HR|)4$m6_d3ukMogd-|1viGx<3!ZK;2b+a@?19>Ap|9eu> zl_dB2x8Q0WsfrZ8H%t218=Q4n&3IzNmQJ>uk|9;uzv#q?b&PuiGA^F^x`}a-`lZ{t z4(m%TrUbFgvyr^Nb$5sEnQ!8M{=Hu8DWk0O-QB6;mcnbFc`2_ht~+>f*@{C4Pu#UN zoaKJ_O_|s(<~Li-{4e=7b${%<?9^%~%<P#s=c>mF=ECp7C0~?PX1Qt}er$5;Ewf+c z%L^-nFC6}!cD5uTv%Tl~NBL=oQ%&FON?&~H=L?A~p_z00x3F^U?h^=Grx9ye8}RW= zE7QZw24R8T8P_kCUff`))GN*0Y5i~RmS-;?AKn(v@v1(RsY)|m;cSrA$J-kj{%w&p z(U+8bck9SA?)^=noLuInx=PgxZ}Lu3-8QLFe`k?(*NuSPM^@JL{|mUNCH`C@e%q%! z*^tH^JL8MmA8uhhu<rJ2>#|AerZXj`$nmVzbkKXefz@n=>!~ftuLTZlij>MO<p20q zn(M7-&~LATVEcuko%?R26-}*>*&KZDZ@RD6np?V4Q-b}UEz|7$wefUTLUdL@LY?D> zY@tX;#e{7KexJG#U1c(@v3XDXbZ(J)@%pz%DxN7OZ#?4h#4K95*G%xB8b@=l;O}ea z_og-q9oIK9ZN2!aS*R)b$HT_yHrv)sEi+e8VfH&5I!~s}^|q$T!j}Am2&pEfFPas{ zJ2!7nooQHe#Q$KzrD=yI-@Kj>k@RXyLv#T1hn9)1d)HYCUrg}c=J<7^dmdZhYH_n0 z?54Z-p00ZMdv}S8KfmRk_DVIb=`Ix>Ggquuj9puMCpjtb?}Cqi%Jmj^bl1O*Q-6PW za_xMcA6h^5YMwjR_i)M8xhFCX7JffrxZv%*iu+C1O3v(`e_`j8*QGzc$96whEWyDz zXW!-*SC9Su_Ojjh$yzJn$=hoCHtekb(ltv-Ur_#*WbgflZf_nvk8xk;6uayCskM_c zf5p~>7%TqRysuB*II2FX!m5okv`XKyLS*S;wubh#9*g#D@BLh`w0GX8ckFGqZaLTf zc54>aOkWpy<4Utj;@8>oKXSjb-L**Q{WAIYZ)V-94IC=djD${=dFAIFsQtQ|&-<Np zaEU?m)I8rQ1^H~-K25evJSUy9M&O2N`^4#XU%pOo*w*pv={JjaY<E9;Z#ndM*5L`? zFB!2<55AzargZP`uhQ2g)HbhQF==OK^U_kC3wrf`oae>-OX}RQdD_;CmnW+#37-7L zlCS%AV^g`sy*CcBVm1F~20qhR)35$9FZ8ThbP)eeyPW9Bhr+$~b#|#v{paBz|BOxh zk%^xyW6HN9pQ`V&&;PeDu&;f?6V<6Llg>AGa+sIy_%=I8Pv@~SQ;cR)t76C9ux}+^ z+`6fz*RPeya=xyRwLW9N_{FXS)99Y-ohp3>!CqUcf2Myak)L^t?WcXN(cQ3p+Z@W5 zx4z_`F;$H#cTwc&bIuQ0Yh<5Kc=w(w;PzT0J&A2;X3iUTHfiyt=bD~bWNO)WfqRea z@*}oP>|Rb6nOEJvA$OI}FWAW~RWG9T+jC2cJe{o42%YbFU4hKpt5(+CNtEJGx%tX% z^A+9@kA-UIat~jfn}6@a-OeT2U(#D&CI2XWDa=qf?c&=g*UYf8a2D~~fnR@%&*SaX z^Z1yX`mf`WjdQkm#@Ro0Kc_`qeZ;n;c%S9xClO0hBG!I%6IpBg<>R~R`q!=T-VEAm zfo*I4+RHp&&ar$6%Y_`J0F|Gvj`Ir2_a+J0uj=wPlUm}sV8Q?YA{P!!b=}xiw|F_j zJ%uHIdfw)5DBQPw)eN0=i<g|8cO=aA$NAL{L)kkPOws$aQ0@4%k_V0x)NLIi?x&m! z*(;YlZE;rZ<8_Biz9_{z{N8vtk3Y{QYI9KFt|G5*PsBE@3Yh+7J$LQO&yT-<zvaBz zXSwH(TFwP~ZuqvR|MxiD&A&BFZi)@xQMc;W2_53EC#V?aoL?rkZr<O}(C$<v>1vj_ zLK^>-EY@~}uiGgaqNr><Cs}U#-F8*4yFF_6W`9+XGI+qX#oV_sy>Ql~g!x~tve$cU zj=mFmTwgvhVv57}iNRYReROylnU#5aO6;0$vsJo}w^beUGcO7|!o%Wz%IH~T`q8Ya zTN1hRqgGsOdVMxoLg16K?VDfwzl5|e;Ys$no_?`*)vhT`6At#JI=XT;wEbDQ+w4fi z_G3?`s`XvZlk2<OueeA-Yu#G|)>+nx$~SiX6y1KRf$`h6i|h=W*K60@o$#G6{KLT~ zb$_31kC=V;bmvaKS8XR-Y$iWja44w##(dk!NsF|lE-tSMd>x*dzt(YCK=bqCZ&U>5 zKl!4z&Sv)Z-eo*fFP_{`Yv2~aqy2QB+Em$i!$W(%8!o=~;PGVcYQ7R*->07|xSmve z&T=??lq3E7Wr574u3!1)ib{SyI!}SAZ%gl-18o{1N4@u4>$C0JI)8-)-}J--3SkU; z9!as-$b<%mSvfLT`WT9?&pmNFT&P|o&B5r;thEIO9xaD54oRNR`EkQqOi}lP3EvEB zVXMk!<I2=;lOJ(DEwE{w82upRbNjN$k{1>Y+pf&A5qNy#)wGo=N|}A1xV(3k2sg;S z2rCmkX>%bypH)nGO?eulW?{R<3Wb9UdhEFFygIV=)$;)5ZSmKhJXo|p=G9Wi_=T?j zv;89%{H~3ZQ+m7n>CD~V7pyP{dOvfS+>^grZiSVaofCz3&fITyfgy3`S!>}3NlkYI zwgmlof9R{C!uo;*Cg&6$sTnq$^ig7s*}JJ!d<)y&CsXG>n%CdbocjFH+bX7>f((O( z&0$H&XBrw!O3!#~Kj0*pyNok+F`xRxwv4Ayc^_s~Pr9pB;lDL^MOg2Q3*MSvq!eR* z?0Fp|;@8Mz&zyf--~7x;pORTV*5)o9EBBsL2!H3jxm&aKtMdN}!=`UjJ|EP}pE~*3 zfp3ag59Th|d-=Yo*SEN^9^trP)<2)-v}nCpV5+?A=6OFg)xGZ4s^RBS54-Po3^X{U zv0B<fmO-WO`<?nT0Vd12Rji|mZv6W5@Jh-m;gZCJKsg5|oo`wD=g3@K&vNeMrQ9v= zR^96ka_jtm%7=BqgBQ!V+ft=(f4x_*=lR`76=JF$*AA~O*jgerUt{64tg7$(_dR>Q zLuQHDB?F;Y<0r5B)#onxbl1Q6cAUev)L8e`i^M#p&gH(?{>bjT@>l)GQ-l4gCrv)L zB<XogS@Iom^)IGpZXPf=eQds<BZGi=DFefyHFG9yIR0HUJB4YvX;y5W;@=a2%+;&j zX3Vh+)!^Kk_F$HKYsp=)wU0_y?f1Nu)GYV7D9ve)_I=YsCb~Vk9T^IpjI(qnTh4Dw zVAB`z-m^zUleyfd{f5e-!c`$$Y1u~;+zmPll`KnMS~YF?Hna9(<-s{JpI$iG?~ZSO zxUX};^|MCoKd$U)aCx%%itzlbYnwU0CLH-)*dn@ft@q3Ab5_TP=w(VA+_U{}**kt- z&W^;0pzKROqfFcDcCN3mPyNSrdY^;aQ&+opALhLfyk_3D%uK&wui5jTAJjQl?U-E= zzGx+*P;SnNk16U7J{x8k-(5E2y|h~1&ihv<^iIii6xGiA<`vD`=gZE1vU|yeeHwj} zW~DC<cF_F$mq#vs{}c6@)m@xF-Lw7)&zoLv5Pj3R^1_w3m7M;c)#MpIe`}1IqCffl zZq*|#E4CcdQq+DgWB66oHZb+Nle%xRjN4wdP<7vxdS$6ff~Hsfe;du~`*x@C>XeFS z5jDP#^xn);E(_n&bLa4#DU1J9s%;2MS><?a;Z*NNj;YTtv&jWK+QTb)Z_)BEF~X0y zM7Wl1;@cW@dJC)QgczrdhpbmV+%<14t8JN?*%@Zpt3m+}i#}wkl`fsWI?3p#|7G6| z-|w`yo&1+^!eXr@)1@8Dr6#98y`z8S@yED^bk*p8a(bc@T;A`R9k^tv<{b$!g_}}7 zTz@9Be+|Fdqu?)On*4i;Nz%W>9Mu`xr_Rq>wxXb)A^FGYmD_8rR$4VG2MeBa`_R4F z&iC*uuD7$ZU$RY#)x7gU+PrA*(j%S16Z`((TAt^AnWK5$o$P;G;{5sa1S%8P{Bx1Y z30VLBm+@lZgPE^h9jytS@%2WMO>xi0hKF9=m9JK@{p{Mk@_yK^KVd85d*_$!ILi0J zIJ(xM{BiBOiGg>1{j&VJd8KTzpxegBsvBMjXNi@I-D3@&%QCO|(8j3LMN<TK=-Hio z;<j+h9E+SIPWS$7wW#^M<#c?c`6Bi;8jK$29AkH68hNj;^FMN5UqZ7jri*vxMmGO6 z=UJ~G>^3cM{T5koCcR}!anZDO6Qb)b3*LOQlUIM8diMQ@i7QNQ+*s<>^`z{}<aMVU z#4R%X@_o1P{yr@w<{)XEU2Gikd%bq*bN<UN2KPf1wSEX4$ns6R%9?(%Wy0cjDzW|% ztj`{cd2r+$e16~7c5jKBYi5Qq2mj?T?uX`03?i#PtTa99+sP6Up?v4~DeI0!ADu4F zjk1$@*}mhw!6(yv57)?5%Vl2~M{56>{W{~6(V5Ls!s*$oSIji|dEk#hZTH1jcD>v) z?r$;R5z0B~P!qm*#!dG}DVo=H&1<&II2b#ZU-O3J?BCCBNp4xw^DXYsQsw!wjLdyg zCAR2HFR*f2dh?LrJ=4h-((9cAg|#`2S#^UZPkM3K+|jCnufaC1-)>7z;K9Uy%NLxQ zz<VNP+ovx(SKL1Rfk&uUp=R#Y1=Z5i&dj=NtEZ@R#5Py>u>blqE4^G-D%!~$Ebi6U zdl~*hZo<tZ6DRJ|Gr!H4YuFu_EwWd0o;^>+s}i?`tr1(Tc0Rv<%+V>mc(diNXNSv< z$e4bpd*Obq?v|ISc+x*D=Hexu-OQKwoR=;8yYO+h!)_achnJ7m8)`ki$?SgkW^zZB zU)1|9xj&KGD|2_WuATQ!e`56HzWTi@70)G4zWU1k*UIbj<$5%KP4=G^HKoyo>&j%g zwDy(%cK>+nq+F>iararbrQiNysfDMdKA)SBB-c7gan(BI$ukd6bYa#1^kuT)VHu9e zoGo&yJD&OYhP@F!JJUY+*E+t4dEvc_YfU1>48B#^#=O{b_nyPWAEoX4cEw*x@z8gy z>@__TUb5X^o$txb`d14V3H-^nJixWx-$ilG9erULc7gBPuKm6p@u_yr?Ih>tVOsky z*DRXe?NpPm`G7$ob@GJezFUn??s&VSVZlB3g-<Q4d#|iG#lz?=;cKj{D0d`$>Gk@S z)~6{+^;f0~)o%Fp=AX)fn=?(-miEq>f5K9_uHt<$-$RFFu@8IJC%Ubf_-Vz;#Jet0 z)twhTZam?LS-D4DiOEUw!?U!X98Rh4CYAnS4cR#LwocZnQ!)Q9^{z87Q{&m$y!gz& z$*0!(@n(EpSHtgle3OWJM*O1RQjfWQo=G%Lmdc&jw^jX>;c2~Kt%*E^`A(JLd9fc} z$ZX+zrg(hA8m@26=QF-fHh!7$B<F>P@!V>c*-2(|V^!DtZ^_mBblhq0+??d+Hy;Wn zi`>^JZ)45a*{*GKvMF(@;+(b*3(mB&Z(s1peErp*Y!Aa#t3O9hwfV2He7o)2Wpf^d zn~5)f(0S~uxqM#S&-#yyZr595dd_f8nsp(OE0wpy^q)ABEZ5`S-t8wNMGr0bZGU`Q zz>@kKm!(<K7UxW}&@;B&R^C*7OteVdH@Nt<?uVtk+`Y{l9e)pH2<)EwFZRgdVxgX? z*Q|r)*i4w|I8B<xaz(6LeMhX*)&AGvcVcFpe!f>Dma%_BfsMiSh}BvLrdk)KTU<|$ zmj9V~=H}@D#xMIgTcd><5}yB;`E*xr*W{z2GS{}(J>5TL$J!O8eqz_^_q_YLHI#?> zXj-QHLH>Oi`rr6V&5u?nS3FGmd*A)!S+A_st9HbvOghJO`0&M0>zg*~OyA#lu;Wkj z7qKn>3@_M6KW|uH@oUfC?_0{xJDz@OuEn$><8xdN|E$kN3q5W0=EUxww^d)r;?W6> zi;UAR{#=roFL$)=`{dn`2Ifw|RulHUGA^228(b}taAdvalvlg*oN{J_PI}~XNblUo z(5q4p|DRZrd!NfgcJCD%lUHv}R33@luUhXRaU#?-kXgiMD|5DDQDBx`{mLgItaB?P zScMn5eTbN}t))#@o40Du*Z2FDRZWR_bzxoonU?{<(~WI}pS|dC;*Gi+6Ba$mrpIRH zO2#icZ#Q4dytw~?=QX!p?zZE%elvL9C@k!~5p>^S$I+OVa~wIDVn3@^1YhgEBwm!z zUJ+WwURm^8Zc&m&I_Fou9S1ko#F#|Sd={}N?#7ChY@K1>3oHtavLdhMtT;C_>&$27 zU;io+)SJVKOINmO@@sCn^UFQGK-nkv{jz}F0W(U^9&L*c;+Z1hd`o<9?)*ic(qw;5 z(NKz4t@D4iKdR(aH<vNjv?*Rn%l>N!PQ7e%X4y)^?JL@Ajb8Hooz3d?LEbh?D4q9| zgw&q}$13<+9|d{LEe#6~(~Pk(@d`2gztvFV-Pr|Z$Jbt2c}PxkZ@@&$TXx<5Vqd?J z{HOgZbFbnoAKia;suJh2roR8@U}RgR$my4?*zI@w$-a{9U26G@w<pWYn!)}e`rFa+ zLwbjfE)9RrbG1L}o8g-6(@Oi2^MjdhHt$JUxVb4vj(y4c<ca(@Wd0?*zY=(3;ivvb zeBIOe<icte@SN|z%{1%b`S`}yJj%1xE~oMSNyxdX*Vo&a$D@_sXguSzU02`A^<mxG z>ftkI3Ucy4%el|=WPOP6kzX_C2DW}Ok9%1<fjjg0>`U=1KeIkdOuU|^qV`g^)cyJ{ z(P{lHc2~WYv-bb3d24p?SWWB9aG@&^yBDoju?udT>*cckd5z2`(UR#b-QA5*D$hQA zl)Ch>xmn&?^+4Be^H02zI=c>JS}Oi#+5T_EQs&5Xi3yiDUdWwXU0Zv9`gF7K3qRz0 zw%bl-j&5<y<eHMF6254PuKxM6d0DofBklb;x}00wcw1*hy2V#bzF~3B_133mO&I~@ zr>X~A?_HRBQ>#CF-zkwq_REw0qz27O{Au=iUB%}46<&sJR*I?K7k+MkEW6F@3}?-s zz3p>dzTT>C6Ko5g^lw?#BHqn%l{#-uTzn_ye6D3FqcQu(oLeXPU#+sx($T$jQ>NzU ziNj5m@!5ZVISSlq=44m!PU4wg95=x=zw)@tZDWR{#*-P3&vhKU)S2y>w>hmgd1veP zIr(Q2RPTud9?sqKq+#;P3ww9S&HcY}!RDf*_TBtn>aRqmd@W7!+x2k=zu&)KQylB# zYNp1BY?cyC_P%jHclA+LuAO?D*8Jw3S@bh8E;~!lc%pIXJ4NTBY~QINjS3UCJ1x^- zj`+R!_0Ht8TUUQt*7@xg%j!w@p07HWRIK0N?SEbFne9H`Gs0;H0#+sO3=eo)usCXC zjBAss)Uu`Drn-tw)9{Y!7ruU@Bxv!jZmX#|`Nwt_{rmScXT6Q)y=$>)ZPlAIeq6IS zS+gK{W?SIRkk8kvy#*FI<=^Ew$6~PJ>Fv1}reE2pA|$RJQ)cALrrN2yzF$6GX8$b? zfmibluB>d23a@#1`*m9<e@NA%bv##l=NiVVn=qt)w_4z`_}I5;;y&+05)Y&tND}JL z<IV0+ba!O0Jm|hH{O*&w4Rf1xTATP5L@3BK_O3p&Yrf>#!%9x~{EBz34G8+v)H7>$ z!-p+CbDZX8>hUlCqdogv={iBa?+p_y8&d*S9jH>hbflQC{6${R#c!`|KQadhw%cD2 z%7|W8IO{}JYPg+!PxrwCC;iXxhW5(uzN5#u?SjFp)_>R6{X26*?0VzLd43HKKF=<G za&g&%g~j=%iaV?4>0WXw<}iA~vib4SAKRq2iv2L%`GEb%)(59vnujrKYM9tP(dqx8 zQS@ig4yK)=4R!}LI5)KZ%w6!&S$^8w%|}?*$nLJ_w=h`yO4WScwfwR}^C|^c_*AQk z=H<_uwqu>_-jA1B?o>JF|H}O?o@1)~#31|67x8e(2ZhCD^=+Qd1Lx?ND7=_pSS+vB znNh}Er|??oj$U-u6`k3xIv4X7KItw|P&04!f3dheyY8|VOP24XE$3afr$>HWrrxqD z^EAJJ(!!pLW)(iO?wr^V{ovf1S^rDRuXsLs+qVDc%SMO4T^W68`j!10%89$TCp2VU zmyvxhBYfXx4eN)7AAwiqTTFOnuv0fmd(|u<O?}B{OJ=T0ayw`LuUbg5ZF^tq%#{gm z#TQQfCbB1E`h5Eda+_qsGy?hG{Rk~vTCD54WzMx<b504&)Ys{_d4XxFfxhz}`P{C3 zKV$rM);s-}aN|bg#TK>jS(}e!s|GbKn0Wnm=AZk&TAJ9FZz_&+p6ZwODEGOql5k?< z+D*0BZ}D+R+;{QF%v+is@N!GB;)0)N-#n1}Q)}2)A}-l=WPgG3?t7db&mYNLuwUbE z5NI5fbjj_4M`@8T_k-9BU!Jx7j|*g{#~FN@(z7LAn#DG6uUctKoKjunL}u}#<5m%G z7fQ{JU=N6vT$XBkGGSTMr7PL5PrI&~;-7V4dX3wEahWOm#k(dvFu6Zh!qnj|@8PF& z`4=DAW@%|=8T-TXc)o>nMvt@m&v)ICImNCwex%16^S(d#I)9<ru_rRm?m5g-oK!eT zC#K}xuaCzk|7G6!wNK>GpOep1uH_g=y(~Jr-|%(G<yxkkpr4`*`!bv+9C9$4AltY| z^<#BkZT{!K`voq(xAcB{K>g(7U$v6|x^&-4C3cE6ZoGE>hw{~}`-5l4t)8~aIquK% zv-91nt3Rh%{HQg4e(q3d<VmCEiNz`3Jx@<;pHLFGRsO-O=1(HCrIw0L%(?dC?pyD? zbno}ezP_tDvy=B%RM@#)7pH9e7xu}`mr3G{YPIjt+=q9UXN&)7uQmEruV<#L{`tb^ zi87p$yV^f3h_OAndqHNo`k@z*0VZA^nG3)F&OFKa`I79dmwQ-_B<$Q*>Xfyqa>bLA z@|RC<Sr9*YdH1_!)u7Y!;`{`>Et=xuza9x(Rl94+*?WH{*;QQ6nRS%)R$*7S)~0i3 z8+jJiXU$)y!{;s4{ZTo$_vdx%3*xJKmP{8(nA07&_2|1yo7qKjRz034IJO1t*L-vL z-IHhcZY6*J;yuwn>1ab-=Dvgeet)#MCM^x*7M*jWch^tp&bc;g7JZQXDp$#Ind$h2 zFO#F3!fn?{YDLcBR5|s^#n8ahL2zbb$%bzWPx@QhEPk;5v63j40VB`ht<HZp9C7Hh zeRZa5{=VKkr_NoUd_D!7H?j)4mwRq6*M>s@+iG7J85fBB`}Xw6MfZa%FQ~Mq-HJbU zVY6?nkMI8QhqI^4lyxkWeJ)_FwdwJMoAIXJcCS-!hipBy=S#T_--m;0E@DdrHJ0sP zyFbj<mV0ADPWJquZLQ1-i&mt4n#A!dd*kAHT~BsSHfj$(f8=Xek7LfWtfwBQXE#;m zvbOWv7#zR<O-nDv`24hsm*>3N`bFZ!bn~lax9=%0E(pGGVZQzTpDYa*Cp68w^5S)V zyePxYJhm03B1v2(jJJ&}&K{9wiw(IuSLqgO^QT$-`zD%B-Tq`%*0K5VU*A1WVKnRG z4X~TK^1l*~{-PyZIS0~R3y!Ru^z?qnr`HYzSIqv{+ofa~CERaMVk(rH&&jFj*(u-h zVB+IAR_#SMY;VT>|KI;2LF@YTioA1{%%8VqazxMAG3|HQq5db$lVyV6O}}$E%E`B; zaGQHjkhahz?dV;b*6m8Gm0tegSfQff-MMYIrJjChef1;imX$@+C%@EV)8q?UV`rqE zj?@w5UG&DWK<7lAyX`5HvZ^ID>Q{o|Cd4f0bBOFSxg8zxYqOX2wXe#m<^h^3e(f+8 zKVUoMyrZPJLE|TFi-;LQ-j7!?NAGU&DlPcVajx&hnP=jDWg8}nuqktX;g6o>GIf2< z2XC9U&*vANGxQRAbYo-Bi3NWT@WxDRiT76hHnZMq<%z(gV<$Y$Yq^@-ZnS-^^S4o3 zCTnsZ+xy;ZJK@SW1<}7toLrhzE5rp0o&P_b`P*=Vm|2=}@yYbW|DLDT$^KAYvad<x zVSI|_$`#U;0`r9@O+9qwU6%b_Wr+@NRk3GL>MPd#e_8w1=iy%cJBsN!N6S`5u!NuX z6gFVse6eb-)ZXQJit{{5&daq`WGA0vxqkcPiC0?Yt1Jcl^=7%*OkX29x%=mTWoPcA zS8qSQue7<5*F<5;wPoG+<Y%<2M_VSu2dg~WT@uJ<e&gM9laTF8_Fv2M^kn0&>yYs~ zWbQV{cb96QW7D@42QFqV{kr?msfW(q(UTX1thmMgTko2O_s>v=o7%BC)_nF29r-DD zH%?kw7r|E+_qDJ5SM{l>H*VS=kDstxE%rB)pv>-BtD{{SLjD|$ElFK7KeKRlZb3iS z#wEE~E4PG4n;o2%a4Kw<j$G=KuXPIq!`8l8zJLE5uieg3mu4DnSk?IczzZSm4_EHJ zdU@)qLxcI*dscVnvIR!{)?D7dguDOmOWwlUwUcKqUzZ{C^=0nCyX;4=)GwN+G@~W{ z{$!5&Lp`jTqJ4j|Hs|e3+&aDb*_H5jwfEjmpTx`*x>s7(XVpi~>Xe(eTo1gFN)&0R zdC%?PW?lN>rr$rAjg<|?Cc7Q>CjN;OX=zsDdcdO?ySLLYIVU{#!p9s1q{AQ$(-KpY z6ciK`49$}b7R`MBW2W{p?#KGBF^^Zw_w`geo2smT$ttw}eUEhQm&cDeXFUHS_2`eA zss5f&sm0Sm53TQA_oZlx=Z|8QDY>r=1<ZGJG8A;yt>vFnv{h?^VZ@0hxiGZ`fr+6% zw=zF}^vC8Ovth&<r9Rhps%Q2#7yOO9&k)P!zew%d+rvvd-o*Vfnc8Og(P*-l{$bgh ztS>&89T2;kAH3&mm1gWE=I%$uJ)csTO-}ADEzBzDvCuKTEo47+lH9V;Z$TDz1zV+N zKJT{GlI6d~wqct41X+!P&SvLkwmHh4E7&?`dBeXu?giIdv)0dN)l1d4TkXhTeWc-q zY>)6B?OO+quBlVFb>MaL{8?Kji(7@v6Y!i-^&zvr_y+&(DW|6Rs@D7o?bW*Ow&+Mp z?k&p$C9W$oeD_T7zm>IK_Tir=R)-~Kt7@gcJbd&zgK1gq=LO%A1a%Ik965in!c+N~ z)uqQ?6Km$!csP7N^hUR9{eg$y+!Lny>5JYHGx+&qYe1WqO3%SdKLiETIyoX2ttgRJ z{HH1u(P+r=EC0^251GN0sp4xtlt}My=n4v1uBr6qmb!D)-zgCsA@2@OUK2EX-S2I; z9hNW@&3km=-Sf7kvo?8eRK31+VZ*mI?X7x3;l|J3x6}nBh)(62+2&KfYNz}*yTq;! zON?*t^PcE`moqy$Pw~3ViY>{9lQy>)2yRj_`p;+iNqI{59>;v1mlH&f`+XN#zg1$c z&Gwu9j1oL9(|aHNoqOc4Sl@QWw2H2NoiNqc%e=MSUwazdspQx>rO39G;Y$D8r+h4p zMl+I5?J%uoVmo))W2M@Ulw6+VP0QD^a_kQ`lGq;lU$^%4<FlHo5>L(Z&#ZoVvgA(v z3e6rdwaxB-nwnnTT^KXnJ8|jjg)2<ktnLJg@%Xg<U3_+_$gYpNuWdzjmKu6kZPn?` z6nirvqhjLz)RKIGgRFrMrmjEtt?p^J-<KP|y1#p@^Wd|Z{Y!T0nKjmVze~y%bFbgU zD0X(1Z$rwjN5Y?GC<(kP<BgBq^@gY2)R03hi*3_0iCf8y%}%KsuE#__Xia*_zFTv0 zSIkO5i6`6(O^#^uu20{cx<lrn!JGLm84h0-Xy$y{uw_1{*0Wc~OE>May(eEY{q)w) z-~L>^czo#X&#q)^q+{K6?I+=Z;=>OELE;PwI;gb$op1Jm1F1r{yuXh3oCE`&|3< zw%y?Q%^>&tQcGqZ51V^v{h9QyVui0hh*w5zymol+$@27R4QYo`GvsnVPLt!5^t3#_ z<j=G@7I_P7@;28_IM+JoyU;R+|MlJKCR15IyfbE$|G8YJLT**J{jcxswSvb@9()hk zo_wgqv0lRdg^W+*zsY8C+pj6@I_<7<WAo$$fu~z!d>79Y)U5r|-=XnLKuO~3VrTZ7 zT{lf<oM~thJuod=Zgu>TX)DiiNTt91=#X}~vtDce(xBW7&xeOPU&wzc+2MM$&9vx< zD6cF}`=lun6T2qFPY>XKmsZyEL0pqTFzE8ynS0(fu-|-?dU-{V&&v%=4w8F>db^dw zII4ekZDMT~5>nIe-TU)yvURm*kjS+6)0-A<c=INFcIk>M3yurA=yHY^E`H|Q_|whD z?N-7<{-S#`XE+LOy;S-kMfLvkB{ypvyaSC}+4ER>nzPN9tl#xEFh({_WR;|)n33ZA z)w}DqtbJK**&NNXBvM#NawXq7*@QK>xr;r%KVD@mm$#ZjeBX^L_b)!b$hza@orGi! z^D?L4i*k0%a^ZG@+fIIZ^W*V^*!Af-%FK)nHyr%7y{gqIlv(xKbK#Xu>^FWJ`W`c3 zW#vm&KE{3~#dwkTpP-%ZR;mRBZD~3;=~CGqRc@ERKaUrlo&2dGuVlx$MbFI47filZ z#b9vy_PjZn>+Q>Gv{w6we6>7tUGu~nX5Ra!UN?xQzbwj8(qL9OHRrbJo0_LNO$_f& zs5?8T*l;`OX-?jy`Lb=(7yE?M-wIzXQ`@dz5@0h`|Ft~NnMyvr*4OJRLwo|V|K8Ho zQZiewx?bnIOile)&ibZxpWYfwW|CO<=U(Jaeb<WT2SnV2OWPAoes6!eWNWQ^Mf6%d zTmLo5Sqi~H7uW6!^Q(RKKRaCB>qlElD(h1F>kXxhpVTth?8ILm`{fgOahBq_8C#!Q z<Q!>tadg!%SDel9d-=l!QcY_j%3aTWs)_Kk4?DQ*(7km6jNJ<st(t3F&L3nTo-exS z)INpSgEA&}!z=={ZvI$*|A=7wuN|QpZd|61HLhz(-zW>+Z@J`tg8#I`u`Zm)Lb5;R z-D*D8%f0u3)b4d!dUaFs1AP>Yr<qotn^Z1xG?h*67vqXgUlca6{d#Hqug&O*q=}*V z%GoP5<S%5T8J@fxFK+w!&zy`Tv5HTp>NzEyCor2Fym`{~zy_f!J3O|h#!8gzc=wNa zGyA!@^PI~6u1%D=9QJ>6?y@brjVJc`Z`yqH(YL-b(RnBT|BPl#RrNnQL#yE2>eV^Q zM_3-u=;4W|_@ujKyKCpYJnz6vreifdvr}W*9(8Uwqt_X8>tu?9clYYQM#TqnjW2G| z%PMxAuJ=yE?9wAm!NAnVA3a}&sTT`zPmTREtE)mLZK8N-FsGlL-d9V{xRrZ%cc^`o zdXUqaDm?A3mhem$l~27(S4te^Yf3!a6J!uE@5+;3`|9s(to^;~5(|6g!KdoenE#l& zJCvS|@^RnTAGBXYV{uyO^FK+!|C?++uVoI|6FzCu)3%e3w40huPARv%`nFxL*i3b% zTh`=_7v`9Vd!<(h%B-?cXRsD%=vd4vQ}su)DQMEKueJTMOqcWSMr*xjpT+-2@{p}T zNdAJ<ezoY8C)v(AEqi%>&qMZ8{sQN{7X?|liCq2Q`NVxovWhuN{K?5xQ{%79ox9}e zc8SCc&eyM{-=w^{-xnKyKZB)Jq)aoHwNsLN_KC@jSqEKtB~Qlx7Ti$eJST9f$o7M> zo1gQwO1-XH|7Z20b-X9;zG$EGYx%Q}D<ynVcfIc{+jDEDpH5X#^s-!O#a%tj%dZ`< zj}lSk`SeoY&dONN=SL3jSe5hZ$ITo+@5^=BcjlannkUMA=}F*S)=iItYr;-<M23X? z{G-b-@mPmQ0#B5WcA>;WSM%Q%WpTTrcgtSBq!Z>5uCL)bL;mX@?+<=6@}D}ne)wFY zq|?o>^Y32A?$!SkZ(pzb+Ws>0-SUp#&7rSi1=FM!{L?Q{cy^<3a_B@(dDEQ>3{3w& zI#?|CczM9tZEK#~i93?e_(NTqJxc2~`{~l1!4l8B<}PU65_356dG5_#QK`KgvPVx{ zj9SNRHrH>?%f}ZxIf}cvGz_o26=d3eR^ie4hRja0YKLRdpS&Nv>3w-p^ip~Cg)G@u zyLK{dI}&Fdr~SgAQRyq+iis1dCuS*ZP@eKC<^I}fpI_R2nX&fiqOuUhTk7)ajFxhT z1PpiG)VN@APt~R}dtR=$UaILuB~8!WFY~{xUKbi+_M0U%W7qt@Y|imBwtv~YQTT9n zvCWM|;@p>c6@3@1ea;#eUZZ#2_~~h{h(P(Ah3?&+5jlbp2Ky7IOmw~E*<<~vv#)#e z#!tNacA45M@-s{`VNF#jixiGHvm>uy)|;os7Yxpyi(C-vU~AFb!_UH6VmmGRg?FgO z^bP#ec|R(j6Y!in@#Td5lZ`^nW1bgJJUBx!+9LAH;e@RfB9SYny{@WJnDe@op+_`b z=J4Yh?Kf9A_CMYB{=$M_&3{X?BB!o-YjAy2@jDLJ<=nh-C%1ju+U}iS%$?iyyDe#} z+qEp&t?$ca7>_iWzV6moFij%u<{R&n_@9Zky}U0^uoQTo%3PTGHRQ3!jqV`6)Upl> z|0g$!-%cy>^qQ%!TvB3RIj3tKd#cWtiYNYVcOsb5^IF2sFJmlx+qt3h_3LIYb?4tD zrMyc{{r-6{NqM3Ey$Q=0naY|q--pEgJjp2GHrclF*81|agSm76giSi!cW>YK33ue2 zeSc5VydAkQqboD}jZd(1mERFT@dHjNzYc^n#hotNoon0uqnca%M@HmVj^#JB_SpS8 z@_AYHt)QyKMf%sK^w`Pis$Sgp?GyjC($I^n0%`VVmj-gPE_2^7d%BfR<vH_vGi)aJ z6va=n{-kK__Uyuw4bu`rl2$PtnJ6H%o_oXT`pmykcPBA9$-D?OeK@<xB!lffm*Ne% zIlkOgUG`sESlVpwzb?)4{`~LDrlePA{!7%Y7Br|*J&-p|WxtH5txRTf>hpWe>zR-0 zU72-5y(6=C0=t}il-y_Tl$C#!jISGQ*ZW!>a7|(oBlB_3;t<oPzAv3GY&o@U*SUMO z9V<=6I4ySXyByS*n0Aw&|J=SssjFPK?aO|Ce|Cl!v-c0#shXk@^VoU}D<7Qo+1st; zqix-1#4u;u^Ftm2%`L?)m6n$?jd!u%_sV|4?%v30w3NMYv&W=*={L_zR2TogS@~&m zlrERRqhmY$+7EI4V43u$d=*>t{hdz}O{KT!WQb%H=N?_>XL?wv;eDHDWv_QwQGDuS zVU3Kdzv{QignK5XPP@C}!f)SuSxf96><qXi*y{G6FDa_GW#6`oPda1`UfOiqC}+yG zKFgnfN-<&nwxwmxZtdamdw)f-=qIJIto^Wmm$q$<);vxL_G5-8qwHQgo;3Mv%i_O0 zTkLkH+l4Rv-uImLP5(J9?bn%d*??tox+aZZO+y_!d4iUI4-%<~EUi#}b2|I~;`jQ= zYK<*Mm6Mt|oL9a&utrHzR<~O2%#CeNB5qpDcFrni?wP`OC#&<)H~){dJLO9L`}%Lb zoX?qYWTk^hM1l9FIX1J?Y|H{e&U0KT)!NRzxGUk3jz~EBaZOE$=&oaS{`WYqnJfQe z{eMFBqxq~K8L~pI3H>j)x#TZb+!3~pD(79G{h0N(dfDk0fq&;Eniy?AqBBkGvay0x ziRRNAa_O&IIaf^VTj3~D&c(TPKZ`b}uw>zc+ZV!L^KQJSF`=>NLc#qXk1uzX`S*RO zmsI@ny}tf)nN^-n&W96gXKk~dxq53_oA_j-$t4q)9~0dDV}U5w`e^fdoswHwGxN%O z-&HZRmAu*W_D$P$TeDW~TCH6tZB=>nUp#x4f6MK|t8>g}J@l3xX1OP;vQqwXnDgE4 zzq4M&K3B-N|M$QGQ*owjj+D(`-Q^!0@!s*|>Vo_uD;d+C+Wv7}@}fYhCE06ZGUpxR z+aK<}p3gXIc6`u<o1ZU-Hp)t_U9_xe?$HPTD(|OFP+6GW$Xw2NlEdsfkMU3SME&K@ zgV%d|7uar)Oj~NZ=Kr*kJI+QYS&!)Z_ZQ!P*ZIQr^0ZIk3E{>Lci(ra*ro8T+9iA8 z`|q~W6FWLZZv17vxi@!`=$j>PKP9!7o;p;vF>umk&4m#$y-zYUzjyJO$3BU*72LJ( z#nr&`({{-UuL@tYZ3o-Zt)i|C_XY2He37zT%E^%6A!H|JaxJ#y-@O&aOm?Ey(vx0p zWofupRsBOyc!8AYTf1-4oY(YDix`K^_<8i$^<$fSe4TCtojcCJop3cK{DwmAX#?-r zxgYl1-E23`XNY-oL2}xAue%T93XP<ExZ^wDbcbC!xiLDyOIQ8hirqJF$)2db_o85K z){!RRA8O@pI-&w!X4L$XjkCB>xAe?T;jTk6MRvNUzj|EIcCq&`Qt+`7h{{*`Yk1yp zCrje3iZZ60CtYT4o9#lXj~nQFD!sCL@P4s*{F_2&eTUxve42N)qkf$&eLOir{Q$q) z{mBV?@<mI8L@d=rCaN}li1{t7+Y>g`#7J1#=|iRcdbhvzCdG@I-1RyRi10O@kxSB4 zG`{!z%!ec2c&A_aBpAH&$IRbTjk$i_=V$p4_3obQnIrr<U8*N4_ta|n#^+3zKdv(I z`kR<2zsT0Ibx+lP&2(k9ntt@ZpIcL$id(O)<AT&XvHW?#LiJq%#?CGL#epYUmTn7V zl;C{-&p`U8-o7cRtv?kLljSV3IA&hCs=Yk^6(8#d_Vc<Ljgu6DbhhWcHE3@z?p?Zg z;iu>a8DhrMc65oQM!tR_tHm~BWdLh>)7PrwW(Vdy&T&}Ecp)+5-xTJb4o-o0&Rw1G zt5VmlN}2JmTAyaWSxGRr>HZqeb4zbn-BEJSxb@#y@=*&*nvSVc)3tRf=f3^<+N~Yw zntb%s-`}B{z1r#XPbj>2v1%)SVAFoS+SW5cuTJmGbC+5i#Fi*?$n9@w8f&E}Q`Rq! zi~1Rle&;WJ|032QMC^kGgQouqsmbXd^rq?+K4JKJf11FHJ*OC>Z4`Fwjr^okxywM^ za(S5MR;i`6e!JFvdjFdzR!cZ5;#dF1yA^9cPEOFyd(x9p7UL!~pLh4JB@Yx#p6+}h zQRDf@`iN;d=Wi~-crVA*({K1qt}A@>`a<}k1I$rx|NcnzbG&wAy`dIMVw3<wirxIM zTE3KXjT7b+T>enBaNCJJPb4nbZ>x$lxX*g5Z|f}W$1@ofZY)1~xOJ`2iN9B;*`B_+ z`JRlznzLF=k}l%@3TgKj9&6h0Lvim1jbh2)S2k@s;nDqHZ9^LS%*%)Jy$?ktu+J*k z_V%TE!Gf5(GU*P?NA5-I9^qfLTL1aZt>3dIONp>?=&Qb3*D`hAF6LwF4Az;5>Gl`y z*qG3L<s*k~=atp}UiBL;db^w{w?ry0=e^La?2V%T6rI?-1xz?3>KL~F-IMuODV;Ot z(InqSmCSeTGlXqz=W7V%B{^%P?+<_YTs^M3d*;5wr){sWntUy1J#4)}qhf!IPWPjY z*MwM<4`seT#BapSdd`4(H><XOcfpbQ`%bWLcg^0Ua@#@Fy5yGq_nfCQ_FL?^BXx@B zDd*b!850$l_Fs*>zUIpAV~juVg#Xz3Tq|t?-=2i92RzpsKfhWsH{{S;@8#-l{@dl9 zCQB;_-1uYin030zhA^`U-?vpuUC&u%o*M0GB(vbbp^JMCP0XBJUiIwuWJd*wIUGDQ zCX1Xj{^ByV*fsEt?SmDE*RXSc-#`6^*|uB4=6{?fUzTm{-u~KV#*>S#3pc8rIoPXp zzrJf~;lus?!BZcXEMRJWwP|sNZ2ij*y-8XtyH{6P-!5UBzxI>A{)TmRTevljPKY`p zkz^%my8nUYHX(gh&AzVP5r%#5q~0HWapTgpWl6^$<ce;4Q*Ix&yi4k)TXS>+-)kAa znV(dTPU~TmvRJTX`qSnaTOGONo`$~K8s@+r>=E(aCnQ+p>;l6#7mvx@6hA9;YD#L- zs)p@}vf>lhT==p-Xhvw4Z#Nqw^Es_Y+j)C!?7q)m9p_d3e0O|6Y5w$6`>q)a6tBA> zBH%1}ThU}@+~?<uw#3%A1fTem65BHC=N8=;%tkS_H<$UQXa(H;v&{Btf_%UJ)4SU5 z4Lf&LeiA?Al56`%=-%^fpH?`u6}*znwVp6#U3ZdFnOUck>ooa}{nz?$C6&7B)@j7| z@FiR=^zU@nt<QJbZ(BdZ>robq_}TQe`Nf{S$1i6zu;_X@yY}6ibfjnp;{x+a1KvB+ zri6*Rz1Zw2w(idE8s^!0&+{Gk)dV&+eabg!sbV}Sy;|geOya?fMK|{f-j%Mi{QX$f zX4jHSynY9F_T0aFeCF%sbr!ra3#(UE?4G>a=<mDvBI~s3@3vp%>#7b6zj%1TGwFL5 z8szm^)?dCVY0|pGbFQYt3RAU5YqcJ9nKKk#KVBN1^-PL?h4`DxrlB+EiyVHjde%wi z6H%TaqIa%&eqK01rv3heRf|(Yz6VsDnRbw4@3hR^2+3)p5fK&zdsy}h3!bR;Td_lS zmVkxvqX!fJtls)#^L8ig3Nz)R1Lx~M+cxHz{rFOQ_wghyl}CZc#HYM^<+FmVfr~|i z>qPIYQVoxy@ZyKBUE5TCm6pFT(#cdZd>Uces(T}D;uXHq@WN%5Zc$P*d%XKX=lq^j z-}+-&)Lxb(Z^Pi=SyOF3F1PI8E<0bR{EA0yfbFsibyGARex6^cynVy79?w^NaxV;v zzW!qC;(R376xrMs|At3(<Hp)+VF$NyDQlXuU0r=nZ|8co^(;akc*G_!X5_V~-)-8F zFnJ1RZdBMOJF~Uhm+f6wSz54Z&(=lff@d7Mktr&xckWgGj2P!Hb3gAoySG5*NZyV! z@wXN+YO9~`VbX5Mn8~;KdzwYu?OUpb?;2cREMoe4FviMeW28mU^^YF<Uw)WwO1eAa zY*k#SjA~73wa@9o6Rv!rkFAUSZA<07ZrM##c|3<Xf$v1Zzl*byPCY95llf*7!{KRl ze|#@@243bpaIfun)sE1QF|VX&<lfw$DO(V#?datfAm27m>g+6TZ`p@N^AySt)V`Te za{n)bPu5#aK0mK{ljOo3`f7LU1b8#Eh%kVFhx3}KLrag}S4(GP0AXGRPKLzNl+65q zqWomN;*!MB5LO1}jwy;68e8@MF6e#0!m#Hq>$)dhRVuy;T?W&R{r`V{lg{Z)Kc>yP z`Xp7rPmX<Cm}GEg*3456_!x4dtFCU-WqtiN?w3fz0*2h(Z>s&v=fs<S{i49)xS{T` zQOB}1kJZ)OZXJnb`m4gN%&N3q_<@Iu&7MN*#>9oZhorU(Uznko9Ppv6Ny31M@qeEB zhw6*Rx0hQta_<$Z)X7tiXk7m2+`F=-T_PGUp5>`e=x(kq-aU6gZ*G%tz3}_IRXb*v zmtTIDckS+3k#gaqcbDv*U0fdgF7Mb~-yOSumYLsumv;MZ%I?|f@1EVd8?t+LX1Va& zyjeSDr<ZR&a@S<{?9}qfNA9ZZo}FAC{3fsMuJ4B3KTFN`zDv7)_sOo=(c90?ynXCU zZt$~hip95kcIF<rW0sgFZLt09^xMZy=U#rg?P1aFoSnH^`O>=EeW%@KJDpqnblb+F z+aWu1SKKiR&Xd;M?mOi++o{~oPqq~n-8R{oJL8U-cb>HBcHc?2*-qvbKiOtjbX#O+ zZpR%n=R9e}?PuAx`%bvcb|Uxl<82G~<f`RMpS-R1DJ?2jA%EhcJ01afOVrILO_pAH zviHanx2Pgz&7Bh`-SP0qTcT_}X`=MX6TL1^+@y+>Id@Jp+cB}}j)z0u5_xl>r@bbX z%AWT;CYlR9>HSinY<bVaE^o;(>6OQOk5njs+%d82jz_ooq&{iSk8Y<5l^^byD3q^q zMEd0iw_SzGlJ`7X%_sFrcYbhNRj7P%$HcTd9!7af4oZ7|aO)~mKDc8dQ@+Xp>6h=_ zKJA_ucgLgFT<Cu9mU88tJ0^a~TOwv2bg%cwBe$qR<%f4YwDOkhmOlB;ZPo6HL3cbf z@>F(7XTEcrw0q*CJe3{NFW<Tq?VflmPi5QeOjj$zgSS|+whJ%uZ7^%><UFUQQE1(G zlO<Jn!i<KTrj55bkEn6XX2@w;&$%a0{l%<??54HGX?gZjcG^$aY2UNczGJ6-%TD`- zo%S_5?JIWLm+Z7J*lC}$(>~;${FXcNo9@VOh}js_dg^VvX3!#s%A(%~J%!c0r`)OY zXnN8yQE9t;2an(;#|gLj9qI!7yzbR?wA7S*Dg3=~BfE0H>~?uE`xieJ{7^j3F0)-; z$X=n&;!nZj?Uj3SW%8xvxBEWMz5IAv;-1`!J7(5-vya|(JD$6^;`WmrxdnI3I=Aya z$}O(AeP>55PyXyfw~rmmb*{L5Wk+tt9W&!RY02$pALJ@m+&;1+H{p(1<96N$xu45# z|F~<WpErBo?Y8&ZZtl*Fxnowf-M9O;+WT#Zg}2x2$o-HfExP^e-Q48z+gI+IY350b zZ0Eh3Yg~T	gz(?Ywt#KbPI!an~$&JMZn>;z!#ecjsQoo4w^W+uLo1g}3M2HB-%# z-h6x6n{A4Pw<p{+OWxjlBUiZWcEeq>`0c&db1#?PF1TwJ{r&tM^WyzyYK_b<|1#X} zk!?QdR_~ND<%M@W)bf^WmR@<JH>FH@>Rk_&Je5t-nQz>Lc27K%r?Nr%<!iT3yC&|+ zQ&}gS`P$B^SbpZt{!@3{Q{F8${62BUZ;dmxEYIwI70Flb?B8|AJ?7nF-S2@<YnME= zJ5?l~xU+xV9rv(zi?zNBPW_#6s#fKxU00F3<IethcijEnEmr$3IQe(R$=W4P?7WKP z6?gXc-Ent&w^-@B;Kbh<Cu&um*xh<y$5kZHxU;|Rj{B7F7oXIsRLZ;FbD!}2;^W#U z74koK^h>>8EcadTaqW@EcDD-Ub?>=by;DB=TjO|b%VRsQJ^cdj7ayLTX}jy=!4Kb@ zAF@l!bJ$<`;qds|;U0b?JDxg$KLU@xG57E{$}`yisr|6~?}tau_u2RHSIfuTsk`v| z!XxK<>|*j^cj^xOUhwGfUH0AlrQ79$Y8*Z`zB_z}edlfdLiq=Fduj`QUnu+C`1Wuo zf42M;yCrw)9DXM}I()NTNd8Qo{ghgTkBx5*-(cT(n?F(hfL%@PhhG;;e>c89d`;3g zHBVK+*v&`6V7pMwPSp=Z-WQ%uYdEcYa9VVemu}>Sn4MD(c!_GQW(oe+y;J^~-HhMy z@2Yp+e`+`Vcl5jPd)JHXPuJ>vUw>zN(f(7lTHlx7nO<ans#fFs{5$1E`%l)YeV=|O zyvY7!t;+ZQJLX0EPt+=XZ@(j6RNwsD@g4Kd|BvmS{yq77(s$+e&iCr~ysx-_{O_^f zw(pGZJuj?3{`cr_t9RP>&KJrb|9j+j$9Mks_jlx1?EkQ@_jk+p>^sW~>yOn+erJEr zeXqYz{@C9GzZ<^)fA{-tec}H1cK848{jK-z_1*kJ`}b*4=O2AL@xe}OPk+uG_m=O0 zy}vt-)tWrEn^Y*zd(XY;dtlFRj$^eV74nSt-0QzjykGm}k=?7^{XgC<-uwH+(b^^D z@>_TGe|o1Z_WfelZ;tnNL51>n@4A<L54>AD<&m9Jq5Q?W?#16H-l-KSmp^#dJ^%Z} z+qGB9<Tu`RSAVy7>u-;@c3!*tFT7J0{(kXhZO9`#quu?d-YE-x7ra?(QYPPd*FEvO z;EmcZrSg?`-DAHCUa#F!Dm`~+@0mMpsd>sq+b_<z?Qteo<e3>$v2^Xu-aU8RV)K;s zwqKlfd&MWSOGVPDJ9{_WaSP8=*4`dC^>)W85zXyFE{&g#cutPyoS_pqiRI*47WW<z zMWZKSOp4MhjGrEdF`e=}>2V^OQ%<(l<lBy^4HJ*|xyo)A(s*`YVn>Dc!H2wuous!5 z30zxX=zMG~OLLQ90?R!wh9A$rFM1!ww9i(&N;gmSMDj)^|D$VJ%F9YM1MYY^n5&Dk zmunxq{a3s3_S^L=x!d>ieGB8f@o)W({_^;ve|P^b`~Ldf@*Vw;?C$>E`Mc=*<9CPe z*6)5_w*Rf&?Z3j`ufJP;*ME0^+5IDbH~&_BH~X&sZvRJiH~((@o%H?iyXL$9cfBv& z|Jv^Q-?h7+orz6;wobG7`h=a?$L^RWy_;oF&O2lGv(vGUpRRjZbiH6__O?5wQ_Fj& z?N&P-+x&Fh&Z6rPJF{2aF%5Y)OS8Op%5Jq&vCL1`RTf>h*qJ@+j;YVPS*qo|lXk0} zjBS3h&a&vb#Ln!lJEku0W+|5UPS~B+yj$%=Ec26fKMSu1+%uhA-uvY2mTNBeq&#=_ zF1q6ukhfTU`^3q&SDef}^298vNLq7e@1#3!9(jwEw@;jSd&P-dmnUXYMbex*d(C$A zHr;V^$XhJGUGQnHNu{*sJ-3P51)t=8sgSn3=Vq6;_}J|g$8(QVNPpbXTXx5-d;7$` z+a4dyP8CW&+|etPuYBb8iw|bI3Z*6QxwUSe*n7L<gW0M=>5Dsh)9$z#<t;vV+v9^- zSE2O59lcEX$_H-0cyIP;cW>Msx7zK3_j9+DOYhv#`z3F&*!IACxknzEMHNavyz8cw zw|MvM6YtDc?d}b_<ED|Pyz6$xJF`i<dmrT~@3{Tqty$6T-dlOgu6DdVvX&(~D>Fka zPt`(Ov2i9#s?dZTQyYAm3^|Xea7<=+6V{~9xku&2qz3P%wb84M(@ss;85_6SaC=x% z-fDyGqBCy4I-UFU>9$uz|Dy_b{@r@3=y!H#<?cOUQF&`O-Ps=&kypQV-JO3?ksw`K z+rvWM#jn=fzCSD|uYT>KJ9_Iyr$nz-|Gs|e<lFJ9)wb^s^U0fjJ?rG!sgr(R*E;!j zz38Od@vD`$pUzHwa&6U#T-PVtq>7?Bcdj+tv9{?>m_y!b`R$@lb4@FwJ@180+%Ebg z_iIJ8<-IVwyw%5UuR5N4v?BWBj<scX!n(Il?Yr&u@!!@{g}<{~AAh^9^|7pStw6r? zhA7#4PCtxZct4;1-Ftz4{k|_hi=LmJr9U(NmVV~GFVj!!U)3+&7qkD&&rb)Z^X)tG z^V74_&!(Hj|MUL(ZO*di-d{h>VN1_{x^r#go@}}IQpa~6J05$v;`)~z*%I%i<jT+X z?N0l+?qcC}jeDk+@1$hPeILa(S6r95XKMaV>hSJmAJ%Owye@Fh)byQ{RQcJS-EPNX zjVrEm+%q+NCnZtt`yiIN;`*Pvrgi0KyLT^pzwY7g>~HU+#LIi{#a@22?&R+5h&!g* z@1*wZb~_qdTz>t=UDMKX->%(kM`NAKub;VVT2$_PCziSV`kuR{dF8&hV-J^IpR*(T z+B>POyVc&VbKITnbH_Bj+_!W0u{Y~9cV~OtF-<8ydm~o4?0U;x(}eP~*JB@-UN5<8 z8dHAu+F6@o<Fj|p7@1!_rBa+-^2jV|ckh+F#anK3yfrf_l%9LnO*Kz>^X(OH%#;eH zC*E~S-ahe0u1J}5<6XD-?Gvx(UMZC>yz3TSesSjR6K7&Wp6MwSi}&vAK61x3@tu-E z`NiqGPn?du@>K6pk$CRTZmsu9y5)h>c5|GLEqSW9sYpC@XZMObuEFn=G|L00?B+NX z`{jvVQIWXm&h8m^T)p2Zsg?&$+Rbq?w&aPPQIWXl&hCyouFmh26w5ENl?P7P&2b|3 z%VWJod%D%$E1le}@ky^~Pq*BArQ^F#9FM(HA^vqox5RrTx$=vByE8uOT`CmUyyt59 zPD!Rb@KJ0_h4EU~c5@!?+&fW%kmB>|f&?Ck#_eGZ^>zAr)32|3f355Nwp+W`#@q?3 z+8)|{TkHL{q{8U6JJx>4(-z%+^=@uzdGyu0VVZf`BHKmp=9-pApS&AZxLx#4?$@&D zop-}>w~OA+Eq$~tYWLbJd8@bF=6bu$s4#l&-7wWW?ajAWz1gNz7(MZBSn~F%H*!VG zq8smq#c!W_{crZwqou#Ix0deSBX{mjl|gZ}Msc-5akWHowLr1|kD`~}obhfSQd{(= z?(_L2^z!s#{oZ{#zb3sny-@#Z=nE6s2<b~Et4lVN9=d0<Ao8vcR}q7zpoH>bPM*s> zIwc!gT{RgcCDxu!e!$2e#>3d)&L%KH(N<1Ciy<U5R`bM6<_6W984T=i7fMcG7iDnq z5)0!AiH`PPymsl;6NwYP?_72Kz+tVW-!u)o(spJ|Iw9))$=j9JsNf)ro2Xid0yCFH z=m`ckIfGjXQyMuk3J$XNSQRj`PV2EqXylYQu)>K|Ct=IM3>G#~iNr>>lm!P_OdJ>m z6++C{sERTyVfesuK!~A-q2Ow$Eql?qIhMuGd^8?ZE)xCnkR>oeyI|pWH5LPj#_y)H z9%-Afba3U|+vm5|cdlosfm*0z_?4L&MgegPHrWJLRy~?>B(p>0#PkjodBs~lRF!1= z8C+YkZdSCUcL+55)mI0Jm0sLownA#sO?8LvCXF>wiTw{Gm5u}ow1#HC_7=V1z%0JP zflYB!N0Y`Hk&a3hPL_hF2Kj!=ci3cTwLW#ctT9iMf0ol+nL}SReTz6QYbv!HOl2zK zxV++o^9(0bxkFzvKME}K>ufieDkgWx#OkrYvH*#8gIc$EnL{OB?**0xRkR!QdKGd6 zuh`){!)~MOp(~tk1(sdf<UGUeFkd3q(uUUpCq9%^3Y?$H{?Vaw!jzMWE)!*#M68|& zEScOcaJFcQp4_3}KIa0bIjage0yHJsAAAvCTFw!$Vz+a_B5Rh++lnq1`51q>lyUe) z#<we&7IOp|v@?`mD&p`9<#T-XL|~D}5@{JG6RAoLzutC*P@9$)z0M6z=`x2VKTx#z zE87(EUSLs#)}rF}2T#g4g0?t!Oqy}%lNHMfk(tf~T*9H1903|y?FzX?92eA;+Xe1E z5Ln<hv0Wh7hGj*_M}awf{El9a1r{}4wAHw$=rG^5q}^a{)$U@gt!2+mUcEV|DROh} z<>jl_TQB!Ze>cag{Ky^4R<GS2n{6_7IX^x3^2%g(-=&MZ<V<?I#40a{Xh}VByu5tH zljdc9f%5#bycS!_ne@69+GKF`O4()n>bX>Lp+x=I+{>E#*?pJB*knj;edHLtVi&vb z{3miIrCHS%LS}tika^{eWAKU_&1x^IE_~S;{LJs=bavOBHY=DSZ5&0e@khw9JUTpu z-_N1OgXs~opn!Zs!w&(@N6yL}{M`z6DosBEI36A55s*)4`0;`12)nI-{0D~`55`B% zG9COm3U&(`e*~~Sa+c`ek4dRp?k^C}$D-82Vl{RDWle4NSzfM|7fiZNHZBWr=QF7l zDq65o_-W&^OJ6@aT-I3pNb%u=_a&@07fQO`mupS^Zr!`<_gtrofBoj)t6T1uy+8Qn z&G#l=->h4`K76&VbvpmYZ+;RV%TzaZfkjOMLN7{w&b3Olyb$8`)Zy}r$;`eBy}D#H zxQ=87y^=_6S=Y@j6?kw}#e$WWAJ#7mI`rRXar6I~PK-bQ38y|>;?$)i#a?=vbJ2#V zjjbmZyp&XGVK$I3WNKy)QD_$M=k!^?DCd+Bz^J`Qfot{D>&gxc&4OuK6C63#a;>p> zz!Df?+hKX0O{Tz+gUh6WNu)rbLS9`W=)h+i2WBNF2h$4;tSSbLY<GUlWoP2Q(9pr- z<e)vFkwxtS!<>EJ!D?(An1nM9eBT!psd_-Kj+s}nkzw7Md$JW9qHVYQ=w*{!;lO6% zxa#JsgYPVg?_X?Sot1lkrr*-J=~}5}^D?L0s9Y8xx9gm7tyb~8mBKSV`z(z<XWScS zdH##rsmf&+)!xr^nz$$Z#M%DO7LNPePd&B`lzD$}XJK%K+>3kl^Aw$I8h-nSG;^6e zaC>7D7RFg{BY)XNt#z+e6izQYQ>r3xVCu;~X{)@yc!_wKoNQg>yhLrW)WR!Ur+R&K z3f8!)_^@$NNOMSolF5Wd8FBWmRTIv+-n_@W)T)~Q+>PpG0U>c|hYAiF9X(k)ukX(B z&J(pur0=NzVt(~8vpsmx76UKlTZtd+gfkr2WRC3MXEBg?`2Fh1qv0#N)?eJoE%Ra9 zH`7}O-)Nh-uqmotU|{Zfp>S>CYa2-hL8oS}lmg>tHi3Hg8_m|%u)jK4y+~%#p5u&W zF6)eYnTi%@hCFFp=HJUc%Su-+L(A9Zf=N`x0?jFhc_i4Iepz3*e6MlN2R@ah)&XC1 zyLh?Yt~{gZbE;9>i*47#(~UL{9y0Oj6&z^uVwX6;xc!qTlSB`PVI!Nw0mlAMrCfIp zJUP+0$Z>(R0)I*aPlkgFUq7?&!lFBtwYv(RUs-u<Zt%(nb1%QRKR5VA+g|I)JDR?Q z=_P!x-fEs*=_4g}_X4YJ@p4f%iJln>%u)gigP)ztEIHk{?7TL6ms6)!qnPCcHl2i} zj%-RrW;6EqUkPMTmU+;?Eu(YKz5P-CvP;f~91rmOey#QBI@-SIl^qM~_l4?P`7Ni` zdaP1>;~Y5OSiVT8Sn1_-Vb{%bII^C)oS(0u-sCm4#-r+q^F;;uCO1WUk<>o|B3+N2 z1Em#h3J!8`v~V;qs(ldrBfw%H@v&U?<-O8H71tRVA2svdEmdeZ*s=Y+&C1&2E8kcL zZG7)yoKwp#rPM6I%<<8YfqB9L$ri>FU5aPQ-4YBM1tbd`n%N!xDLXauxO81mU^eYN zKhw)lC&}YTfW>25KZAS9Q@`z1mrDI8r@s5UhvAXE>RpX&;u#YdWww6@<*Kanjcq3s zSXhi48fOWepXt{to1xWu@U=tm3K?VeS$3_K7p`cwpKe?hq{BYTZfS7ca!Wrxlc|2H zGnI^3Q?x#nuPV$c)GAz6kabL?XQg;>Rd7YHX3?@od{d0KmMxg0xtaNCkF16jtD33s zU!k)?Q;oK|ba{xVPU%;d^)p{$yr|)V?Fx~3%x)15j6tPAMfWF~-QQ>28dZJ%%gZ;m z!Rnj7`z#HLe?Qah{GN0!(a-Z<3NJrhy==jTJ?>myr>Yk%n78LSXV%H;ISYFBxOXu> zvGt$$y)bJ}f5}I?i${Jt<lcGC`845!oqwHQRnVRcS(C1JwxLof0gXHbPwpAd&9c4_ zqV?9{vc_g+--So`OlrGcSzWlY@=W8hOEL!Rvz(SjFA1+(ZW+X9GB@j!_0%q<pL5+@ z+yD42Tx4Ij+&}Qd{guI6j=v9)y86+&*G;f~`Gpm|_g@-oJuaUmT(RI~$o=;rtB$@m z@jCimgzM=0FP!hnUzI#6*E0QR-8<>WT&II|3%AuRKX1q$HUH3`XEl}+f~PxA$g^x& zG0nN5?L-6nX+?*~#zjo3PhA2W=hfNx+PT`<&U>-)Lg$6fw#hu|2Zb$HPPpY6?D29* zk@_UNiLUdHEb>pdsJ{M%@b%6`5evc=ge(YJ5a7ph_vc52<tK$bmHkC(Z3IF2x~^u< z3rVFTd=Hy#43dtp@^XB<vVe*A#6@dRYHTc@x8iQ)0!1T^z(#(#9SKYl77x_k*<9Ax z_S<LSg?GlaTD#9*(R^bUyi)jj?Xp0l?=zkD-%0<qW)Htf*Qwe?e(#uDt%~MnOjz!r z?xxwdf_KG(TBCvwkL<$2I9~*(t_j+Ae?vs$awXR}vu{bxH1RnV%64X&cF-(`1<{K= z`ZyNWq=eY0$gn&)y?b%@zO{2Fy6!*M@p4k@DPdLDCXZh?8V^r!<FOa7tSHlXa_`1F z;ZWm5H?e1|ceRwCaf|DQuW%{c#NsKa=%A)pYoPbc!31hlb#(Q-iMCjc(w_C|$*oIg zj?CJ1s^MmltCz5fbDhJt3ym4hZVB<+qT&Gu<{CIMy*YGELO*JiLu67)v!}b9W!@Em zEg!ZYKa_XQckPiGtop`@I}&C-QsER-nAqFh*=n4y=fYuc!Q)|!YjxHziO<xZ8n(#5 zQmN(B#K4ae3IrzTzwdjO+xxPo=jH338G4Z#0hNoEcnEmPTNg-N>Uj7(iM7>g=Qa~# zL$27=135dDmU2!~v{$Hp;jl$fdBghFE>4XHelrvp%@VVF#C1a#=ooG~xJ0>M#ypEd z@9*1<8)g>=Ya4ERlB&idt`j^*MUhEmQ=#F;0=6FUstV0VH&hmQYH&|J;QGva=~N9L z<S_poaD|UmW_DC3$FV&bE16O`Q}|^C1^&jYSut6;`$MVQnd#ELsXK$cPR$MUe%GQ@ z^j!GqTtB;aQ(o;bu6peEg2!Rs{gtBSUJG{^pL*-3vBi4+iFYMIuXY7nJv*l{-Fjiz zJdK}orHYncc``S!x7<nd-p`bZ<&%4?m)4iNeSOz*@8s6MelvFjXT3SMLS>!x!XtNn zZh7jrT&vt`?j1?nB6X7!PaeMy>9<}g_P*y(q573x>-ik-OC}XA7dbXJaKH7!>dNx{ z!5Z(TbnP}?_0~^gtM&YI?@E*kmz%sfrxA30Zh&#pu0MX6JA+T1nj0AOuBE8x`Q%B~ zb9?S+iWa4RIX?G-hkVceV5@giy!IHM`rx-hs@#tE-b;~+<zJ4@4HPeTioA32uiwGD znn%mhLvGCtP<>aUwEHsWn~v9h>W2K2@+t$ioN8X+*T=E5*z1#Hkgc4^)W?nit^8F| zH4`S^Z(gD+C$hC#z$EYOn{%2Q**zt5Z8fycG%wJS|Kjr0(a%>-#q`qxjS1|I^5qX2 z8n%ncE}J0S_519U3sE5_bhKE+Op6sYB{X#dgY{HelZqCuU=ux|(84Aq;n2ZtvcsWi zo&raOc8ikuA?~hCP78u1uP@NJ&N<OB*u;h7*(Cdhwhf!S8bXWML2Zpm_dOIEw3UDM z3Qr84VZ}JnZ{DGhR}!f<t(hT$vnsfPGaf28w4G335$X+o!N4Y}(DjjHnP1&}gQ>fI zv}BeXRrgs~I)A}IRw)UGX08N-q!u<Q0j3s4r;LO~j)VY4Zg9<J!L{k0l*)HbkbQUZ zmj&m1pXs&mZn{)x*?i5A8@0>)9b>-Fw3@i{e8{T@r)!t_U-DWhnkk|w{8Dh`g#SLW z0r%3azW$iMQsi;{vcRtYGo57rrT=pIF@I%n$p`!3Opy=vmouikw-3%Rd2fF?{mVQ1 zVD^&lGyV4dP1oA`ZGPsJoAt{AQvT1hv)upBxYX+N{FNbR>X%*A`#;lZ^PluzEkTu! z8cx>AsO;=#R{maS@WhU<>CSP+r?oOJ_tal$yj8rb7&=AGE8ip6CDVm{vB9GHi~Ck| zXT*u<XNm9CSQLKl;O*LFH<nyk<l`{YcA{mg=iWu-+)-?&7`QSXG)iz~95^`rw@;{F z<jgLkqbaXWOqrm0N^`++O@(8c3P&{;9M)7gq`BarrosVDg?*X}Y%~{GYbsc2E-=?z zV5+&mSX04BbAkR!<DjG^yF5}SE>imH;?)@<xKbf-QlP!vqPjAdzYjWo8TR~IDEL)a z`PFg#SI1qiobOsOf7c56oh#;VTQPsj3i-_|<PBHM*IQu}kg#BzLu}(DrmHMmoL2-^ z$OlXau(MrIQ{wPb!RhCXhEEeeJ`w)$<ns3?mmi&UKRTJeOI?14`g~!3JGFT=9yUK4 zY=7q1_EvuSwB+f^Q^F$4RsG!Nm=(+_c~w~Q>fysHlMk<a-WKf7yIjt3`8@mO^K6&P ziTT-<`Pmlx+2&o6GtKnp%sfBCWX18<9*#C?eIiGMpLsai#Po?AX@2VAXcOGW(s=yj zWQ7kZl|n3XO8!j;n4fqGR4C17X<Yu%)6u5ez3Y=p<szHFc^dvx)K3XN?L^{oCAOcL z+*GzthI3ai=iQA=cRQKxCNkZ%WV$QJba!FPI~%8RiG|i(7v?&@;8QF~7udzjarYtj zt&`T5E}y%5neXo9bGI*_yLI{8&C7f@FQ2=9d8WFdf9yPP2maHNCo4|`3#fal%gXy% zS<fjcuy`q9_3}c&rOt;-9zS35_>gCM>tw&Vll$gO_M1J~Z`S0#nUniwge>=ta^rH_ z<yz&c<$BAd%f-s&mot}UY_&(Y>?P5_UAHEzGg_3LQ1Z5Wo$jL5T`#(nb{%rPE`0H- zONpvr?7>B^!%BRvc!zjz@e<)G;k?38!u&$T<hbCh!_2cLGtVk!o)yeIOPS;B&*o=u z9E&3yir*}-EDqSN?z{ZTJd>$fAD3i`Ffa4hXqmH7-FKmcL9J8qo_Qv<Ne8}gntoog zQkZ#}f5r@T-=#b=>=wq%GwC%-_|=kBc}e8Z6m{SEb7C@IO?l!KtT|EJcd^4dlUBF5 z%wH`aC3YEmE`2%K@KTs7@uTPEl}D6)mvZ%+^v)_=qAAj&>^nbBCiB&j@+B)p-g{im z=u-A=e$;1Dn^b-23g=tT;LMwomtEYT?z@nG$2^l-C&dYsAhQG|GA1<gC^Rr~@XAea zWHAC2A5HsYGPTzJxnPp@)H!%Xvxa=qr-ds7Uru<zpYf#KXaXaTo5cafP`(`u&4P*! z5(kcdbiS-9XFkj8sQ}lWOD3z{y9KWhGoNL*a>vChCmFdEH*5PYO){V5w!V3twC}>y zJQm)Gjvb0x{RXvFpBAs2JW1YnscW4{FWXOWd#FuBK9S4z&&4mCr9%HQKDY()s1-1B z#UwDWCm1j>^Quia$n4Z$aNuZT;o_B=GW)ETE-MJTBWc>&{K#*Grp$Kh`O69}yepY? zNO5<tRqN%_^pdPIb1!J>mD?>}bH`HjX}Ooz$+<y6&hL6A&DtaEwd&(J^_9{`t>-Su z<9R>D>+Xpi##*JH%hf|(xxGKP{L0z8bA!xF_6G-==azf9-kq_-SnE~V8^0ARq}N%` zUv%WoOOdTl{W3$QS}zXtd)MM7yYsW8=#z6AC+};nkSq6ce7eJ!YgJ^0x=2XzhjZ#H zmffH07jRar+-u>ivU0bDvkJ?d7S75pw_7+%?OjXOw7-6~rbpjRsmiLlEBUqLncs@# zhUIp)wL34r%sf5!lDba0+x!)GIBT1Vo`3E<IoIF4Zuy+HJDN{fo}ANAKWV*ij?DX- zqNF{SPkJ7o8?^k0^<uxaa;LdjcYc;g?YW%E`e*K|-N9b(&#e$Gce;7^r%U;A;X8AK zwplOEEO+w0^K;6iyPC0w>z3#2dN;+WIDN_t>xFyn{1jQc=dxziQ@_lTQ*$rAm~6f9 zqH4L_V)u7F>zwMA*WJ++txTWNZ@sYM&d((k%Y`4!4Vrt%dM=y1%Gc_EEk~Odl<`-& zJ(d%>nz+08RXYEqrnonbffw>^Ik=>rIr?8X#ct`i(#C@;_tOH6D<_&41Ss%VImyej zTut0l%yqnZNsf(%*9XS{eYr1FzAG%>#qRk~P9(HiV9OiF^UwVz{J%D9J-cn`xjUSo z<YaoHUVHMH^=!fy9M}vP9x|}U2pl@aVBvC)nRlWi2ai?)Bd0<@BM&I?N+mQ3igOq; zEK=w{P`|qI4_h;D%7TNe$_6_cSd0GgnJ{wqTv)&)meJ6x(7yKCZhn&%HpvhMMrEUl z2Hy)p$6sxDUEvyewsa1&PXhx#^M<r{2}~>%Cl+x=g+)$J=@V9E<2o~0TwO1SFXe#a z)9OVsCmNb{-tRoX7|J$5G>M7#gu|5IAr8$vJrzas1tonJII<{AIN0&Hf{(>OBC$d~ zql3q(hQXUD$WJ0Afk|q|0cNT1Grf}kq)UDMeO~0%Bm2wh%%DE0fc8J-wZHvE{8owC zHc$Ht?vh?sXEs^kz+80VpKxqlEjy^!&N%gf8VAGbs%O^9Ml&3m*%J&JrH<Km++tk% zShGEN(U%W)fgmw<M}~;d!@pY&JYbgedC<gTa$*6K<QkBmB>O1`R-FZnY*GRb8`v2W zLa)yQjn8nJ9AINi2tC`kxRFgKppnNZLV=k*<3ZDG1%@>u>zo^xGWX7K=wOT4Ak5NW z+H{{W<^jV}ZeE2J(5R1~qEtbnp8!MH66V+i2U+<}ICQXCJZKW&-SI%3gJJc>)-`K{ z9w;!Zaos-Afgzla>3~;>G_MiJ5>SXoDz0HEVBqI(V%Wg7*PUxC!`CeethH{vOD=K; zC^PaXyk-dFn2^Arz#*V=s4o7~0i6eoJ%@CinXVW#O>{gwtAWkr!U0ylK;Cx;8HG<c zFe_OdIPyTG)~%OM!I7b7**u8_OC**oaJ#r-BD)?(!$f9-fQEn)%^6R#Ijat8{^~KB zsPmwK+iZd((+tOe0G@zAo*@6l8dnZozI-V7i{#Urj0_A66COM029`1~Cqy(bXhk@D z7w-Js`KC^4_xvO8mT&mY`KIpIuK9c3EnoM$^L5>=U31Uf@k`5FZnRx^=IzWgZ&jX^ zaTQxP?wq^tj$d5fa=q=s({E><ej8G0ow;-FraOKSdCRr8Po8>v<*BzWPs?T%S$poB zyXcNzK;Clo?UN_pUU~BEktbzQMb?@-=T5rg=aIKudHdvvw^yEc>+(dd=vlshmz;=g z$#&af+alXS+XCDC?Y4QgxwbjB>Q&eBs;^XCs=83+^JUK6Z&O|vzSexLc+t7+w(&LP zYr@x<uYE4P{rKwTtB0>{E`2ubxR0b!@{v2AS{Oec^`0Irrx&fYHD%}1gGEQZM76ft z1TS%E{PbknamWgrPb!W@M?5A*%PBdx<On>O#(sid&TF2h+{x&99&#S@+~wTm<hR@O z+Sty}2`q|M<ea4J)^#UL!N}zVn`53f!_UCRO@~rgPu}L5D5{`s6IQV1!Q*XC$*ppt z651bDY<RrwP!g-GsEGEH6%CKKIVG_k;c6Gn3o+QUHX!1l8|xu1Q>_a-)*38s>fve@ zWzjyeV=cpCrv%mmT=k-V^0fD?xDejB{!kpN_;%4RT3c332yb+472PiSL2Jc|g7Aau zobKkfiiie;ELd$2?)Yw-Qw-}4u6)ruT3hahC9E!3bSR2-D_7=r(F<BLDtaeRxSiR2 zTl2(QmM3Mu3atb0`AyzF`N><6N^8e^e*N1gKYsh<aaq=$xm9=kdbSJq-_AV#c1wlz z%N=t?@|VkO7w)^Q`LWDt&s@Iz<<i?HKYSZfVSRJQT#o$ZQrm@lZ)YBRtMa%^YR_Dj z{N)ncg?nymekfzwGxuMf`u^K5kG{Q9ZvFADU-kCM-M2m0yUKNoZuhI$KDq1m%Xej) zcF%p4w_IeqaM$h5cV)A7&wY}&++v6M_HEJX+k~^TGPnC>sGakgao1|<L<MQ#-KAW+ z=N|YMFXtvFXJegLDOOqXLF3bt2L}sJPd?FnqWDB{^YIVUmQOg&#;@qlD<`$x#<(Ko z1J5UpPe*n<Z9FJ^g89Vf<B!Wve{Ndd-`=0zfBdL!znnk!JR3PN8|}Qx4;3LF?tHxQ z@ygv#OCC5LbUto;T)F(T@NwqjpN~C0cDd~I;bX;3>Ami~>Tjp9_a0|CFX_)Iw>f&A zk(`iCicPXj(sr9fn*^J9n>d@;?KUws(dlQV?7XW8Sr20!zh}?0?S~>;g+$NfX-^4N z2y9$)D1vq4ZLUPo16nnqA68u`jc#0hNbIttnz2u6-pmsQy@^7HMcO#e=w23A)Axy; zvBMyDXX>hyONXYka&lju8m*@76FOssfp6lZqSGR+oLrZM)zp1_^JdO4&`k_FG^K^} zr0!)wHDw>y865_^J5z-YZD9-+P*d=+&zq?+Q*EZoOr@C$`7`Ba%FL`WFg5zRBQ+`I z(ZMavnG-#a>rPe*=2MgL>GbK??$hpLK7(f_*UX$dMuJ9HE6%RJJbm)?;OlFJr(Xsy z`B|EB%wuUn-qIlbpqJC4GoR{SE%M%cCrD@ems7f1i@aCg3DVkLa!Pk<k@w;|K^oh? zoYXBX@}7MsNNsz`N!`#Q@5y(9RJMOPp=(;?-F+uWX?w{DUC|<M^Bqea^E4+!|9U(v z=-#E1(OQ+>%K4g4bZhr4?c09kxNh#ArM7vRk9D6GdY9k1bToR^<7ry=F3D^cIj-xw zXKBawFCV7e+p)B5`<4&WZtYmwvVF@j-KB-z>34!8x0igF#&z$K#CDNmy1aXqHeA}T z7qjioyO6AtO;1m~?VG9<vdm-W-A<;bos-qJTl-AY@N9Zg_Pk@Fl1tm2cN{krI3~z% zx1M4>*?N-oMC%FLt^2L}tnJFA%B$|YGkEvm#?6hJcPxHf=3c0NoUd>05!oZR=N_Ib zZQW+wYHgNR&QYHA=E9Ac8<iiIr5Csts2}6&nS0>2?18!aW%th&x31l8U1MEstylJ? zEb7j?CvP|0h}_t`eet8R=XuBbI@vDss7Y@3Y4&OIF`SWLz<SS!(de(?Ps6<luXd+C zO1_m`ba2V>E03mW_II*g=2Ej!6W#7p?o;Md>Z3U$;EvHn!?T7b4UZZgylb@AaHru` z!;OY>ccexo2PJzYJ0%+xo-R2S(sZ(CWl!f3U17<~5|br@C4_J2UKXD$9xN^_e)*bi zD`*vlWU;rSkAy+|o;yJ=4yAf8ofgd%30lASbQ;rC(E7!lOFtYrnZ2ZL`<J7-Z+9=P zDb)<PaJOp4Zrc-g<sRkn7i@3ee!Kbh+l9SvkIcHYw%x=;I9)Z*b_OVm@BXSF#`f&t z>E`Qe+x7Tk<hGrgv2(4Kb6U>~gYBUS`zl@@db%ykd+9Wth`iP7B33%3p30p%MI&II z#m==^hf+ExscaAR=#$WNda^C6V*+mz!_Kw83c~~Mg-$M?`Xp8~l67bB_Q^@?N8j?@ zJ$I+?j^7==J8#e3?z`=GoA36y&f9ah%5I&zMRtp<@OJBTYt^zdWv9wK?!24uw&!if z+m^Qtci+{#t$17Vw%~2f-B)uAgWJx`h?X@>JW%toX!YgR)6=|kw_8C%BCP$Ch-Tn| zJFjdCFSVSU6fLXjIYGv-XmxPQ2?0gvJFi$6KRLGtrGE-@4^j_e4|*PW{A1YhcE4m{ zE*}k@ol6(AI0<oiXvi!~crwjNkjq7bXQ4vNA-3%zO#uu}57}A0xF&BGQPFe>YFP5Y z<KV|>P5fNFB2@tiOAb7qc8HIwTcji)V9A8X)0p_UIz<FDWrB7TdLNwNq{u2Q!l8L( z$5MypLp)qY8azP)dzK0`Gx2aWiZE#Yxf}Fh@rNcSc~(2F>g^&i0T&iuXmXNc727Tn z7I0wkf~G^Vth=MRN=1SK92^__4&BjZwd5)kd7!Z;uweJn3vP|Qhd8;iMXuy&E(vs~ z_PzQz_Gv}<&mF6!-mj7?7kwOi^zph|h2gsQLap9u9o?;UJht`mI<Gye1>UbZy!+L$ z*wl(}(R-n0@3ao>UUe+i_3^q{h2bZ6tY&+!b#S-Vu~^o}>$vu;W_Z79|L#-o*HsmU zf4v)8Q-1Yc?9)f<F6~|&btkm4T(o<4*3sD3^6-^AR=<0vwR`ufck8?g!x!#Y{o>uK zox8o>t?Syo`oX(Z+jpOOyDn?@>KpG?3722J6}z-7eCgfLjPj|SyI;Lor&Sm}^KNKr zxoGF^t~cwXcCSA2Zk5)C^|$Y9t-t+r?W<jDAMIMbEpL^v`P7-SSDo>7ZOb|{bH9~s zi9~6|omX!zL|(bLaPf-CkHgs8{TA^pI=Ap#Z?vrQTqjv+s}?KMywZ#pXD&=!XuLwX zVzsa{dz;?^z6Iy*ukD+Ej(e`1tliwb(X!%JRaUwspGqR`yjpYN;N`%D#mke+R|hYj z?8M&6H}~9~wS9B^=J3rqH@ollTEE$Rv(ItPHI>~OJ=a9mL{``;-AXmD^i0XA5|0-X zUiRF5)$y|BWy8ywmlbzkmAou?nKRe0c=t3=r8MKV=oxV?HH|re8in2mdz>U$g+xwh z^xO>+SS;Yi*mFpnb$v8ftjG=xkQVEOJTncG4&Cu%_<Yn`TyMMZHgIuSc1Tn^bcN5( zxeBUWQ`G(P)J+mMxp+>x%?ZhcWrqYnO9YFoA0B5p#W`76Mcw6|U&EXa76%KP`Z;^I z3s?Ch%sG&JsE@OIyKsq5z?=!mOnsc4+l2+xW&Cy+9xQNr_?AUlm?Pd<_1QA6;^4-e zEBD<AiOXB1XD&K@cGhX%(8}P<ohvup35m#CrENZS>g-jgd|jU|n^hF-xpU>BJ0Ssi ztJKY>PM*E$r0>xu%c6>cHFvI@bSK0kZ<Vt7)QPiKo$z&ivP`Ndm~-b!vmGm&?u0nx zt&%qved=pk8SHs4WTLs~6W^~D!It+z?DAF}o4x9|@6n3jk2_YD-3jS7pV~Lu>*F_p zXWtl}{rma>6fB^YCZwGSZe<?nWasyuqT=}Ip!3A5%oG2Yf4$Ut`t7czLEd6I+h0RM zE_NwsaplRkw^~jLse0yxtAN&47VYK}+~g>ru>E!a)S|gDs@G547A>lckqwv6e*E@U za%xQF8tZFEZ-*XVwc}Yt;hN6v+K;Ms+>7A7e(3hqV_rM1MP#lq&I^}({XlESv53Sw z>l(Em{M!C&t$yD1eN*%AM#rwH+MeBQnxDFHUBt({aM9OyTcm_Dd}b6{J05E}$k`;E z<kL`StysYHpk+U2op9V8zZbI)m?!2pb#qp27mo5dG5bK$p)Ssf?ZP2GJ7y=CFU)i5 zy3MJfcENYXYz1@0cV$d>TDEiM3ExuNaM#bmFfq63R?8O7jP1fcJ{GeBlA3O|Y`V>< zqBg--Vzz>r;+rz28!hWO<Aisq72Nf^VU@g$XXfpthDnDSeirS1vXpze?$qtxQJWHW zCOs`%$pxxm?&vvIev;yM&f|B=<Cnf8*K|j&;f`G09XXAB{>j_fPu_NZ@|N+_w+|K7 z1$%6N?65VtCs%bxP9mS*CXe4bkH336yX<!Mqqm*=ZZr4Yc7F7h@#D9Gis~JO)dqWP zMefPv+>ztR=QqvcH_78S&f_=A<8R*1e(<()&u!+O+s+T(GJg2>p}hLTZreROY`@%< z`;o_Ay`5crJNw?-&fUA44(w(+u)AshZl?Xao5agmYs*<{%2}(+S@qs=X{}h$#1(cY ztf4%7!J~B#-mN?EZry@+>lBX0GIs4|+O@lB=WeE*yPJ0GX4<j4Y5Q)b?Yo<{?Pl7x zyGgj5HM^WOOLWQFvh2IF9+kOnytKA>^&P45-G|oZufBb2+orqg)URy~&Gn7Gm=`Ym z`esy;Y4qv5a3SrRR@=JPCT`ch@oQ_<+F0%DZ+Dd*^N}>#Zkc?fh4J%Kv*X_4deK_> z#amJi79Bm6>nExeyu@YaS<rf4VYTg+pwwIR#O%0-pptXToo6`$EGPP9PTih!Qs(5G z6EY{}9KS7dTt?n+mch>0s6{HAla#l6yLAajDm^L7Y*uin(+Rw%=d0(b=c?zZXM0c2 zQtxL(R?Mr7M=Ew7NzOce>CvP<)uXqU%6MB$6PhkCoiBfSo{prRsNT&8r9H8$Ho7Ed z9-Gv&^x$pPgG(j64W=<oXUL!aZ`z+}aXMdhKi<`QtGhGeQgqhFDdoG5BxN2Ix;Lq7 z>F(RAyO-`-D&nm%?M2@7XVaccdo=CAw0n8e?@YTj?Zz}eowaxMR_ZR@yNqeeZYJS! z*7R~#)puNH-f^9J$K`QHbi!THp1YzQcST$7iZ<L8t+^{&aaXkDu4uts(VV+c>3O=w z+rwr?cb{2n`s|ueadzv@tq1Nz#pmhjZx5Ru-F<rP)~DB2?b*8JPE=%`uFm#rQ=?Cx zS{wTG+M=Rt-<?~R+=&Xz)7991ZF2PKlWVU&xt3Ivt-EvUlsi$LdAcgwuT6|TePV6s zlWR&v*}OZqw%m!5z7yq`r>n62T7Pu+r)#tJY*oo$dm@_q)3vHSTV?Wf<+q1DUVHWN zwWK{;EAB*D=dC>&?R|Xh(u(Y-JGK_wiR#=g_GoQsMfTktTY2)=I_XNM|9fWg?8}M7 zJ!c<I+^n2#=<eS4DA%t~M#i$!vcs}{yQO&%Pcc_<&J%%q&#s(UIMG<y-R<0i+`a_A z9vKPCM$3lnmi3l(mik4%ihkr3e=CZ4^5W^16DN0{O`Nz{iCy2__1xXuzF5Clzb+XO z%aZMu#g;{ug_Z@D`P(h?EORY$EY*vy<rQBkx>R(b$mhwNyU(VaFg&e!T5+Ot*=*x! z%F~3WF;DwkI{Wd|%To_e-CX+YTjNZllw%z`YX#WOWY<l<FwIA2?p?j95k}Ek(MlU# z%66M<Q`si6jb+=H(%nzCUfFtN>lW3AXV$V93!U;%P)_V&G2AY6$b&)oVK<Au(5^dP z50nzSTf8`<R8n?MZE!s#${D5-GST5_*dY<lAQhL146cVJM|1kAm`pt2a!82ND^FEr zVuQ;eK~6Unk)2Z=Tn-6vI;rF+D4tl$q99b~v0#dUrsJnDrzI>Noc%&Fsy}v2y`ZtM zoyCpQM&-?psT(vL+gV&WEma=um^wj&G4RmB7HJ`#d{q&z4Iia+4@Iv&w$}CWwONJP zCwFXR%hx>^t$l1Q>*H%&d$uy<uiYPg`u(-4!tAeiqiVKaySMh~qidITZ;iSWRk>ZP zJ39O5+Sc;yl{>b+%hTN*z53lXufptwJGQ>aTe~yb``xv!-CG~zt=%4d`t7x>-CJ+u ztrgyW?bh0*W!X#bMrCZD)*1c!%{8sU?3s6?Qn!nBMt8rtCbfI(k-W7VqPgE(`?YK9 zp1if|qPt&TyR}QxqBuC=*|G&^d>LoXZZb4yP0Hgs)bR7s($l)EF`x!OkvHQs?XXn= zJC`POP0<M0XY=9Hg41uA_4v2htf*P=)N$Hv_6WI{%7mwmQ`tlE<b5g?o;prp50JCj zS^MCL<79RpIi1P{Prf-$V)u}fsZ4m{IPo^SiyTj-!jpq+{7rZ47(P8X&U}h}GQUc` zyo+5!&4(WcJ~sB>X7A;%vPq~p@c3ZgZT4>d5}SaU36B~3ZnJmt3&_jZ?f7`$!#Br= z%+mZE@>ePx9)CO7!)_$UV<%7}@c0{J4|^j&gZv-c54&qWJaW9xypO$_Kjx0zh3X5B z9PcrU@rT{9J5asgk?DRRj_eCNrW!aOTaeHb&1t~I`2SCy>Yf*mZx<J8J3_`Wgg%7a zxq0=jmq%k$p5MDLCz(65qi?Tefec*;UGSMR)nND30Jo+bpEqGn5;I!#Ipc(O<*62U zf@--lxhyHiIyjl1nKkLh?JBrgB<;9#nvP)1&R&Hk4y6u<Q@JcY*(?EZoA-#=>|A;P zGF}xlf#sy2vTL3ubO=h5;Zt)_(5Gol9w*%0<+qENd`oz;@$s}KSJ^Jhf;&MIIw~p- zf0)+fB;8_~aVH4s8Lk8trhh;027Or6pMU<SE^C$Ur-(H>mNNJsof~^smsK<1!r7B| zg9O;WKf6}u&3L=hl<UgB`|RaqrQ7*~7A%-Od3P;D?svo6BeSAzy=4aV;Lha9PnlTZ z@Gap@<Bhk?8`u;0_sLb*zSvcJ;A_I`o|#75`A+l*3bUPA+YIsT>jj`@P<Wm!s2kTc zC7L~OflcA1C)XG!-#$3$cH^Ymj+1UPPP%<?;_b$Xw;d<mW}JBY;Dp<a6K)@5+up3O zompXfv;21EQ@0O3dF$}0OrX-bVUMiMJ)hOg$JPC2-Elhr>J572DO)5h;h3nnT@c!D z7Yy+8a&x-p_Tj~g#T$?3vh>)L>Ac#}>tM*<=W^sW2VcH~*sUGC4I9{cC5`fwB}94{ zl|Ps<9kAaoUb|iJ!`qz~vJ0gh@5wTDTQ`Z8vs9F`Xuacj_m1PuJC0ZHID+m7F4&>G zV7Ky&-O3YoD|hTxZrH6{v0J%dw{pgA<%HeJ7KQE`%8b_v223dPW@Hr!aA>@#3!)fV zleUWlI54t`l-vz+a109zn|WKusQlUtYwt62MW3BxDo(H6xp~i>$k=x}dga%qS+D+d z?ov^D>dws@?nH*a)6p&un`+&CYOd+ibCZhFU3YF?a3|9LosN2W*ktSOlXJH|ITuuv zuDWycggcS$?{t*Q!zNmHpO|a<<eX4ZdTv2F>(0#$cOvcI>7268{&a5Ap3O?{bxv5n z{&=ov&*qvtk-g<%{np(d&pj$kf4gI|*n1t>@@bFePJMjtP+_|2y~vL8YY*p^K0ar3 zM$6{&^~U~Vay{GmD&9m~Sy=H};rLsI<8Ku{mNisZTkMg&vqM(m9v@deyICH4%l2le z?aWf!n<ck1OKxvIc)RhzTZLn98IHYG5cqKZ{n53}cA%|6+xeoNY>a3uf9-H|ZftB< zG`mI~d&&0ZowpnByj6Ht#!zluv0HY>UA|j+>^a+;g||0vz1?{0t-{-~hB9k|LTiiN zvQu`*&al)db~wa1vw34QdlKIvnFh-rMGs0}FF4IOy?L#1T3+F)I~FN<a}3S<W*B5< zsGZAG)+qe%mJn9<Tbi-+_S4>mH)c&6t(i7jH*K(H+F;$Z-kNE>b<;X)rghd$YpoB> zv~HSd?KIPxX{Pm|8P-iRtes|9GtIC*G~K#sx?aq-kb7<yCb6Ct)!r_+DqyCP>Zx27 z4gWbNiAB<hCuJQ^$||0eWjrbS@PzEa6S50W$TpskO*|p%ctSR@+1hD>HPZy^L;coF zPh}H7^(j=kckEGiu(SG=v8VS!^TgwQM{jdji6}qV5qpoLbGx9xdye+<7U^=9!?QDc z11e^xK0c?kXLHt_NRxMKB+IAuSZ9AYC$wjC(w#_ycWVw<bALGZYxm|q@79Qyhuxoh zv^@Ro-AKK6I(w~GADuh3JbmMi&0%*UE6S&JS-*aFZqx3~uimW@DHrRq?tXV}*6z(u z-mTeT&He6N)$YxA-mTeY-Tn5QRbl$tyOCMtVYlYCmZi_!vH9G)HA3anZq5xYOP{!7 z^NDwBHd=eXImfko^MQA3)?1%`eeTt+%{$($Su6eeOmFJ5V_L<@6L)Srb|)e!Z;gSu z*bM2{r+c41J@%?7xp3#kZFeH3nopZ1t$n(;_35!)MahvnH?F!95t6q?(|nr98jbWv z4Lb|>-LZ(vo1<sWH(fgYbZ>Cw<BXk!o9<Xd<jv7G@0%*U{8X=VDyXW;E1YMMc_`(i zZr>yok3NZ=M>U;NIwtVu6*k?maLAh@Z_f9$*SPYr$32UQ=6p|jKUX}qxMyLPH|Lo2 z^5eaSD;|H?QCN1zqT9T$PnsPBK8k_J<HvOQ`1ttxdZOnXly?7cth4a(fgOcR`7#Hj zpT9r$ad%<d9gA9XzWcqK%OCI9QTQcqj+nXMz23u*jztz4ueGSyE|_yikmEgv={pXS zcO1s=IE>zLG?%j+w07#TX6mtadLYaAq3=VvdxwCcQ_J4l9D+(N9S0tnIkj*IzRR0# z-gGy&W!G<Wr>@)gIW+R>l`q`Y%Wv6vo1>__WrsD>9odI(`yQ0JXY5wqa97apj^MR- z99iWpTdbLG$sRl+`|wR)z@xr|GIx#L$`f`dPq@2Z@K|2Ga>w0&ZXCN~?{Oq-7u@&m zK1ba4{ervl>Xi#XX0MH2YW#iuq?xzlml|!~@0F4_Jv{Tw+DS8_HP5VNc^3AoNV{_9 z)LnPHV)B;iZV!68cFEJQQ$^Z|JEyL@;}w>-RBOA?)acApYgL}6MNM6FC&_W=#(8%l z{PNbQnTt)9&OX_@^vN-=qGZLL8~g4=xaF-;G8daDoqeKL^~teY4~}saB{S~aSa&C4 ziutuCy{eVTuJ<A)m|uI``?MnY=Z=k1`D^6N#UA$_eSGXzVY2SM2&+7uqte>Pds`nL z^V+jfAb-ta>DR}4Q!A21??ss9=^T<?eXQ5@@v&Kj$tQPgWXsn%D6M_0m-X>6u00zW z^4IK_KK=e!RbleiyAd_!*Y5Q`eRS;7?u}7*A}Y<rx}~#^_O_NMuiUZmU7pTv>DBL! zc@-uv+_CXR-kP1#-tUfe?cVqxZ_Re;({GPu?cR7JZ;kNw=DniXZ+&D$&ClKKO)h_Y z<*tQho{We&-`!s0^2aCcS`?b|-Rb>Y_ISr#i(GTQ+r7n)jz#V+yplI(i!}S&V}^x~ z=iIeW&6C+Iz5LBF#lpuE?ph?9_uc3fE_>W?*CO7$?|Sd$(#HjNEuz1lo%#FNncCoI z`xJ}s_w39+a>qRJowULCv(tYcJ6(JE>Ar_W_j7jUYrU7&{q8&MH{0pj;-~vI7Tpio znZM$WdGI@F&F{WbezTpb{rqHKVbOh)o%u8Fn0vpIR{icf={MWS+Tths42$lI?9A`D zWA6M;TJif?w(q_ZezTpZ{rq^}!aezF@1;-vR{ONCanH<avyVrsYHYtWIr`+uwO5{m zB^7Dw?wmU1j+bYis>=3D6QfU_SR3*rOsPnlcjwfWJ6_Uvyd3jX6}DgMkM8^wHfzsR zmHeeAqB%c>RqdH7ldmejJ?Qb;E04pH_Drp~<7J(<^k}r_@wH1Tw4d&nT5!j!bGy)^ zwIvnWcXv$X$zOUX`sA^-E*08WcTCN=<7J$uD!KjAgS9FZ+DCUxO}OLLxLxSM+ArnW zKks_!=PlhA-T6N3*6yh>cf6{$2X#klz7I<()Ly$|>W4g4(e0P+u1zV|zIxY7Gf!1y zyU^XWCgs{E?|Kz(7rL|dOPTi0yI#55g>J7cd6X74^;+J>D|u_SNOQkEW>lCw_ilu0 zp3Y|J)o+d|6(&!-8<A{2?MAO?S#smuh<Nj9*L$y)CKuj~i2i<c=I>KyYD1sxQ!0+{ z-MRkAo$$nW+6LdRPXB%CbnVrr`yLg==k8pu^<G=|d+4;^T&HVGpYGdK6d$^C{fax` z!SA#+zlTow&2_5w>yv#&Me(LP*Uz{U?)^?%^?T@~-&`kaOP}mBDvB4~xxV90xbr)0 z#qU?yzK2fu&2^&o>*IZk_N-TXuYK~j)~9_<d)CXn*FOIH)bZM@74ctpte1GNE%*Iu z-|wuC`z{s6Yu*dDe5Wn*J@ip+Yel^5y>Rn9_UhMDAI>!I``+9Cd)dc*FAMJ*+%va% zH(U1m*+;dDAMXp?lV5bl+~VErBfr@`?z7yJpLfT+?Yr;8+T_Rk6!+w3-7z<LH(T<1 zZ_n?v5Bmi7<R{%RH+VPuz;Ct>`+n}u|MPCP_;=s?wTH{^zqxC!_fC56?`218Czs#f zup>Y0j(NrR-mc%z-tF7CJO9<Y*&^S0yMDL5+c$G}{*!mJcl>61x36+{{+)NTxBYH= zyU()l{+heyS>Jtc)i#&ipRptV+`HLA-+OP?2AAERup|G(yV)CmyS>@RxjX;ByV>i1 zAA7y;<*xi4?`E&vUHL3;*3Q|f@6=}QwtSWrH9IM9>Xp2uTcSDNh8Y!V&%NuVny0!s zdgYrir9$nAcfFFgPr9*Iq)fZ<u2=l_N!Qn2Db+5#>lIyoX{PnbGjl_p`6(5v_wJm0 z<c??JI~9ZSOVh1So}PQ<so$d__1vA4wce}fmIqC<<~%*O<f-4LBK6RnlULmF41TAg zSspaSn)B4$FHii6iquVaPM&ec)BBx@YI)EkYtEB%OP=@{6{(BvoZNB8)A^l>V)-Su z@}LRUoG0dfdF;1n&t$dtDkrTqKlwH7nJo8S<+%09<8!Z6sDIrtS>nBlT=}Iw>&%aS zmkQN2?|E9jQ;{hTdNjACLS6Qrr+MBo(~}>*t@^NUQ(?T|y>Qca+EU-I_WbrbR%==j z&v`H0@SV2A_s|EmtQGNp?}pcXzuNtK)%$&qcCY{TPFwu@)O)p8AMHD}dws;6aP4>6 zdwzQztt~B&zj-&j^m}O6Z?2=YuH~lXs^y~PtmV~T%bu29EjwCP`siEMTd%iTZ@J!{ zI`a1F&CpKm&8s(Q3vCZi2~Q4B3Qr7A*d87q9v2=P9upqDT^KSobDI-1#C7!aTb9@z zIV-OeSv!j9gs%zNIk(}|lu{<mdgC*9S&Gf~-|^Ki-+cP+lOpr&cg~*Lz4B>Z+RoV# z@19NFo%u8`Z0GEdch9Em)_j`hwsUsCyJwSkzkHHswsW@6yJwSjuY8iHwsW?}yJr)3 zXFkaj+d13i-Lnb1HJ{|=6__{Q@l`1QeEjZ`J+mj52Y<?QyXSji_s<G*+4sx(ci*fq zufF4ZZ1>5>dGB`2?k*2Lem7*#Y|D3QeY<B?m<zst_VDhWLUZ2tX%FviDKzJNpVqtE z^Kss}9kY$zJ$rChWY28FcWOPmB`eGs-#@#5_sj0t`tQ>2-+i)sc6IsXqj#_Do~`>X z?cUv#LgTe(MYnslmQU)n?)>1ls!;vnj>&0vJdNHhIcV+q!LO@O{osztOz%|=SigMl z_i6X!xI3P;<wE!8ZYfvaxnuH|cT2>|gYL~e^2jf$Q2pUuPpx-Lc3Yo(=eKJ2<e)pA z8t+tgS!cfUo3wlKqjxGhtY5zME80Ez);pDL)-R9D-BPB$@~&rQxzMe-DUbZDc2D-Y z<C#_-baSrDBR{3xlaIYq*=Vi##*b<D<bCf{)?2T9?e}Qc<ZXFNjLj#_lwNtJ*X5a; zRIzgB&WVTacqHU4(KnwoU3%r|-Xl-lZWSqq-t&mcTcTqwG)?;Dsos>QZmWuv19whb z=F!RYw5&<pZ<ax1k#!@aWjA*L$3#UZvmJ9m^R`naZx{A(bF#C#=l5VS==7A^oMk$% z0?r6-zt9uyaZJ~wf}8W6lVP5s#P)y(x-1pkfA2cgZNJbRz2d#tqus6F@)X6lPq?Rh z<&oH_-K`OKoV4>4_e6Uf)h#LKzIoTFbbCNoG{;e0mvZj2cb$s12i(zRDd*mM*C}s% zz-`?lW!!Ujv|h_o+#0R%R?KO4tIr*$^z8wi(I?)BX$dP8E)%$^Yf{GDdDkg%yTA?I zFQwd-cb#Il3tZRTQtE6`>}*i%tWoT&Q0y#G>?~01%u(#jQ0)Ap$oWH&^NS+q2Sv^| zikw&MQOww>n6gtbVW(ouPQ{3wiXl4{19mF<>{RsFspzs((P5{e%}zy&or)$q6%BSO z>g-h1*r}+pQ&C~3qRdW3iJgifJC{~(zj9Rf>h7hwd71ZgQwzPr?*#3MUiE0&y}LoX zqoc~bgTZ3}Q;*#Z+7bP$%=_-G8-8o<dR@)Syrt{9d#PI9%bUH$OM^BW32hJB6m3=J zeKargMsIQPw=m5c`}bU0A3aBVYS~?{op~>>$=VjXpS^R@$oyRIB+26L;>Aga?zkPS z`FN@IbZ!Y`ns;&dnvjJ?PtCL-6HJSJL1$1rG3$B~R(a=DUv%uAP}%LPDpp(Oi5_38 zc2DckTDg0#dZSIxG%5>zoc8KNTjIjrXstuDR~_?peY|W|VerWvE7|h34$js(=F9qc z8P}eb4Ed|}&p!2jSyf^1*SjG#=2!3eK7F+8((aW}cS0)7MZ0Hb9rbN34_>*W_*>Yj z-Nq~5<gMB@TkEKA>!W3hcCUPvr?qpo*1Kg*yI0=J)7n0J)!SuByI1<%3CT8}ddqie zS@6QUA!>Q6HqTyl#5c7pc<S8{l{~FYv$K43czRyFo_4BxRd-i+*6TC5?8d1ldIXDa zEAq_HkK1*p?7^m`LEh7D^F@HBxu0%3ETS2>z-H&$2T$Hzc=GPRlXn}Qyj$?(-GnFa z8lJo>c=9gc$-96j?;M`IGkEe&;mNxV2k$DLxXXCr?!)7E8$Z1>s4Snb$J*tdtipS~ z{&M!?zZv_tHuoFPOwL<2WA;gw;?sYMjQ8F-qh~((^w~Q_#@hMIrp=!DG%ac8%<#Nr zQ)fp$O$*vNGdOSAl-ZI`)0}qB^v_#1dG^gGX+}F|dgm>hG<)WgG^L$0-Sd`BoE`Zj zO=#y#=e%VTX74<BmZ!+L@y;1}^U0sm{O<XjoGn>tteF4o@!66+Gwt%y9-n<vXe^np z)<1ja<21c{KC<SQPlfDxd!eHIMPYfu9_tS~tl#Xg*10EJaz|F=J)h+}K8tsJo#pID zb{~Ft_u;X-2S2<Es3_l1Sgx?g`pORL3p=dO?65wu!<y%wEX#X7!vq$le|O71?6%&s z!}`lz*&pxts>|8M%h~ttcJAKoeD7}K(YuL9?=rrBx8Tvcg7WeWyREO>l?}Qh8*oSV z#XG*@a`v6Oo$uUbeE06dvunr79&I~(>#pP5cN5CW9d=vK*kL{AuB_J`*)#9>Qp?$e z%Go#VK78ZuLT8B^Z}Z#N-+ub`LFw&<uiqUgEx)nLa?Vc689Obf?6jP))3Rr$Wyem- z7RF*V<Kt(K6dDWXt35hvvuCDx-m-^hb@t3O%Ts%Jc1@vi=AAQ==E29#%IulhWNv)y zY)hdrbH3VxvwwEatTX?7^z576Gi%I?->2=`F|*2i^U<?scF)wwd)76(v)nlJ&Kc0z zV#crY(z<4MK1#cE_sq`On&rli^VIH~t=T;@&wTUSv`u%<2%8tbO<Q&M%$C`mWyZdD z&TO6?`6zAH-7}kJdp=5=bob20*_vg>hx62Koc*(FW}Nxv*J(v}&#b*YZ|B;Xx2NsY zoq4-&=h_*!+ji>CxLvn%?eyDaJ9Veu&fA&$G#9ks?!(h(51u}|@buY%r_VM#eHKtz ze4(iLL{ag9qT(Gz#T$x>R}>X5C@P*&R6L=mxTC1Jp{Tf`sJNi0IHRaIp{O{bs5qdg z7<vGf4CDZ;Xg<gRSVfQnuuek{z>*QOeDPqx2}O2xne8${mI_4{PYRlwAL_O{@tIi& z6s<VecucolQYNK9;)%iu#bdhc66Wm(W-~uH`|$m<2j#^Tg~cy+TYk7J^DU20FORRv zyj{$^ea~#>?%9XCW;4sNi>62EY~NcHt-XD1k^cInMcK>mgq_OOof7@~$u_^d+fTOn z<n4a4&Fth_*P`3X+f9pZD{WUTx~;ffwCFZ(p7fJ#4tc*HZ=14x?w++za+UMBD{mja zZFTS3@m%Tr+mE;PY=2sKTXuWwp0$0qweFc6&n>)T_HkRscH2E~dv8nK+xB6bS)O;r zZK>@`3vWwqpIUhP;BBsZX2)_9@0fkq*0BBW?zQ)G<L_*HzpZBb-Q8=uZ@;>`?P%`j zywi`iY3Fs9M~iOXyL;{3+}C-tA8pgf^De)=b9Uy|qU|#8^7zWk+jq@&?wZZqHJkbF z*~E9x6v~S`c3U2}D|0W8FVDPv+id3BXCEFpoA~zGg0f<d-IfdP%J|%oIhV($n#Y%B z-o9xzbLVX4n`aZ>JX0tu=Gbl7a93tu9$%b!`?}f8*Uu)tzE|+<Uc$3`0nhF^JiBM` z?4H82djikyF+98X;px2xPw!oLdhfu~dmEnK3s|YjJxzPNG^n6=J@vK?w4NukXm=xM zLVUuVJd2`ygQ9$mqI`v-e2Jobfuj5y5AF#(xySJ2-iOEc94hl?>@oMaC$03Jcf$9! z<Gg+8w|3k<boblaZF$>sckABH^}kd0c3akV-`%>ma((Z7d$Ub7FT3parrVQt=-$jd zo~K=Qd&BLfyWd`Ki`)Kom+tl4?Rm3|&3k9gUUtUU`Pnkb;>#U7GY{P{NywX}Z{9n7 z_OjEyho3IHS#&w%o=H^REFE*+X|tc5@=boaY-Q2qfSsAk?wADS&C)REoih8`N#Dm$ zmSq-QHrSat?T(38-YgY!-bu5co$yV5vP`q+vcS&FwmT+Hd9!%)W+|BSPMH1dxUX~N zWuJQ{lgxde_%c^swz+50XWrXCd)dcjFAFal+%vJsn<Z<0_R-lbmtO3+`{41t3l;e< z3iA{8n18sjafS28GG{)S&W`r&(s>eMw-ol=4QM{bBiX!NnkD%F2g{zjAD(|-)c?Nh z@V&pg4<2n3+kSet;`=h@?&`x`)y((yIv(Bo@ZG(H^86LM&6n&jU$Ddc!d>ZS?|6&8 zx9zBAzO(n?+j|en@-uduZ@4S%cSri#JKn7CZCk3DZ|yyJWbeZ__W~Z>ODN0N*lj*x zhxvrN(#PKMCVg+)P|bW}@59&k9+c+4*kxXDS9)6>Z+aeYS{`reiJprJY57+Lo-GgD zlUa1f#3FCjk=bk?ms#$~%)4XKX72mYH~H~0#XXr>cT7z3W=Wd&_RLQEuuO1IX3`xK zgS=S>X0v@*_H%dUpS)S(=DzoR50_tlbJs*KPipV%Wk-D{mtWqnBQxxdNricD*X(ES zmTlaf`6_Rgh&gZ9?6!ByW`dgRvv$m8d$+7|cjleES=(l}y<KKmczMlTlPq)JTfWU@ zmuKwAJeN01$h`NaZ*bY=2|F@R<jvYR+wILV&fS>@@@B1{eeCtJm%B1|<jq=pJ3Vjq z&e&&WGjAv7b?=mYW;Ww?d|vg=yHCxg-;T};&)RvF;aT@|y_h`HoRwE5v7TPrroEj_ zdwZMKb~df;ZJOKJG`F{DY-iKh-lo2tO?`Wt+IBXz?QN>t*;Kc;scdIc+1{qSolSXr zo6>eRrR{Bs+u0PixAB^=Tx4)L%+EVzyOdf!@1*T*C!(33tbO?L+JlPh4~5wodrZIV zFg3U*RdGkE?2eRJKCe|Cuarr~g@%gNj?HYmQaN|BE-Y|tW|Q0w5?!G9A&mLK+K2D2 zJt)tvD9nDb+w{X-sc(6_dU?E6+uOvpx9y2$?v6g(_50wxRgCX_(s%Yg{WkS>SYG%} z->2WE+z!ff-^u&*+vMARdFDIMKKVB3wpX6|&fX{ACf;_-6W{6k<lBVXPI>tS)+cfm z$_1uazc?xT<OyF^5xe2e=4p2vz1}TQDHoVz{o;gd$`d}VB6dMZrIcoc?T!xb7RZ+i zJe4)kl;r$bA^oI+{pXHmsrL)y$_14BWxK8Nnnm6(kSQ1Fv(^y&_@V7#Zoos?l*fEZ zdz!QEIGW_mkv4HYrhAT8N@NdjmmrI>h5?7ci}z+H^j{p!Hd(R5^v+$WH+j6J+uKC8 zvx#hH+ZBEI&RWNJ*96M58+M!Sxhr)ik2iNa+qUS#ozaJHt#y2RZ9-YL!*0_VJ51-? zmGZhHbtaEDbvv8TcD7B?hi|NPd~;2pESq7sY0X`!J$byb+u7DdAHFvC;hDJy&&*wT zW^Ut|xrt}yI-Z%UcxEo+nYj;7&pmj0?!wb^8&A(oJUw^er*jLQo}2LWT*K3I1y9c< zJUtii^qj-fa|Tb(DLg$V@bnzR({mr5oO|%(+=VCS4m>%x;mNrLPtHwva<1XYxq>I> z5}uq3cyi9+$vJ~3=M<it+i-BM;)%J8C+0ppKDY7HIfKgd344rP?nx@-vj<<}=}*@? ze#hJJyV04wEXDf!?|AEf-*kHKlOp}?cTS(GUimaGZRg%n{quLcjlXX?vsa~9`pviN zx$AGonqSUZXSZ+fZ3Xcv-A@sB*w%6!;tmol5l<<X4iTTC#NjFsd*M#*gczkq4|{Jf zeYjU=&vdhQst@<BDb&wAGr>@!Vs{UtvcQM;WiP)k>d${)_R<M7mwNkYS9NE(e(0Uk zyQ?$H^<TeB?W*p46nE+F>7CV@<@%4`sovRJvwM2p_f2o(Hr+if{JrRH+^V~$w^VnQ z>HFR}y}3H_QQWM%r#Dr5K8n+uep>a$+oGLsqKfvaH9wwv@c7(?AJ1KQeC|L+`h&vs z8-?i+dyHS~FxI#yS#(FT;Etrwd!CN+w!_wkd##%v&RzK7T*BjX4i)Ju3ey)9rq3u$ zpHP@CvB#L>Sc4!(#q5Xg&pjwluP97^vD^5=UCD3nc=X=!RF$`hmACD&X708=+-1#t zZ?5Cfxewo+ODIoYvD<jb4&wzoj8jfbP<&TbX?);rTE%YT9d{*fz2nI#Zxb$W+iKl> zYp&wka}8zb28HPsyN##pFrIN&^3*#Xm3KTT<!u|Sn{Uijd~=SWEd9qW<BGeIyWa7{ zl((&wK0H&pd8V}UOljts(uXB@lFr3A?VRraZov+P9SgQAY+tbLw!*dr!j9RFS&o_8 z9Wxx&n$9(OvCO>7HkEZEhZ46Gx6p20E^a37UtFKKUhU$2#C5A^Ug505X*)|N74{W& z6}A;N?JTV;tST%kEGo>~SsG@w)nc>7MvL`#tkznrwpeMg++yh+tHl-zE#_OywU~X! zYNo|>i>VfqEhgTv>bK~%=(gyzXuo6CYGGc$R>)NNZ%1j6mHOOC=RDM(to?lP<%=&5 zb4tGLC^fRGv?$+`RyjR-`>UDORVrC3Q7T?4R!_{dRCYO<c!+p>Ii5Sk$Vzf*kDHrf z{^I_?<16|zlD8bsonm-Od6)7oiK~Uup*_z!9(Aa>3Fa?uzbGAeI3w}OvD_&JQOaJ* zR?1qB&8#FudyaJ+>R`L)#<;jXaQ}<$jQhD$^mmDu3SRBrwxjo%YotrK%g04pd5g;f zcW1<!xQZOjouX$YKDGOp>%+Xo`GMOrqNnIqJu=%R7TUec_2MG6yv6Akg#tHUPvH0r znt~T|e$vbM>DY&g<bpkhKXw>GX5z&TFXB5YW07a5q9k|EV9(KjjOGn&z0o|zc|1mW zJk9282c?~Rq?vo9ogefvemM4_Jo&?J!#z6;zucAhk;hYQ&L(cowpZG@TiW?vZ{yM4 z#G}28?~g5bbgZB}dBbkQD|aP=?nngOk$92EQ*6$*Q`-4XFXOvoAIg#ovXiYhmUTP6 zJvN~%*<rWgj2(t^?n-zi7#t30Yh+>D6wQ+?am1h{k>T)%((Z%Y&e#9HjwyMyqg2bP z*rL!vcy7n`bI#9smoMCWA@lJy&lhVRE_pcT;grJHG9_GA$rgzg%=vR0&e<=Y|G9PZ z`)enk-znZz@X9j!j@9QmI&-w=RQQQ*KWDvs?&fnd4|P7e=J|Y1@hQv4a|*VfGhaS? z^VyTnYzkk;6tA+pJSW3Xc>6iybk5~7H=pr*bj|abOmUax;W-K0&*?9pzWMaYr+0Sk zO<y-N`q`wN$$9H%NIz@Z8NY7&?b}a_c1Fj2mey4+lvb4%=4RgATj#oWQEcG47uR&Z z^nlh4-ElhF!ua{<Y27WL6@*cF3n44B#k?T%e+xk~u$!KUtpcrDbIV)k3hH4T)HUIl zAU|dD_JETfC)|%muK-PE+vF{@7AtiSU1*uN&|*PnfXfTTFUNGR2t;vgWmIjswxjh@ z!?}osMsc6J8@Uf(n|WIk(v!CqWjiCTADg#)2dKt>TE=x6wBYlOpYKE=)>D&jYpR1r zGK#FHLe_IE2U+hp;kKrNy@tAK{&FQndD+@Kex~5%9A#O7?MZwx+k-oUJA&JT&6n}y zFXvjG<0I&Ib;hDXYtv+}V^<zbc@WZbTT>#qF}NYPKDcgsu>P`N|L#|By>qYn{o11H z_j|=py{#>ZSO1<{6tDI@wkTfpyKhmv%6HqMc;)Z9Me$1CWsBk!zu$eZ&+#4ilYRE@ zyesdY{Oxtm{L{V(-)r}*e_UI8XZy!}w(m|?#LIoZTX_HI?^iq4KdLRhBYwPA_<eW9 z{lmZS?O6Y?mivA7<9#jP*A~W0eV<zxFZo?|&-w?o$#=w$)iS^5uDHMd_piI(kJkQv zce?!k-ru`+tiM+qeaHO$KAm^FAMLC79$I*R_wQSGx4+w`nYZlgq6R0{{DlD;BEQPH zTb&kO*c-i~TY6QwbM&1Hd!$c2YFoEsVTF0fQQp0~7nYfCdDpgV$3l%fjk~;acP}h5 zH+k1~=<bE>(yz*#Z|7;;=Cv(!zMi+@7O(H_g&F2oj_}&<UZ|S4;wG=|?u9CO8aH`m zcP~sbH+j?6boat~=~t!ByYn=z^WNPxKmFbEnZF~S)lJ$tKl$DA8NVf;)iv#$AOCLo z^xrq1))noXAN?-<>EA0w_M7kc>wN!w>hG2!`_*^+wZ0dh`a7k_e(@cDjqjgN{w*o8 zpMA$)&HVDO7$Z~Dr;p0AK;Y=xD_5>u3AqcVrrdcOa>tgn+<))g;Joi4w{4G>`On?4 z{MtLst<_p@=Q-_O?sF$N{d-7f^{F@Ww01A|xD%Z6{mKnn)iVFqyTJ+HuUxl%TIyeV zH#p||m20}C&!!m_dr#fD^u(Q@<UCEo?IAOwxz6Z*eLC$^k$36Nr918fMdz(N743Ce zck0t=hl;#ocP?FXCnz*eQ)~N`DbZf1bVZ*|Ybx@#-MMtmogm*lO||V;CPjOl)Sdcd znp2Ut?9Qb<cY<8=G?lhrnGo&OafK~CZrAT~cf;T9EBxNN`~B_OyYFt7#c%sPZ^!#v zwO8NGE{ostd)AKkH*3A`Tz|7K^}A|e{HEW1cf;T8OZ?8d`~CIWz3*<9#;^OGch@03 zPr=wcV5W4(8D5iTY(mA%tveeJ+;NC+*uzlD_=suCQ?V679^3(93OWwqd5vorLKzn^ znXr1C(hU$%&~Wf?n8RSYlQoHH3yTM5fRKX9b_e%{9tKfHB_@+6Vjdh9*c==i8W{fW zU|qyAi)9i^7faI~)+&}FmQRdVIIcVv>k#ZXo;}a&UHYWoololIcFuQump<{g=aV|V zo%5aEJ!kuFeBv)lk$wFg|5Lv$EA3U^r$71IvS)t(_sbvae(jjw`+f8AzbSj>+q`?; z_j_lBed!(lqrYc9u6wj&e&=`Lk9BhQ&P#v4{Gm?lp1<_>$sZygdp@>&toitx<qwgX zV-<%g*zVae&ae01|GYc>{@cm#cYZJVc%^#Vj@oCokv8ErALnW1%`f-goqpH&sPNIZ zliyi>pHh9y_F>-qeE;p~w<o`?eDrPSw~*>(wioBA<;_n&FSLFlSCKd4&ZTvCf~IW0 z@<dm)(%bc3(1h(*9_v1>@cy}DsZ{<-x$Pp4b&o!tcB{}^_g;`yp61bLt>e0_kEeO< zSt^jf@^JL4W4fso-lF${%<?o3MXx%h>-u=wtU~XTJC?HLYaWc&I;P9|cpBH9r40Ei z_eY<4Kdq|J`|I7Hn(bHa={|il?b7b0QFnqWw~KT~XC2jTE%#ozW9hp*&E3(f^jNue z?Tpsk8LjnhTGQ^O_m<pQa%;(rC4P6j)_Sh=T<W>dbLNhzR+F_9Q`JJ%TpxvX`DFQc z`DpoYy$O5eed_gp)?18QIF>vX^AOZv7vNjqqHrX7f%5`shc<^+2eZ6Jj>as8iwv_E zRUV6F2zUr+unF)iI1sJiu)toyeu20{?RJM6hiV7ChA$0KcbJ|sZe)mJY++yWNbCjQ z3BDD48mt0c(F#@zEEOylSSaj>USO_ZzQ9buY=Q80hir!|hfIeI2erJ$a}8b$Ga08c zPTawN=u#LUIaw43!8(<i1^Oplmu?fPp8TGVd1-5#{4{n68J%ekjt|6_M{UaG&) zcK=P!Zv?-Q{Km4ow$66%yjcHr&#&cPo~dT+n>y=+N$<{Fq01u6IDIZpSJU6_8#`-< zNp9w<oJ&Qwr!3|4zC2Y;+cz|C_6if<%t@C-mU5oTy*yb>-Pd>43=`d*xj~nvEaCLL zJW)+~yRYl44inx?p-Wp1<_1qtQ}DH)r7>GAf40hOrP&Iz<z~y|&#o~sHT#;8l=G<Y z_Ljw&8$I18Ck6N2R+I7V^zHC%_chO(%`=;8c8-al+0`Ani!PcxHr*c-6coKZ5CoF* z7B5<~XwjZKZlyaH@3<2f{cgo6Yp>I?Q=j%7DsqqAxp>W;z|eOZTIE-!SbLq46@A** zROD{EbMc%zfxhoF)XJ|+vi3SDJM~GQQ<1yu&c!`<0$tx}D3xECVC{86cI!b|(I<UO zMecuhEY^6x;-t0Lr@pQ|ixu9lIBtFFW8bGjchh@;w(m5KS$7?m-TJsMYR}@5JAqy0 zA$`_d$7QEhxGUZZY%jmkYklfNpVpqmId=kE%0qgsyN=14KJJ@T=+1jDu&F$x$C~Sy ztZ0Qh<GsN8@+t9Gx{d4Ww}oWw{Cl$LY1dS(?e-zy`K52qJ1498OuO?Bv@Nh>;_dlL zE^Qn)AAD2im>_RI#eVX3`$_f_?I+mx+xKm^x2uz?uli%~@57G2H$QIvvG}q3NA=@x z`TOP{kv}s3@O<g*_HFj9_GWb)^;viRUHCEcqw?eQ5AGknsUPF-nSVh3!2JEU<@e7Q zx39IYv9I24uUGe_F6z&dzZ-V^jr`dBeet8`?~cFww)~yC>*Stg2h|SVUMAsdFpFt6 z!|Z=~v;WMBGx=)z(e&+Ivz-~2va>Euxqjr)woF&yxXE41cB}2ay=>Pq5nqj2FJ?W< zoBd?gqgfAT-J5kMZ}zQOH)i>ntTkPE*KDcjLerTUR@qvGw^Oc#Tyt5}xhC_?HqSMh zYdF`uTz&HOww0?pS7*NNnR%NVG<zZZ>>Cqk_M%|t-VKmVF5>Gzt2<A<Wd+S%6c$x4 z<eYrn<?G$Rn({06WS>6jyR>_8)SbY}a*=N9tfR86<?btYEPnS+W4HCHcYR)k?hAJ; ze(`R_PHQhcEj=#1SGuR(nyu39(tYJ}C2Gp8+>j`ds41N*Hm?xc9+(!G8mO}9#G+$) zi;pZiwCKR1eT(+wE#9?g$D(cLX6$T*ZXpbSY#|hbZXsL<ah>iI$QHs@=oZ2N$mS)n ztd0rtBK9Tr#oO(R><jG+?DOsOw%h00=h&;)U8}p2SAVJQLY>c_Ie(|@_-puE^S9zh z=WoVkzm>lUe`Egk`Rn7--<Q80{<^vJ*qOEdpe^YwJHIkQc2C(sHVVBi25m_X&Z`A& zNk4LOt-r9E_Y{?#UqM^a1(mkjfwrWxoLGCFU*2oJr@ZI<6VdV>^WEj$<>l>qx7*p) zNKDu8EUIP%EeP(o6DI*0E^)}y|FgrPGVxQ>lhzZrxhIIr>088oC|vmQn^Qk`k9bAQ zjl#r_-<tZkyTprP4iqYW{KoXC^)R=&o<y9+p1lc=nI5)EiD$&jD6Doo)_Rb;Njxd0 zp|D!<1Ji@n{oHlpad+Zg>^@MQ_`a!|yK1|5RLqIp2Ob^j;;z^(9ul)-cS8BXcTQcm zxi$1I#Ln2QP_Fpy8`GWE?c90dxAZpLjk73BeA{%ZbqjaKc5$B=i`xnu6QWnhPnkSv z;`V?E{e5;)`3tKIKHb<@!M)VkkiG9nw8oLc(rvBV1I#$GFU%<9R!t5tVC#uq;Q(5X zyFH+`rdsby-a^RwQ0}FV&aKlssw={^%W~(AXbp=M<{IWJ%rv$|uMiH%4#*0~49M6X zptj)L0xyS|j#KYCO>9tVmTDGi=GxuL)cosLeQm{$Hy<MRe7*Q^@r%ia+1vdet>s^I ze&KmudFT0uqUELSTI@_~GJc%d@pa-u;}^;=gb%YHTkF4of5G|r{qxUvN6)vDx0`P( zFK$=0-A=dWQ%%H=H6IS{{u=nO_<8d4;OCPcU1M+MpL>2z{~Z52Yx(D#pWQ#(e>VT^ zwdXnKo64KcH<8~GEiY`BZl_vvrsh;$t;dfEKYM<5{A{`VtKnzO&x)TVKMU^u%9(2z z+;%4SyaZ_1>CU$wFFkeY<W3Vmm8U;pheKK7o2DDB8*Xzai0{*@h<&l^KxuU%Xz6uI zo^B6l>GkwzZqP#Wpvr7VZ_rwZJ5ei~K)s>uVxXnhEhpEus(MXQuq?_x2-)uwbpteK zE~b&M>k~C$i$Eshr9+R`vbuBI>6k>lNNoIgjftPzT1O}9LZaixYfgOJ7CI_X4qFN; zvLCjwI&-&*<wPj#*&1+|srULHCr8J^yH2*Ywzd;@)=CxIckY~j=#GEFyXE@dCr|&q z^7P*$PwQ?K*@xcqk9xOU=ezK<-!D)7O?g_ks>nWY=lo@N{Da;t*Z3|x<@d{zf1f<5 z%PO)r+&O>R9e=NP%T>M$Px}4x#NU)Bby`LCf;;E8-SKyNx19Iga)s~06Mnxu{@10_ z-uIsWr0>B`{<2is+url<`#!n<_sWlTuL|uA@A=!jTQ2+k@}s{?9@ho!nO}6r-{Rf! zBfmL6)>-YDpLfT<?R)UUzbTLFl=jTey5n#1Zn@<5$vwX_Khz2BnV)pW-{9Ty1HU;x z)cvad^mx<KPutufLHjLap^>ZCl-qKUrM|Z}yLbwzxaU=aZccDHk$axavEk2)g?rx4 zd^7P)=bOeim3!V6zWI3Z@{P-j+dpn=cR$}Z@2H%tZP#{N%c_DeD&G{o$=v&P=Y`=L z%{Ln_I#=8dUfk|>zDG{dwt2g4ldWM@!WWir4EMhM`TFDQo)<4)KivKH=Ig?Xi?3f^ zr2c+eyX*Nbxjk~Cw&mMx%WO-<(jq1#CVsfqw4hZ&EFpqp3qwWr!}Cq^TgAnG>3p~w zbz}2`LrwEq#l$}4>2BDt;Ske2R#CBcdAc_uS8Seeh>454WV_fiofDBAn;Fs{zP;8o zyVZ<4N6arGV)xbsX^Ll=X0Zy3oy*gmvLPXD;Ta}Q?v(9f$8<U(IW{w-J$!wwX?m+3 zcZ}G!b9cfFg4@nW7;YCm1m0AV%c=(|7k9300M)l4dD=dZt}>V3tUb?O9{qcz-2UTT z|LX6PyMKGWugfa5e|pzn=iPGA@51-~E_qb9Yxn#&@0RcSt$Fls%cHtQyXQZ9r@r&I z=DWJ4-Sh9gQ{Vo3<=eWX-ShqK_-B8geCzL&GW&&h{ng$r-~4;!k-sTr_ET9;J8wL^ z@v#1h-8NsM@@3wCdcX0b-v1hddr#y0MW5#%kNx*CqOe3q=~}>*jVDxcZtd_rd}#Nd z=$P4-BH_#WjyfN4kukr<fA8u1hgHJP7uK`(NHqD_%7|}2x&7VUr}H1&;%O0d{?K~= z)Su{?4Yl<Z<v)*axB3zMar&e8@6Nx|`~NCzj%wG_`*&jhU0iFi>dvp!J5@Wr=BEDR zj14-tO=4&3L<Q;H-liM3t~|!N?Ay|3rPH%wr%cR>o-(=ors~fd96w)FOpmpb5U)1) zwBz(T*{)72k>We4;(dpmjvSUQznSV`ahNT!clVn`h8)hv7CeY8JIYWa$nm3mdTd=y zRc%dm`OT+F{Gg%O-EX1_r#l^;dpEZ1>cyiA7tG#$T5qSh*$%z!yWhM5fuP-|*BJ<< zB$v-r+h%ro$AL@xF70_|v^G1}ZCP%h-#yjoPj2P3)RgS;)|1aJ1}(DL{p8k0GvQK= zXFI(0WU@C4eEe`Mwn%c_SxGaF>^rLBy<0iKE-E@2F<YOB@&CI?r}J}Pef{|MuIlve zt)17E`j5sIt&Nzi8FJ_5UDfcrw|bl%&C9396lG85eRuY)-p*Uso=xI@dqisbt=OWa zYhM-ryYcSR`nN}HZ_T<D`)}z?jo;S4wF{@$r`4<cJM{0wzhmz{`M=?R)BpPY>+`Sm z{_py?O-WPz{U$%5Pe#)6vhO#YbMOD{>Lynnxji}e+RGK*Yl7FDE}UL!aZT;f!``VY z?Rc;2b927mbnaN!V!P}$%MFwF_Dt2Rm|ohf?Z+dbZCsGb^l$AM@$$&$+s%Ie_!jeG zt<P4y_j<3R)>vf8c7M&f+hw)KO0=}BJhFWIqZOr~-8M(>rp^WL9Xgc~J@eJA*lTkG zyrcWml2Yfb^||^+@3q$&i&T{`wVS6xj$iB6eSP5FCcT`ak=JUkR$eW=T6i^gt<RQ| zyImHy?8&c*S!8)nbymOVa`wknqP^_5`lkLodNiYR*<-znV!L<k+O^B;PHH#^T)z=p zRtf@@cT>YtQ^QlAJ_*$Z1KIUaksxsL@v5m{V7U$=Pze(-g$ig^Ts;K_`&Wm7!MoV4 zn?PXFQK(sOp(-uQAu5f8(^cP1I-Qf-22Rd;8@KK>+Ie7FOkDZNt6R;Kr^iH3nH+vq zX{VXAqP*<-RY#BCig|PJ#N$;_Woyp5$aYz-Tg7_(mX3?fp2ASww(R0I-a}I1SDlh` z4}oSDLUj$VJ?nNjuzz*d$LQIhL>+$hQBv-sttXNTLv^*UrE!UtmxW&q+HUqZ;KJG1 z(C$08t{hs%>UVnfFB#V(G8XTIbU$7=#(Q|Ti?+&?z~*DIi_S{$i1ZXr&oE&4{qN5^ zp|`B@-L~T8oy(>#Z#vqw+vV_X=fv>x=^2_Y9z1*UPDuCN+m`6tv5PjYof+W0+uLM| zv5@MScS37(awjcpT-dv?^R8;tjaz@B_J4_5RH}P%+dHA&?xMX`nvy%?T1)nvUVB_L z{blmsO@(`Zz1bL-8k6^S$7x+T?Q=ze?tQ!O1jXd3-g_#>cX+Dj4!dK$ho@#fZYtkt znw<M@<t5#faj`vo;p{Q*KTe8zS$6f*wym9INxpAaP0h^SeC9}G=jyOoYtMr6Z1`G{ z=-E?a5~jvajSFA<CTjM?sh|*#g@yR8Bd`$nhK2Yp7HEiHRfUCkEG)#Ys=`8C4;JFF zun@nhs(tO*BoXfrot@E1<yTcpy<dc-$#Cs%dAG{@$(9|lq29M|xma&pRu-xYb7huc z^z1~H6UWxAa?Q!jddPJ7)6%1_S4Hi*mbPS??x~ZjwI;^Q?w!!z7asa3Ialvn!jr;K zRqJbMpdt3~(5B>EF(tWsJ5~uD-f9Ud>sD(m+-MfA#v|E0U9%!o)sP{ch3Q{xXs7)A zIQjecLZ|LHaX5S8@kgt?!tN{$C`>O8RV^(k)L0{A_0_V&B#r0Z)3AqK&dJA$ypP4^ zDXufGt9t!D#N>0tgIF0c?c$@cc|SjX-TNVX;q#=wardf3%6A@3+Uw|jc;@0{>xE^7 zerxVN6}x+@XY%amnI+nr&*s{my<N3qYHq6D_A~E_ULSeYaD+EHZ=&w@WABQ*b8=Um zUb}wk$}L;&yxMhIcm30AVo#T(Jb4_t*}>{p#+!pX9<Pe50IgGOWd67+Qi%WheS?_z z9Y(oVc4Ubi+Ul9y)^qTyk9XEIiSV;Z9LfS8&aZ#JDl%@3@4m0qdS9Y8?8ut7XY0)x zJKdGBaXn#YVoN*k+)4r6T=;4dETMQ`x|TMxbF)x*Y|=Kf!yXfQV@o@4+=@BGy6s7J z=k?I(C4p{wHy6#>vueqcWaTG|ABU>e8bBi8B&4c|2^Bh?sQBn%?`kh8p>rD?BOb4s zWWttsLPBKEsuZ3B;*AaU;ZwgnzWn;}?cJ-Eblcc?dWwZloib(0ls&swJ-HJ)^~73V zvmNH!S8HWP&(2W4c4gfvmE7EEGcB|WLsiqVjeA&b-UyxAvDQ~L#_Pb88qJTpR!!17 z<)I2ny}eUvz!gr`68>XH<$7$^N$qqt3~W#8TkZD90$f;J^>97}s%>ISCmmDZk!)@X z@9kmyp(OC({i=zO>My*vWMVt0stHvN2PKD@XHHmuwT%35ZFOWw%FfeC(^Zd#Zoabi z?9Sg(-dSQhSEqGG%g@Ox@I1>@K07}zH%I;2l}i^u8$Pv_9lt%hR(8HD$yb;6?2$;$ z%|=2g<vKiXPOt9H)&^0p?YOVop8Z@LJMD^2bog1NXUU$vPR<3MzDmt`p1w@YX+?W~ z{h4!p(ySxzS9z*jXRi(S{gm{6mFJIZYHg~fo%cc~?}(V4uN)IJ`AMv)XSXQ(;YqVn zj)qR&5Hb7o^NW*aEqS-f^G(p2@W3;%rkr=XCcEfy-U*$2WUYngok?p{Gk5!#a^4P| zJSU<sWb4<gTUDZO->mZVS!3~P^O35}Mnaw^!k!g-`YM%ORY}e^_KXnPpc3!7Yu&6P z*+)GOO-<<z`m}1o<cSmd`+CD$zg%pdc$`l*yfr4KaN2~f2^Q<PW+oqvbW(GYixU<4 z2wHq872c{6Q<!mP!p1sI%N_Tgo!qjdsMat@F*!yw=)<{pt0t9Z8}qT=y%VbX^qQLV zo!PfTRc~KYyVYsBb+y;BwP!)~#X7A_XqpTS%E|RAYV@r0tcnfY)NK@0)LryxRp+FB zaG~J)<=~DR%@dD@3YTlBy$U!}c-2Lwy|ts=eBG=JNb6^{+9in=#pHkwt2&{jf$7D5 zeM7^-_p3TPJ3DpP&8kQ*zj|WVR>}6JymzZ6LR%QCCgx@fzdbVRR;co2Xg29JftFNK zn%m5jcPbrJ+OM>CozzWNNy(kAmX)C{Cy%?GI9*e+M{5x@O?K^orb#7enhbS;rb*F> z&@|}^OOqX-G&%j`_VQ2{@oZs1{*A4_WIQA$G)`!kP#50%r@4mlCi_YDmycFWsGd+Y zL093kLZri^SkWTZC0r-0J*-byd5DC!`Y?L3Te3@*hk96gSe!6Fv2C@}F2_xd^BQ8B zLz-Q7Yo$mn;XGk{LTEzT1eJAMk!+r9mTa1AoMoXW3{L2K=%3I#v39jnQJ|lfn_b*j zfuf^@UpDUBc)V)r@lbxra-CNv3a>gJ6-}?~cx+Jk_Y<g17s}7i%bhE5X~(O?UP&W1 z*2I{v2FDT~{CcYRpz7;|_p93L+x4&M^Z(}izOL%TbEoyL_qtZgZ8{KKm_Gf{s`gTi z7tfwNSXU*n{n4JCTi3>KT5BJ(`_;ov(dPzlHylyCwXk!w+&tM?vVF4CWGC%-rFe7U zjfK|}Zz$df<=@Y@TaAAw-}ZIC+%+rrvmW#KTU>IWbe@e^xNpoxv)~mwHt)_#f;Jnk zy6oI~lI{Dmva3g6WnU+(`cZ>blD;C*vpZuFI^#Rz!hPQ?>hZa=XwIIj1<<088CvvR zJpe8GOa-7t9~-RbYcyeFW@ctxFC_s2KgzEjIN+dQ;FgteKa}}%M2u+R(OW(XADw%* zsson%1!2iw5SILvV9CEL1DgCr19NhfiWHj^>lCYEMK^XE2^E6Ue*-lAv%=CpBQ*Vo zIzZDu7cBiVfztnDBX-vHToTM5TDc@DavA=w<9cy?dHKxxI!*STiCj09$Egc_T2<d~ zS7GvP$1C~AeKOrv>wYcoc<iS1DD*!cZ??#-9k1jSc@Ih&t@~vz@tEK3LFj*mzu)4% z#D48R&&s`5Ed1~3=*0Hrk5<)}mlkUV#C+}dJEzO{ZdHALZdQ)^x?kI;g)yBy68it# znPaCsV!rnKyg9GU^JZ0je0}WX`k4CY@U@Gll<Yb4G>CmiPmaoy(ARRcA9kFvub-B6 zKw@QSZ}{5eH;-@c&RPKN9E385uQ@BC_3q6&sR(G(&NLt|chkYWJ7Y~Z<mN7#8xg!a zE8*5H9pl2VN2?lPwF&dlYiSDN5f8!_Tn}a5t)a}kT|<3+l{%y^b=6wFr`uMdGUjVu zdlI;TvG;IcL`Ox%<5lHtEyg(l*LJ*;?cKe5xAno*_wL@^yW>?Xs8PWfyT*5qSf$Q7 zt{q9a%fPLQ1F+(x0alzez={(_SaHG%D^9pHwwW>RWH`vMpJDGht{bkBoI60piS^0j z$4-O#RIBDfi<7MF(BkCQLr4`DY7H$;uJ#>M=s7*bP@^Jrw?uYv>a81l1Lj}8@px5f zk9CpGs~uT$AKf}qn14kzZdp`&#noMhw`N9UG;cW8v-;Y_2^;GI3ie7dAN!^HAoMlU z|7)x3USAcj)t;Q6x@$+)-Fb1{U%kb`*Pf2v7+3pfZTNgI@2tCbLtls7Si3%Yy<PB{ z<-4<FyF}A1x7TTkZ#Q}v_AL5#=<7Q-{MM{Jvun%hYbzJ7HQ#7--fN9{biDhcRi&vZ zN#SdyS97oay(>#LVs3bBxNP`cv87K!FRCenCcwY!(29E8)qJdD+v8OkHe%Ob2b^hS z{<tcmQ~TV*-l>@p8Of=u&P#>6D#sLtNExSa#EIq>91Warc<nGp%*+Pu;J?*{p(gu9 z({CQ%{(RBV(2HL_UDKbhb4@>d&GF!k_uj3_D9<jAoX&LgPUyuKVKO@{c1&GqcmJCH z?R8zxj&1vQWY(=yF3!8PqHc91f3As2YBMz2X!YRNxx07o-Vc5M4g|ift11V9<BwK> zsPgi%@V&c1VD|1;cR^t8ZKz70n6K~NoqGdOmIPMyc^62{wb1vV3E(R#>sKuUfoG3b zfu@BaxHUX97y^v<teOP^w|ZBvTDfwiY+R@>9P}Owy$Uvi4Pu5E$B*(*>-}3-UO&FQ zJk(k|TNu=@UwsSOhmO6vE-&{OsAIos?wwm(k~cSRuHIa{dsXc1&aG2dZZo<ZrgnK! zR{En=Wf|GQw$bZn#a>-^q$_yayd$Sngm<sHd*fEjt=5~3H!EYWzB|3{bl&S#Wii_4 zR8*cWc@lbA>H4zdes_2IaNq2dGaL6^*w9(=_>sc8Gly3%Gt_(c;9!Sx`=ct^&exeS zro4rFI}M$WJqW$bay@O9e9wW?OCnc2Gzz+S?t<5XnG2^boER(G%W_L%mPC}qt?Qu| z4_w%DVb_Hn7q)4ruHR)U$@%lejf$(f^0pF{Zz4kWyqeaxb!M_{-_(_AO6$%Xp1M*h zuJpCrLS84S@Vl!vJ~|UI(}?Xl_qsC@jp28j7=Jw9Zgf@r_4JzXyRV`Puk8KmEnf9H z`pMdQy_M10>vkPY%6+7$yE3{w{BF?3M>=m`1zm_O<vx1n)RgY%nWxq7{Mx>4b>7a6 zb0cPEYX|4<{go0GwEe=mT~oI`((#?M_KcAB<($8pa{hk$856Yq#JXLsNk;?cC%-;= z=-O(3PVZOOu7_?v5GJ#J>dmcjcOTul6*Y6pr0-0Vvx_A;f9{AnzBTP<O4Kfg$9o(4 zx86)%n;4Tl&8BQkS>f7By;z5jaSq3S$yf*p^7FoD%@c`h_z-u%jaSMzh3D7~ae<iG zT0IA@u4<^StNqTTf9+Y(OQxFDcZ)Yh?cM5@d+<@5LVm7Z_=B}&J4+ZvS8uI!o4!V3 zG53-k;yal4thyCHzgxVvCOq_Z^laU*7h6y4UM0o7eb-KNnH{TFU0r+Da_5fKs~)cP z-EA$Z9Slx;xo=lx6|HVI-fFUC^{SZ>vr|;hoLaZaEh%?Z?aJPjohw^oLwR$uQ@z%` zKe*LMUGutm+}78DYrW5Ar<VQPkrf^uKK*g%)jijwkAi#lw^|=>05>Cc^KkCowJR%T z>+033y^n?NW>`P#+x6#<T;=bFUjBA1ty{E8_tUyr#}2K{d&kTEZdGP!cCnw{yH%ML z*_YqtrLH;<Ju|Z;Q{z(5lB;WdX6^iH;(s;A(>Ho|)~q|P9{A|;zFn26c1<mkbMvOv z%O*z5&Wz7Y&P>Wo4EJTe9W`^(>*|8FXFR=599vyvyR39+rK8=i9a`c~yO?+WD@<LL z>#a5Yap>heBH+<1E$HZ4_){a5If;*rIJ0A>b|1SHGt(ezN6L!x8>6$QX})896>#C~ z(YxFy4({E#b>rH*+;alFouk+OnzEs!adS1JaQ5aSQORN3qJ*-~7QJLjSsgn6{8H|Y zyWBl%&u-Yt_J%25{KYQu4^gwlAM84CEo$?UIWgJOCQZ2BbYkmDyLG1C$G5tDy?CSf z_|}HP<DhD)^E#7E2k6R3&h@Nj*V1^Uvd@0n8M7(jSX6Q&4>#NOSx-OjOmXbVZhUZS z%K;{C9fmz(5ebc~Vjp6;bv7Je;{LRb6)aGGoe3<kE4vXSaPan4km?NyZ=*n}BMQoN zL8^5Wc8f&_q&bSuV2!`dwEk<o)%1*G5|IZ=ISni|Dz2`R&_4HQRcuvuu>Si;C0oI9 z4jOv`Mg84S@Nm?w0B}67Ud{b(RV)~&ue){iYU(2>FE%;+Eojj3)vHIlvcj)-2r9ev zRP0f9>ya=_I?^FqegrHc(OBUQ5fL<f2N5x3IwlKJBB<>4;VwuQNJE!(5=4VTAxJ&b zyFLk#JzC*CqUjgU?6#=VU3W@!huPnM4B=@HPThI@I@<qp&Bl4hj#gdG@qD^zx7PG~ zRmS1dnU03;d~t2r>=>Clp*!zgdv+=$d$-Tj?zcwMy}k8U#;C75we{H4ZAKHPh3E-~ zKXr<povwQ3lt)kO)b5<4g51oHe?`rVd%bhVx>HxTK3Z}9>7-Lzx7O`!VLat|^2G6O zMh|!SUR#Os7@iLiR~F7-W`A_l;Su--Wm7#i4)6+Ej>ky~ZVC_P-{;=f-3U4(MfX!! z49|v$*%eyv-n<Hk;Yna%E?ymbh~duBhB>S;lf-fY<CuKhel^tWVJXPDbFulOP{VO0 zKH0A643<K?g8cf7c_NoKI96~5B)fqIOBk9A{xC7>GyaR)@#67rmK&F&FDktkYG93< z+qK)9VYf(;#tS{hXOC_@xTnW>=f)+!HL*-97S5b$v71FBP|dCBjZlKln_EwX7<X|n zxHYWzX3%FU@Dxhu{uJc<uX4K2u@0?IL6%+-QjF#9N7l-JG&_{*a+_nDpt{?NyMo!u zm)sWg8W=vxap@FHEpNHWA*6i5t*2K&TF~s#>plkQho+0NS*~~JdY#lxG7|c9@uGXb z_M(ZP3#SDw*KvT(!w^th^q~uMJ_d)N65D#H(4qG*p{N+JqJ@vT4n)_dgCg^esH3`* zpUWH$3&DJ)J1!ey1#^}BIwFJ@sww%h%<9;zeW;~FNa>8rluiZ3CZCoYqK0ZpJ}fgj z^abOTcDdxl3dVqDFcoC<IRq3O8W<RpJ~lBhGO=(7DDc6AnvStTL>yS+1QZ;;#0m&a zGhiq;6xc4uoG(#u*g=}@hCu-nbCx)BwuHxF1}Qe5ga<s#ss;gNh6!zqLJ|sx4@j^b zF%W2LT;I)Tz*CX9fSWl+;>MyWd&GS}+gX3TDAdnLd9pD%(7ms^@zJd#6FVv@7JrCK z053O{Z2rz9*#z1T_v<eAzql_S-|oyP)V~lt`}9)oPkIp>qd2|ASL_gfuy+6E)!vOs z@8TTP#o50<J65KjVZCwLw6?M`eS^S5YkF8_-q@RvlN%>c#(0A@Uc4gqLg~S4dmFlo zf{cVNeH43e(LI^pO|E-8gLMh$?(d2U?uLrP8!oUZ_C_~=YACK_9D7(b7#?J)i!69A z_Mrb1`;*5@i@+%|=wrnG4(IkqOHWPA=%}bznYTtiCObsBCA&AOIkPkC*wRIc&0ZgZ zc-Q%^k_a(a!L;(9p6G*HUv5`lEMNH}X2XT-!j+#^gazCTd>FWLM@V@{X-IL1=8AwA zEh{ZcE%Q|tT06YIx?Oc!>UPwv^ij~-z@>px7dS08DqMMP#hDeyR-9Vl5u;_KWvHdU z%0O#__gB}erk+P#x0cSIGO2IkgzrCJG`lDF|4xb7uYCN`*7|Qcx~C-?87`JP?uj_R z{khY6)^2X4eAang*1JW-U1YgHGtk?)na-{4eS0M87VE;cv`1@NBs;km_2l^QxJYmq z2*imW5%16wh*8+4p{~51-4k?pS8vaC=l-oP8{6Au<-}}Xd|2>;@%YyX%7Pz{eJ#j% z(fFZiLtt}T&+Eiv3lw@@E4nExxc~LT_eJ^ds~!|DoL+9bC1In}(XS2V>C+!ot#Ec! zFSk8&^x)1|{`}mW?CZ_!{Bjq<v=dJrzWMdR!WPNS*9&`cbly}6F#rB^q13jb^1-JK zrj?7QOq$ql&h_%e;^Vz$MxP?~T$HsEDZG=>=W;~ayecEYqhhhyHMQ0k4|=a@G~CZp z)e!|n<IyT`85D7c>B(A1XI^XpAM4#YF?Vk3GR%pVzTLTXOEiNi_>3@*J4{n1_I8RY ztYJuC{jiJmhn7P);|{J3u9lLOt5rZveiNg6tK>p$R+WY5hP_-7wB}IZ>LL}Tdpkng z+gewLMy*oX6Ka-qVM5{RG#;))J3<Ya82{#7z2CiWZ}idUPWtb|wt|9T?V;V9y$e^r z3b=6c?y8*@7Tcr0UOTp}Y_;EtrJ)N$=ib#44pm)sW|haCRhzUnt=hP1L$qobYuMM& ztEH>=tlF_^o0|TVAfrzoofKtjzwEf+<|fxIzRS5?sgL{Y#Ey!`PW-&wocdRe-CD9C z<ZvpB?4Ao!tE<>;y!~aruLSp!YvrV_X>2K+-(td&eqzV@gA(-yOz(v+<iB^lU;Ali z!ous1>^_Ixc(`)M`Q5vAifFu>4DKG=-M@9^hTom%w|;FA%20dvIp^=CHE%qV)<)W? z>ewECH#sNQ?&!R@^BdG})YjSVR+}Gler>jk=1U3A%3itJ4;gO?dnL-BL|iHC6)C*q z;j+iAz3uux&Mix385*rB?Bxh&kBOh|9Vm72pmeX>;zo0+Ubpx=875Mtg^Mp{OgY-? zw)o<1kc>v2iB#{gyBQ`@xw|iBn5-&Wd@<w88@I(5H-Ti6K>GIPnMk=V+7qavqH?0U zrKROc#l#5{CX~gfsHiNF5$ELOeEC61QBm>c4i66x4`V$c5a<CZd{Pcl_zffybkEhv z$tkE1BoGABsj?HSq6{Q3VZww9AVnaJH$m#0oSa_m^6>DmwzjsOP@WD3?Z@xlym|BH z$-?rovNEpsd{d6~T=j}*;)`3sb5NpDoQwIx`=hPDzJA=f<AUv8F&#aVeAat+TUTvZ z?OeD}^To4AdLex8*1mPRd&6%<?29O=TQ^&0X>5#IqMC8Db=KN=Jx5Q6lQlYyNA*g0 zq}W)St72b>Z{a@WTDdTK@}vphH9lS3_)+fXi-S8J%YBTvGV!sT<|7ZAJ?<UmJh^uk zI~|fTekaH)+1#{x>V^`Q$8tv__QzOpv3%L>Ua$Y_+dIMe+<W&_UuinZCHkG?{W-m( zwyQuHw*MKZ!LE_F_4HEZ9arb^6}>$1R&MXsjdLS{op-xus-5$iF?HfyWufFG_eA#u z_jvc%?<Zb&yw2z@3KIJC%4OYI_v2SVvjvY|8LT_|bke!LqgVB&mz1mtJ2c6!Z}s9G za_*0^t}ZWG*>>7v`G;2u>s}Re<;EyGNi`X##4H9U()EH1?%(U)mwn`UQ)^sz_LS|1 z`23HW?pi(DyYZ3K#gjXa9*kYQ-AvhZoAC9Fvl?etv<S|c*qL3DmXc<Y7Lw-jNNS>F zr(~sMt7K#BV*SPIJeBnpuiYKwW##5{?`z>+ez{)Tcc*qJA79kBTkFw|i5(T!oexX3 zn!WSdQRkU_EJ`_f?Z!&42(HG9S{2t1mNM5Tcy60*y!~24(c5Py)|yW9*=<>v-K*+* zM`p*?W0O?#&fShmKDlZ3<89Y0CVqOhVXf(z*~Lj)vnGj|r{}J1WSD;O)3Z5iEn@aZ z>b;eDcjt!Y9_u!1vohEL{KggKDQ`I59l6oCQFsUQj>qNY|H|Ute0lrf?VGndZe$jg ze<}M^7V&1yjf1xXHzt>tzbkuH7W8JpjfJ;o-tOFOU1VKgoo}6Iook(Aon8L?NZ&2L zTYR_rI_GYd-Ml+EX?afj5x$$}ZuH&YyK$HE_Rm`%Z@s+r@Yc;)d%V3)o>=-gR&V<0 zr$sv}z+{Zvy{APxWAvt*yaQ9G5A2Qs%fAPcJCE!Jsg2Ql36<Ukk-l^UVv1!M$ds3* z(@#IW7W+!()tw8Pd#u{5%t|;)vtC@dFms`CMQO?lj#o!6G%gfg!Mx&edFj8BxEEhu zet7xj<&F!Pg{5CWr;WdW95$X@Uiz-&RY}l`1s4`xo_V=*w^fl<fmOa$o>i_@j#YN} z^On9@ezW*y^>NNMmfgHM*lD>>dkf#pb2Iv8@ZGq|dHLt1kC$FvdU)yPtUX#wQ&g5b z4wb+DJLAp46UiS}!P*6(N2J?Y%{Ve+wt}{&HW@Ol=VJbF{=Mt{d!avLUTi&)+*p29 z6x5gvx)3XRbWV)So#@-4cW?NuS-EuP4y{|aY_t=%UDKI<Wz*GF=bujUN?IE@|GaAH zn^h^<#XFaDwXQAOxuj`r*r7?UO0TZ}dRldB_EryX(-^l`*FtxOedSB~bC6??HaMm4 zh7`x^Dz)Ey2)Xk$ul8;X*Sw{oM`K?;dGz33*y$}>w%xs&n;M;cMg7vHU1isWvx|3b zS+#WG+`Cs@IE{tMb))ykM4b%V9TRo*oz=FwpEglZ2j59;Guyu|R&Q<e^>uOA*Tt4U zjhfA_(7@<$hl$6*n}KnMXn=vE*tNY;{~un`nZ8P8!!EgN`qNj0&3YQtJ~eIUk;J8u zdbtsqGo~5E@M;(TjL}PsnE6UL)AN<z+IT(JwI-)kS4>UY`DM!`o#{)iXQt{+U!;Au zh;!E^o$0gQ6{qS=*IQ$9dMVfSL#JZw`lo5cEc<$T>C&lbJ53Uo26k;Q%A9w4>EfxL zpIHtDT`-OE{~V*o82hZK^Z6y6>C;Xxo$u}ZIY#f|1)IugHF@W&zV3{<6*=>?>fEVr znVQenX<t5ATTon>lUMxrrP}nYcW+bI)k{B|R5g9|uVu4TKAE_hzf(HAd&P-kxq=U4 zLmulbDilAvqdVn}YjgR;2eBfHIgZVAZ7dgj5c{QE{O4U){dbG@?e2K5cWZZd%pKRN z^1$xh8t?Uz3dPs%=>G6dNwoap-Pn|J@vC=THQy<TlndUCH7OTAdDpeDT<}ipmoo94 zcU^PK1#iceJkpEW-F@ZVqAj~Q-s%|@iqF04s`^f8^X?UI^ppz4C*E~UE}wWKR-{b4 z@vdup`NZq7S4zbT@47~tUz|Dn#2Md^XKG5t!o52?kKA!d%u_Njzc_vNiPOGUo~k`6 z63*S(sg<v!YaTdlHpgk-lBa5$iiAUVcCNVN5}c=`X&yLbHpeO7FHh8piiAyfcFwrt z;+?0YY92UgHpj_{#+^NPTwL>%l*}(qnC)@GcgsOvktb?QMZ$k~bZX=;Iyu|plUmoF zPKEqM$7i4TsP?H)*z}%@ZJyGx*&WAyw>(yh+S6Hb$EC|Wux~cUao;Hw!ix7?+RZQa z&OY%$O>0kQ&K;K)^T6KO9mjl49;;0%6z09>(qtakGn?a>uSkV3<2{%9@1KNz-WA%r zqw`mu(!RaXO5)}d@A+PNq;_g|XT%*Bmx}E!T6v3h&pz=^ZPo71pgS%ac}lxxXS`FJ zw7c_Bp3;ulFW#yZ?e4slr?hSMizB{U%7j<mb;&dryyctnNX=?@r`H{qH1oilzAj0s zO4gGz-lz%f?mU#Iv|;v(*J_`3b?(YjS~ol6wVYKk|ID53r|vkXyjy7aeZq`tjWf0^ z&*Xj;@mKC_-*v}1=G{Ww?*UJ3mpqj_Rm7jTvwhti=dgDRwZ02Xt<E@QtMXK?tBBum zXZyT6&VKI}s(lxjT%B>!cF7YtuOfcMo$Y;hoZa3nRQfJ3u{z^~t;!R*TMy*8iuf6K zw%6Tpp7Q;|6I+!^e%E`>6TV+~Z2P2w|L2Z&srL)zz6(6IJ@Q!YRw2LcJ!h+Tibtz8 zj@!08mh;-vF7STg;p!L1Y*Q-uMejMAy;D3?z2cay%VW7&h5RRXw6nceJXor6Y^Jlp zyM+g;IX=k!+TH%=-9qv20rzc>l=Hv6>#X-qac}jCqqbAZ`8V!p54+=B@qI#9^^13M zn|8Oqdbd#IyFgcU$2+-MyW5|<Tezc|<DFd9?)E$H7H+HVcq?aB$iMckbJq8OTedA_ z{4;m7pL@4Z==+45wjpKw6L++qc(-t4wZ|JduHEei-Yr~Ted4v;t6l9o-Yr}k{o;&n z$}=&oV(y7MTaVpwO3GVkuw7tA^o!HFPo9dsD&j8O*}CnH)70$~rbTO<)@^wzwyTIc za%bzRJ5C{a3pKY-m=djVN|)uSSXB|X<<8bwcbt6k7OHNaFezGtbCPju-yJ8nyoE~J z1tvykoX}NyB6jP67*`QD<IdK)J5E!!UwERcQpxRl&uPN;3y*c5RB->?(JGa{P;R@x zW8EW<#cmaH>)vy+%2PZVt#Mqp<*}I8o>qbUg@>bG9Mesy;1<2-WR|CRD0;;)T^Hq* zY%8`bx;>NW%C9Ru3=9nn3=9HH&9V%SOjb!QVi0MtZ_Nl(zG@)gW+=cCC{oPD_)K61 zuL6UX8_UJL>*w)k*Zc^%eoyg>)3R8rqHk|!?RYDk;kZ#IK=Xi1$Hu7#vKrfrxIfHu z*|&sm8Ush(yM@d#$=0uWUfj-Ay3Kw;>1ffKpax3?x7D0Mm!h(rGsWI#R_$TcoP9$| zUdZ^=%Z1a<sT^$lqpb9&UN(4Qx7*)lz2}>Ew{EIXyLn&y`7?(bBGN(A`(DdNzdKpB zEdANlkh)mOAgw-a+3;g$#kM^!xfEc#)y&Cj&-%2Ct>JMV!K_Off8CEftI&0PS;2mr zB<tEYU*hK8dubgrcTdvCmFM(d#|JH!tLk6jS6{O)JUxA9U(oaQ@_$xtUjH}z%FF)P z$15-2uUmiR<kUIMp^attl}nfGzuC>$rhjaM8^d0|)Bi-O++NHM{l_oh)xpf<Xtr^I z?ztOktN&~$PRpoUv~%W<Fmax`)%WZd{`kKlg!Q(U;N%;xmX!Z8GIxJ7U33bk>e<ER ztwIUhN3%VeHb2qm4B%JTX1MX6GCyByXl1oSnFQC=r~EsnIOueoS)%avb<T(U(kyL@ zn3UOncuN;lX~%r9+qmWG%_DQXF7IH7Tw3n=`NZBiOY9=jH{1%Fb!)Bi64v9EOCKNE zQF-v2kDt`k<=31&PJX_+;Dy!Ab62F~gu2#?I%l<hzp9w}-cEecf_4E0t~95h+&O9< zbxUGPCTMv^nttA7xZ0pm=+pYS&ZQM6{hT&gx6MuP`E}3l;7Z#&ehZp@WIk6o9lt49 zU{!y{@&cXx+l>=E`!^M@V6RI%9Lscn|HhiQEB_8RzUw`l`&O>;ddKw{F6ZhlcU3(M zbPL;jr0TVc_H(Aix7T=Cuu6C5Riq!^!^3q@s%OEnh3}WG<C*!+S<_`(vcB<`dU4;Z zB@3?4JrJYwcrKr`_6r>gnVT6;oWpht?9teG(ll=Iah4dpqQ$-Oo8=s5NT=D@&AX}o zOZ5ERM;kX8cFtY>@zzb=_3p3!oI39O>dVR9@~^%WUal(rv^>A0^i$XI#a8w!|4*>0 ze(`Iu)!(N(<K|YBa~@yHr|QgfS8RfunCjDV!Ot~!O7>0AOSb#PXp?OApVMAGO*(ZA zoB9#HzFEsAz1cfM&9JR+{<5M|`}~DhDu&HTTBvUx^eZFT<Gjl)Z^gy;g2I_BP5aAr zR$l6E>f3(du6MXtZrl8e+`qo{KY2Fw^IwB`4~k}q9pj(ht$EF~^rgdkqlWadFI>Dw zpCxuzE3`%hMx;FESgFWw<)C!v{G7DDus+_a6ZqY`*ZfR<zb#qXb>H%d|E4kPW_-#k zy{y<}6?!b{&<u_%sjKxq>$nvw8z1>4;B>Jdvi;9Ag;!3WI_nL(Z%SN|Pt^=<xwpC{ zy_LC3?xmatV_#0W&4Ybk#Je)4nV;Eo=JesD?T#;R+3S7V^zO}+=kMm6pQBt{bbiYG zE9=tF{c_%ue(lD4(NE70`Amw9%wGSR&-t5|dGMJMuE_mCk}Id2)0K6;=4rjS{c^9% z--EkaUOe<U_DS}#%CUeymqYw+e$P}gJ@Uxp<I$@{?d=C2Y3wV~liU1t<%!;vYRj*3 zT)(o(;hx!-<*%4Mrb@nMXB6i#@w_$n;*ArJSEXLeydz$JJ&E;UOFYk7p~>$8zy0@C z4hmXkFVN@u_Kf`jR)%?>7#6+KE@uC+U~iJe&TAdj>`W6^%-v{xCYk5g!O7}hf{Mi# zT@4O*vvi&OupqB`aUtuW4<8j|)#hkd?zkxAFS<APxb3&_j&Bc4J<j#;@;1JE-+bC| z(yAQI@|X+Fb~mQx^~t&IT;vhCYr<X;MaH8gyUMt#-_QBpUU9ys{P+CybDyv6N}p7p zzb5^V?(?_ClW(rp{QT7G&ZaGuxj|o6dqlYJDPHB=wfFO-DRX0#rmc?<3%t5NTko~% z5sBJWnJ0df-&%g=w{@np)5p2%wDe!iHC^@g!`#lV$xFZN>0cx`Z~dQ;V87W<-bOFG z=eg74;)G2(Lc3Nk@KL>79N9d{#9Hd=ZuJj2x(k}0p1<|arsSc=uM0;{ZvAk3>MMoc z`a7F%PG#=f(weh(3+viHbJ+IOPhPXLE%~H;`kCbp>s)VWzB=AiSti3>Vd1>sc!9W6 z;#zaLoh#Ri<>)c$hb28%e3tQ<Z^nu%mwW<`AA94nYEII3|6TuDE<ak7lI7pS_r9}t zKHs{V&espS|I+Kdoi+cegwwrQ*8(r+`FgcBWS;fz`o1YmG)#Mo+G~lU+tpUbupABF z#U;b2AUfU6PdeY-HmFkZs#}&%)~47XC$5R^3{OuB@J0WyTN`$#-Sn!(l6$k(1PkAt z71H`J<81d7^OUn%Q@&-K&HZU8BzdJRqj&w*o4O4>Kiqk}R<oCKoqfr;z_E_~sGZ7f z$Mya1xQ|wEJG9}(?r&LA2Iv1cu|8e$N8a>E_utkvd6WKMb+@^&|Kw`VzxG)j;=j2g z@2dP?-CwbwescKaU-j0C75@xEN;8Eyl8mp)eNC9T{5S8@Ne^coO5JnMuTfR@^tpv` zKhn|@uG%L(H*ou#zP#Z2x=q3XO2@ZO=ABois<Zxz@6>tOk(*^zPEC1Yy<NI(hI0Pd zEH(Mg{1Yu@-Dh83=YM?VX83>oSAUMJdwk_({`UA+PeRW{mHvAASfljUll$DIYVR&D z+breQ`E5_}Eb+AxKF97#E|+uN8*y?%oR5-gc;~r0T2>31y%uyc?yyn);oh?{CE>)O z{=##+4ZYjGxlTS8Tqe+Q^=p<cr?&5khfhu!t$3-|_isCkuEqR$Mw3=paot<}jC+Dg z5ZBogWg7lB-)JV@pJ?(|=4bJ|chl7!R#@!e`yiCe+I-Ns_i$rh&Rc=6M(&?}sb$J> z=xhu)%6In8Hl1XKWFxL`DvXLV&z{w?ShP;v;9$GyoA<{4SC}hGCzKtNxvBr-uGH#B zhb|U-_j0XYb5OmdX8$rj#kbckn{4@h{;WXE=BJBJG-kHF$X>WIR!}vn;61yCQu)5c z$CRJhp51v?f3;jo%FGkG?nm}`OC8!Q5Gfa7!4utbow0Gj+$=VE-=~46guaV!N|HPE z=;SViiEApScKco1qGGMQX5}%3|JUwJlXu*j)pgo!qE6Y4-1Nw`kvot7<mZplH{R>; zguTRm%^Y@<m8=JARvxjj;kJ2s{Nm=b+>igCvU=H5Zuqd#>Pwb^#;b)&dX@U=3$sPq zZdp9KV4!uqq4LA}Z(l2TtiH8<xVY0WjO}7K^M%ycvX&h`g#QJINiyCt<>=aYNBF_D ze-9QO*vk+&E1K=dp&4s(FCWwR7|1U0MQ%k`?D;5hx1c^fgSUOfBHpXLE7~~NluQ3l zxU%(^VBP<PGX-Tt`rUY(biSS|X?Sw?&ciuDrWT*RUr2G=df#X+zeS5L>zl;ARyX#q zm{gG=t?=R<$Mv<6w|P_2%KB`AGz@-T?7Fe}hO}BqvHO%Yr}fXdyj<FFt0cJ7QlfFH zNB6UTC0q7x+aP3DllSD2{_0PaMo0FUp2)HZ{?581f<gODU~K40!%%PLfcZz)+49>q z8k*EuuYRDdZ+D8}PT#qm7PmHTIJ`3N@cEMU75~jU4#%ggo?pAvT;XGVp~1BIbJqtp zT)b;=&wJzVx7TKUGTxkED`n^_@vC-|(AJD~YVO)gd9#nSPj<U@B~@Qug6+n3mdFEV zMdlu;V0Ci!I>{Ko7rG<t^5YA?V>4?1)lD-f-cyxq<M{Txx3>_3VB+%J-wS18p2X#y zd0(RU(?EB^?6n`73->Nz%}83)KGW^>iYXhmgsbQuJki&*Jo}sME)(Cs+g*20K5L~Y zUGV;eueGYbzPd#XQ__)C(_=+;DKW~82Ufq$Nbd;i(K|MO7uzz|o`S!3c<!BR^?7{! zT6&umH^&)0dxy%{3j#;7r<F;taEZ$;*ddd_(K}1YDv!_NuPfKmh<m|>`=0BZF04zO zd;D?g#G?(bW|dfp+|6)ooVEI)!j;<xjUK9=T~d2ik6~lgwwZraRxQ_XzHqDXm7(R| z(g#)%SLGKxvp6=%NV55A^|X!aU+$miRdzw+%p{ix*$--pGP(zw-UVnl96f0JT<~~j zx2Q<G{%LXMN!gd~FMQz^@ZhB7o2&)REHjs$sTNfz=wNJNnYHxe8iRv^3~wf0dNrf& zuYup(HGjACi#%NGdttxe?iU6rGm|1O8~0Azx$TerPNoHH-s*xkSFXI#GT{?ffp6%h znNC}$YaQ(VV4693%EdND1NB@t2evg<N;BU)Dx7(aUuw#tLmTv&II<r3WVAC&Eh|fX z$HU0*RY`g4X;#_DRSB7G3=2#e&2A{}W;A1%!(I}+U8?SnWU9)=)*Cmy{;%6R>3nEX zv2NEYp5XbB&+bY6*)7oM=X%sN%1XO6dzH|nEQa&ex2F~fT$~aoP`}If>ajyQi&V6h z*6T?*tVxwVJl}ER3&R+O1E+nLbqbkZw_3mIT+OeRC(38ix^{mMdlY;+e}#rklj+Qe zug)BA#qS<$7TuFMHRD1+F!SYeo{lms$x8Ywb2f4`y<f}sPsCN}wMVqLV{kXCV#WV2 z8@zN@neaqA9QZa@^IO|q?*z_uhjXJgx`<E6jht2SEtzA+)Mn<6v%4Hxjt2JC90^{u z@4}>oGkYK1nEPC*CHcgZ{|9_oPt5=Qa4O4Tb=wo>{I7MnrXD}K)-i(XVDC~@XXm}{ zh2GOm-b&XAUtX1H=rJ`Z^uyWKjNqfM1eQ1*7EIy`S=iOT$#>Oux7pW^Y&m0c?x)w{ zy%9_SPN(k7R1~p(((~k$nX}L=lQO3QIbH7?-kUd_Sn}z#W1d_~hLx<TRpq)(K|8M= zd-Et;O7QI4mvN%gniVI%;+xIz@79qAo*|)IB*aAfI;&sab>KZTXF{5%e<g2a_cssw z+1@Nn-y8yhjwm`h^GGe7;^4@gB(c>(ZEEX9ul|@G2ABT0pV`0vzr3`2cjPTE^FPnh z&;Q!_+g53cPQtDlX3oR5oN2$B82P#lqUJTWEb-;w(|e{DBIF@^C@bjNneq_pqZ66y zCzc92-F)IK%EKY~>BF;pOJCz-GMX=MY|^&u3-LX6?d=ingrBuF72mAwFTY)Vc-!3{ zHjl;SC0foa@HClpEL`Zqsj<a4A#=~hs|WNu1v1`EI2CeGi}~lQJ-JL9vaPJTcprW6 zJp3x8E7>b{TZ!vA!O+v2-ey^=-n*o4^HQ&M#(s+{2D6u~x!bup!~3gGMW7hxC8tI9 zFK+%<mw*4x_w$V#zjmuH782V3&N9+4Cgrx@bS=jZOb_C-H~vWxf8ps@I4Q9!kKft( z@gZiB>CC*2*@+qb2OJ9<8O=7cdlkiZDaUPkv%_&&$0EadHKuyvRVE)#UD7pcOlK=o z=2w(5QCJzJU=sMW_@(fl7wyLib^dKCykh6EeDc;sN54*ftnaa%Pvhj?rIkNz9R)6g z333%o=oEXN#IP%`$Vw$-5tpB}!nI$056=g9mso^1?I>M#c}+$f*X&~z&SfIC3(adk z+HCt2%giC&|D@(t_@_BuCevLjUmuo?*)Alv+<(gc9eZ*kT<te;CQk47nJg2!YErUt zL%f+}g^;$WZnG;xQT<Va6b-cq?^A93%3*8XyX<_W&3Rf})hpDo?Xu4@Rqm$bpCMnH z#CB;d37@(6`Ytw?WQS|rZX#)q?}mQ-a5jDAYR5-%S*s3Mh)(!irk9(#K;YwXLwVow z)1UPPH?IHvaQnU~(=w`b54wEf)OokMN%V@f>-v?Ot6Vb+-#+bIaq-^6Qd^bR-cMM( zZLhx)TJ2v^dh`Fzriu5?#)mV0=FIwi<EKGv;-dFQ|CL{yw{(~A`qfQh?OVN1?H95B zzDL`8#o1$vUN2R&4|g$X+3nV!b+P!}rg?R5Lj-2axANDnzaTHGsl@r;hVR)q=`V{m zPIXvvg3HTv($WHt_iIGg$M-3H-#P!SrDu`+gL2<lmslgXzHAEp-o@o8|8eX6NWMHf z&0lkWDtuv2zR}9jHEAB7rfAfS<);}ci~sk(yZJ8c7Q^lN_uf~C9GRNGe&On(d$Dgn zY>HC+J@=T`o)tYO{snzsb!vy-pD91j#AO-ADVgYNIYd3s-uy#r?f=_vpRDuvsk!X3 zgZ+}4`-hU({`C*;JhUT8H{<TYS*#8j57h5J+j!%~-lVWpUeik^3v~-`2rXp`&ng5F zVUNR#bA*<PZM~4u<h$rf!n3}bawn%l%hd}k`KCWEvE-Y+K4W(qh}*??{lFI%z2gsy zWb}?d{KDdY-7P}jP3v67-{`n?&l`@MH<;UYq~q1T!)p7cosOHa*1F=^y7h*?wA8n4 z%CgX&zu}dJ`ZB{RDdp)Oia7PBe<-qCy{!nu-S8^FkJqMUzs6FDx$5PTHQ8QIZynK1 zENd!i+VPqB>+B;>8()U^g*x||_-1&^%50SM{a-Wp#5{M2-;<VR&n$RisJ}mcQkr?B z*pnC9lLEPKt>NFjZ^i6vUdD#=yB=8aa`mqj^ss!jm_g*gS9AVnJ$o*`%u;0aS(dNw zUo9|8rn>uL%QlzD!&6OT0uPJMKC<y@i*z)f&0>yw=e}lM^2*J=P|~#4X{93XEUz6a zTcVx$jySxmD6jY%*Tl9=y~OfV+xhpCwd$jIw_J6Io+=fy(N{*=cZ27Ys4EL1d82%H zTx^l{-EmQ7cFfAiEmjRlnaP<qbDQp}-AHYUb&IMwayBI<^nkBRR7z8<T14oBP}aE6 z2cfBVN|wZ`-Du<Vo4EI6;U>jmez`~f?}NAMpIvEE9DG#a)U6Gdd)02Jc=4{g@&H7v zIoA6O#LZfGk!ALdgvlDFD;zgVnanC!%xONWV6mmy&L9vsA$a1E-=0s-=TFz3P|fU} zB6VQWw}T>K+$*Qd@jCf(%A8yB3+_afExart=CW1xx9%?Sy&0Fg&F*sDK5O*H=k!UT z-<>&gCV04=`grYEFK^#Yhed`CYh71IJrH3_TFY)7x;XOdq|2)-Dw{%;cP5D{`)|lH zS?#tt%S7A%Ly_p>@S-bsHghhSKQ&cvR>mq1Bm0Jb<{?pgoSpKw?cASwX|~9NS+g#3 zmwn90zvlGaKZ@7Hx8O1;>_T~Gg|0j(dfR2CBQGdCS!N&E=qUptmi*eVD?lMEB795f z+?)a{XX%srxgMK~CU9Px+AEp<H|=Py>pr*V*(Ljq6}by7U!1@&*--wJ(Y%7cDw_Ex zLN=|<_;2&te09F|C(})S)~~m0_Ok|YSARC$<oCJn{u!&cXRg24v-ZUG6IN%t?yuRg z_Qmb4eQRIbepMs-+v&;c?TUMnHXmZzr`i4L>Rgvw8BI%B<Dw4CO}XQFOf|o~KGEFh z?!tvxnV<eEh!=)iZtAJ-<tSKg@_Ln`T0n?{bBc+Jn{!G?NP??cL5hkaOiabmUFb+& zlyU&)d)YGOGc{}9PqZtX`Rk(cdFQP6uBmk*30}&X3#OV{#!voSy>R~QM|(HQ&3;s= z7(e;5=|(yE*B?Q|-nR@*2``ttEWFRX*)+qud0R+U#nEYnIa&v&1!Q?NZ!^izdbms| zPwU~bqMXd7+e|Weix=omz81vs-*xkvmF@Pu%hrS|Xo?nY|GlK+{X&zVQq$kx&(8LG zyr$xi*Wx)Fj-ANvsW{ZFzNg|)_wzT0EcEAnc*Lo{&hV3#`kqafG}PA^KH}7$x8ah7 zcJJmH#}D1x%)O)gVxaN|(={)7Z;D-e_OoK<i9PT2uc#!Xx4srm$x;0Gsa`el`@ZGV zoJ_M`9KD$xESw{>R5$BFMw9Nt8%05_OWS<j?Vh9<-{m0|pj}=z(<SWiIc4o<!8flS z(9_9!)VNRX#p^~py{u1%^lWl2L&WUlGA=(b<ITJLATOeOid)Q-Kc%MI90T5$KV(T0 z4B@N~{$qZ$KH1j#nR(&9=}*iPZKaRykK7~vrP_19_?PO!S*aUsVq6Zns>HZ7h0fZu z(P_))n|~*U?3x&uxK&71LHEH`ra0XPSCj56V_CFtR$$gV@&1$kTYMKbU#O_+eo~Pn zwB<><*1O9^9lc)D|L0|PgbOhVJFPajb|6eA>&qfH{j4vC!fbM`JxG(wc-6i(?#eml zvr;#tx}_=?L^jVh-4WS5yK=$YZmGQ+rk1bxk@1ixS7rYVbHDE^KFGHB*<Iat@JiU} zmtP{>d=s}SP8IT=l34VsZ!iDGpHDg6;uEyAFYmh>X{eHY{GX%lqi-RTPF?7h+PmT+ zcl5^%V1px>qc^U&2(oN0`>o0a8!tPZQraH(;JZ-Pg0*b7vM#Lg(p`5$rLR}*hME^| z)`fL!y=r$d4uOb1-*s1Pnj+jXtv<-`o($aBAliH2;uMy%4jUPGJ1<U{z{2nOVFC;P z$%`GL-5_pnQ<8F~>>4Gvzz4}yOXn`0SG#u8ikIE9Di-v!|EgNBzWw%>4W~g|U-q)Z zKw<tbA06cRzZ3>e7B5rQeR#$Du}1IREk+U>)84fF@z;D)=_D(7Gv;LOH}%YoQ@oQk zo=Bd&FvYs*Z?bR6{8p#TlVAQTiWkjqs#tyU@7ok{L;sUCy6g{qPTbH75;$LeDAUbe zOzSegqvX%ex1Vx-d8V4z`19FW&eW8o_}#l_*=HXUp0P6bm?_&K)3wj{?T$H7%lc^B zve(-?V;}8V^zm$`+?6L++cSEu-}KdupWl&|e(0(CAHPcPQ^)>R+&7iyjla!lT=)Ij zG~@hpTlFlag$FC#-<0}=_v6&tC4aJi+It^+JB!WyR`u-{GtHmR>;HRnJMUKZIl(1c zb{p2F>z`%zEB$9Tf6||>_g4?h(Y|#>>aS(pw+m-?UpxI-Onk+Ri`&Z=Wo?tZGHDrS zZs(>p?W}-+^q1~a=dXw@*Xop!%(u7ijSX|j6Zu%)^gDQ^jq>C}WlQ&d556stIk|D# zq`$X<f49yt{&I2Gp~WW_XnUwlk+$4F(K-9Fw9UJ;Lf(_}<0rq8h*O=Ynfli~?ZKJb z=VB#`j@WKHl6;xPJk&8-*Yt|xWtMF&$=)iaF+qn_O=E(hFFHD~eXdFT|E_Ou$Qeta zhjEcVzq)nIy^){$en&xd(+u4c@&DFu`4-T$!>(WBLamr$#{-d@<!_#A-J0R(w!!E9 z)Du~Zc|td{cBEWv$~eGnzT?6~OYeK^Ty<{`?_~X1ymtEPKk8{F`32jh?rT-WxM=iQ zSln35ckJA<^KqH;@7DjxF>(8LRIB9lLiPiE>mGBhz1I_K*MGzH|CV3J*4$h?)ly|! z(e%T=rhawY<yvO?!7e@OSY%yd?FZTACOw;L5@oF~1ih{`*ZH@lE5J_L_1fzbX-o9Z zXZ?(O{#fVsf0=)q5`WgqSb<2(n43vI>t(X!N;gForpcuH%;h~U^mD$}gqXLp7G3&U zck0L2bOX;>uXbJvbhsz`JZb@p=oCk0#ta4aAOX#LQ<u%UWFl;E_Q}O7{pM2(FElL5 z^a$$C%2_y_DX4W*bn44jpG-Q|xcpLmdhbs)pP}Jxv6V_8v%{8(uHL7&oK;k;;;vtJ z=)KJx-I3c3cq22u+SOjGuCEL0o#*(|{9B%m-98_^<%TR*c%m<C554}nXjjCt6i@Fp z{qqwS{^{KseD%hn$TR#bkF6~a>+#7=au(2b<@#pwiAzo2UXX>qPNPBnzrcPIX}#Tc zOD~phyJ2e4^+W4gh^YkM&Yq4<_JNH~%Numq>t`S1Rg9cl{@>xwi^!IhXLJr}C5t6a z^`HCMK>ywoo7FZJ)7$NBSf1OwX*VzLYq6CM>DAP85RY8u5+PQ;-S?4W)1I~YE59z; zdUMkJs(*XVY~sCDwCb+`Yu5TatD3Jq%+EOLKOB_T`*C>tVcsydTVH}&n)3zwQ~yYO zT;4Y8$?@p&wU^V_91dJLcCBPKcPeArE#(BK4Ng_UORj`&Pi$1HdlFvOy{g}a&!|@F zc*?T9=7QW`>O{-SFRLEgbw`~~ahq9B|F=6;_C5C$(*G)VvmX5@_{D_j?;6MNxuype zCER8=ebKzeyE&z~aC_#~TWv|FxFwVt6J+v}N+j2OuDk9d>d3nEi=%GK#+2!+Up$&} zXm#+`qZ-!F84b%H$~Sf|GM3quSF^V3esi?>9L7wUt#_Xv$k6@!Bx$<S85;(L1)9^^ ze;X9Hi?6kqcBWiO=z&hwqO>JT53eZXm}0{GHT<?-$|VmiZx-!|&q~#{%skq0cUNo1 zQ9Y+Ady6OEdv5z|?GH8aM{E0(tB(}&lrlWZSr>WCJ8_ffk0}c`pEb=|`J#IJ$D?9r zZ`*Y5wcA|9%lMdU(%g&#(m6TVuH9=h3SZy0+`Z2*!fLYdj)S~<DOnpf-_<eOR{ixs zT27ST<b#W5?0<TyTW9@J7Hhj?!7XmpH*8HKC+Wzn`(e*H@BaFwUQM%I5?Yq!=A?h? zF$z9=WLARdb&oC6jujp{Ewsv%xu;@6-;r}sH;xCfvCRH(#w(y_quleimoEK^zPxh& z>&rFLo1{Wso@{gHlZcD!w=_w}6PRYXR&@EdSF3$IWn1n4-ki<%#ax#qi1WJZqfd-a z6kFAr9_~E!=DVRfV`j*?)VQ~bb{R`AuFG0;Y?Z{;4DIq)ysMZOAG-R#aMFeSd`_p{ ze#{V^_4Mnpo=NL_wZ%3U#-v(h{gk-3PIadFmRakT`(9%=_jjG^mmPdG_0jF5tVzX3 z{=c2KUP8B7Z@-<UoV}P_U67o;noUiRT)vJ?%_f_B*2`pNs!!eXluVhvar2Yb-U~k- zuI<;qyzcNKlM~f5_HKRoK5_THYule@|Bd={?fR+w%C(<QZ`r$Or(}<-SMG(SMvFLI zS?mtYm>IB4#>1$vbaKPeAA+aq1iV#3&Ks$3Uc$P_+4;l1vz75Xc5bOM+9b5mK}CR* z|EME#;gpal@3q&u#Ewqb{wq{<LuAO7B&Q!+g0-VA{JM5^*R-uNS)%pYJYRL5aqwF_ z<d6TMz2l6b%7ltT)lu6nNv-whT3WpH!vComcU{Dc-v3yWZf9?!@M`9+EY<s;y8i55 z<8?B#NcVf5_tGb;|4hne|Jj#NymI5^RNebertP^rYmUtKys7Do$JzuNSDp=5e3MZl zbIxOm@9E;V(HmDV1tlp8M@`k%-+zs9jX=`MbwA}|y6<t{f9A5M_2FwzLu-$EbH*Rj ztF8$5FFE4CG{<MZ|7X45<||IIvah+ZYISIi<pVALn6;0>*w@@Rbv69<gN9ZAmPC2p zPrs~C<Lk(NCB=S$8y9oNuhxGlpQP%fT1=fEsVe6x$F|Hhe5AU1Tjdo|ol?_JyA=J` z3Ki`#Iln5v{LH<x^}aPLW<OgfHgUcD#|1N<h2}q5S?d(n|13~dX}P*q!<%Pc?X{(& zwod-4$p2R8)2_^UtCWhW1kbNB0U7jmEsKll(mAJC1pQ6U>RuPH`z`#gC&R9|v*6~` z53^S9Em<&0k<(Xo($r<18oHCNm}E(BGXGfbQ@GlT*I}wa!!^~0Rm&Jxh%sKXVp_0E zYQZW+hqYeHFK+Gl-`=%;Rc6DgE{3gL3_-1IE3!BoRtq{@z0MHY%#bC^d8ysRXSQRr zt)+8g<>yT)ciQueHe_AXk^FjLHp`k*_X7@Zej}lN>gwiw_aYU(6{>!0O_9mDP-6Ca zswCqD-)Y)u)v1q}OM;7*&Rn0O!f<x~+N+!2-rFhpdxyKV?hV@%&-<-;>+<}}q<&uT zVF^1kJ9V+`772MC$%!x2s<qRYPyMS~D8D(=|IA!w+x?%L{zZE4;#xT8&W0;SZ;Z{Q zHKa{1`c$nx%apoUb(iP;Rgn&zKYp9m-0QQ<yX60^jGybzIZe&A0q5Sj`Dt9<AG*0| zQefx3CEK&i0?e`&&HfTLlX1%5txndrmd&>-=dY|g&$4&(l#=KdPhX{-T^7hcmowpN zPNdN-XLH*KQ+4m&nvBetgcs{Ye+8QVU2>=Nz-N2u7jw5R*)1?{GOy=aHalbE#z}?3 zcT@6@#suWWESmXk?JQo6->X~8t}L_HEa(6B=RDW1^r<DwFFb#B_H<jJ{H<PAp5FN{ zmhG9E)--9N(Ah2dS92Ur-WU7z*zE0=oz)wj*N0uOUcIDRv12mtv|DVo&(a!=l!ZX% z=Pbzi<6{1Ft%<OPWBvBF^xGT#C$CPg-dpVW=CSI|DRBlHi>Av~)GV6MS|%6q_ngb# z&#gBWhu&Jb<#&p}{-){QT_WY&Us|^bS;#H5>AQ9Bzy!k$UsDpET=-Id@AX{qBXYVg z`TsuQXRJGa=HASEhOBx^x{V5WuG+|LE$7+#z#_|=$!S^9tjR@R9MAvHSx|qi$M)65 zxeR6e)9TWz|9)mp5_Kss&3X`V<&%Zhy}~Jtk5`y-GDtqr^*=Ka5{WmBp51V-m%3q@ z^K1UwujjcsGy^8&O*v3F<!RxReUCL79|tIN@)#Y8_M5$!z4~7|>)y>q-vayVj5btX z_+tP1tEF7Sz5|X{D_D;QPCFj>t8XDopZi)Bg%qjfwwZl$U;fNx{FQ!s#$wxFFAu&` zeEI#%b!%aTWd~YI0@?Z&&N{yMTi-%48TY-a$uI9VRwW6kNU=RRJ;T!Al(Vs9glYY> z-pUML7VR`Iue$4t<a;yHTkp1q?*AP0KSuJ3&81ZnH2yqfWp3O4>ZfC3VUe?->LKw9 z{A*_M>~~WM{-Cnb%<Gc=!p-x}I>bCuGe6;RMJn7V(6W<ZPL8Q^;K>*pt2qZZ`tfd< zQQeSqim~gv?Sn`8OD{ED@4EW+NY56J%Rej@pFF9$-t%dO)y(O(m+tOp(h!I>-+HmM zY-j70hN5q7-DVp5f-bwR@4B?YYS)U@MPBM>L#LjPFz$}^b?}RMBo&f!@vZVowhmo3 z-_k8}_kG#Avd`@KmF%7*@$mHro36XpewiEp@7HdJZo{pNi#9g~ikTkQD>FT{=$Pd% z8Fy)stqYz`y1t~yWNyr-Su$G}f8;to-EDR2`kqG`-tBQte;*f?eKsxSJlPbn>f=ho z@|#C|bCQH-&oF+Nl;S`AVtvAqa3;<S2dO0rr3!K?i+Y!d{S%lYq<zu*l=8E0wzHD9 zGB)4#JJ+$>R)h8HG^S<YpMp0W?0AvjwYjAFY}SO@?@#+hA1lvWr_uQM<8dCH|33~q z(wFDpsNbITFYrvja)GJ4<W{fycj3Lm{w1wi3g#Bm7lvuHMyyHjXb4f1Q;OTY^2P(x zKW_h*sww8~D$jYrduU;}msdn^*tEc3qK}?cWk0?bxlrI<%O|y7^SP~-7w&r)^W2c0 z@~&NEkw9W-k4T)uKL0?~PzKR=ZlA&u>#sWAt(?$j$Nl~pm!0;!=UjDs<qvij)U123 z`;g_k73Yts?wQQ_|Ht3YiT3i`zfVY9@IU5wev<zSO%pL?uG6|tA}Tz8E<NF0ktg_3 z@Sa>H^Zk0x=qZ`O@r}{9ueWV1%#D=3wryi(j^ymQk2Ls}F1F#C_ekTe>x1M9>n5q4 zN|}OMO>!%hE;wBCzr<&J@Tz#gv1>PSa<r#Lv&r?9b2rZaX!~?w$rP=E*Z!Lt%|7U6 zFyCu1d!$>ze6P)Hp>9WJ@bbpeSzh`tt-k8H*gY&heZX5wz_l|eaKe_uJ_TP{r+ih< zagMIg-qUNASjcyK@2M=eklmHD_pa?NHoo2Sus}`TY{{Ih|J>%DI~Be-A^mB8;KRt5 z$5(t^Q)AXu6ZT8G)LuKjmmy=3teu&{YxNriY2nu$zOCQ#xWr57Vz^L8Uir)kPd4%` zR7<-2xNm{`E5Yg(shw_Ff>|wmIX@M11t!PDG8@M4&iCeif8g-r-K>WE4}VTq>W@4t zY}skEQT>!q<f1%V)0tY@t?>dsi}vhSc%oh--@5oguoah0>*5Rgu5uFV*PfoWTq=I{ ztenzqdY4nS2<2YU+HzEvN&fI-u_U{e<N6#nlJnyQehLP#@Gx4g?^*ruR>*eKqRS!a z*Mp3YHhtX9J<*=a$zf4~2n&~=L!u_<o`~3u51QAdaofuLJ*N9WEn6n}!Sl0wZ{EJg zv)B5<2KU%5ITx#D*1hkKX#Vs+qPw&<yQ6foTfccYqn@pV?BOH^ZP#!AKmXtV|M%36 zfGMq)9a<iCo{`kD`r~{{Ggnn_O_rw`+f&~YpBBV!$Y|B<-0I2nuyW0uP5b}<lomY~ zBL2U2ify<2#7XJ1?zY=1IZFt%Kl^p2h%fF#oA{gq$FJ+N9=OcU^5moX+Z6fVx7uUh zWb0RNo<8@DaEM*KsLK92A({R2w=b4`lfVB>y7}(62j+d-(5z7LzjHy&FJFc||J@$! zsng@QSFfZo|Hl0$j)d+Bhct=`4r&K!bn!_{n7-}A{ayEi1g9=hbm4AV9<RW3=;O}l zHID4!dmcF?x-Tp~|7DqZZD#vnzPIL?DS{k!CPj5OR|htB3$-{ulf7%usyXYB$T9=g z^se<U*ZDdGemYgythnw>Pttam|8fT%<oDi~E61{=g_ZSI{@;7{6FAm!A7N??;Be&T z%@V#H*?zjmLUQ~40MnN(^%MD0el3vx)V5>c*X?;LH^~1kj8k3w&$QEr+m<Kpe{aOk zxH|Fob1HW3+gW_`A1i-^wy<e^X<VYR&}qh$*uTA+bB$E&McSCoWgOYd8@Qma!_SH# zuCn)y(Cw$M)92sN6ZSo&9{bJZRK;w~NQ3?1s@%`MuSz-iCvIxTAp<)JeP6N1OHY0f zi8J?m((kVHV0v=lK`XU$>ZcpZE={|ld*7IuZw2o+9<%44mql)ATlU_kIz;W+)0#tb z9^WiF=6B;~Nv7CoulT7`_T6V@v$?`8V)CJ~$S$zt#LPD`@4kdjZZ0n7%l*~7aOOnI zcEi6r_w;Nm=6J2Yv^Djq%&IT$O+`sXeBQr`dpkOwf4Ca&&T-<n<(C)y&B@M>WzxQg zZ#&d|L1~Redt$vApX2IP*YB>~zhZLMt5Wr=@xi>WUY0(;GXLb3C0}-VzdGL$rnL86 z->=)OZ@bD|b}zg>H{kN@Mn22QbEB>QUVZZ5&YWnozXvazIWZ?1>I&{_@m}1gPwiZK zNuKjm=gFO+m*!`?xY%ru`&-)5P%|f5?r&m2PR5*Q$-i5#?|Kk+bf@UI^v7Zup<lHg zUW>otY5G;man=51QdcgoW?BBvXPN5Ka8A#^k{7*}gmb$8_2ifopwj4Z=)!6i#mPdG z_LaCS(XXBB|FPuj()1HQ3+)_dh5Gkze*DD3+^<*oaZTDB@#U`ftHS0yyt&D0eu8Ld zrFQP~PddwQO@1YPRqo2pHKwmKPp*6!W28CNlfB}>C7bCcDvOjC7e|_uym!}l5ySNL z`Q`23KAbtHdQ&Ir)UQiL%dfojK0W8tvzSLWzAQC0seRew6VFwwmpscg{@10A`n_M5 z>OB{_wnl8)z7p4^`o1rt=gsk+a%qW*<#bhLJ;9GL`+jLVdrjE4^^b=2xux!#z50X} z-_bBVm+Dp-xXr73)1#O+)r`%ntWM{pi(I`DsdiQVbt9wPDpxM4h%oEZbINw#&3pcN z*X_4uyXU?s-43E>U%#8T{r20Gxboe1^R{>IeFsvr{S!pZ$=4vcvPTd~emzLZ?aV!3 zHQ^t3oqk%jGv;bGc!N&xdeG*b)3$q`fwu1~|1#_J(;`c`1@iO5Ic@*GoM3!&b<5m; zSw#hTajG}Iusa(of7Vi5W<Pzp;WsTtNQAFunflKoz|SX6b;_6LQi6i<s(XID=3x9; zG4;VkdA8$i$5yxW{8QPY663L9Lcm8Y#VwmW8P=|fTb~yasDAaz>!~6kprrTpROZQ) zsGzF7URu2ws(U4`%vvz-oRPX(vFA%2??sYRJ<@Lm1`1rf5UIv;<n!a=X<sK;8Q+ZD zHaWPtxOm#l3kS}en3HO#ub2Err+Mp=4QuA4YH6sbtEESt?C^H6IUT1ct012~lb!uo z+p)-Lg^JlHby7srBQ=<F!$Q+<yzN;u%j)owU(HwRW-pj`&S3e!2>yQo+94MX7%Vf^ z>6FjndudvJB|bp#;)QI#751RexgO(RZ}r6I+pOC!*Q>EVe`cC~S)S)?&zWpJNZ`zB z{yP2Ap-rD=1;6ZPd3xlDX*f7H)STT537fN(U)m3DT=;R;=@<IfPsKjbDH2`3^TMfV z%xhQ0<(scsG5OWKE3bXIR;^_F`lYHkD(ig8s_jd!M+fb7s@iMyN`FO1#*43BP^YY( z_YYJ$e9c<Ef0oRg+1Isa|4Yb7ONm>b^sBg1GI@RYhJQg(5n*xbLw+ecS{hdF)p~i} zR7q)H9Mmm)KS2tJuUd=uufBHm=+vX3M_-3#r0!-~yDBah<e02iSJharhBQ}IZMD>$ z>9cKVc(l-ApBq9xFL}HdP4#ehb4ic5I3Ymbg8zBRXP4!Bmg~13Oi917CeoVcwB_NF zS*^v%m$zU0vX(#N*Qq&)#%H@;a(^~Dc55}ioQ=Vmr##K3tC!lIx-@;=iUUCj>gf_* z^<Nu3?+Z;5oOoSQZI_dSosGr04Sl@5JQ8egn8FI%BEN`lOIQ#(_3fKob3=lI0t3>+ z*Y5xIVCDK?;mbjnZZ5qt|EAp99R8Ji7w_YjyAfJaCi&IfL`8(<NpacVFV_!Wo<8U6 z)R%K&t^bCG``v$asPOKWwR+OxXK#i+o>h2qM^cQzI`Qx~Z=`-Ve_g8gCdqPlR9KyV z$c?E*WeZJK@A8RJNe}nj>=vW+=;l{0&hQHd*7a>Z?fmlVv@7!k*Sb{gmHjFn;$rfp zO88Yj`-|hxzh1jMpU-mg-g{-gR-Zh$vvTiENH(hKetG_BYR}$#34fPv*|28MJy`5a zh2*0A_5ZA#9PHxqA?4<tdq%%@Z`I2a+un6OIwN&=V_4|=XT4gdOqR#_UN-Sv5gz>V zD%1sAp)SaRy5K6*1-GCs*a~$)7Ssi|pf0!tb-`As3v!_@$c4J#meH@xTXV$CxTBA* z*4?t{ro-x0;kSCVUM-nbwbawm^y<4x6OAfkhMyL@Z7dATOwO(A;SN8Y`f!!SZYOb2 z;nuv}xGU=wkJr_63eEoM7gp{+b!EHW*R`It+HZMgsa~2Wcu`U(OH4M&-~|tt>1w90 zYx#vf97s4X`6V;#>C5Pok@t5Mv!z;DT9})?wXH~)@Qml$7jtFd&qZvB$0XJ~<=M4i z&58wc4o(rCEX3x1PNB2oM^WRPgA>wfD@qJb99(cr@=it=+p$*G=C#Hr6mHB5z52H1 z>dh6mW=~qR_tl~Wx2m(Mu3COQe#L9O)!d(}z(vmbU5gi0JGwZpw_2E{_+a6-65deu zFRNY~o?RY(*~Is9NR=rl=$C*wG7!#uFlWl%S<5nKJv+NPbJnuVQ+q(1v^Q5xd@q}X zgJbLR6ENo}Sn;0<ura-0&YB8S&r2#NSA|bfIXU?r&w_bznSOs~`gnV--?HRiPloCx z?YArTFHl;vFnm@(y^Vvt-TEz%+&^{O<#=Ddb7!^BF0+@IB{?%Z%BNmWKl#m6Hb~=O z>M}^Rti5)L{q>HHA70g8uM0AL`sno;lKH1jyC}cbb@zhE3tDlR3#az7MTPD?mlqPa z`E#k-(#IG7zNm>SwOw-V%{;$vt8SlMd2natRdA`h=T%Z%%&{A*`K~SUzPRy4SX`-= zm56NTJD2oNtF$lnzKF3Bocm){^-HG`Su26N$5)?oKECkqh1?e=aix;GxMRK37p|_j z+G=&7?EI=%qUF|$S1gMw4BD!;VtM!+;mJFfeCdM3#E!*_6c#NEpCce}ey{xe@EHEe z_jVcwoLN;(Lgt*)&TG$lHFZi;)+$Hay_5XB)h<uEq&+i43e<M^)S&??ET@Y6ddT?e zW2I_!8mQRzdiUVUlLvS9OfoYzFfle-pK|8KC!=F=o)X@mCXDvVBB!RJq)%ScI(E3Z z90~u#ddYE;{CXA7NlT7tdrngE4BIp5afZ^ug_9n<_AvcwH5p>_xjEB7&6ewtL76Vv z6XVj|T^wCqoYxyKln2)sk>`Ed=bVg7x3(~~v@l<9JX;bJPuC+MR;L?Gn<6%C>h+nY zI6<wa*K1skfXe7|aSF2E(-alt*Bkfq^7QfcUXOIE-+b*&QkvoFin*;}W>QKE7oKyd zl1$qae#g&`_uN_Sb8T#CZqG!;KR+@$7FW1on#i=N;d8jSPIjKuUYEl1{7~nEBjI<r z#hYFqXnbH7S12bVAuY9jM-O)lcY0w%d)MlUqpcPf(n{a2sj|&~zSwV7@vE;>nyPHG z&lXkL&Yux?UTx|1;*&MDlV^qQo3~~0b>WpSzM4o?*>*PE5`LAr-mb!O{qi;Y6&8L= zv0A^}zyA4~DRZsYFArdMc=mNprr4R+lE(Yy>2J7hd~HqDE)#|T7yl>Gl?x<=q+&cS zta??t=c{;Wkni5UpueV97tD)uhqMH*8?Llhj_UkkB?l>6t+>7(Xa4f|v(>jR%bm}q ztbgoR4-V7CzV+bfOopWW(w48_O4th0F#cpU4N|lo53iR61?gh<dgWubpg=WTy#E`6 z!M3>L1)y|)Ebp)D+>qe)hAaQg$_nsbZwPfoL{Pok7NM7>Wze>O53~Y~fV2gqU~Pdk zXj?!B(iV_{wgseMZGkXITc8(O|MpgX5kI`+_{N1FrB1(CZ_98b>Pwozr}sLRo^k%u zMW=~P|NO+oGtko4Z^HG>OPC*gtg0*6Gf!4({d2d?em&QX7e@vqWWU;db4A?wYiG;$ z%@a?r2%97J+;!?z&UtHZU(U|VuF{=5EzWz{lIua8-=b!nb<%3D=$qiR{`u#h>|Z{g zf5EYGZ%{_ZioJ^#%)KtOf_cK{s8=ShpKU#|vQjrUEzWyZ+R+mS0`^Ste=2|a)PC_9 z-;z>d65ifrH+j;S^hPR4eDTqUk5+AA+XT0)suIlyH|kbvKw1l;bHO<x+;FAIqNyJ1 z9|;D6+EA-Cz8nU{p4PWBpms~>or5bu6{Bcw8o1eVbjC$cz1RiG5aEGOTR;t{P#wX- z-i}ABHM$mQ)a~g~I<~q(aC1lKo@0mp<rrRA_3CTsYkg2nRO|WmI;f#iYxy<ZNLO?3 zzDd7~L3XcS{I992qb)Ap>F-B|zd!cutNL|WF@4kZ>(Hd54{bEXLwYmU#n<cy=f~+@ z^(q?bYU|fe`WK_D^yu~LtMS#~#G~K;Z=d+F*V&6-o=wQn^o;YK#@BEn+wxPD?$HU? zFI`>p>G4*U%&>O$wlk-g_g~w;X%SDncYo31CmPmX{ht;c$yjg4BDMXwTm9EFFTDMG zJ}=6*)jij>xwN|NtkuDNVX;^5t+kcDnp<hs?e}$R)_S+A3x0(yzx`=t<d&8CsZpDj z2HpRaaC*tNcg^hlGSe0uoVMlmr5wgB@7M(VmuF<2-`D2Xc!^uUZ@Iwj<_IfS_i6#J z<r^~io4$2MR|`B<&wA@9QR}qQwqudM#8&o;pF8J&Q>d`pcv-IHjj+kvo6|3-$5k;V zJr~w~>-eCiX^CyeZ2yKW?2ey1d%h{8*)eWoXDseq`As2C@8T6XmN!DF)dG6c1+w^) zo(iQ@e-ka~oD<g&u(QRdT0nhzK_>s9Cqn9P9eZLPU6pG&p=xofxgd7YBHNA$?l*2V z->6^-sTPpx_sHUZ^hnU-?M?9u${kgVhaL$!ymj0nckr?t%a4w^-(>RocVwPF_^o5+ zH<@K}A1}>ozM>p+tGJ=6#jBdnzuzG9eB(<&rMEY)pI3IMI+*xUQ0A@WjQ#@|=NrFu zY_M%#>>RT7c|r-ts&6uFe1X~L9bX9ezO_8VH}T56<~IT!Z!Mq5G_A1x{mJr(zrMu- znWmic3!e#Cy|ug`b7+}u`wZt3Tb?r%cWkt6zo8g)tGGc{X{jyyH0LQ>o^L4P*l5c> z#kpZidc1A>ix;fzlbutxq{nNtTkLEO)xR<Qr0lu44eKXayL@e`op|TqO7S<@Px!p{ zCd4b1Yg8ZHcf?xcYg6sscLG<qza1@RpBJ}5|GI2h)xmvE?+Pw+e`76bpBcAdeZTdd zFHN<TcMdKUf3x~ApVr=l=xx!rcip`ec6DuT<lS5171{A?qknVdzg_+4+CH7Ux33>v z+h>sXR{Qa_eI|KtvmamEXYnrK61U(M>m9ecHQsNy7}s(^_Ru$_rgH_`#2*&7E&ulB z^a~!Zy#g1D*%p6ON=yHdsqa+QHusxS(K(09aV+N~lis>snWMOLFUKt7jxFMX#cciG zloHMrT#R#hvvrm2Z>_4iuUa{7WCvFZ>a0(#7Svwv^wxF3o=I<A=j^$+McnZd*X6h) zC$zn*1?ASOzI83x)3#;%g{+PX6<0H=m>Y_U_IYKpe_8b;>q(94BOzC(bK&|Er@yXI z-PWu<Vc*wRk5@ged3te8Pw%&N2Is=1{>B#miOY<cDr)l~^w`g=#j-J5_15XE(>fQv zaOzvGEvu|<L%NnX!F`rT?AvVT=K7sY%9@<1$-tizY;}85hMVf8n`KvYq9^vAFiYXK zy4^C171XQT2JThfg!C%6-Mk=k%q)4b<?W;#{kOdvzlnS}U^aa-?=|_{m(h`7AwhoU z%%-G;&i0JY+I%x-+x44jW~W6j#WCf`r%QWWp6z&Zx{~bIwQerkQv)}*)wML`c`MA1 zyczuI&a@>w>F&?Bo!L2a<I0`7nJLF4X59XydW@-fr*3puU6QwG^s+nCH2z+^v_xOm z+iOzM5)sY#?MrXygx}{Xif)@CpL#s^<;8eiORwJBMT;XPC2C){>gwi5-kT<RHf!pF z(5r9vOa-@VyP9@Qy*lq!aaPo>sfL<cr@QQ)I`i*T_w7b1+S<FON(wUaa^5}FH0$f- zdH(%9d!~Lg$-Xvk&HFyT`*XhK<)vDjU1V09oso8Hawh+?FtN`@+uY?<9vnQCuzgd+ z=9rMEh`dzov#a<j9!%qu3V74~V(TLDH{CU?)rn7Plm*Y53ts>Ejmh%9)2ye3`)W<P zAJ@FTal+!hiQYN$p4qO|-wvkkJivVa(C4*_WbYjKy!PsvXs0g+(yrb#@ty5iYUXQv zs>N#dvYRP-bA2zHoc)wDYuQB45;I@p6MrmcFFToh?%cA>S2HZqFPmhanYlT0mcg?M zGvCWgpUR!S8RMww>1b&=TTNMilHkP6nr$=W^o*b6)ZMVTajbA#=?34&Ik!)22u+BY zlPk7uLRf0{%>%|~S4A$L@^*>U<z-oZfu<{r-*#%Q?96=W6TC=v=9W)B&RRl7T1r-z z+Zw8x8tTrO$QQM@&zYOKNk)3E%pBvlZEDNf(w_NDu53KGrzbsXjq6d6LuPJk3YyeZ zRJ3en&mIpqmvbhDEzce%MRDwvobzR^nrf}QO~lO;YkQX1Yh7CO=IT5qxivaZ!k)eI zXH$ASq4tDLUaG9LRBfhPd{^Pc@UTMd%^6`gwr~4&>cFaf+oEr*jZCkelC>(oR^h7o zs=ZvL+^bDrugovadUg8BbUoF(ho;O*{kC??CVkgU28*L_1a9tXN^o)BZm=+o@#CK# zcMer~%$@m043f&ZH!p0QIdNy}(LFnQHbzUVQEg3qDz>c2sVJ$4Tl*z{TB2B5;v?NR zSLIt<57^};Dx6&;CtGW&<MUW-+eIDj!!N268CPfUU)$B%YH(rIs`sEuYwhbfuecl7 zxhoPru<!;cq<>z#zlX1{ck{}N^CgpFW{9P4UbKJqO(VzE#*w97H~m%_TZR^Qt#X`u zQhnJHwPj0<SGrgmWj>wh0txoX&JMPY4)*Dn+V4D6OivG*mb`d!bFo@}MpD*^nZ}^j zcwf5FHKvTf!pua=$=VvKDwRcQo|8;09hoO9rwcvt`TatFadYxxpUW@$#gbw!=$zZq z^)GLW81ELV^Q(SM-ZVGl`OT1eP`rPBvvNPk0iS(V?pK~1HZR@dFQ=ra;M{cQzh7Ax z|ISIb`}_98gw3CQuFdcD<2zSbb~(P<(A32E`Anbsw+9pAv@T>lxLGmpXcU94mY%N8 z^P5~=o?NF+R;C#lRZqOLn}1KcR8bS#yxt3^1z1a8ud<B{zr6NzXQt=LJ*BD_y;K%Q zUk}`zA$T$1!dmYYk)kh-w?Dsj)%jeC)%JCB(=N))nLR6dy6<K!-*~IbM%P%2Uw2g& zN+xgDi}2<;eQK>YYwMFEPp+93P4_;cdT&>pV(n|@3H!brUY!5-#*Wg$jFcS)zk;r} zo<1acZ<p4r)YxmR+rroWy0$9%e$~AuvsJgIT+x+aJ^JNI3AdT<#VI;7O1Nj8)#{$0 zbs=lRgNwQuS0n`(dG8AEVr{PTm_ILiLi#tEn|qmUuJj0-L=-$1yCNxQIXS6bZk@mc z<DVDf-Pn&Gn|b9$KaZiFN4Ih0#rwTE6Bk^qTw}E~NBL@{%<)2*h36hy=*>M|Xmb9s zh0@&Pg*sOKk2Ol<j(>b^)2DpCQpWverOfd;pDp^#o)^lbpL=X^XwLD%p1H>hb1eHG zuPBl`{_%-TpYq8{8TXGieaR;(W!(EeTl7gkFO)Gn_t+wA&hbK)^NTg^om-?4G}kq- z$EthLj}kH0i7$1!k}gGx9Q~xzwdi7`$kBzHHM$(q14XLOEz&r5Zjr{TIj(_gEW4GJ zp3l57zg?T<i48RI*j#>*FP0Q@M(5n-u77!(ukmcLIu1=dH$v(Smsf9G5uwXg+GqRq z`j(PDL(Q4bBZPQg%4qj@oikKcT3DQ;@#47*^QVWF$E#+Adfv1&%NDzP((-soLC(32 zvuDjL?r~##CevNnIX78(%~P4;#6ZjAVL^W93`K+|6|YHgu{rJ%r}%sZ_mRgkzhB(2 zIBsELaBgEycUSQlC9z`%ir1u;TJK+#6Y~7JrD$oL=hb=V^flC%eO{fZ`SMSY(dp0K zZ7C&nvZB0m<6WE_o`+xXKmYUTVPot4C#JtyT3q+mWVJ2Bo~##V8J-_oroVRK#sz0| zErc)6JKW3~pXWH^->VzbkLkQ9;yyGhR9@%CCArgGUZ+m#b|hVj<2>0>!QHjzR&i_1 zohAjjx1~9Enq>Z7W#`V?5-zXPQOKPZap95Jo(&1DQ(2w4x8*r*=z5iA{rX&*ucN2y z+*1GAxwB^6TJ2r?vU$m7zm<_{Yxi!lRaw0G-3t3(3s&e~oS^q&jqs~}rmz0hoD~VN z=OdqAp5N!beX(-s=FNWBbXHw{`l6u3Ed8$U=2h#K<zIbSVpLmdxNG`mzGaacM5j;N z+~;~!h4twgYfV8<w{un#|CQ+9Z9lh^+w!%;ugjG$tV*QQW!*QM82t+C;qKn|Ffx2$ z_E**e&1^OyJsiAN2JFwD>D&Sj)fI~+g9lR61tA08ks**VT^&ew^8BOK`}+hY^lyFv z8Saie^TIzTwnHrGXe7_t9HorVQa(tp$PzL_G1qyy>f}t%mojQoLA^;CIYCy@R_Esi zJckXwShg=bXL;t}PRso{kSU)1kTKln(C)}*@1^!aQ-mjfUJV|_si=c=AuaaX{5t&L z#s!Q0M!%}J>cxqz@A|C$=KMFNwX4ppjjjicv+q=eO!3?)dUZY}D#&U(q+j&>T3|hB zl;Zicfcm$fj?tWG+dG#mx2O5$vstX(CmP<md2ZqM$yuxPr*^%HUU+Ut)aDnH!NaVQ z%df1-x^!xv=*tx^dW^HgR9|_ww=|sFu^{cL{QPfKw;sRr_Pi-+o-KCwVy6D`y;a4> z9-4ID`m)p{J?3nWkI#Ls&zH6zJGVpdTG6qcCaWJs{0h6n<;U7ybZqGZzh6OfIM*%F zKRWS&+pnNG6~{Us#O;`1e6E%GVC@5&0@+&aKIY|x$8t@$r#BwXc)!TDd*9YY`LBDp zXS)_Y$h<mzUTE#hO6&8VGqc4)t4qCB*-BmAel4!nYJR}kReruc-nFYIRWAFgJMorv z*7+6ss#fz|o$Z|*p9`;uTz>v$@uxYPKYN<3KK1L;+<l?y?920cpU+yo_uiskek^md z`(A#%cIEoPM=`})uFt+XeNpMUor|u|4vg>m7*p)9e*1!bBJR&;DaYsA)Mi!`Mi*PI zH=n!DwDsB7u*=)`?YWom%c$k~tnA9YJHMo6SYDSo^;&nS{^1Kh?Gl6qOs?EH@w#`( z%iJl_J(D>l87wYZEUbJWAYgK1+R3*Jbv6nN4jfTIURs=04|oJjG#Z|X#yAB(pT%9d zcjqs!33Iah9)G=dG5pc<m}2qu<~{p_wilmmT)#c+KIfF;y>r(uTl@aVg`M}Z)~AKU zv-cG5HQYPPw^mc@+*h}1)3f_T44zkMSDE(y3Yzk~in+?P`PU_b$J_3m2%o$>KlWs$ zY3Z-9S>c<P={Fxey_)m#bjE9+vUYt*-LY`bEX`WwbH#gm_smkMRrNXdRqRvNu3ug( zb6@v9zWU~cc4Fze?cL$Ri{H1L+IjCl_~xtSi(b^I=GMxZWUWsLx!<X=EPT^S{Z@{* zQ%!yceG%C^$*oq?Z$Y@xiu}@v>s9>kcTN86_3F!7ll3XS@of{QhYKy!cRsEC@{+mJ z%NXA&;YLf>yByy+OL@JDXME?(VpHch&+vH8<;A9!aVPus2`fI&irX_uqE<cSc~;Z< zl-2spZ);?8Yt@%ztxpb#?-E>ged9{~R{po8CclGjbj7(Z-uIRDixu0~wU$p_pSZmJ z*`7YDT1k(LxZ|_-@#wEt_PO7&v{*Gd&VBkmA-U&Xi}v(s)~aTln<`T&>-o#eX0Ep6 zC#zk*{C1q1`lLeE`j_9Cb5r+J$Qu4Kx^r&rKH-q(R`b>`TC4ARa%bPxaKlyltQU6n z`K(V0j_=aCwL0V5S|!)@ivsWOV*aAV_I2%()h8}bKlmi(_?+;-<?o$VH#WA%UF$s6 z=E=e*yohfyAHQBDBkx`*4qpi+txZZdD-wD@Ng_17K=SIuXQ69eN?-i)N$b#;sSK9a zH$Dw5d8wUPyiWPl>KT{97nQAhd}6i7rE({m>xNH4OTNy1V0nGw<Ip!RPA8PEdwg_t z$HnP~9*5?9WpX{2o$;ErYx#A9RpPIXW#72MZTigAq<Z0{IOoN22|p@$o2wcZJ~Mst zl9g@6^?>E#Dmrn8XT?ou*xP2XSHZEGH?}I#>9MKL%ht|W*C#9ySDO~+9IkJ%C+=`x z+=3q#;U6EF9(m!tuy~!)52<axf*j^9w~oGlD$x3_>({kLKFfD6+c&jrm+^0-29de% zdVU!(%zfAN%jn99%d-CQo!xUT&-IJ%lsNlx-Lmy9KZ|xXzqH<Xv1nKEOY6kqb&986 z>MecGa(QQ)@^b4*`}iL1Y*Sor9Uk8`p_tdwuUxiPGv&Ed+?;m?zk*!mW^>GY7gf9Z zc;&3kU)P#!y&MyA|5VAW>{q8BESmf5%sw91<(7;0@dP<6-??C)kmQrhzUAwWc%RGk zTfV+U=xpY?W$#^%pU&i4_MXM>bmqCG?^`a<d>7zXtXV7TcCN&{XqNIz?~hiOV^3tB zyE?te=CbVZ%yo<Pk6xMiPS3AcqE`FF%y$Pa$E?oZYU!W9ZQs_is_s{(6^!QIoAt}+ zf?s}GJliVcv!ZkF_5AY6nUl%);)~doaHVHH#ZO-LE?>`bdFHbszkI7&b-QyW?!{HB zUs^9LUbb`kWoe)LoGXmaZk=;4=9kr!Ie~upn!f89ycBAt+-aEL!;v_Nd%}g)jx5TH zl$ZQ&aCQ4|KwK#!fZ??Ej#J&nXM5+|^Y|5XVos*slP}L+c_+@x?0fv>+QsQ@(%VhS z3#$&lh>kvI!ana_RPF1^tXsc~66U;ITbAYaHC5vLmt!SaW?xe+tS(Exy<+m)?asL` zVI^5oU#B`)UY5SFQu0c8(hHybCo4~0E?-o-Z2O$x;N|aGPS3oT;dge{K4JIts%lHq z#9vkgb6&1}dZpy0cVpo)^C`i`OZAVQnR(C6&v(*35zq80ZcEeH+RPJ$-uW`i)7<aB zDq6O^J6L#ezN*z_>58mvzl>7mtgM_jY1=*?{q(GBekWJ#<MBw(GMYQdw^n)8xhqTN zPD+dKoMLR+?dQ38pO8y>7Sr5Gj<xECj7?7lPg?%o<@C&1mdls;-RE3l9C`Wr!pA;m zrw0oyd*AXl#{I*Tl9%3vMe80_dM*31RKO~HW0}{aFH03GqFt8-U%I+|(gnYhefvto zO1zT3taVtPvRa>+tJ3P$FQX@O`T}c}=bZ~(VktZOm)DMSp*5DWXZHzNJlEPbr_Zfc zb;-F<7fadZUw%DvR$CNVefl!@gJrnklaebhUth2apZK_B%}ega!Zwcce4**=t2R5o zeRH9t%)`oBVXkb)Ild=19iP8RC@z~|Y2B0FK5et}shLSnZ4`^AO_(cNcTRAfvC9R? zM~`Fl&zahMo_bMNHg-FY_j9jOt3zL$S|kl!^t+F^F8U<Zsn93T`Qbzl&t9o1TR7D! zHs4*XYP#A^V~1AE!qrci*1ik9`|d8g4wLPMqa0Eq4!1>PymT9`sX81vZ`owy{$h@D z&N)G&=N%tqE*AB9Sh`P{qilChQ2KdCcd^TBo<eJ9pSg+)&I$OWbA0A0ENS~->3rs# zfMt5eEMu25l1gQ536{<a<|ulc6IgG|a=bF>nM`3xU&UO-mUBG5&$FyQU%jX+8^2vd zE4_2Bv8-vHY}L7|k7A;iFZR~I5cp(T(^DNo>&qJ+Piqs~F0$R&^{vmsl4S-~!GGpx zmYowZN$<Kbv+0QsW6`n`7QqeAJ6C>oxm>x(#-C%Z`iaj+&Q>0JYExJ=uVSvc#W`Wo zbk5t6Os{k%mQ2&I^8PVLHS3(v<4C8cI)+8lepq-X%u!x*PB7>>$Fj}MCvF~m{HCBV zZH1Mw%UsD*#?2RIHrg29nIoBSjz=fGZIZF`iJ6X%&s?wyUs3F9b$wCsSIh9Z#d9sg zZ|qz&XLZFo+2>t_%N`$l>vG2O(2F+@iqjITu7CD%@4nqJ!I<TO<e|rB!d;gqd&NuD z-MJ+2c-o;?doE-xn6PNN=_NxYrbd@1%UWD@<iw(Qx2Za<XH&mAB_xFR)yb8enVy;_ zmw;yFU0s}=938kG^fSFEW}QBXDJP_1WelU*Wu^<gUzS=4-hCaWZl=w$B>(S+7a9KB z3s*nBkom%>L}C~B+*Z9kS3_S!SzTE5s_fpQoRHw4+Qqk4+unV&V9qZy!>!w|#9h+$ zTN#;FJvHo`YgWJ9*QKu8EtD52E>uvMAAQGva|S>EO}V3I3ykKR)6H8RY0AEQ@9b}@ zeDBYi87<?xS;KFc{?VgfmRd;M;npkbmY#j|()4vp?mP-9P~9OcB6Cvr9Lv+|OP+|8 z8!6p;<Wituah>~nVK;Z>L#G0T?H1zan6Ee9-f^_>fnI^6ltp*6%(2kKgUsO*794zJ z!g663%Yp=}V1>DwP3J^58@HaF`RJ)nV$rgKxteC@L>^W?vhlZ>tA6G)%f-q?&uu0a z&+C}09&}Dv={aZr=OafW7roLEES(l%<t;N;wc(tQc{=Be%`B%Q7d_DtESh$~!h6d( z!I0-1%QrKhyvg|F&4j`-hVwkZ>1?0RIFzKlurz)$M>6Fck6wD)WaGoH&m1UBTVZj1 zMRBav^~J@kR^c~yUYql}Vx87=tD<%C&pAHw7?zYVSQ%T)ef>7Z_*{ud{>u}E-p9M6 zt+wT92AvZ&Navhe>~is?$0hEPC6=<zzb*wno7T56+G^dMsxM0)pME*x;`Bb<<;kw` zR*UBJCB(lHvJ^eP?~3TWuC4n*)>w+3-WPJkQgq_4poz~zY+iXET@ZY6g+6b#pX<VX zA>WI&zI|D$aAD<+%hQ{*mM`*+=W1J?baLg4OVhigmMeM1Yt_x^Qm<X9F|SLlcBO~4 zsOztwyXRJNT$=tW!|!PCz7TP1QQcpDUza9c%+$C#y=}{7gH`&xGyM)n#d8^3@}Aun zl5Wl0`zuKHN~Xk>>8~dFInUb{vc!rv^H<QtS0-~_dOJ_O9I#BEH*tBQPrOvn+_sJT zLc&X=)_qy3cQZ5N(sU;C<qL0chqPGoI{$J@nbRh<S0J%aYFm}!qDLYjZ(SRf7i94t zdL^>un?lZVi7fs{Hmv^D0zu0UG<I0I`dpT7;8fc>Iqm6#J2$ReICJ92fjvEjGrYM^ zcX@H0>h$D1+0pT%sI{S{VvE(gjVnGSM9XZ~7vb0<_eT2_Lxn>N`|qe-wR3eF7Vo># z@uDX5iq66X`@$V+UtT>Qdj99B<&m5Am8d_jSsGm2>|DHW)4`uxS6as3J#%Ev`jjMn zgAJdrau^>w7p||TtNmPi+GG*Y$)80}P377v^}wJ&eEW``GKWIZ9oKaA_C#(?-)+0P zAmQrUZ(Fk1)kE(Gzxp;O>ss*Iy(`Lg*)CjExA4u+lIm->7=wd^FJF2K85Mim8KROB z;!;x0$M}_n@#g2}-@asuo#*4vJb&}8=INcbrFnV&b7tMXoc8S7lFpsBsk2HWSINEk zSyX+fN7wMi+m}U~=4d=WwX&#snaS%TOP0L1EUKQ=@kR8uwR4`oxJUf2hZna$ev<M2 zV6!c=+Ja2>Z;hN*9|{aJHqGKNU;l|kIBtvZmAGd;K0IemOEmA?vSH1NB{weYW(@2- z!`Ad>8H+Rj_d`=2&T)9!n$pCvaW-d1QmER7EOtu^bKw_v8Pc8leB8G=H}$n=PgI#a zl|jd`n?>==($-X0Ro161ERqHb%bPij7Tr{J4QOhQUa0a|(Q$1Pi<X#jM}Z+zPuYa# z;^g39=l5(jw!$@8_KE^kJ04Er$zYL{RBBkD*fg70FyX>(#(<Uu-YP6jliF`5P2z1$ zU~=cMa(ckn)DO|_Y~0LPWiHX=5Mpxh#Hv?iu1)RSx7I0GGcgKsa9BApO=x~_>Z^@T zi;3xtX&if*Eu6R<T8u!2sm0Rv)}Cc-tRG%*8AZK&R%+_FXI1B&)e~XHDhn5vGCIF! zGcoo&x$0G#wY|fq4Gj;kEMN$Vb==m{?a-vGb+B~CniWeH%$YG|LQhAB{E59U?j{@x z>RkyftYvT5>crTXYBacpPq3_IICPRdFTln?@hG$DRD>nWpC2*Kn|O~`$wJW9(Q!p& z=-nLkt0L@^<ivCqNQpSC)IPDuX2GUQjT}0%3R!}J@p{(}Gwqdj@tu&xZhA9hlBf2> zzMifQg#&V8T3NhY4=#wNgvh=qc*wva!Jd~Spw{zNf&KZhWo>D0YHZI^dmMK(UEIwW z%5qb4^U1uhQ1jcKrmCV#89Dj66GNJrB6c^eS@n&{kyXvf$NI#<of}s!oH?<xu~IVG zV7k~e(WxR+geMD45)}OSl#9{w*Mf3pj!jxKueJ!CIdJ8$llLk|MxI?xH<c!3vP;i6 zn~~tQxG}Pq%}Gw|4cpdDp>0MtJghgY+I7=!mFCJ7QX*_Ia$*Novax=6$+cl7r`h&0 z;Rc=+X9^4&n%MPIRvp}C^+NVQ4|^jUgQ=(5S{ExLEhQ--E~ZTmJaT(_K-!*j8Ax|G zau{mx2*_?_<|(<M$M7&sKVgg0dbVp!W@0-wGW3P^O}*0G?s#<pgIO%&vf{?s;Zs@F z_N>tfVAj2mHEk<{$GKdeboQn-S=ZM5J`lFmrb0ZuxoPzyE(0Sc50TXy!ekA(qeT^4 z<9O93n9oZ)Sd}9zXTu%c%(SC~bq0S+_bTZg&W$}$K8qv2g_St3XA`XHNU%3h;MlOh z_|?JHJ4`ipM1?nSR(@M1bi3m~qVlT^W>bW#Oa*2cgeWgsRr%r2DmGSwms}f^qTAV9 zZnWFxCn*RgDNcLEV!D0fA`Ulqxk(~Ca(kwQHZx_EvPO75T~y`Ud3F{5yt!Fg3&WW? zRCAkVrnn_OILMqC-k|E+>C@{mk>P;X-6LL0{NzNObS@-B8-L+bI9dH++ALNECAHP7 zq|P=wwXsTx9Jno-;4AEtwNY4lsgUzVQ}LcV$7U&5*s9LlBJ}E!BjaNxUM_`8q6q<l zK^;k2+pY;lHwq+g4Dd1hlJ$Y*Yslw$i<CS-$<$B0B4Eb>hi0aVI7Tzp(#uAQ&pw4T z-&`&}F^+fHtfwwlOVnm=5qi0z^SR?eC1zf(1s6pVwy95zXql+-EorV*lEJo>tomQF z5@r{y4z2VF^AY3c5|zpbU|7CRfq8b4p|81-aFX$~lg}1~GfUpkiCi72zrg^Maoycq z_1Chu7BKU2DO?my5H*!jn>vfbaCTay_r}>MHH3az&plqXkShsd8@f3Rcvi2P{dUQ$ zNnLm4M4|+wL=LRbK427AaO<GO!>PvC;;i(;JGeRaN~dgLp5eAx;egq!sVSGdmMAY) zTBLa6Tr-nK39H2M9hdo%1miYITwEi|aNwAg$BcIe+X@yO+!pgfmf?5{-}+~a3^&?C zubwRn^7VAR8gqbs_Q6IrR)JSs1_B)VayNX>v&g?zdg{2IP0*pkDPdjOUG`~9nfb*Q zgZy$qG-3U%{%)>-76m26+m{YGt!LApaYJW@$L6>Qew_|3xi_usQX&q^wRa>$S+yw$ zY`n9lYgTHwnvKpDsW>;^^=t-)Zx<-#fT9Lx%hBkq0yGH04M}?)S^jH}n0bxgE-2*` zxTMIM_^K&jEA#IMx7fcU3XOv?Q4wJdHX$$W9*ADJa%MuY{01&|xg6GYj*Kb0nqDts zZ7AeSC=A&ymVPfv_So8^k$0o!Tv&T_;&!p};_Onn?PAAEyi2!7uUdUKXznH5tCDwv zWH0DmJ(;(1-Lq-0jzzy(wPR_*1zpzgJk5wgZ^k*sr4R1}T|c4AdOn)#RGwzRJWZab z(+;`it;}vK@^+jUUHxiKzH;r`&f<NYLB89?!l!KyIbQ5tx-Giunp0k8X0f;TjOeI& zcY<PGPn&16{mYgeOH;4v>Q3&-(>zh+?LQ?t%I)r@PtT`Kd!nmbny1OJd#Octbd}!S zOPBX7O~0sXTc7u`v)J2zW^~n|yqB8yE*U?bHqB@ImMc4!uA3kIDrx7^b=}ciB6Htn z7Vq2nE9%abwMQ@Jt>k+-?Ul!p=qj$emp*UVwe;yl-J^+jE(JfIHcw&u7L%Pz^%h3I z656?R`7vEv?YzvJCEnhjr%lt|e&uPAxBu$sD!;pzF29^MZ*z3jx;vLXKbbadYjo7F zJC};eyid=GjyiYel2Ms=qV#qV1FPVI7t@+_w~OrAu~q%-Pqs_fU+EMWRk2QJDoP4+ zGE!377o(^kzyI68t^Dh16YSNtoGUwUDKWb7!mhP<wydgXWo?h+%_~rPBJk2=wUX-d zgKgowT<bn?t&_cbMfd7apSzcgUbI+oEs}K4d%5#r$mb$&Z?Oqyb}oH>TvyjS@1^9e zjO|-gn6h>*)#Fi`9?g|>C+Nme-BR1Tw}PM5e175WKS^w5bQDvdtt8{wJC}^hv={AM z`c$ICJ66(lyU3XxOP3$l)%DEN<as=8*MhvaoW=VJznopLXlA~|cFC6K(Qf(?*DLnL zemT3~>Y44=a@ijI6#Ldxx^Yf^LcI3v9jP*Jx19>f)Ao5bEmmz?wBFI%TEBO!HR`>s zwR*?e4XbW*&EB<k!}8l)!MoP3yOqm2J5Rf!(7RB6yU4O4@5G0?rE|AmIa=Z!>#_aH z)DrK;CC02R=R_3Fi?9`WAMB6j+A~Kpp=g=I@tI5#>0HfsgT&A1vd+!Z^eFabTyA_= zyY=CnAc-??S+bsU><Nl_Gp$K~yYPm&ss`4xL-!^mKfYOGIolxJ_*u@WIcH~X_AE9l z|8;Bn+iUyswtovP&5jS-&b^jRn)#(@!>N{OQ@waY0y7J_cmiHrO<-?2Gy5)k&!LYA z(L32#1733JZDPH!i)F*cYioO3x0{6(W+#hnH!Ca5PL|kiHmxu_St|YVvh}^WbI#7# zXLhX6EU`9s&EuR^U$z?dZ;rfl{g~akXRFuuUY+CHzt4=V$jtcHt%wshd4AuT@!IF! zuUj`>`*?lbYWUH|>g!g+iN-UpTtDV^?nz+0w6f)7r`p^#Pkg?8*=ji1c<1Ho$8yds z@r{?Zww!EOo6Gam=g_ZP5vOK`yv$D4O5e0(eec>iC&S~VlPxF9)#k2w>XY_mtKoEG z$!}W?Z&dF2x^?4?$|<k1lg*!BT&X{M+vgourk`E$IpbUE=9e}~UsEsJ^t;yHG_mS; zs=di$)o)*W^ND4@P3_Gqmi?Rd<pkZ`#94a!*(KfCPjzRzY|r_3XOrdbRN?2-&d!WB zJ05KonRnA>XKL`1X}%Mp&CK&|ZrPD~>D4sPRnck-^Jd2EO5L<4b<&GzC+A12rEm9n zbjQfD`1F&C(<-NRC#!Gw>AGXYS#<izG2O{x+ijxme)1@teR=xXS)Vg5Pxqbi+2Zna z-|3$@E>Ax@<+H@=(`qLxb6%ca_S$CEm(<OVZRUMR6@F|p=}YS7Qn{o1d~Tgf_J~(o zd~UIOyxQz@kKN+c&VKH=INfvc=ZK5bPcHb(@hx@IbDev?j8+zlt^8#aSuD2rml0>N z*!*8cFN?%x{xX_bBsTe%k!O+E>3u%C&MgXzSF=9%C?H<V@SIXWyjpbn#^w6`+csOg zKAn6e^2$r^g@wz~PDieJ=^a?SOzl);%S-Q#CCi?jh%9;O?c5)o)ix*ljgN0%v8h$? z=SOEY6fRS%=(7y|WYaBCE18k*b?sb|H<L~Kwq?_&3Mu+1uJU-f!J)C>poXb*mFWzJ zYZX;WKV~>oY*65k5{Y>r8e=~t#j1VVJ|XRNuS@3=L*h9X8>@DoOANlx*=?-acy8g% za;CCnDwTY_zx*zon{vjo-LY2I?%b3mmhCpRnil7Dp3QNdyHCVCT`colqHlcHGUIOj z=K{<0c^&g2b1d8SYG0q8={lu&#-;5_b0cLe+f`~Mt<LGR&2bKoZ<}e{E%SWCVtwaJ zGmmx`cU%ltvf0cr?{HXr=QQK?=daIQ3uk(HCh={l_|<Zz$7d8Tzh1ZU{gH}i6Ta;- z{_UqS*HWkIuH@HJ4$ESWFT1#3SqGLrn^1H2<Cmocmc=tZ@4Ecb+p*-?glDDUm#4Qq z)NR*aZ#`q*)hA~XPrp95H2<k(amS}!-Y=uqo|Ea@^UmX!(TX{iJe7BMf(ENCpH$o} z{nEQ)uH~MJyNO?>3Y?c|TE9Cio^xLD-MQ;6qvJVO72mxYR~%TY?scy8_?KDPudEqM z)>+@mKKJHzK;gRGi>}WJywAD1_%7q#XHCC?4xB4>-}kKISCGiu*Lu%QmtUUVCc3@U z{qwBVFQfg>m45#;tNCSgbX>7st>l5?y9@U`3;JcWX3p!pC#LR~rYpXPDOX%?8GgTG zMe*IjJ<qIud3DUuzL2$IclUJ}H+F|b&tu9TWUsp#{>bL~ou5^kzs@z_oZ_(}<VeQp zLmsM;PRtA}3=9k$48}4l0(^?8I-y4yMH&RXpG?tN$;mvC11#IXz`)4hAi%)Dz`)WI z_<^}DL38b|Q+I`4go-@fJ?(^&s`kR;OpA|){0cPrzJ^JqR^s&eJ(FaXcs_l+fMrq0 ztQQ`Jmm056oV6gHDc`C7*Mt%cuiA&#mhCQDbYoFa-Be-bZ+~an&Y38;anVBW<!|>M zn6U2Jxd&GhpSGR*rCnbx!@Bu9%T@*c`QG_!4%ke1!#86muls!E?A41ioD<xaEQnfU z@niL$eX5hh=Ui|;A{Qg%BfjkNvlE&=)z|V}(*$Lbi#g}3S*yjrT;RLS+BE1|i2Yp6 zmtv7h>MrtPr<WHqX&#<(rGD>{ueM$p?<4bV_pRf4YO$+OSErh>^AnT#ofpea*I!-M zzxdeE2LbLEF0HAVwdblAH}m%#gGe{efVUr_gnrpY*IgBw(J|9FXvT~8H;=x*DOh-Q z$__zx*P#DTUkj&*HT-Uhns8(J%iz*u8`lOsVE&UZYw7uyxice@80Ri3abCawbbRrl z{ZswCR1~8$GPieCy?GlrMQ*j)d-Z$gmxWx`+VjsqUbc7c>GMsS6mBigTzb-`tZ0Xz zcj{qwJDcnUGmcH_*|qzk!(`uQ&!)(^hs7WNcDLp0lj1$UV^qUL%eFuNno#ojou|c- z=ocoB-X<5%*Ra}HJAe7JcyZOPK&JU$iXTP1;C=Nm`Dx?ti15Tj?vM{^Il5fo^2$lv zNt`o`Ki)s#Aa<<&uispgj^O?U-KKLkwl{q)Q=Xh^E2S!#Dn5ySYs&?fKhJ-ed+qu@ zA<wpVhOKV5;rtZ8m5Et#bC}YcQVyJabfmU+){16}pvjvSvy}u2l}zM#e=)&t!L<+n z|32J#L;2V1g?w)|eqH>e$)`c!pHzmF@aun}&!aE>Hrc9FP?nr>scW^=6<Z;e6M>T= z%{3%{)p*a!pLuz6`-_*EM_y;{SCLiys1()DR`RI3^q3@D>LZRU%i#HH>#vI3?)_rR zZ8Ud^gMRxOr<m4*#ZE4NN;c1)Sa<&Gn&+!uHTHL0=()u+<CMbMHe<y-xw##axTB=p z=X=}Eu=vj;>Q~%%#;)OWUgI1q$3uTCE^k-)sSs|<_$AELvi63JL9E-PYN5tk4r}&k zI4&_0$zFbn!{e-iqu7kgGI8_HUSHCiYw-TZ?MP`y*ENC1yuPsVCdl&3#R}chs#RuQ zk?EUdZCGQwE1Cc5CB}shFE@oK&-%aU)V}}GzK0|hu<`roPnwl}ZOMh5(igS+O1)(T zZpj|`=~(%3uF_TZrs?lRx&PTV`08DH_uYln^`<zRfBBF1KIx(-Ha+owdoXj$y01aP ze~PShwN?clz45%^{N-86hD#aFUj4q!OF%>aN?u~*UBRDK8XWqSJGV`YxXjbo8NTRU z+GeqyLvAI1-Asd-uFUy*{c7s(H7@?a&uf<lEbsH(yWy+u)jzWz1TEouURJznH`Cvk z&SQ4{3;ZVUyw|&KzR4Pc!+V&m+c&QL-{AY9Xkl+rY(b06rMLr^|EK0{4qdr#?X88U z&UpS7Ub=U|R)71uX9PBFwY9vyzll9t{KJgbE{SW(O)qfj)RZ3oz?aY1q5r|EUabAr zhPsP4;~KoGE2Nh_j*l?NI#%@C{plPlm4i;|YHwIO!uPc&@Z2`puwE-hSy`<~gr^|Q zn0ZSh(>jCg40~d7)2l2~UTj=xo-v_i>#f;;-!M#!u9tbJkf8se?~LBk4NDd^w(R85 z{ae#B`OK*}h2uSw?(Cal8`vk*cStBR?N7_$RVkZXG~13oJ@NkFH|BI6{|)&nw=#}Z zgzwF4XFohQWo6~{zw;&gHwD~aP7h1p`Yw3clZgE35lcVrQ9ZG~@gmc4W%Yl`Q)+MB zxgnOnR>0K#VCMrx+oxP%>%+uPf4dO(>xY6~{`Oii##J$CMpoy)Ha5+07p>L#aCu6` ztEGBx|0#<FF9?-=?$33LqtAcK!jqY4XLJkOR!vxP+~Bp_qmMI94{ut(_dv6btZ#MU z&3(TtpLcajzWyt8@}dSubz{Ti_w_w@4k{+DYni^`;rZ_$x@4*y4UPWJw>rtaa^JNC z#VeA}UQL=e=XJlE*sdkJZXddGL)t)~T-@i!si5H2RaF`<s&?*LZTDH!_k82t1gY5v zqm<^U9aH|aYlrf4ulupp>qM_)evbWW=%(1bzU5s~)SvV6S?_L$2j1Xj@%{4mtaO&? z<*CJ`8HElU^A<B~{l9LD_?43GpFh+D*jDRjc`#@-tEcZT<Mfxl+I405EO#cR#sB)Z zY}<Wf#c}Pgs(-Iex9@6C32E^6D5>z|xU>0U|6J|J^~D7z8CJ-%?MM{ez_4P?^q5=R zYb3lb_%)n2`@nfRi;u@hyOz)JNN4(`o-#*QyInQ2HU+ltv@Ns#w<bthCF<`BIc}GC z%BsuPEmC4tIP&=u_Yo<bJz*_6-Alc1t=?&Lq9T1wZa-VZDuL!jm-%P+wFK<ncfQl* z`_}mh4xhTsm_>I-Z&2e|`Y``-q0{b${54jh1w2JRcE0$bbZWjJW7B+#H<xm^Jxbp; zxkBpufy(-V(4du<Z!ewR#-w)RmGd+AhOD2q99v%AakojT-^minki+tAhK54G--gH_ z)r<WM_j!&zktzHbw@@{DYNeEg@eDE6ESE<sIoD`(GF&-#tKWO}=G0qrBKK`R>SSeK z-MHLtXU>`m&r^mwp6oyW=F8pkSKo@iG1YnRzAL+U@5~d)k&DHg{vBWPM38f`_@16< zHom#fIGgM8j9=WG=w?~_qGRiqsYm=1+ZESWH5PR#erK8YWpjJq>W6lPvplwaoEiGF zv*j?0IqxN&iMImQ%nwsuU?h;ee3@$T0lpV%t~X?RluZsjTk|zIQ0|%`$NHOx&(2l* zbTRwkiq0+ucX^LnJ-?S-dwT6fcDC$v?`_JxTuj&au7|&D*%$TH@yiwq|I8CA3~R!! znQRrlaAW#~xruf4Vy9&Tm7hh}-7I>%HnHZ~<-#Kli5X_OT6eVlN<QcP`*iL>{9lLO zMGI!;G5W4N<dHieIQ_zhH}0{kYeaHuUsX*0vFWGWdTsBdh)r^foh>`sD$Ig2g2j$Z z_{1Z|A9N+Iz&qXc^A+2>I{vXrp8Jp73sAYZ#*n9hHDGDvVZqS<aw-V|<uU7KUSp7H z)L`3J@Gwume?@}BdW*MSj2E>e_9zHuT;FhVdShVFp^0<O%;zfKk+k}=%@wA{9gOQP zT>q!z_tjuc%q?!OT{UqN88WYbD5?)#vg26O>Y~H#lJ>fN@>43qzNN1I!IBy{js4C| zt?lPNIk>&cxOSP}#keoheD!ywd#pkt>1)#YChUI8YV*vlcJ?y8d#`@-RNL#RPY#=0 z(*N?Kf`;G&{*@C>U-13p^6a5}AaCMT>ns05rmcJQoRv*zlitz7kE?@3bhVfFM4gNI zrxQ>+?~jaujjfdX0s)=8V^c4x&bR6PFYxL|?WV4d%%jb|j9=Z_>`u15QeSEo<>8;5 zek)xyTCrKaPka5v(!Xo<n&qc#^ebchQzw5yY2V%-djd;-Y?xzms_4V&E&shAY43b} zMQP@gw(AQT%TGo;Pgx+C%jMO@vPS>k>OFiPCEl<v)8Bcj{*#(vAFq6`x2XGjUbnUX zKTf)S{j~Y+v_ofpt@`pcb>D_|)8KT83+JcqP*UEfS$cCH@46fQCX3hnow6aX$US-9 z>M1ExSDtHJaM|$rj)_?Ze`|^R+~Q_gQsZA<%>E*^SnPLR;e_q+I}|Tg3%cHXq`cnm z-TPfHjs7xMFWwW=y5Vts)1=u|6T8Z;e``6;v$Q&K&1V~f2NQY%1Dl*r%YBxZ^1tDk z{;k6I-&p4vvf6zNn0n~l*Y+!7Q#o}sS1~t;Ppm87bY^#yCeOn)(j}j7g(N(Fr2Jp$ z!r8_z!cA#zD>l_6zB}jKlb8^;q*G*%AXAswk<M4MFRkTo<o>X7^BhHwZPAzA>lnov zlm9&t7ES*#tNQNz4ZEF{<6rXW#m%!}+`D`FUQ5O11wkCeK3Q(nKlaUAUceQZwEFpX zMG1G-4+rZ_7Jg9kUvwzo&;l*?kI`OdRYSv9-Cq9UzcKF_t9|?>Z?B!+G4<urFG{aZ zzi#{Lee>@OrYq(r<BBTPKOJM(#~oOAkvoM)_R`Nk0b;Txdau2$Wj8-o6FRbBxrP4a zS?tmGy=sk|5A&-^eX6m2$?)>=<h6@T4#;diuxHo&Yf?)t1$h~^8&9;a{de$Y`i@ik zJdZA|HIi6$)zhWI@}HE#e9sWkGa1?KF9pBsm^4?nPv_7ciLm<emB$SNn~axObn7pE z{%^NqL(@-v%^fOQUF>bTwpCJn_1OWdy_RZk)7E)r(A|?5__t-FX^!~u{Z|@n%sD0o zdotA)hRs^?c2+h|!qWJ651x2M6s`E!V8!sGgZ&_Lq4wVk86QR8@qGLC{(DON^EEej zx35W@A>p_1wV3#&nVdmJA*WZi#}v6XKj#ejC>LUww{Z7=W~+dx-fIetv-jWHz3AG% zzC&BLMJ=12>ZyAA%ZIyNS64V(ihm&_yqNQrb5!9pZl`_!wkdwSb@k&x8=malug?FC zvR(9gcY5SB?#w718%J5ELnVvtozhIVq=)R7VRdy|iMAH!hg~|pZJN(S4Y{9-Tyxod z<DYVociC1eu~~dIJ5)BG^X_l^GUdSKB9mL%X=hCC=!zYS`gc_&@<{gmONq*}|9p%w z<U4Yuc|+-G8H<WLRSwP%PccvXVmSFgh5RNDem4FUo7n$^F3R*>tykD-F^@$*+I{(? z8<SS8mtE@pS3%xg?$PAgB`*@QT?=OKeKWBv&0;}n{j?9Fi;sSN&E21z=hHOvg>$9- zvipjRss1<L1ng!AzLdIJc8*>-r}n8;cV7pwJ)Y83U3d0@<9DwQD>!@usz3dFXe@C5 z%Y_3+t}n`4<Gwsx^kZqRFw2xZ|4lgcS2Rqyf9bLGvY2xl_U$_&{wz?B$KsgB)Rwd$ z&dsv~ew0q)&6xYzp^$aqvfmQI6NF~->|4KK*M&dI&nrG3UbFN`rMT>^xwh|IPM=(K z+2W|gJr{<!pK5$!=7B29(-<>DyMO91eLQ?PwMR90xmJ_2vw(}3?}Rm_wi_;IE0meo zTwb@3_0t>u<A)|i9&36oxRkd~BeUJMMoX_k#&zM0-jj)v-NF;Z=fC|@Znx2<gyGq| zkJ2q4&hT%tO0%wznEifQWXQ`~*V<f9e9=-YynBIX;?sr7*POnlcx9@X{rteCzHsN^ zv!Xg~{l?vG%YRq~{W&I_A?%vI%V?!<$hsY~q89zI?LBy5&hO?0wd>}_USHE{$637f zfw0Bo*&2EMEuU2yc|J~+iY%GCC^$q+(a!hKSGg4tRr{}q86B4C_Yb|memPyhEhNZ{ zVUo*jG4T%PS2+(=L)veJ{`-HCZQ`oemvyg6bS>hzVD6Wl8~4;vSYdg>nhCY~SH7k+ zR?U*!ApG<q=iHC%4_QQ&Oni6T3BBq*vvOB_T@#b(j883on|t0*zb?0Ah5M|nZ#Qav zpXa-A`K%Y0)89pDob7rQua~}dH_O&9lU5x6uwBmXv|31FR*&!2f)jz#F4Mlv`ouWv zOMmA~y)DlqK3wJf^i*c22*=^O4<1L^zZKxo+<WlMzv!<MZ_k_H-)j8w-JF*#okCV7 z-W^Lmoj<DdW6r_%Im+JNs%5L+vagh$plbAd%L^rL-J}@?auHmO6PLZ4p#S{DQWuHW zZ1Yb|@oR`M>*{^`<t@XE5Wd;7-~1O5ocU~>>Wohx_*`abHt#%hI&+H40hUx(^=Fwg zmKNx-eSUs(f%nyl2{Qc36P%O1Kiu*<E}*Zlw8^eLb+zZk8uz%wIgi%0+J@DAF|&F& zedF@Y4c!ap)OBy+IW0Y9&-;h7S%MxsHu|hGMYo~v%!#Bg#@iO&v0lj3A;fyMmbWeL zLsLqubIersnGrixW`-AXX#TR5>Y1?4V9Cnm9QQ&Qg;L@iN}fLn?t8J>ch#O{PYlA+ zN&|GxABwP;`&jpf+3ah)*I2tI8Xi&eZrl5#+eb*Q-^nM@>TF<HSb?|e=a;^Q>CYZ5 zR%cHLIakwu#4dlGQT8Fd#FQw8;}vQ~s}z^-+@KITSM}<9<KC4Q^Y(R!{j146_LlQs z%XCXu8J@SV{tDEsol$kL^Z(>N@m(z~>>O*fzR&gxV$Gg>>v(TD?~&P-r}a7Vr0ort zC`?rOkzaL;?a22c#k))I&JSJqeon_`VJ5K+GEwX{+YapF`+V7V?=hnrY3&&ft@a;O zbEfMb|8s28F$2l{cQ1G>KX-M$*}}(*y!;;rDPElZ%JRmSO>@Hg-YgTSe^PWe{J^E3 zi*n5y^zMqktyvxO$6{Brd#!}2y<=vo#s1o}Ax1IhH+arC6LL*^USnKr$)v^$w{v>c zuDy|&^7f_(*ZksBe`}dC1DonXmL5Cw`p>zxw~~KWPP07p;iT|Ktw~OIr^jxv=dWZg zE3to{acNm%^)$7^DQ!u;A9W0Zmv1(ElM`$$vgz5m3q|LTsQsDK_@3v?H9sX!mtz*G zla1q7aiue*CEeM=c>M3lV;r|b_?Lg4_aiUv?Jd9RBg%SjEW$K4>sawO-oLb8>yfqM zVkeJ3aZP2?%@Nb8U-%n5T=J%};^}11>el-`^Y^8DC^lU$%@yN0mi$J-b7!>9na9}^ z7n%AmDLA{8BXyxqwfn49&XQiy9t;oDrljOJE@pnw?(T54LUOghu9Y8`m+#nSqQ(8Z z=+(EZgB@0RvZs#rtnm{&$FBSSnAMf4P}O+(3JIflIl-Q)TQB>!p12xfc((Y1fZ2WZ z#Vamt|H+!_s#~Eo!CLr#wtxDWi#OBCqB73TTK;q%r{}vlReS-p`+Rk;@Dv`HwsTg` zLrclNpQ}Gkl=*u6;A{SUi*C3xJ9aKm(LCheG5zxrBj3{syH_v0Gu`Rs#}9^S0WTM~ z$N9+dPu|GpX<f3#;myYa$@I=Ex9a;vH2aH=Xmu#gdSP<%>51jvmvBCsuPC$X@+_T} z54LB+6H~l4Cspz-KlsAOIB5ILxmN=xf79Ih+(=MUy<f3r{<qzRrs@~}^Vjc8Ow$d& z;rwGxZ<e|An&a8ecMHuF^jSI0^2FR}mF=r+{yZ<YlsjvEd-km7`QbAc&MchA95=OO zS-;ioC99m)&D<FB?&bl8>7VmOmYvruE0WQ9TIj6YvX|F|JvF&!(N_IU5{ZwNPUNiO zQ+Gb$w`f|Amf6Z<O}d`y>+b)bdD+iNRqvIm<({*9TF<{{63DyYDO#4#_5PgU>XR>B zQjb+e{W&u6M%UEX^pDF^jvY>wTh(K{Res5d%a$8II~`?-TgP#(n49O=4~uAl=*QCR z^1LmrE0mqKn{-TCD|-0Fyn`G~E4F=_x+8kc#kZT~-#Ha%dcJ<U)UZP{u-{x*C;PeD z*<WANO~NA1D=C-GoO;lIUf1FYCF@!z-S&BVX#Gp8zPC4dSkCS=7rB>i{H-}AeQ&D4 z8nb!4Yqseu)O()QAMV$_veVw3=V*PLT2j?4&ci2{B=vl%iD+J8T(&Y}GxwI&YU>WC zobOjUG-pot3_IrAiqDxuF6+i|yxFU1mi1?!{tM5Zu!XDkF^IiLlG(rX=mT>VoyGUf zH9C$xKH3>1A96bLqw8J;nIrqRvBn&z&#F0D@=Pb+eu{TsSIZBxa~Edl9r56u@N@MY zmUpX@zQryIT&7^{6~1BN=G5GV?jD0Z+ExMU@4Po@-tXC4A^b~JZOJD&y(?K$?=;<B zy|C}#q!eA<HH&vYx0LB?sZY6hNn*w`FPnSLN+Ky|tveRU`nhkKJzX=VBJ}Ots8hcr z7^CM)PY{lan=v&=z3TG5^b?DN)3PpUoNqEuwMzDSXSOwBLDoMW-7h`~2hRQ6-SYCX znoXE-q;kkJ@5@T(5BRdrmAlPY-mLag&p+(g2j*s3yWgvrIJ3-l-I9F0-6-f%X-b+{ z(1D3-(snG_)wHEG`Mbn@U%r_RRgsfdFT7!wzHzGZI`_^8@5<u-yj{AwO092d!g<%5 z;m5!InypnW)5Gf*a&F7y<dCIz>YQ8~=j~EW3Dr8DdAehr@nT1}vz?Pg_BH!!=CwUn zW3pfHBTDP)UFR;X*zmcIy<5L!J-N-M9#p$|sfbGS>!M`Q&$Xck9`nALY1(}}&B!;r z=eWa!tqWsb85Q4}@mZyGlcdM&X&qe}?t2Y4zmoWO=E+>~lT|b9Bz~XWQ@w?MS^A%M z-h6j&G3CCi&HTl0I%kf}x*f};Rh^w&+=C~47JBo0ig9$&H|<?zj(ra8&swJJWnyRu zYcak1?$Ez?4#xs!&1Jrt66dt)H|Igt&RWsy6V<<bIk5bEe9G=Mt5w@qvsDVKSWPPG zR<;kClI+$xzdnxH@tclq*r^!aFHcq)i26SN(YeR>bVknEcMEUK>s|0+&gbY4wb$n9 zXY277oeJTc^~~~ztDpLsxtSAYnYiQ&$C%m3MP13weAlORDMzAf{>=Z){>#mHa*M4c zwmds`vGn{&weNHG96n)p-CZ<$8qd+wJ$Xl;Ys$1=+9}79TfBPBt~A}xUzi)Tyx!<- z+%W%Fpap-lpZNB~y<g=n{OUaz{*~9?MM!n`gjFvVoN#7oW|3SUV{ZKGf@n*%dSKwr z8}pPZ)*hN&u(R`l)d`li7l{`g^PRWsx-emdw%(j~OnfWVxJ%iL7EN*8bBg7VZp5~A zYDRp!AKGrTlh&OPck0zfQBxr;&&_TYDv!Ik*FKaJId#6G;s)P=%OL_ALS`N)<5n?Q zuO`l-bkKdr_O>)_HM?2S?1nP(o&Og;e6?|D$hAi~5sAypOh0^HoUXY2<;BL<<wt8G z+Wpq6rak9blj^wlf%Mg5pR)zD=E^&)v;5i}P`hlV)^(HEu8Ep=yG|R=VOwn6%W*HQ zJl6H>t>xF}opM<*r?Txt?XA4CS48R$PPJ66Ter>j#$k5Vpc!+OZ+*$-)cnWCmDrXN z^U-VZLpiZi{;x`J@E!2)V(<}-SNN^=VoiR`%Zqhw|M~B4<+yu=iBG`&fN+3ARDs#c z<`sJ`T0F_yEYtlV=c_{SdH(GMKiU7E+7{ze%$IJw{eE5bjYIzb+U2gD=->R$KPpX> z^(*7Sb2?wkr~Tsc6E9W%m-xE)zwGTvSL_%=MIQ;x7BXMvvam4r>WoWAU#!-ZyneMu zGcj-9t*pY>oil`v9Se`vShrdv_>jWStk*|MpZaP(uH72?XqS=UQlHnWbp@|q?a&NN zi_a~*9%{an=aPAu@5!_&%pEc1$Ni7XZhj)YcZqeC&$}0bI~TJ*>6_PSUGz}aQrNuX z1Gm!)?nlqLlfJStKIT63+b!y>tiAB}uCwJ_wX6lPk>5Qo&osK^V{@r!S&xZmzll(= zoBmPRSQEL-6`IN2$C7i*QgcpL^ytns+LdB+tjk9-T30UFWm(TUvHpz(r*1fXl#rff zv@5{oSlFG5rH>518K>sVt>}3;!)TkA&9N*W$yimnWTRz0x`O?N;=yjyJC4uj(p=nK zom1GqQ7G6g?2VyX(y1L?w#Rm8Dz83~++mU$BU;Hb(MK|J{qYSMr*>4?wmC2B(NXAs z*suAR>qPR2<WoCdeU$j;BN=Hc=R9BY@u{y8?|dX9*Bvi7HbtRo-^8Vl4RZ`rV_sJ9 zlrHP}`BCE0naMv`D|xb)^~}GJERl4IzdvDeWtV)f`-hBEKOWjNc`WOR|IQ)iD`~sL z{rn3-kxv|#Dp(F`E^hzK@ykcjQn_E@{FI5!woR)wm9Ky1I5fkk$h`kSm!_jcB}?dM z7M3@HM`o%k)Yvqcer9obEy!c&@328`zuIS(magOPGv+mDeEgv}Q{B)_ZvUc6Az`~Z z=VzWgdyk(#KE=@F<BzS&dLDKh=RZE>qjjOX-gCCV$I|=fTG!o?-MiSj%C-DU)18aE zcPfA1<+x{`LRF1pL%e|IK82ipA3yJ0e0-O3_>(@F^Sp~rJU(vhpLD~vZjEMg#iPE& zPU|+McM?VAEXn08Q|}1s6uEyWbr(1xdr+>NCAOTU^p4<`LiY*BWE~~TS)%U<x)i#9 zIHDWQV34Gt+N8>H!E=hFXnd^Z&SlelEH6J^y;|w|l!=<@vuaAe?s~<rb6Jy*WPMdk zu-&qrb=h6Vr)X%G!~|O}bJ<wvdw0gAqa3~2tBy@Eu#AsAxno(H4`=h^)vLv>PwCc7 zoKjQT`e>Gy;nJR0t5*wOpJKk0r+@uAub5!9WiFn-UU?lcHBCA-b9VNs+3Lnt>*nUn zJEO3(%=?+=mYrp8&phw!EZa~i6n|asgpK3Don>~<I8XIvA5WgQKxJo{^)pVMvs-r? z`X}tm4o{wUV9&a{J(Wtq#cyNI2+x$hu6NXCqT#Nxdp3cdvDU($Q`nxb))c&+cVvpO z^}bn;*2S7X^_g_zv9DRG&cY`jx22pq5&Yz1TFR*(ReKIDb2-WQse;=`)vN#b?0(I_ zDLdryZI-nNE`0oPTe8=TslCT<_i834n8@XuE}OD(;>U{FhD&++)|ctZ<?Ah*qWS46 z-_ggqN2h45T3@Cvmw#`HvHHGSQ!Dn&oKeK~Crka&N3*0;Ggaf??l>N8p1P;g_F77? zo3xi)w)e6gSGjDrWiB7%`b}?4(QuH<c3kF?_^EfhT)(M&@SzDmj?I3(@mR8#gw*|t z%O4|d8>;$TG{2W)b1lzDGx?EpzKLA6>9Q#&KlYkS_HUI8J|*+>SakRCS>2kU0X2_# zk0$FLonpK)f6v^CHI>2VcI^8Y_Gn{T(y5t?^Y?UCtm&O$^sMG_Z}pneU^khK?lW^v zt#}f+EyYVDbfWvriJF0N{YDa8mlo@AXgumuC_k=WcHIA@?0%*49?i$kZR@m__1r(J ztWo{P%V(ubx%=#i*0*Nd+4l6#va@-&oown<mi25~a@%aq?JaWs@@J<A#(a8lc3IDY ziSGU<r(6tsV)ph}?yNT#t&LJy68rCEpW<Mv{L;3pN3PGh%DzAD!jy}zE5DTaNba5M ze&P7y?X!%kwB>9ig5BO9S-d>y)QhPVU-oD!pLl$6^Gu^6_wpyRY^qFx-TY1}o6j~X z@{rr98thhZTshq&l_lS%$Z1*6oj&U#8@ZkG!EWcCPb~J8+$r53)2FE%@p$6xnMOrA zc>-o~me*`LV_r?{HcWluXH%rLtS6?^{oHJ$CSy6v*_w_rwT>p0Pcoiya;UN!&Q=%j z;7dAb<9gw!qr}<C9Lp>?6hEuns(F{Ox^T8y$?R{%v(p|cbUgE9+1t!8OI^^UP{8UL zXUE*;2Q!y*^jUOBer93mYA!JJ7ktC#ls>Ovg=NQ_%C7lu6g<vM{=vEP@%6`jmY;en zYm?jfm`wdYG8Y}!f6=G;saNt-@5hfbZrB`se_Yo7x~%gPY33)=!e1m*K8x&E?Qc9g z`G;gB&qbR~`8S3RpO-8>QGWD<dFrv`x@VflzQ%ssXM7^L;7o8&-|;tRf_r+8*L)Un zer%}!Ow;XHa>$urpYG#Jo~=whV%~Z@Iq#X~vm@J={$BQ`f~T{x%kiVc6`P||eylsO zPt*LL(d^|C?{;r`GCSz8zR$Cls^4N8YlCC{JUwBvSL5e8mY>U({NSEgS*7_Y_Txw2 zkN=cX=EcR`7nQp&B3%E|&|lwSpSHD&z|ti>Kez*UPb}6sF~z{lRxZ_US<B5r+fx-j zoWU-~7v~(GVq{?^mukLj%FU0xrc(Wzq=HYW{5&?R$NgrHW@t!F^4g<|&m5g%th8@q z+oQl~Nv9;|<*%7rF{Lv2+<|=?!yW~uC7rr)^Ug-KM}cNZr!*c*XWv=8X138Wx%f?* zfo>X=HM%o}T0@_FOil5ca{V#4+0xTICmw5`m|~z?WwWowhtv2;Rn5m4LCY?G{Bg^0 zsZUS-l$#YbcV`5-oiIO@VY4sGXJybM=~N@Rc;jVrBtQ0U66@C&4?g#$W--sv$J$4y z7`yBXEPM3vsjuX2q4FtHD{5xWFgo|cZDz;u>m8bld#Y<ngBMNcu-%ptyy!x}ryEg5 zs$LV1Pn)P2J8O?z^ajnun3~7jCz3(#ak>BF)yEs3443k}l8#?@T&!O+HKpdU_wnTH z<5P^B_kB!#ys<RdOH}y&k3}DEtTJ5M^Jca7yN#yFrzFMVeUHjT>n)q}^W)Vila7aV zX(k`PJ4@@+jZe#ZG~@bpg@ccYO*nq7Lo>Pmc$m4WSNHm5G5y*%r)W6F`@XD*(VcmT z^<;RknOwNrvX+ngW-a=-qsf<Z^5fN-lKra{f)5$|%vyEy@u}lej5PMma{9RAk>OIG z3*o`)a^cp?LSF8hrS);gE<@GR9s1m_R$qFuy6H=3;HOZ-PoavRLM1<iD*jrvW1p7w zJ}rxVS~l^b*TP+oeP7}oSom?rD#OJh2fX{=pX$x``aXSrWmREqcvj8wH~JROUb-GH zPd!$y_w)2Qo1+~+PMiDdo9)xq+jsdyeB7DK-97KUy56_^bv<gccgv4$Z+@)n`Qe>4 zFD{@aI<F>t*Zw<aE}!nq_wLQ_dZVxM?B&uU+f$F3r=E-t`20ob(d|c%W+y$--CSAq zaG$Q`KFtl=4Q4K%c4Pa|-^*AkPfx7O3jCC7_|f;{M=_<(Uz{H6Iz7{5dwHAbOYF{{ z=j7uBl=d0=?)&(<vb(=h=<=^)PktQxQj;8Ue}=?;39<VUbMt-9nIC&5?fkjc^VdFw z+W1K|@jd(WAAWCr@muLjZDwtJQB8c+KK(WCy?fqscfapG_g(e*@1)PQ3x94?sg0hn zPuFvwuG{@-A9Ni*iyVF|z4@_p@nh-W$I`}+r5`_%p8QDqac#0sO|n}}@~-#YZ+>rj z@;m9tZ>Hb-SbpyN@nheIAN$_?*mvj0zAHcWo%ykE$B%tWe(bBbFD`t4y5Rlk?`|vo z-lp?&o5as;2ktSQ3-0ONvAFkGbj>r)4(a<6yDGTP+b{|~)?Ho6G_i`ir?Shi>U39S zm*6L{t2Rf!RB)fOIokh1*YvYWozA-xL7%1xd{$}OXnku|&C-)L6SWx@FisM3Uc6q$ zm#L)a+<_mbKGY;0Sl@Nw`qAH;e*D<<pk{GLzDsTH<(-dD?GEhOC-|~3G<n{R1N-!x z<HZ-Q?|%Jy)8p4ok6$19T$)%Ln^F@SQxh9f6I-xP&n#YCFn;>G(?-8H$<#(ps)_8X ziA;zWQ;eUsK;QfI=|zuE7d<|`>2qpiZDdkSWK>OLP)%e|{4}BXne*0nPgw8$>h!0_ z-APZRQ{!(3+$o&8D?P3zv2I^sXz^->TII9mW&NuqKdY=Oc{fuce#SNPGPQR%F5WTT z{Jv+>d!LKtYA4FwURZzrJa^;AxskQ$K{e?``;1lg8Q%$i_Ch=PiMDWEYF$lgOuXd$ z^=Eq4^K`HGd8HlvNL%<3xAN~pDnAbi{5-_)^AJmIVqQ&RMEnf#cnOhsi8=abI@Wt% zy54&7`qC5OuFqE&eGV1;=$iS-HS&`y=Y9?QeH!cZyUvR*JtnStOx*RT_|qfeOP_UL zs!g7hukxwaVV~g(>GGQ7^X7H;Z#<F?y`PbE<ngJaJwXf?w>{Bz|E$vHT)suJmi44f zr~HNRu4kS+#dj{MJ<)c4=J}=Kj^UquMkfABx<_R9UI}k{=J}+jhINj*;j_oucAr&> z9LjrGYg^OjxvV=XoBSX=>@$nYCG%rxHLS;MIyZ`zZ`rzUQ_{R65wH5pWa0zQPX6)s z2iG~9%!?m$H-5}r_%V0l$K1@1xsD%mEkEXJe#{m8nEP;__Qv;Jliqtxe1GcoZl))@ zFFoG<=-0XzKi1v&vF^f;btitT+wx=Gf*<SV{O~$!b2OrSHrtn}pp>PNce%u7=}8;L zi;uV;9S!b##>sL{{7C0=g_+A|ELtvdHrQvva-NyXB^EB{IUD?^ZJv;c|D)x*9($b( zK2RxiSY>;z`n*TR{z($|wq3PpO`mr};KjDJ)u*!N9qB(FoN#8cMAP<6uX!NPOnBaR zuXp*1XP#f;?p*x+P9po0$ec={WS@5@3@g3P+H`JQbbE`kKc}I8QqPONIeq8VKC2XI zzB{q@oy6y#HnY?Xw-q-heCkY@m-Oan?yL#t7gY)+tL0tpuxmdv`A6e?=g%pwN6K4& zFFUeN(`%om>pso(`CJq7z54UJUe8|icy`j`*-npVD?Ofl>Cx<_N3)9_%?^4rTj|m4 zL$&E|tf$tbPkdift3KiUg37Kfvv;eWC|~!3<$315AKMsyy4~ump7-O+%;g@zcZ0u9 z)n?!jR9V{kVsg6Bg2}8M+Own6p1&4)vRdeitLCTPjrlPb@93%T)3M#BV;+Ayq+eS+ z_!!^wzPlaOYA>x1Kd}yeVr~4y`tf7y%fHS&_;K#Sk8^8&oU6DmIr}}&D{bN5rxbpk zI<U{kC0=sD`kub^XI^PPex$wl^VFR`Pj&n_Wb*Tn&d);<wTUe?iB&a;HTw)q_Zb-O zGtk;+puW$*G=9dr*G*qaEkA{NehfYNbJc_&s|w<$EY|0G)9v)dmGRf27e5w#s0mD~ z35=)-42qv36hB4a{*EWoz54=Bn1B1>_CY$oM)|dLe2sEf{*j-%R_xPpj-M(QKSlYz z#cwyE`#XZ}7KT3R(-tg`DgEBlz22u+|4iR{?g__3yDEjk&E&$>m-T4RvtAcg9&>O< zxQSf2wq*b6(C*`+-N!}Lt9_Q{*(?jPmJ8OD>t8vg|9D9GW5ch>UYEP%g4Z6ua(>Fh zVB2K{KAcY9X55;ozA^aY46my;%YrQWS5A3lIJL@lS;;aJ-4n@L>0Xy>Y?kFLGg<p} z#;YouGT8~o*Hzn=#ZEk~cQl!O_N8q?{jn1?bA><N_&MX!7PWrae$8aAmm4=5ruwMb zme~gHt9Vn9HidbH&c4e>)X&?vM!lM+yU+ORT=V;xpL2hH+&OFc%SYCQ`zAiStLuFK zWo`P|^1dG}hW?X}FYl_HweJ0uk8{oTO+HqB>c^Ft%XdCDPM`Oz_q@<&lkA#FGnQLE z4nAVzI;&fnb^aZbtue}PkMcI{_gViyswsQP(H-G&%`XLGlyi^GZPGqHmAU=k7Or(l zJE|T?Wg5NXjWI5m{!;Rh`iy0spPwEyWe=B%i7ycHdH+Cu#<7Fe2DZ)F3Ab2}A1FC< z(Om7Nbf)UNA2)YAHh;|K_&K+*Hr%Twy<h*-R@WESfi>O*Y(C8o_8I!@`?$Ms`-dOv zX8iDzzwfbmXLMCfdRP9RlsgJeHP1u;F<j=VU|h!S@Q8WFGNzsihGi=ml=vDlwHVI& zFI)Mv^s>py_9tQMPOOzVyLQgm$2K#aX3w^hlQPs{V3;CMV9I&nB+HR^)o;p{^~hdI z-ahNn7L|V49?iweA8(8{T$*ViXMJ^wVd&$H)`m;DJCCnRo44bFP1#+WLe7tpODc;V z+7+kFi<o5hd6v4^&GfcsovPm?<vt%d^u*ZmS!e3W^s;B2tS8e`&P;y!+3s@EybUrx zd$Q(5^w=dQ&5P)=b2j$ZS%3P>vrg4LJ(DVvIE!X3s!U=mnyK*l2vdpV!pfwFg)`?@ zCNb(KC(rY_-+B7N40Rp*>5Yc|I+l8qljcR#=uI~E*D=#`PMQ}{rFZzOuy^lanX|&& zy@w@cs!w}w@X%)C8H+Rhl}U|-64z~J?wfP?#SC>FeZIrS{yI8*#>w*{!erWx+H724 z;gdBlqJ%FvX<o#h!!0w^rwNx&JS*INHg?h(VehlC9cP5QJ9hKTRKMI_EWW7nkW=w= zxz9%~-EBQ?v$3H3=S=mfH)Cs_dAgQOpH~@Vxr@8EGAOZVy5#32lb-15Kl5Zg85?$H zve(4jFV0MUxqGMgIh#PkUEZCQL5+7)kJzl-^R8)@x~O7$rjfsv<GCgie=X<qKqG%G z`*TSt^FpH16^;D09z?dCncUU4S>WvCm&S!+3o92*daSdqGH7SvG}+Hfk{;_=Jo8L_ zu4D6gNzh}RHI+e;b6t<wtgJ~dH1yXpJhv!mUP!_mS5tp2-E)(S{g<sj66teBSX3-s z(a>LQ{R<t%XPqaNi>5BAO!|}%-g#Dd^7mb*CRHYVI<#75rn*}GiO{ZRohOBhrWVYb zu}2}C(a7INZo=vxGt`%zf2L&v(!1-_c^kt@=kSj+)z#h~53PFEdGd3isMO~pPrmLr z)l-@Dsb$@#q<J&;%)9;M<__O`y|<q{+~GU#eD0^BJ8J&Nb3c{cQG5T~tnxwbr>N~G zi|?xSAJ6^NkT+SpWUA=rBTq|3^XJ7JT7Bcp<kS7D7d$(8^mt&>=O;oXA}XI#ewFkE znfS*v^a-WRi-~d<oTV=QLBsy@lSPj;%$}W8JsudQXWsWH$xX6hs#JuFNkjs#Sp);a zU4eq*M~?-TJUf~CT*LhHlc2{MO3zNFe!Y=0&u9Ltj~VlJ)bzVOJE?js*}`AXK(7AG zWbbbG>oz+#RD7AKK3$~W^w~+)qscv$MLR1)4E^=g<owS}_CA|@)@J6uE6E*|Nx!n> zc2+(T74FrKcyNW4d&kkoen&I*1Z+wXYREX6;#S!pJ8zyR10zENcW~T{h}DZ3Y7$#h zqJFMPVu~<ao2R4T-=g_0&ZUz<l93_3M(avJGNY%`pRhBIX}{tmW+uoO?sYKYT+r+} zg?+|hE>-z%lN!x5#_!h-RA*b>k1~IHt*Puzpy}?#T_<HjoytSDzFTps&^`3LZ0J4N zP_=g}qzc_bF3JWvzw40NrL6U$PeZcYW$O-QuA|{<vx~Cr->p5nWBazpeL8d2=bW4p zExc=c+oL`m;qsJTgP<=$PEV9id@a`3KE8L2^!H5_?@}-BnEv(d>8?Wk(?|EN5&ga? z;Ld5UyQfd>oSyn9F6``H9l`HvcUMokyV`13c<Gb4sTcQZ-PpTI@%yTVJF9;cuJ?Ko zC)!^fYFVDL@LlN7JF6e<4Bxsdob_?s)voH$Rn?&u-&bY53vJyYe)UP*m5X~dRK73j zdgsc!Q{1#fU+YDjNQM5X=W!zE_pZ3QS3~0aqOd#NL3g_k?Gz6!&Yv7Ty)Q4;spxgk z#kJnfd9hNvN;O|xQ~hvFb$;~pioDpUySrE(Ut21=U2kPx?4g~dE1z9kDzIJ4bZ6+x z7h$R@+qFbjUJ|fuXg#?@{Mw^9iLUBI^>?jPcZjP!ijyc`uU)p@`{dqrO5b<Iec#n^ z=k=??^`}eMdmrDcC;fd_#+}!b3fHebx>rx+`!2sbueA!-dmq`W_bx8%P299e)uEQ} zLciWwopg6~SK<1uqkFaHR)<=CUzPJN^x~avuU+D;CHh(?_i8Mz4t%&*V^MXW)AvPD z-xn3#>3+3S{OB%m(Z_Kj-PM6s-xn3VbG><|d(qBP&6n3ymqbsWbZ1ve$?Kqs*FqQ9 zdOK~`+nN`9s_=Et`L*6_qNgX_*>&X6HPyM%(~I(AH|;F#d=|EJN%YhSd7)lKt0!Gt z>-BK0m&<l7&bzCw><ZO9zShfjyVl0M(5}MOLfz3*OY%Zb?F>EnEKGG)bh_vEa})CB zs_raJD|xN6r_`<Fwa<%dk*}{s_C=@LZa){X{an%=tK`DhKF_a3o?B}?J38HD`=LpB zt%`TKyo<EYya<b^(C&E=HsiwD4cFFgklB8yDz9~8UhB~vqQ@SE%{aGq!<^_uv+aj6 z@=8_jzH-`ib?TF_=@-|o`w%7`$gxnQlyl{!3v0a`w`(<S*ZO&9)sf=WmtKXbN^I9! zyCc-IbhXgAwO*#%wOV(SUVC!w=Eb$fD%;O><;~^YS!!1DTIa<z$%@x!o?nwZzjpK0 zwZ;<L&xPHw3chP~cxP!?@oSwUYd5HEKh&4ks<=}$tVBEK#M%uDqZ1vsA36}7Xt(`P zMPBRLyw-y|M7KQ(oAD}4;@sK|v!W9f^GZ#3UM($I&3$t1x<%2k&UvN0cVBVsy2^U* z)u)|Tj~1`aE?v!iZ0$PH?Yly@?<&YEy?W;rSE2UmlWW&3j*j%mOBKC)N~%aZ`$bsT zg|#{#!ls>Ht1~Y;a&>g1L0;<G9aER?n%Y{dz4}>LSVwfIZ(gYE-BnISt5>}UyE-8{ z)Oq`=ro7O`?W=0?LO<SF6?J!2Q{n2YqieOUuGN|o9csFLmD8QBtRn4KFTzA7MF+ZW zU(|M|OKO*>Xo)u09?_@8+Fj4XuDl8p>4^^1&U0Ov=lbwY*Q%YOqL2E#ec$aUDLJmH zQXZqV>+z)t)_S~m3pHQ#c|VYyUh{6pk>cY^ugXqOII>hUe&$5UrwmuF?z%elaaj2I zwR+dqu9MilE8@<pOFOSlEna>4S(y0QwQH6{M|y1EH0jPMqg_))@10WGHC44ldv&R{ z_VKlA=0!)YiH<bPOWn9*>e6Cu?dM_B&aTy&6&>oiebt1#P}QBGsU@qm_Jq2YtoC{l z7Wz6Yv@bf;cKfP`?W>aRtV$|e?e#n?^xRsl+0mgU+ZRpBb5*?C<yEA8>P1*cg?87A zuqhYTuDG^#h0OLvRe7!(^IVVa5Iy=RY|6Q{E9OK8nr&Z{@ovYIlH;mNto2lPKAv<@ zcKRV}J;A$$o-g{m*IMg6-SIf+yzKOd@|do|<EmoiF_(5e4mu}0J?GsHmtyy&ORTjN z?*?j~l%3l1ZiUFbz|I$aUQXp9S$6|9kIPQ2dbi@puEjw;)><2PES_{uc52bP6)DB; zs<W)mO?qeHyz8;fN!fI_@;R;La|G`es+Am%eBHNMrhLxVJB7z~J=QrUo38WD;_}YN zI?wxzXIr1kc*o(rOPS|o-v*WP7RkGUV)q2yO5A5$kWE}`eW>V;;I(3RiPwD_B+6Sh z?ojq&zpAWysOZt8SAE_V<uR*vJ`U=z)-v6>_|iq$sT1x5u6)tw<@|1iNs0T?1=d=P z<sqMTE*3g3J2k94q^Zz-sc3mf(A_}J=Y3wL<sn>!$0H|OpX+;P;aqe)@}g|I^E(Ua zU5|BM^cjEXGoEjKuHv0V^xZ<X$9<bc%jc|oXK{Gv<2BFvHVc%unC?`5^P<l{rMyM- zuAteIz6}el4>i5xV1Cc>d8cyE^S%vNWfKkFaYPro&v?~mFvt2();kXFLig~=*1P)N zy>KdW55FiI>-_G8)UL(aFZ%R8^y$sF-c|ALMbzDktdINjuFA$*l$Tt+b5ZnB-x{^@ z6xq8ITc7l;S!BJb?aoBg68EqRvXKvDBkkg6PSo6f?eV>+uIg;_c*&eoYyaMf4lc~+ zJ(e5QaocdodYuzfw7K^i$p^3FIkDGB>iemvnpB%*F_IVe8eQ9KB=Y^#p6XP+eVb&1 z*YO<NyXorQO|z?0?cYsZ`EKgdnpE>;F*m!bQ=Pw`DtR|`?vC(pceRZR*PnT&z1r~U zsg`}4B!k!Kyoi&mSbyeun&Hz^Rd=+D?`mHzTz}?R__SF?scYBsq@SAl^v5YLpPifL ztzVONYU<G)p*5+B31;Oj7w=r0TH-E#NjBE=-3y~#i?#PG?tamyx6pc5RC&qM9g9~# z@6)>`8*BLPMN*;r^mDSYmgOZk?_6{(b`QHG8|hJ=qPkQ0^ozbVANq6_S#N52cOvWV z#Ho+_*37fs6j7dXbcb^HQQ1)6cPp%REnan8Hgui!s**c_sgL_sU6BnnFAtfxL;2K; zz7-$(G!|JeYI)Z&tI&N)xAmf+JKEYs`MuBLA}{SVT2h_r`L6cpyIRei-$S3=yZ-u~ z_=M_s+jq4)-_^d{@jdnNJ@MY^c%yf<TX%eKeRS`7XLY>lyV}kj-;dtC-F5eNP*MKs z6MNTQ->a+eeOuL?+eLS8Un<N$eQd9;)c0*EcW!4D=5rt2yH@!7Hm^Ikg$nb#cbALq zE?@fOUPxbcu<iF{5#N_3-I<+KnD6!c-juU@HD^`tUQ%tnq<Xi?_cFKdWe@ktUfetP z!rs`|_w<hMjXl3t_VqozV|!)0tF7l&@19d_J-ga^R&>7S_InfZ_NwmunpW~!ch6V1 zlGna3u0_AT7Tp(}Z@c|o#N2+7y+<Qv_Ee{8znj|oW8W-;pZogu=`VS&{jK)ozV$c1 zUw!iX*UU>_zTR1O{#fp>ygSSIkLUiX$-6xLv01HE-sSC&&1&tpzhut8e0`Vi{MTl+ zHv9A!yw`s5Tj<xms+xGaee0LJe|`MB>6co;+GvM;x@y6*_9@)IHc``e{)GJQ6H}Ju zpV+RN;&oZPF4}&XN$vW4?hLQX?7z3wEi-Anv%NLh>$3Zk+qY(3`XX8zeSgZb^Dk~! z87_UP{V8|lj7wi6e{Ks3p0!W;{<X7Hmhqq5?waIvdHR#vPQIDHrqqOQ*7UtU>3!G9 zDQX*juj}*KseiLP)#T~EX*J;+G{2`l*)3)CbYH@K(FvO0MW5{cW%zVoO-;B{@VoBg z<wuiG)xQ0)?ttd^){^(yC->?vu8x1WSAS7;ywmr6QQ!9!-TD1$=l7$#zKcG-C*EBh zZ}oj&(YxB4cYZJ0xqWI$KKILeVUwz(-M()-R2}W|eOt@B+^z3&pYGUxwK%`KG++DJ zUR|;8+e+T$KD~2$)6VU##qYIV-V?pFceTp>sePK?OCRq(WcYMnR!z8V@Vo3|<)O)^ zYIpuv7Z&_Z`)Ijp@~PU&`&YX)zo$Ohon-iQpIc41=CV8C-(y!UyEE<0ZY#s5+osio zn+NBeK2e@({B&Ew{i_|C+eM%3<}!S`t)?dY&Xn7aKKh<rcIR4OK3C?c+`B)PP4n5g zR_eaUB+c!nk7sKcJ>3>l6YR3=PFPpI)|{f~d*-4kr*dchSk|`e&b5yGRmZ2?ezi|? zfo8et?`2WJ@3KysZ%sZmb5?%M$sfz|d@PF}Uwt%tmEmHUhI<irsxI&RI<5Hi*=N_J z&#v9NBs$w;`?X1TqKtQK6}uOuylbmkN%q>(Y~AB)x6X^sUK5>dn74N0j;+gzvvr?e zn{{@r>8$8f&+Vrs<V{uG8JbqIT4ztFTghsl7h#dF!y@~lQ*F1Oir9WC>5f)%;cB1f zVUg$78qJPQHQByzQeLg%-CtfsuTQ_Y7GCkX`^B~C7uK%7wsyVD_I*`(wHxzlkM8(- z^wG8H=hm*D6CH21eP2diuIk-ePP?{FeR6Hu#kFfcTob#vR(D}^^xEiX%e>sLcWx!! zz13Bi-F<Ye?%e2T%kA58@^UZU+2ysXw6)~5*2%Tni=)FIuGL-?9qzP!UDWn<MR#_+ z+F5#ZSE=aZYoguJ;a1z%73Ia=yt8Z3PSdF+*<3HLg-nVLcH6$}P;{`%_GK-3zFYHr zpYAZdTAbZgnyqzgt)|%aWhHsOPw&jywA0kJID2|Yc6&+o^e5NOy||{gXRGqAt-`yu zX5YQ_bjQ}$JGUm^y>)cQ*5tzM<;B_4i?h><v)zl2M_-c7_mJNqulaG>lZoFXYaeqT zH8)K@b>r@xkEM^K!|(sl`q=BZ@1yFY?xfGX`tNoN|6b<i)4Ax$>{rWL9(L7O-<)D# zuy5nnUuE9QS~x%T>MGnncVdc>$^9FzKE6!|K2>x7Mp5l<h2T?r_HA7H`1a2kL9EZE zqs{io_G_kl)tE1ReEaQ;qGQi@Yf9gLcWjEW+rHV0KEBQJk=#9}I@oC6-5yQl-uKI* zYOKYBkBM~UPfzj^5x(y`_ea^iDH^u-eW(5?Q(NYu`04JHj(qbZFNrJV!FRU5Ue+@4 z<6XV7y(gEoNPfEOck=7D6t9_r_uqEK<hw1Kl32UFIyQfU=JE?mPYC?Xv{twtJwY?n zuV(w}!o5Fd1T8yRZhighvE)-TCGWp2iOIKKHb?PSUi#yz-G-@e0=}1-tlKUXd`#46 z-FC@fH@W+7qhj*)mi2hve;W{!uer>n^5fliN4`Gu?Y#7OcWmeDvqz@rdF{L1x#OOj z59i`vdB<b(?@lqWNxD8O$4f#*_4=(znyDc*U&Ee685=G2>0f^@?z&Wt*HnS{y^K4r zHTh^x{&F?CKl|+QDaQB1^Venf9-m_Du&?TJ;o7$|jLH=A_TJoaEzd_X^1_a5Sw51f zG1<n;TpsSL$}U{HbA}M>vG9D2bz4P(7ybD0>g|!O&wO8Qda`=E(DhlJnu#U*s)P&I z_RbJud$HR5UDWGkEuFPn#de=c2|l&vN0#r&&}}JRlJfCuMR%U+@mcBg`Rc9LTFt(e zmut7OJ<;MeS{lQ6pi^Gcc+KiF$Irg#+y0@?e3A9NmUk7|cRx;h+_!z6^}UGlJx6yu z?ma4-Z&kkM>79>h#mA-3%4U0(uTi|aaoUqU)5X@;4q0F8co!kOD|v0{@u)uQYgO+e zlJ9O5d)#L#R=(!tosH9qkIy<Qn{84)MfGlATZwz*B<oW>?=-mIYbftp?DL{;(>2*t z%Xb>DcP{QJb&u?^J{55%a9Oc?<T=??v+^ky?|htEa$Nk9Y`o{YA4a<#Ywvm7{i08Q zq4mC~?;^XV{5bV-@{d<LA9t4?5AU(w7jfs~(&FRc=Var}%4;s(**LZ2xY#AxXwP>y zjCLh!?@8`{(Wkr6dRtU^&eI*qtDpDjUXzVBe0L+M@c6WIveB01IXCZYbS*v}dPz3i zqdZ1+=i^f^`c{AF(_Un~uI1g1th<F%ANQ@EXT2_>Jm%<*$6ZHdgMHu4u-cWp>bPw1 zI_qU6cMMY>_pQ7l8*E<gGjT_9ddYFUJ<0AR$NgUP-R-e1(|dPg=?>Y)h1OwD%HEV( zvpp$Wb6olD>`zSnCK8`h8r`G1E4$<`@Gko8*8jXOW|DQ0MV^4?zKgFt{&;lGxuERp zo^89%NIv)+$Iq;@rBgr7Fe+2ZTl;dysWKnQ*c&@e<@rcv#-y4qb8$Sm<5ZeYr_$rq zTgAd>c54P^X{_5M61+>Mc5B%qt<TF^JU?EI>Rf&1$P@#o__duoPPzGT8voAf-F<48 zW@=PTsMzj(DZ!_BYD1Sj`4wff^i;?CQ(ZCfZp-Fyehj_&`d5>$W$@$GMhe%%CupW0 zT7Rl4Cf;sYk8Edj>blq6$EOIk^}WtMKIP)EucgUeB2rS<!+SLY?If>X@6ilQ(Osu6 z9=z+wJ}u|M+NCpu(vF6ws;|?}_mYs0pE`BNJ|7>>$zMWecD&9$GQ~)LpVs4b>+`%M z6*gvjn=SR~os^w@a*C06S4_0qvN;zkW1}}{rZ+UiL_02<)A@DBwi+Kv+v{78CZC$& ze0=NH<Wm`sZ|yQv<>HdM9@eWFm=Y6hysSj?*Q?b>w?0ksk`RusUASXgkPqkO&sVQ^ zW}lud^z1}<yy`k#;owtqe!TKNveh)nOY*~N{dc!sEpwSz8@@?1b%w^yb<=z-n-`rZ zO-(s9bHVje*4agFY)^J^8KwGs-LbC5N3wLsI&HaNH`(h~`!oZCZtPgcbWP&IRk6p{ zW?WpWP_dOYHh1IIwT5Eh(%sR?CV9PEcialveyl97cjt~vDZzTji?i1}zjmWrJNM+2 z(~LV!-5y=raB^x|%BiOzcceD&H1*kaDdF_l9j0lIu1S<HWt~~HQ(^nDg1p|+@LBzu z)2$1$e?0bjZ1}WjRdljj9&2>?tv=1^(S_L&CD|4wOYhDo+BrM=u+sK6m++`w&FR&J z*%eQ&37nW}mVD}|*Bz-_J51*kF5Nk!$XRB4+x@B2l1@Dhx+C>$hiOb~=DjJWYqz&q z=kZ2{&+62ietW0snVqI0g-d&96j|O_yRbal;*r<uWijiXUkfPB_IM;U*>|VR>uVB| zq8(+g-<YI%HEr|49aF;|h0W@S&b+gB+x4|(vfFRv+{s#8xZ3yF+HGRnZw1`RvMyY` z_Q+Z@!R@zpL}zY{&h*M#D!Owj+mo=U{^-ng(V13xOK<L&>h?HnR!?-MZr;+lJ50rP zADiT}(r`)kn-f#G*kY4imxTztkkXzN<S2K2#%n3=<yUU>XZxI(BK5hjmvLrLvhBK! z3pKA!EAIWfVaKtuBRh_j_^jM0d0pcC6sgIDy+?N(iwMr*IcECJccsaaY@MT1yu5cE z3-DQKHY?jF-D~U3nB-NOrfhM^mcdy*M@-9%mmbSlw^1lKOXrAbnDNqM0oQMIXijx2 z;Y|+K(%sYM5v+Cgg_NA{$}K9_C!Cxj6<o+W(Pw311#hp<%7+uOGfbAYIjvKisA<Ym z#2X*1)mzHjx-2B>yeZGDpiIkkiWjDM3GZsNS#~9$jJJN-l?l(KUd;$PJU9D=@zOTa zbq{+rO_vn%Rxb;QI%nEqxb#xVx`*AGQ;$88+BG96({Np4x2EZvLf&AXl@HIFUYQx> zEPUO-bZMLFx`&;S4SsA6&a<;SjF;Xzl-)5aDB1J+hS@=xCf6s-4$3qOH^}tjO^!Ku zV+xnl&L;O@t%xUFdNYF@7i-T*@nY>>_h6#t)oG8p*k%UhuGQv9@!HB6>$phss#^(b z`?4zrM?^(t1!b<)eqp@yR>?YrKFzDgb~TwV3yD52y2Ws5lhe9{UQN?wPr?F@iq0`y z+N8Zsp+{3RCHA05@U7$`*1ei<C1V|hgKu%Kf6$@%RxtKpo>#Tgb$_#^d#`<sHd?w@ z=KA>)Q@&NLTX62H@~j~H1+T?TmNr>l&p$qe>sHMEd77d+h1w_X{yLiXw=2eR*6Zg< zUVkU8n}2S~FU8pXvo*hZ7yWhdSvmjW)$Y3&+n)59-H^?7Dc{0+_u{t4ecR?)-`aQE zOlW)Vo!na&a;5rjZ;{!a>794E?asEDJA8ldblmWxX~)lF=UZR*&Fi)<(#R9A*r$+E z<G5nq#mDbXDBhjOy-WFfv3uWcM}^wQ%ZuIpOOKz~XXv@^-lWP!a+j`Z8!atbA8k8p z_4ippJ7u;Pd9Rb3pgHw&q4xBWuT?%P@AO6Qym__QcjcY)Yh@;DKYtYFck=3H!=*)c zuP!!RT4a#-G$q{s*pyQX*Tr>fP8BZFzW(IZ!Wlt36}ML@u8XPAZZG+ow7tkB?`cqs ztzfX$^e3+hgSFb9oRaljd2eF0t<v@?r@XIqcV02xef9UwtA{(T-rjljciz{yJFiym zxawVLzP(Ci-JOY=SB-aF<<9@wk@vMF?`zcdD$BgDRe4_{wpSVEeT}&D>gAnRPw%|C z8MAYd=GE0Zi#BLp)!tQQ5$<35v}svL{?Vz-vx00toO<iCGVa3E)rL!}oWuK$O?kz; zvuKUx)X#-aQ+!s+^=L0QURt$Ud-<#&+j-j4X9d~L*M9E1Qs(?s?;`E=5^eEEr+Q}y znWlc3Wpiu7vYvaB+;30RT+Cj*W$&^cxr>W)Gfti0t=z)s%b9dyvF~vkM!_!`k7ueY zl-b-$J2Tni_D7H3hN(-QRxJ5C!{}0h&7}$-$xNI6E%P;HXBS#OdsKGgaoLMqvafc? z-r6C1X@~5o9kSbY@c!DtduRvmtQ~*<?)b}i_g`IJy+vNV_4fVmzkPr7ZTX{b(|5hC z-M&|RyRGQ<y;pMcPUfCFk;_*$o4aWC=fc^K3uoUhoc;LS)}!yXYVN$wb}zfTaDDI5 zy=HT(b1lCgtGXjSyD(p3x4BMH{*PVeEJgV@9^W%4&G&e8PoPxaVz+ook$%OKxCtlr zE|^e#Q2u+9!}lip?@bo(Sj*qB?yo*5{=G@-9c%FSCiCx2D(_eWzt?T9wpaUJ=l<?* z$DP+(cU<?r`}*#k*AsVKcfNc2?7OEk?wpq0c~$L6*lm943v0K{kIuEo+xqrS*6+Nn zdv{!2_9!g+RoLybYt4kV-wN1%DQ)|u8F##_i?n-BtTj>GeyQ$`7xS*E--@+)kFVV_ zH#+nF+AZSSFNNIk^1kb}d*@WQM`2R0uHATZO=5EN!S`VXrP>w6+8&R>1WI3<@BW%x z^t$}Xwf+;zzQ?DqR4n;<=JKJwcdd#$#luSUb588tu&_GO@%y0z)rofB4^_NtUHh)} z;12O^kK$&$ijz3Ecf+jeM8$WdraP~fmaOMKxp&>7>R9J@rM!1vbM3m$dhhk8o!5^R zug@-B&wXs~I??aDLcZ@RcvpJ$&TFnh{naP;u320iY4I-f?VZ)X-i7Yn5x(?M+|{#t zwS>MeO8dTO#+`1fBK@utdo>ilFRHuK&9qDWYq37p@x3eNRtMhSyF&c?qL4e?UU$29 z?G$%?6esfP+R~eAy?ydxt%_a?O^lx2a%b0<$JbOBL{G2Fi#=5M`qH_z-uKsf>*vJ= z6}}F7b#3YF=;<DJcD3vZ%`96jG&y=|-<?%1Pr_6cw`={~5z6@@Z0UUQ1(VfRwLA`6 zIyZXizUZlOcUCQV6t?urS}*hMS`&AKa+bc%DSO>>a_wg2?dLk~SP2)sesg@S@q*}d z`|aln?pWR4S^Dj+RdwNOpQCFxUs=0(c67Q*-rR+Gt!MLE4R?x`JqeST7@g>p*ZOyd zC|im4o9AH?ebI^5+YjxFPSnq9UAaSa+Ah(uV(p$IYYncfU3YV>p4#?ZeRp0d6|MF@ zv38yO_FWBmrGM|dI#jqi`+3;)^3|`8uGJIWzAI?^uDra`i94>g?wV@4d+O0AVb>-` zN4n&ta_*e^^>LWkg|%zuM@L%ZrM|s$>Q`Rs-W^kyJ_-wa6?X0HS{<S7n*z44O546_ z#+_AGMXS3`tkqK7zN+rdDyChbUyE0B9bda@ZglAVwX4LpuL`-d%Iof`T{}ZvABBm& z3cK<qOk{F&pnIOH;7(E1Ct+9mqXQkbFRIIPeYit(Yq9pL-J)L~g-z*-4qO=>Xuf?> zKzYopyM>)6WvBPuDeOEUJH6#j;mhN)(;MFH_)>gaRi-@V*3QS5%8xG<FOLZ-Jihdb z?DV{MJ6x3X7QS0yvU{=6WNR(ior{GgT5ECcTzskDT8r^+;LGQIUKa0GY$<kM`o7Og z|J{lukNUh;T5H|C6WDn~c4|QRoU`vNl8cVtoM?Tn<4&R4lfKRJ<#QPC7Je%}F4<>& zuI!z~?{^l}g~w-hS)U6kpL6$4q1&TA<14a>o2?JcxFcBhq|ZR9yoGb8@|)wbi4Ns0 zfA0vkJ?`5u*ZR;t>qBvO1eZPP+i*oT(Y(B6;tpk=Qupg``t;PwOJsL0?mi(K>-6r$ zuR?e63$n5H<s}byEY5!3x9)x4I`Q(7l{*$|m%3j+D;ulw?uFCciKe@iyHCnSy1zT2 zROCKwg7v1lI}=$;+^?ONjkI`o;?_>(*SnQpAC-;NdbeWI-N3C+`c^HlUUk5FRn5B< zk9ID8bwoB)_1y}gLU)mg){E-y2(p$Om&jgGow~U?)#u$**`48TPwrj6xL050`@XJs zwY)pOo0h!SesNE{;{EC8_r%ZdU4M12zQp%^VRwE9-Ti%N=l9U!_u5DHu2uWKt?yl~ z;?C`%CHdJW_O4x69qssi+kxDlcWyu0xqa)d?W~XQUF)ijUR51!@qJs?yWG|t<yW8F zyLxf2w#xTq1$SoO+G+mv?(C|<e6OQ>S6<n>a&~pF$~)h)@7~V1b6a-jcIBdc^(Xh< z9p5W^Vej4Zdu98och9Zf{r=v&qkHFeRqwvC_wL!fvYpk|SJrO7xz=25`@Oz9Rmw%L zeNU|2F2DU=L*CxMcd8B-zRrDq?RL5ULhp;WyXU{~J-T-Lm9^VvN9U{L?Om9+_H5o- z!<}2po?Mff7@h5uxAyOjt!yRPZ=YY2>Wj{{-hORgbhdup+Lb%DPTRG$tT?;($Xe4Y zYd76oYoxaQRNoyf<)YO-C)RF~-+rngZ|dJWT89f)=R6O)S-$$s(X~dR+fM~;Kb4m^ zb>fcDwq0LMcYi(l<l6O#(eW;MwVXS@etmpR{KDGx^P}S}@@n7S`SmNWcJGd_OCMbe ze|7Ep*|qvY+xG=*-<Gz0+l)K6tctR`Pps8d+`g^u&Ml^0TfY`(b01&3c5Za^{k3bw zw{Hu%bIa@QtzA2}x<0xl_UhWzH`hcbM~A!T#R^t3T2(I6R@}a>?#?czU8P@(UvnK_ zyLxVP`2Dr3#ka2uxwFgb?yg-sOI;sb6Mc2<%A0E<lcR&(^Lzz&nyNmzcBMZ$*kSv! zx;)>9J50A0XTREQ`t{MZDP7UQE2D$Ww=eU!Gt24jt+RP=XWY3ZyK}2@QMUS%YwwP) zmA$a`?)kN{ebKw;M(=)q?cLF}bGxE<Us-$i>{{8*XzMGo`J1ip&A9Wi>`9-wQu!Xv zosZuhm(6!5-}Cp*$F|3P+vi%}+h=_*?#{<$kNUP>k<B+R-!pN?W8Tu^x8C%bs+F&i z-I?5bLN?p!UBvIg<5Cx7v+c{*Jlv6-`@C=K`@XH><!e^%NY*Vqe(S7kw#vH*=evPs zyBGJIludPir=eWrK68Tgsk%FXY$fhD&&#G-ywkY7bMc$qi{BiTP1Sm*;e9u-tk_+$ z)B03EdCl2(Kaz@$U!Q2buj9@~)hB)H<;!ar?|%GRd|bTGdSBVQAHUxHs46@@y~}!E zP<hS79gn$7k6(M!r>j<;BfB%X`-E(?)4LnL3Xh9jkd3x4&w02bIs19v+V_2H#q}N3 z{gb?p%0{oW-j?_7hEm~iu~&VoZ_0-IyxU<_bX;_z^}3cjg<l`{X)mx|SNCqmp~B-= z&&h`0mkrl{w<D<V_>?z&D^<&VSnnFL-ZOk!d|c$bY_R&f8Cr$Mr*v4CxxBl<xik6u z<38C7vb!b9-$dNmczLI+bdj}LiM3dXHQT*chC8n|-+jfp^Xliq)yE%)<sV;ruQz(H z)b=Xlyst5LUM<~m^>f}=pF6L5cT80-beHO~ZnG@s$$ckr>5ib!F6E9AcY~9%j*G3E z9?Ci{vTkxJXNfLnDZV54W~cIrUCI)V`xLsZo2<%Nir;bEx+Az`=i})m$N69O#ZR)X zb1VOI$eQiXu^%<b75fZV=kxUBpXti)c_rQaxp(EyV=X`Snf%-*QyV{_CcbN*zVkkP z=ljzayyyN=`|;<#4>j>&_r)ddPZzx}e)T)+^WQ<AYdJsWW`4@G{IyNt=Qfer=(d{Z zqMGQceY$J%y?gSxyYsuxZC8DMJLz-o!k_C@YQrb&)Arn_?RJ0a2kmgX4hFACMI{EG zbD@9l%<a<*7u~&ECRmUA*xjE#J9QT9K5Y1OQ`Mcjy_(ZaOUlib#e_}R{d#6m<iook zeRk?7m2WqGx~c0<Y_Dec(_Pk5!RxeMyo(54ck1}vwaa2eFW#*UUgvc}Ht_!4rSC%b zzVkaaCD8S8T<FESM`svG?X;E%KBiLsJ?Yd6ucGx`C-3f@VYF((oz+=I<+{sy*1UVy zbLaG;ox4SY-KM;b6YJa^Y?zudb%*tbII(kg7tSzRv}gC_nMRvR-uaoPhAe!Sx^l<v zD^mim-aVaFSblFxpy}gxem*;OuHAj=vvbYd-G^ruMVh^Pmv$=k=bgEon$xfD+AS2E zxAytHSv~o^8K>6%yc4~+F#qh)y{1?9n$FJW&G3@BKdVpjDMMJxKJB&dz53pJoiA_w z8r%6XHt}QZ#h>e*)P!g3)AYPAvLxSYQhwJ(bJah~1Zsm@_Gzxo_v*{{I&a?k)wlDb zZ{kPai$9k=sR_>6r)hG3=9jr1_h&w_?%ikn$8XX5oZ9rh_iujsT`NEK<J>#$;;jcw z*}}zQ1Pfwk3M`P9`F^VG-PDVBetT6dxjVz?Qd<9(d78?;-#l*5-1PMM?WV`KH+|0i zxldPrpRU$E-Ie*L&u(u$vYqv7?9AHm88zX3HQ^mK;Vm`cbv5A)`?T%vi^|-eI`{pl z@3EC1V*@|Nde(+})Px7zpK{Z@^`!aJ6XvZa%w12IKRs^F`eOE{&%Osg`riDxtmgg{ z@%tj8_orMjfBI~;)1%o<zs}t(H~M)_`M&29>((0e3+4Z6)cfAw`FZaB?!=1|s-0E7 zw>fM0P1$i>xN!aPyQd2`RwsJBYZcuo{%w~y+mpD6{_4ba)rnT`T5m4k^oo?|oz;<l z_Slr@<l1cO;Jn`7*Y;^{zxF7)+3@M9r2DtJG`COtbuGYWr;+OYTb-KQ!#=N_Iio1m z?f$I}&F#;Wmsd{umoQ81CBJp}ufy#YUz`1={!?fSwwdX-{PN2ZtFv5lAdI7T@7^_? z>7zC|GweZ$(afYC!($TVVCJJ^63IP<>*n}fOfhohms`ZneMBPp%Y%c>?GlUGxhp(6 zZ980Vzbvtuo5t$LZ*Y=(h7Z5NS*|yB2~Sxy1eUUwrL+1i>%GLRWxJt4;(3?ky@pmH zjYQ^k!O~eA3XGc>&#CBtXl!fcSg??hkzr$lNKd0@zv7V%84Fg_95}^YQ?Rgs-EPSO zPvJD%gp~^%T%WSqtytpF$)skN(0=<YQxF52nBxpz2Oc$%sr(-rq8x(1SZRNF*etHF zph^7Ci-Qvuux?N|k-{jR!f5kA@#x_|h6l{d8x%a)9ya@YIlykmz;t_t%?HM5N^3+K z*=<iSawjbK#CVLs?T0|H#DS(}NtXi*@(&#OivpOXw=7_mt!NUS(a^%TfklziOTy_? zyEt>h0uK3{<WCO{GV3t@U|_O~c=#monCK;u^eKJ^#oGb|J~%R7JRH2>30LBaLt;$~ zj-5sfYz`k4m_Hn3ysW-b-Y3X@%@uyRC3PJ^_A9RN+uuFNYkcbXgx@mD*em&iI!?|# z#IaL;S%5IB%+A0Kf~^uo_AZXcxeh2?XI(LSEpMj7VaYQAY(_nfJYLZkoVYg~Xj0iJ zAGc58yYmr6M$ro=bQQlhb7eiL3uyG^%6qa*q0^7c@5J8;O*8KB3s~H|)xcy}<G?6c z@TAV-V5e}$gz6?CGjr7(pBV@Eiu@a1Wdx|dcv5HK$gxviN5NnB$-J6{+%Y$r#5PU1 zlA_kFC~Ih#6yn12jjNr5lc^=+h5H503EjcmJLUZ*ocOyS(VK6lx|mkbf(wC5_Xt~B zn5(&6XmKc2f5%t0f?4*NLc7I*hrCukmQU&|uAcb2z%pQi(>hHyp2_OhmpHv+7v|b2 zZ&$(57$W5HSD{J6RLo%&JAV}WPWdZ*MfN&g@7j44zcVx4Y1P+QIM;9a<j%6g*OH88 z`lwG9ySIUnfA?-S)fpM;(p)o>f}CYs4y@#P!DzIAeZ{Q~23G#%s;mi#o{SL&TN_&> zqsn;g8#*saF6B@1>bc0~;i$u{t;y42>?N;rpt)UmrE^<Ff-{fmkvSd<n#8{_uxwRu zd{Sp{bOn?8iUbaOZw}jv4<9VHNSW`lx#Ls7!mlQ@VgZN4jE}C29LD?juhjjCU}nB@ zK=-upf(tDQ8x%a=u?P1Qa_Kx^>ZoX$!6vgr@yb+%5Jt`*4kw{01}zFUj9k6~PJ)vb zv?xkEXl|493$nMUn()f8zv9A$=3gHcJn)KMb70xZ6{d1ix}AH>_&@T<De1cDRdg8g zf9z<NsOm7YIkLXB{Y7PmshpDddjnQ}g-3!mM~<vu^EfCtQ6#9qMWywpBct7gMn;<@ zH5(Xsle|?LxtttYWoEU%WE9=gz-4K|FJ}{Tz`3ivdWPMEgS=s35m^b%Vhj(O`3ya6 zC$ikQa^5$tL(^Pz_ep2po!S!SYa8-D?a(a0`dCdb^HePF-6N(mi^3iA9;F4p)7sNH z*>|V5l6m1q&GOJEYJ8cee0SzO>RWav<btovWKHv@kC%yD^qn(F(_HmQ-`w)!SIUK_ zr<}U6H}BB|AIV@7v(OUZ@)R}­q1MyWnU!r>{WW_T6lcb)W|b8(8{)hBA}GEVJy zmG`K_M>5#$UF^j>M-DFQnfv;lXy@!5*QXSQK2p=0V^sEFulAhTI~0SDo!i}cd1t5d z-Q7Wj!t5!hX1sbAyLCsW@+_leX?KqB`tH=e=6k1KvwUl@@blzTu{U>gzBYWit|sqM z%d$J6uhsN2ye<daSvGS=?n=$a;*Yl7^pUi^nd>aMGxMRZvr+1kt~{xUnvNoOO%y(} z9J%1jJxg7o;?5S?&n!pEFIPS5%+$%dyyv!w(Dr1{?a5wwx3au0hutv|54K8svTW&$ zOIw7^dCM*rEHlYH;=6g~rHu;ay=h*@XWiM7=XJd1j^WKIeX2W?eU_D+DLvljlX>gh z+lV)Pf-^6fT+6-HZM`h5+{bWdve%2gmDgm0E#J*}wKMtD?&MQPWP_F8-4MOIQM%}O z-iyB23$oUd<!_?yY;-O>-r|!fbv}32xxK4p%VQqiF_hXKuJLY%QQ`5c9o6A(VXpd$ zE_O{Z_TSeP-PygX@O{_wd!oJ7;rI8hmiWFd=FaY@!uMQ9_pZLOclDg=aJ6@_SMTf= zD$KvMSM%oHm5Zx`-QW32-ksfaceYefKG%u8E9Jj0YkBAUaYy;o;^U&P?oBzrS94x< z@apPdgLl4bcbG5TW!_qxzv|h&kdErzYTwJ;zn6Kud)xKyZQGsOymxQ2-o4%Uz0B@= zna%gI)z#J#-^;Y$y$$(ZX7Rnu^gC#N)pY0AvXa-lC)aLY6rJy!x0m;B75A>MZ1<`@ z@BDhK_;qgSYu;mPw~KDS7qb0cLEhf0cdEDxv)7(nyLEANwnyGt(YsO7McKJ8u0>r~ zYx?2Ztn+J4=S63)j?Om7Tf270)@8f4wiRcueReIXBRbVLZ>sEFE$5=uYhHxioDiMr zy!}*D-qgnJr)u)1e!Qa<eOIfwaCOenwMJLh8qJALHQj#7>CUgLqSvoqToa!Z9q+n* zU)!BuQoFv2mb~WP^Yv-*>+a{*uD`k_&QrT~$JeF1zP1*>Uj6J^ct>=!Z(gqK-CIsY z*{fe%yEY*@+Ijo7ro7z7?b~YdazEa=6?OMkQ(<=Y(Y3l)*XquRjyB!C&FRjrtfJSi zUR)EM6dmrmeO=p~T~fPBMN3|D?J0d){JQJ;wX3hLiS|T?Yv;wT%!_?^XV<EorJ|3n zg@C$q+m}taGfQiisp*qzS1znwxga|D!CKAv(ZM#`mxXO#mT+fQRAIJPX*SpMYawUX zt`yi_=9~AnFYoPyJGVsd-s0W4ReIM}?p<4%^WWCwy{*W58@9d7An$G1om(sO-WKG& z&B}Z0bm!x>Cw<!&Ti=^-=VMsO@!J!u@3p<F;NJC^chBRq&-={hS>FpQ-*a%s<J_aN z`Kzq&6}+ohz4Ni|5!r0tcM;aRl6g<cX1kWJ;oX^>`=W2_0_$rBtgqF)i+H><Ik)t< zRFCzwusa)<?Mmi--nVs@^);tEf!Ch&ZCY%7YQmksuoCy16Rc0Qz0=^{wU}qm;xo_t zjOJON3M-#-aL3}Dqq3>1tWOoZ(^$Q8vCa|Mc;9zFtad%-KDqiu-+IOJ8s57fMN5v0 zR~(;yUN+vQyk_mYA5DeFuXkDR3n{O8c;{ni@$v8u>us~{Y%DD~E;h+}Ti3fAQbotF zU674-EYE2y&-r<0<I&>d*IxDMN|fiU-I45FdR*+BY_w^4PV0`xt4_*>yO+l(?tHxJ zMc-=0@)+K`g`y?LMJtX^Juk~V>ypWpw>K`z{+_vs!J^#fxQ*t4v$CIOs<Rpt9?v^7 znQ6+7WZTb67|hCZ(&vdRc-D7uhB{m99k$#%(!Y1|-r6xetwf*q_}-1e-;ZVG9p7_% zLAn0f<GIZF&3AJ@JTLPp)n9uecfxZsjos#DkMBuzT3<^#)%)^}p-8aRwq42U%Sw2T z$*!JRbXd9k%!$2<Z{p<5CtBMWJ#BM(_rdh(qdR>5cNSil!WUY;<B^%hZeFdOhh>7T zp5!%qY<E=I?mXA}&CE-Q7jp~Bq+^Ptb&A^8Xv&)H<TcvKE47nXXeV!5xkR#8v*-4M zi*GBuF_U=mEwA0Ye8#Lx@{_7FH{Lc|B<p!^ui@<58?U^Lka!dqby4=2&&v<TWoOOU zl;+iLx7~T(?T4?*I3AZ-lvwlZa=tQ!uQAWSZ0X_o(nkuL-GZ&|>^yjV3g3Qd5u>Gt z#mzZVPW9g0!Fy@P^|IpkXP&WD`(ze8XNwJ%O1b;s6<fAXX2A=#{hGY5?(livv0l9M zpm?xU$X$glP2N*G4hjd~vOakCo7<XqOQ-JOy>#bU$DM>uP2NX$5;`>h>h83^Ipy`< z9s5;+e>LBgpQw2~`0negcV4&dn4VpvUtXf${^VbiPp00>ZRN$cg$wHy`hI_&a%aB# zlYcgf-@iZp*YNKB^M64;JGV$|x0NybKD{Jg=jh&zLf<b%d~Y{ix_9yIxQVy#z23(D zWZU(}+n(=w`*O$In>(g&E3P*Uz7<_`TYJ}A-kooG?|y5_tA3Ds{`t1!#r1cmWJ?#V z&wUX$`$F#f6K@rLU;4lJHp%BD|DL}e@B9nfZZEMtJ;kej?d@jYm-@%wvd+8|fBx;p zy#C`;{w3YH*Qfb+<Bq-3!M`r=+$$A)>-EmF8}n}alx*KC@UJ5;|Jamo1$o6b+ihpv zUU)Y5-R|pQkG{qDWagiETl)P{%N@DS+j8aAX2G|T3#(UXzI}NoCdaE<Ew9+xe0hpj zu~AjtI*&e4-Mn|EOLt0a-#LYM?rdhGrIzz=&*{2-r?-2~?AL}%EoHXv6yLs6WcyBG zwXD2zrb{g)w(peQZu#D<{gIjbBQy0!&q{nU*B{AUckJv(pUihJo@MxC^1U>h{=_W3 zWHxtxvCH<I*K++{JWKP*<U4s*^zNK2uVUvszI3l*XY+L9r8^hQmNr~!`Qcf{vY6=e zdksat-)gDJ^$cFudt|T4Jo$L(OH;CM@4PN{FI#!n^<}?qP4d}kc4M!Z!uMNMHMuU! zOxl0lYVz5+?dJ7kPqLY36=hm{KNj%)R@NQw;*VSZ`s_5Dx1RU-l<eZ#T$5!cH+IP$ z-pTuJ$Mmo#_aZL5z0q|$@%-K`H}`H-_<pSD4qN3Nw%|Meit_5swr6_1Te|h#(x*Gj zr#-nB(Y>Dc*c3j`JK5Gn(jvS5-o5j$;oZ`|cchbxtkWLFMNh6ycKd#;<w(Q3-j_Rg zyLR|;7v}36%{4fFeOr>(<)(MNk~__pJ-SzLB=^FJy(WU+k2U2rE9cd#<y|hj)3<U5 z@2eft-5$r?D%aO7%|G+}-i$Z*X1u;P<NWnyvo0AfsZQ2@*L(NQvfdrC$%XkjCvppp z=Soek&NO}3yLX4|r8{hmdG)G!w~Ow07vJ^1T$rEp+${IlUX%CP)pw=43-x=C@7<{S z{nD&E-p;kTX2E*BN3XZdD$3N}XCfLOHA!>&v`5~`Mo%vV)MPp=i<xz9`nBX!ThIQu zbU<^u+3!m=%S?D*#z~*uyKRyF*<(|@t$$q#3)bU3IbALJ)KbpcOx<NMvwNy@b>D4W zxFd6w=JaWwm(HD0l&P|B%Ph_7&mKuz|2mcwyiWJ1d0Wb<UdErt5`x#A{e7%2ul?cM z3opK<msq#$`g?Yt;X+OGvd3l>kK<-{<gYP(dMv9Z*<#t98~yn`$EN)2y7MpT{)`?? zbG1itQ4{iej!uzY{PS2)aGuT)^ETtB$9ClB9GM~=U3++m=5(=p(%!qKr#*_h)m@$J zdS4*(6mR-{gOgMEX5Zn<%xiaF`1)G@^Du)GYv*@W+lt=5km1$5;`YNyx93m1{qRkh z#*;FgBX9jru1!3*_u-`K!=m4doJ{gq8}Iz;{n7StN?+mj{es(_Rk!b7a$E6D8OM{b z4M*3`Kf5-*C)!?gd!2gT-(Au6s^8npzZb2^_b^#{c**Vi6K@*|Zg+fFHseW|$CEON zC-(x5t&OjE9scOrhU04$x})vqMB9J3_Wsz~_^#;vbE56vNptz`RQ$mk9c<<H=v#P6 zzQkkcUp|=|cFT(0<(pXJEOEc!*pxKGrTgDsTYq%z{8!idpGhC<yW_q4XWP9g(ywX` z&&u!k)pmD^^t~NlKYwIR4SqNMG54n$N3rj1X8RO!y#7YrU;mu@*Nh<h>*7xgpSIcL z{cYLzpilGr=8vrTK06aHti9i@ACY{Dw?6N0K+QqP;Jg)&ukk;ZW~x0X61;Bu7uLO+ zUxh!h`ueQAe?eTvaA}=g{D#><_LBGg&6d__@4G)q^Xun*^CxP4<u1(M@%e8;a9+me zza7i&OnCO2(RXLUrM(K5_9h5jco8?@#qY*oJ&8Jd+2Fj0;(UomaT`wVeQ<HF!ldeh zi>ePQes6OA-sJeb>A~Iw=l3d{-}~TIoWQZY54x%yukKYiw>RPJ-h__o{fn#ZRm{&% z;pV!_y=~w99!>ExAOA-9>`XZS-P?Dk!t38tXA~WjxUYX?3iqZTRhu-$ul%g?Toz;S z{I%fBBFEY5*;7ujX6}o-Fy*&u?Oxg7ckN%k=J@Q4pR|7atRnlx>&273>fQexT7Nu= z?LhpS*Gp#<*<agxr+58x-<|ins`uX5D>tcn|Ez`8w)5sx@10+5FaEvC>D|+UJJQ>B zd}l6tFJAKg`mXP<cYgo<?rYljD)V<=Q|_EzxNlyk=J(BtyRN_9IsJ2B;iEYImvQe; z>|HpadhfNpbNVm5id%njuUudCeueF~QsVQEO!>X{-QTu%e^c)KF8y9)_3m%bo!5VN zT)(|@x^Ut9_Tu%&pTy;#*gNn1+HGpz>&)Kq?yt6=RlRpnb*}fmIP<4<D?S|Gd#B?= zoZj)h_j{}DukDrZs<xFkea9RAz0Ul5o%g%1JvJZ0ZodhWo*bR)zHd&>slQowejnX& zz54F!k2}6g7rl3XbgzH+b?aT%jf>XnzP$J0=-&ALYJ0iwRrmL9TO6J1`R;4go!1w4 ze7{}ze)+EN#)bOdABWApI6d0vX`S`=x_f)&-o)|0i1R<bcYc4hy~Org6W;xGyYpK0 z?(3a9zH=A7f4=Mc<(=1O7wVgr=!-uJlb#fv>-z5Nlsm6E?`A81dKwk~&h%-~gXzk% ziuTKVFS6b@r(g50;@y97@q8Ix^*(v+n{MBq5bY=)|1SO1*OGm6dNrqC{#j(QEQas- z>60^xb}GfMJ38fbYdqi4DW^aFD6$OJd;Y7)G<e<g&rf%0Uf;a)R#Naf_u{RZGmCaE zS<U-0OM6z)PL*)!HEdn8R_l6HZ2dW-=-~CMVI|rpSjx64-sKY7c`Ips^g-G1*}M~@ z56)kmdu+;S!Pwk;%x$78K8I=ZsA;k#D>`#HwMiH`8%N|CODaelE>`eoGBr_o#w^^x z>Bp*gP~}7dN3#NlQy`BM%Ml4>0~Wzo6PzZgymb>j7@;^{LCx%@(S*RIe@<~ee<YQ} zUgf;w?kPw2C_5)xSx5a7+uiQy_o<0kPktZ6kRRe&v>`+>_mHUakwVeRx4xds<J7<0 zn`)lAYu2g;sjvio=D1fPlN&p4sVK5tI~vnB>EvM*@gC2>d<B;+s+;5g2t8XmZ6;s; zfi+zA&v(DC`Ss5H^VuTXuSwU!=gdjnC?-)E+_NI=%w+r8lTmkBn@wt%K0Iw}ubxwI zy!!Ds!P}<me}!Mt_<nM4+0nfl?;g`yC?<U6FvIj!U0)JbP4byIF;udBeaZ*Jm#oPe zx48K(Y&-Bqe0$5zFFWQKbesr!dVnQw>!B|Tmdq+SCCGNP{8MHA)0%q?+q;sCDi+Pq zdC0+hwLaQ*`3XmF8_Uy4`W8>VbuMW8;qmUI>QW2uhp+4;FRhSdS^R3@$+fbFp2XU2 z**SCOPu-~%5e+kCKRnBhbB<|r4DX%(;pA4Ga;@i$J2H38OpfYbTBvk$<@bh(@|qKu zR*7!V+FZ%zDq^mBW?#_$)Zkf>^KM;@PTt-7`SnLeOSiMBv!b)RzkPF${&+7Z+*xkV zxB1y$&P=rmt7I&>nNfbj;+19auK#-zu3Wv#eeYq$*W&?hrR>Ktx7;o^iK~>}@iL<R z?Ack1>bef^*SfB$6(z9u+01MEcloV-^Emz+!|kYF&hKVAH&6T2l=US!?yBn{uRVn~ zGQD!Q%Zu+=ktM{uGAWNUoPlNjiI-bjAMbQcIQ=xJSZP7_i7zs}{mDDup54Xz^4hkg zF%L@FBC};mDx{OY8Jf=ssK2yS@6Mvo?}n#6obHE+@W%EU=xXgSaS8ae{eIV4j<xE2 z4v)9q6jqK`En-sIUix#*UG^8-I++&SI{J6d+CL#e-(%O>c6u&0ZYwp-pQd{F<($R- z?{A)s7LD8KvGcHJXdC0h6J;W|Yj#Q={@u&hwaUij`|o!m8c!dk#@Mw#d|gu1_<NUn zsp6i3O$;7Cug<ZYoXK{&=~<!4^LaBS<XDEgx8J>@VfOxg!o1K>%Zq2$*x80%`A}sM zvf}R5%sryZXSMI=Ol)psG+5(wYQdpr_bwd$sgx{JCv^Dwo|B6#?pCDSo~L>7gm9yF ztnqQb%6B`xw=Uq@y!dOM9{29=6_I;8|J*rsVRL1!NXf3>ce>0}BlO>8F?*g}cu3Ub zlGKSr&UM$91_;l5%l`P_8kNbCNlcZAn?J9+JzM_#<E>s(mzWqX|6&mEROwW!!jTIe zIh(e;n%V5IWGkOsm7#EpK%}zF+wQY!Vpk(pykb6B|83=h%Sn&I8184EX`7iC{-W)T zqI6!++V-OR!B5vbz483aBF7t>RyW#&ZCz1vrDMjfRdtv4_^cDp@>=<Q`tGz~_b#)} z`So1ec$`d+w_STEI<Iy42Z^ZmunMtxhmtd7qaH@Ss9n4$DkszQV`v5M^M#f<E#VJU z=d_=@zvPR^T(?VWX7RCxE$F-E*0qk~kMPgmChwmuSN|m+a)074p}49l(dJaiGmpPK zJnQFmX~OBn7J8B07JZ^O)I7U6+>;u$%U|?qiSKIpZrkgf^)&5u%!w=StQRiV418U} zWE%AB#W(W@e4iHQ{8<?($dn}+u(q&;!zA{3P|a&qT}Iw7#~S`_n0CxZ(Cf*{NCTa) zyi?)d551VL$G}*X%wW8I`(E`-#)t_gdA{tFD~(;E`k~cFY#ST*yhWcsZf|G*y}Zn^ zL+RG3UGILrn5xGp^@6P-#c<8a1-<`&|FV85_wJWBcS1vs*ax5dqti0V9TGXFu?jza z{%}r4KZh>2>YBCLvz7C{u6)9-z2wczf=|xYFP3EYGBR1|?JtqH-L~QLCev2NnUd<) z{=R;3igB^Z1<C*GUS-8^pZ8`xX9-KGxYz%-8R4aCwDKa`=K45U{7RPP{D0(#ackJU z#<+6r+d>oGl{r_Ja3~5tWNH%o?pNl>zV+xKzh6_&*Qu_4tkU%<Xy*>|<!9#ctN-D+ z^x<2o7k^XmUe#we&of?{B6Vru_TuZQ!3$XKWgQo~8d!hZYaR0xi7EI0M_XrZvq<Gm z;^me#I+!bKrgQd9s`4$biqkhMtUWHy>WJW&G*4YCd~%^?fwRoD2MSg11HHIc?whZ> z@)f`G)V8PypDE9-KB~@(?g~lS#}TXhS1`6RcJ{h!6SCbBqE+8U^xfN#_tN;D-KMQu z-xh7S>wb*mO^MC%IHt8ba>8nOQ|z6dJ`p-LJ3ZFA;6}N_|Bnu@FEE#+^RLsjD_~jA z|H83BtYxc<kh1te=eM7){Qa<R4VyFjWh)&Wzb#GdIqAF)_t^z-Jg_=+eWQl<6I+Q3 z>)m*+Z%rwvS#ioCzb5DU_50u7U0kz~W1rj4SjMS-#}W=4m;Cw9_%i#~m0rciKi;2m z`OW*|Va4YsUJ4a?YSLP@#)P}-!_=rHnWBC6u`Am3UoHqsz3H`Sq096eE@%FAFN-?i za*bag(WU24<AuL5JT4(#j&~MyWb#G}E1NXNa6RpxFu#fEmg32`pIsv=yKCl_%=X^K z7Ru4F*;iQOz5e2Vg?B2q>Dw-hX;l0&Rdlt{8`s}w3@)_gZOF0x7|>v*{=#0gRw?kO z@DXkWB^8r;))QN1>lX8`);XtH;?p_Lfcw4Mm4(yPxf*2ymH$;-3i`9=Qdyf(u58>) z{_Wo;RZ3RP&ifx>Zx_0ZV})9Yl*YBqS`L}3|Ngw3RR3O7W74aiX|+37>^=CltMo{a zQ!J;g_`S7fHm!X7H&{&n{K`p9nVxsn=}!CccDkwH0T%1`6J1vy59n+-mdyL9{7X|` zOU(V3%Azf+#aEhaxO41So)Y5%m9TrPi)yS-*RUOxy`5xxBINv1=@lL77QAcRwyYDh z)t;vkRyXg4=S6|+&_5fG=x(~=IH_Tp+ObuWkDp~eXjy5LTDW3EpL6Sf#%a!vg#3-! z3rfG6B`_YXTKB?RbVtO3<LjQWwaT71n)2(zjfg~B&dz;vo9x!#DzxJfI~U7pKWD){ z;obFVYK~8pY~OVK{C`TB-D<zHXO$^iXvxiGwl>XKovG7)zn9_g7B4K`UQqJS)wl7~ zHU}oZIVDB*>-KQ$s-If&XzG5Y4Yg4_Cq<gKt_)O~Gv`ySZ0M}$|1JGNHQj~vA)9m( ze#~(Gb-!pW@0mmKi&mwU-rDB9_Jh>f<LhO&x}M!@IbB<5<NDr=>s|uS-~3u3I+sl} z)5-hwnavY!Hm<W@_Bo)We$DP4cUjhjk62q>;sWdgJ>0h5P<d$|p{={;%wJi#D5pKA zvuxbiszNsw-<DFlp~qr=Xpa78|E=3EJ&M@>Lo#dM8cxF}_vZ24`K5Dm65C@9!xyR7 zd?jy(bt~0}oVNV3f88&GNAJ$8-Znq{YKrN*6R!k%VmAEQ@HFK^=49qQ!AsLFzSMui zILqcR|81EAb9Jxw)cpT0(9E{#$bzXC|3z%N5VUh&&!6%&t%?5CQD^F2_7)T_X76d& z4!qAJmif)2cf0>N<#nA}7F<ShhrdRu>a+`nb!`$jwq@09;VqJHlJ|XGQFCM7?FrG2 zGOlG@HtcCvZdzHGEqnG>-Yj|Atc*X4{2%Bav1vbVJg2iUZ_``dWhcDr=P=hl3sw{C ztP@k)cl#xeypqv55h<xzS2DMX1e;_RKYi5tT|`jx%F!i@PBxdR@@>)jRjXlPtzzc< zICf`EFYD@69hYUN2%I>~^7VP}?Bd@hdqeWhAFX~Ef4FnXH_s`Hlzy;CC_SHOo2T^r z@|HKOS#vz(zAWSO(JU_ySaZkX{kQZw=fYV|6Lt1>-BOqN)+Kdv%5|Qlo~zeC-c-j| zbCh{kXIiF{u*&cL<)==a5nCmc_|>JAH>UN}%YYBU`D*!GzPt7bTnV>Q`SH=~QmLk~ zRA5$>eTkv^_Far3^XAyNDEnR!>o{I&_aVdY$+LiThlTpD=UvDtYP0_L)o0@GH@|v1 z$}ZaZ&Z?Or7P*vf-U1HoE<?TmZ)O$|@Xewg&TFDP*4#4SJHx=>ah8FBmw}TZu{0$! zKcFZ-SuZsuEi{Ccfw^OfVn$9?aD`gn<=mXlylUHWZ*R+07giUJ&R08mWLxg-IXAYw zHNO8{{?43T5&mD_t-2Mu_uH%G`cbFP1vgI(j%n%W=xBX8U-0ko#KiPvSxJ9bE($p_ zU$qomoc69)!<LzKPh4%C^Stu<mo5wE9+>3&EzXwxmf@=gSCLk=jUqE&R)0FHo1N5g z{q3g%4S7wy%`+P`8#ik=7UwG-vS$xCXi|8jbyv|t|F4%H+n-QWaq8Z=q@B%M^A7h! zM(6voTaSG3Zsu6P?RrK0=!d6|yj=w}-I|YFnV8t@dUN^NV-wtBt_wUgU8?ED)UV>L zTb@7Hs8IQ5z|o(9SL;9MayeH#cJJt%ekj4J*`T`U=<z??XEi?x1tp6b@8q1&)tvY+ zoSQS{srsYp9+wQ`Z^w#0+<X0@K-FLS+7!KMiGpg2oxB%Is{0*w=jDsPv2mNQs{@m~ zfMW5x+L^WI7szs7dpPOiv7isj8dU|$PVt;|xUIBY`6bIsld^+F1vg(zTD>;my0lRA zf)3vBO?Uruzir~5_gl^EX@z?6bT6Up30tH+)Lp`+X}B4yU7NNp@2*wadS}DuB}<(e z_iQ^EvT?P#o-Dt33cE#ovc;lRY4eMldV`hQGViPulRh-*nE#s<)3!e2GLPS65GVB^ z+ooK~*y#!j>#N5RPjW-vrtNYvuKcn-)J@zoJ9LIc^19BQ*MuAvr^;z$tnDsc@UUd# z4gL*1>Yl!p?g=i@houiJ?RjV|b7|QDCp~#j#fv$YeXre~d^z^ijI~`&O{NbvFY$S) z;d*A1!{J7)Q1_YLEL~ANYa)`a2i@fD(%znQyDT7M)xDO(n_8V0ykwgz%r16qN`ThZ zFsH+bYhDzDG>Ni&+4w?4g+tWa`s?ftmT#-C2WoCS$*P!^q3XYD@#~xAA^*J3ytu!n z=SoKNif0~7n<aKAiOVW;EX_4oe&Za2wNXOnyZ7Q|UYTac_6oTzD{Gvb{cYp1>6elg z{ha(}jmQS?=6=!Qy)kcj4}519bv9dNUw+)B{ZfuIlY(!~j_k)hUv952dAae#7xu(o z-y}BVP6#;>7~*uWdP(HMC4mdp@$J07J<{PhOZ_^}*MSfIro==Q?P4m-pE+y!p}kBC zGbTKra9jM9dU{@PcF)QClP`R}A?$Ga+sc0v7xC^0d2rb)?!UP2K8H!m?9{dkuDK_+ zyGUS)v`08s|AbHPQyw~>nbkB&<M4lF-^IMVxu!lhc{V%QubLFOEnnWKvS^=_(uoTl zg6xbY6$`eDE%Eo2Dqr+HjAx!>%^{u!^*P6umOWK3X<o{zUVgFFf1^;8cYyTcLvm}^ zxgBK6zvpoD$j0gUTfU?$IlDyFE`(pbJ@x6vIL^fMgEj9C?Yfp@Qot%16D@mls+8uv ze1-Ij*Hw>SN$jz8Jk}u0#btO|ri(9_$9WO&ev4<K@$JvgzGOdsrmabR&k2RElhqqN z<Rsa59iF3V^XKR9>5JRlQ@Ul2=Q=O?yIVl4uCw4owu0<j33JoefB#KpmwLbQ^KXX} zp38pow>_^se{E}{tJIseDvlVo<Yx>5Au(%S<{e2W=J^p<{w!QoQuk#<fz5)bV9A~^ zCLi52%fKd0(-^x(o0hUEz4mh)Gj{U$u5Sqn)r?8VbP=?3@U-RUO7&^Th+%y@pRIKd z=LN@wts19tRwNko1p6ihxF+l?t`d5hCcfj!w{V+zy6e_2Fb=yd^fa%mb<PW?lmkCP z5BR^%5_&4oIIq)0qIBPx)9jVIw<gDaom{zlso+8vt+XRXN^b;`ZaOwxHBNdQm9o9T zQK>W`<$$EXe0|1M51r23X)(-XDw3(5Zu)Kg{g%kRspn_t9nIhOR%m9W-P7YNW>?tv zsp%_K7FHg$){fDgp;?e`ZIr%JE#3Nr3Db+ptw&f5V_w)ks#Sjb@KnbOTV>a*9Mun- zTpSeh6gaug?w-g!U-Dn;iv>Fh-468z2UoQID-xU^_hug7ulWj<d@c1}9LD~WET#mk z+WyvK?Tacu$=}N@AKRZh%grOe&#Cg^Q0v1wg}55O8Itdw=zRLQ`-j+S!Ha+Wf5|8b zhq!3HIh1m#v-rV=2gd4+ij_aj9(4yko$+eRQ=9*cj7*guk3RadIX)#Lb4SEh+lreD z?Pe}q#u&sE`eSk9kB3XH#~$}n=Jh$cXHV0IWp5{~3H-VE+K=<AV@eDcUk{Jz(PLwe z(P+LL&8cJ~EBn5E)0Jgq@w=HX98q+5)cEjUNB{ds{gipL<1a7wi#huHzU?*X*|V3) zO%fBkc5L6GnESV9vo76!zQpjI_UvzxAzyt|?sKbNpL>4hc@^c<RSk9-jM|TnH75Ps z^L*#LA2AspZzk-TQK9hl(2MtzF5Esp^T=TdZk~^p;f6~rYAz+~3!T!KB$z&F;y>{N z{PQMAKNJ_5Ve+W|M?b$yF2jc9uQ$IoQTo4Sny15|zb}7BpStZ{z-IIO)I*Jbs~fbu z9=!dX@;g2$`oXib<Nu9c>0B*j_}G4Y>(PrhbTw-Z_J5mp;kK`f(~Qt(hOKEYS3Tjn znLqdOwD?fZ%U7NmYQ)>w$;qu-XZ!Ed@|jwP4R+hw%~`{Ha=uIC_5Uv$KD@m8*h=+e zd|B)K55KdDH%&C+cHPYAdb#ZEj?@MJpPn|ndn)40|Cvtg8!iM2R9TyLsxCZzF+bW` zxnKtmx4cx8;cX7<CB}*g-m7;Ou;y*-db_6Qy<LNR;KlGY33pC}*lgK+dg|Ypy^QM% zzh;OR*#w8~419R$%EJ93b6izk#jR?ckhI>~$A(A4t7xb7j$KSM)K>Ns%g_E<+;DTf zDqrCdo12a{t&x}ZFJBTnBlW}CPY!L3oow^0_S|-iX>a|dQ~BC0WQ~$p%#6?<b8i?* zzE#TD`s+`1_&;C9Z<j8XxjL!$u(azLcre`j`s=gf!i)_Ef|A*GMLalq)TMjFGS-rn z`mZM|EtV{P!+vyb_T(i8qkYy~-{hu$<G8|xU6$f2JZoiVPwWa&joY?Nd)Bm)oYnuh zO+Ww7`!J(DCcexry2s*izlHEl(fGWbz58=4PRi{3ef~>HY0mGQx|;mj+%^B>uNhfc z?fS<bvHDZM`GDyvn*4`FI`-Yk$uBQ0U9$9PfVk?}V)^t{rvk)}8t^ms8OMsQ=&ljG z<ikDrng4z}5i2X5*x0i=hnCr!ZStEO@V~tMot&K9G&wn=E0Oy(MOSWpojgM|p~E@A z<sY+7n*R0s@%QJq<i9_E{`~#>|1*yqZl8Sp_~V;f8^6x~%kH9^SjA&Iuk!D`Ng-Y$ zEA+enmp&|=KE=b1(V(>Xq%g0$VCBz$^Jeb6cWc+IdH;Te|Ew-9F5au0T&42w>+*JX z?bQpqdHO1OCZG7<Gil;Ru1|jLQ?IKFtd$LXXj-tXwsPxN{lmF3X}cf)w>$cuvHYCQ zw14T{tKaL__dnNW?_T`e?_9ck+}&6GhYa<Xb*knc*ImZhoNAPRJgsNp%>R6Mga61o zz2W(5wr+0oTgwO1R@Gikf$`V<xnHoh-}n2IYV;?y%sFQE+ZDdad7ZV1|9E?^-xWNy z=jXo{pLaeDp8fgP-rsi@ax>)pUwkNW?R~A9;#>D3&V9Q7?!64h;iCWks;=vdES4z# z4>|b2Saxr;)S?NBw&!Jp*jSmEn3$Eg7#2EpT75WVYqsp+QI3X#OB|FBunRnVw0Hqy zfIvb4qlbhCLrc9~(1{0m4B`yBN-1HwxlDKB0>kc{4w$w#)_u#9+&|t2?e;Rc>mR)t z)gk6~#4}(Sn{asvzw`D@0&%OY!`T!>llMH@$hRU>v?HLq^Vim&((N`0!p<VkR^BRe znD&eBtih+H?^A0wlqD!#UJxKKDXwioe;CWGekt?Y_d`6KJRUjt7@f`ACZbyBvq9y( z*~M+Sj+dU5y!Uv<TO*d&8^j^IJIX6==9c9<c5j%YVxn~5jEBm##SaRXeR;ZU`i@zP z9rXD&OuOGG6LR&T#javi0mgjJxvbrbtY&ZUar3lTc-gRm<NcrG_a0wrJvN_%&n2nX zz;LZ{mhG`aa|;)oKK977cALWr@%Tk&J@e0&i_L1Bc{=o;PVwXN-<?tBQy*?V@-~>~ zfx*g|dJ}&~JlEa0UNF7xhs6`GC2Tv_Y^~jJ(n7)Av3vQwKR5gSY1PU&))YRNFws7~ z{A8TnwS5Qfn0)wZbnTwkIbOSYPp_o~&3OJ!`M7;k&{Wr14_R3+CvNj)yKQXwGp4WY z`ymC!TCR;9z1x<#@So&f*{PkBpmlMr&*AM!k(KPXj@0do@i_VF<g3$ej(7K+?Xir> zt&y}jb$7Z<yfHiTEd{mxtGSPOU%F&g8m`P#nz4L_`0w%#>o4rbsweDn%Q#^bCw#7? z{MJF$^Su2R_KEDcsA(4E)bw=Ag<l$ht3QS`Xr<ScEi+Rzu6A-sU9{YwL!;eyNoS|$ z!$lG+jXRI+Gv{11X-1klBUjeyHa><c5^@VSxZKxV?b{w8>@63$_oRdoi?nN2nNgvQ z;ZGaK<2!GhaZuW&P$27ecjbjYOXZG-EMYbOa*!)5Qdn+o`&v~dRgo%9-)}YbHB)`9 z9A#b4`Z9X(aQhyYx)zim>9v}-_stiBS;r-fy^~h;Onu?nUs_Ql{aU57nB6EL-A9mt z+5bG>ypvKwm(MgQ)D@&VJU7Vpk=(>C^pahZiBr^R!@qmidf0Ov+NUKeT~)A%wtKx{ zEswZFTIt65|6N}>xT#N+p7^u$hrwEgV{TsA;xd|{J9`|0&e<w0TKFe(j_$s|Xlcfz zSO1>nFUol4bjhIOm~eHHbMXo`tF(-{U7tUnw2gboel9QcqQsK=Cj$K|WM{k(*O?Lc z<LNSneHT~k@pel#{Vl9h7U%x`k8obHL%ztO3r9Zq-Vf<Gn{`h4$ln#*U)MeF=i<{S zwwZR;`R(DA!n|^Fiy8f7n-}dglT+PLa%I|eLEF6xCU@N}=Kh@ctsyLV^5+)|3&c;x zUU0SBYWw2GHb(Z;r5TqFl&x55sKU!A>-BWDpi$VmE5}c#tvv5`b7A?0Kc9EbnVV#_ zPw4IUWyy~d6wQO#3pMxsaolw4K>hu_U(T3^o$1x~@eTRA){k#`+OlW<&z`02E<RRw z^h#=kPu6UOK2zI3Mbmdzp6q^JC;YG4PT)_!qkjE!na0!20^f|5+x^g;kiSzkhvC@@ zE0_ECl9+`zh0WEK%nERJ7kYG0t5#ED`5wJXuUp(ETh_nOGR|mU$0+`2|6IeMM2BrG z!F_d?HyfQz<Nfsa+S-^M*DCAQ2B>tNN%#}|x<NKNCn-BY%4lDtU!Bs9f+XF$)1vmS zJa==p>dJosMQy^~r#2--|FGI$Xt3)41M3Xef4$}Tl@9T&Cy%@Bl+3f)otMLXyXI(x zSL)ky)&F0<;XJKZ|MBdvl=+6{|5%PQ3Lbk9@uu_ew+$aZFBHshD}2rq-FNO<`iboC zr3aR-`LD&Qba9=|ER*#P2j0vTU9-hZThBx9PS46UQ`B9jwdDk#c(~^Ej)gC|lk`mD zRsA%wR{hm6`mdaKwyH{OUY1Ih%8Jfi()kBsRWvj@YvSDtl+_jm#GSaQZW+3(go!ij zeech6l}^Xj2AUt*eEx9lYDsRV%g45U<<@3jwo>7|=2V-!H%@*F6T{<ej$M{_@t)DI zw=RTpee{V2jy>xYzJB?(c0$yrB7ypXJVwd=-Ceibl;#>)uXQ{%+s|;N?9BVC>u=j# zD3~OA)Yg*eSl9j7V;6pZh+OeN`pucxv?+%)*Bvfgn`S=cfZ*lQ)8$KRQw%Hj$edz3 z)OV=VWmdY_m!`X+{1ctRXRlf4%fc-wcZw%$ZOzQfi=T!tZQDCL*=L>f&V><E-oHzb zbeYw3|H7QFrPAKBW-%4d3!kwm?DFS!>yFQ<o(7D%dperdz2cmBKG^72)&yato2TSD zgU)5-X=$z4a=@wR{DfT!X?CBu5-p?xcQ}h4xqa~cjvsfYzxp9NU+{&jzhB?^32Rn# zoVoEsVoP4~1AeboecS&VXO$PuJES4cU}<xGJI@sH$)Tq{hp#!iI)FR$!2F-3SGJw- z2xG3*v{l{PGX35`-p=zkTnqPfJ?PP?NdI1La;tDful<ccku|5Mai1@c2z8Fxv}cO; z4W(&ESVO<f<dwRh9P6}Dt#;bf#f1}2+{%1%@#a^hT@g%TuRmY*e-?XoOHkML=h51G zwQEajf9;ulM{ah5U$};@*S$7BJ@FHKi^Mj0?ET!SzVzjs!#AoP{ZlYn+7Tth!aFT1 zF@LtqRmIN?H_El<UG_Oy{<)6t-kxd4(^tLBedPadyYgY4uPg=u3?eK$Sr6+dugRX4 zuVs_SWcI&NS0j7Ayiopj8IQ$#_5N+#dU9fVSLw9%4_nUnIjlJOy>of2@F^AZ*h|mO zTg&Rcc+tklx3<rz=KCt%w*D;7-DWTEpHa5kSwD5*{oC_jep$8a#2p*AEr}eRseQdu zWd$xMiZ7miu=m=gu$A>beI6UE4e!qBVe+#SH(&8y%58b+XS>Z)SSQ>vH$3{^OGag{ zQOSd8vh0o$1?G*XO&m0IG{Xgb7TW*%w{OC>zSC7L%UNaL@BD7tY*epuqApX}ZMAx5 z=)r6+p7u%eSDJiv4qmHxXkx%#om{>A?*g&!q-L#MJbTi@ypoP+t>tlZ7P?BMJe_@7 zTYX1mdYvZIWHCJrBdshwmS~@Z>TR3$?TQJ$EqGt+RK@z!?S4haH@8jSRO4&Ry!d@? z-hyy9Vei(w@?^1VIgTe=-!M(6yk>mlLV2e^?WeiyH*C58IzG8-qx@;Z-M!j-%eE$; z+iGICZnDar==nPREUVtL9PqC)%bd@)-Y25+-?iLZ7dDm2TtD)0(#O{(^WBteR&*Wa zFcQ^v+4oBSx`=uFn=KQVru=qZysq0y@?Ey+k=*?$>MoWW55%jMs5^#sKJuQ{Fllq- zQERq0YRwxQ-zy6*QBdMwJ>%dWtPrp_!8zT2ZGvO<UM9<bDy5V3IHJGWeOThW=b!qj z^2>hgt^0o!-`82$<8oeT%dPvYjDb;K&Kc<P@tpDyFg|)ge8N@%3s$Zo*59S)wVkp~ z|DLcu#$smP)TEQ#M;==@nmj9+G~Z;;`h(#;&OIysO;LGMBI70<IA_W1n-*u*nBSD& zckIUjBh}Cnzw~7a4+GEH&;RdIDY!L9U1XO@_pSyRojba!Ywg7pR5Siea@3#Xv+;bu z%kPmt3~et4e-alEoou~W?3+}9EKAg{&)ZLj$J(Sj%v%24u(SQ`A+C4()<xUdsC{gb zP_ish_!zh`WL4bU<Pe8&?b>8rRw3o*ujkoa)?K~l<-<L8N8Zj8p0at`v<r6rsT*`} zI|f9x8-F#96K(8{sgymoyr9Q9X3=%!;Ke$gm$n|CJ#&NqsU3}`8`w_!248>1u{wR? z^J2~hdC}<eKg%_`u1@${d2_$_635_*?7(GTbvCNKez5T+pQ-60o2#O#m4Dwxod2|V z(aU9Xu5Cyz`FS(?PyB<}W7AzD#Lq^jetNuvLpgl5B-eq5J3@@p_8m!V{Fa}*-axIX zSEk8#k<;qhnKLJ?UV3Tw-R9`u{&GB>XCt_)w(eb^_*JSPVa1AuBhp_vA9|JT{HK0m z!Sng*o6l_E&N{kp0q2WNdqZx$?RdH1Z+p7;L_xii%hq+ct!CI{n*6mPhf7}Rk@PWs z_is5KkFFZ2bbr-NeI{~RSj~2E^|9@~+ltxQ6;1lC^Zu@S*821JqG|koNBFJ6ueS8> zGZ#|uE3ZCu{qm;LeGbA4&wl#lKKspC?k1n90=08gqiPG@UFl#`RdZRicTe2^y~o&? zwGM5(|N6+g1xlN|4;SfQ`xU#e^U^j)w~z1iIdY`?JAN2%nR@WlC#!hZXkmli;|mVo z%DJQX(d&-O)x9+~=bj{5E~|T{d;j+J_tLzwdj$-WcYbF)x-{T(I-7#<DYvIhtAAC# zRowjl>59DdOCR0ZxGC}1oYQftCY{yq&z*P@_rY}OJn?%g<S#QN{Pe6S*vPu8Hv2;D zfeCy)rG`1tZT@**m;0^M<X}mh_fs}Hk-_=P`~E7XmqlrF)J*r}`+qrRA0CtvdudKh z$VA58<u99<wzJMU>S^Y7<F?~8rpJF*widJ<5U(n!U%XUE|9`EANObJ?c`JR5nOGTE zw>X_K)cW$wW!c62h5W*~t2XXtV$9IE%)DLtke-lNjHIBpi}k<Dz4KEXecBAd>NhFe z>CoKucf~D1wwK%1Oe>9i#ANyCj)~if=ieNAoQ%s=A3xI)_S8SV;&|$gaxLT2CTcTY zt2OA(SZCIGXX~r3t!H;W^*FaB>$DYz)pvW-4{z$Hg}*zh>vUy)VrZ!8CT))|-@|iC zr0hSW%>7p*d_L++wq#y(l*iwdk+*H)CGC$CUzwr1v4zFFE;F=Ss&DHXfz=i}B}39O zx4$htF8KM%l=$YT*0ksb>&dOZ?x=QZd{%w1uk!bHy?@sqBz|huuHiiO_3Qz*V~hSR z^jsz~`@zG@(M1dD<Mq3J_-AZp;i!F^@^r(4id%;k2|Ty05*O4C?{;6CnUu$NK3{`P zM(l&$y|<p%{qLktHFEN?K4bLj@5TPC>@_A#Q63LsoTdr!wFOEUzFzZib>ueQ>Jp!d zq79LYw>WDu^$YE0=KHPa{=jP92bIcOZ<7Ti6?aa2+np1CG5T25@5P&IuLaG&^C>>7 zZg<i5HL3-ztDb#vpEW7O`cQZGqnqJTp>6Xz_aCSh`{vBV>O7Tqna$Gj?){c8x=$PC z+x?8cxwOf+etV?+^vmarVq{Y`?DQ|-db9eDE$8ntlg{_k_gA&qDwJ1t{*&`HU`w68 zr8DK?;d$m3&%<8)ovM58blio@Mi*sLnjLjRk2h)@G+Og*Hs7NME6%yvR$kzJ^+)XE z)61>tI_&qi?359`^-{?5aMzD*n#~N^2V5R0{?f8;X$V;3wTY)9a8tYLtK2)M|J~!w zPx|rhtw+k_v}xB@GUsugYj)Jx=AF9x+@8?T9TyZAnBVK_SDv{#IeXuN*~>nZ2uqz> z((6;&Y#_qt^^`-Z{b^v0VBYMNE7|HI89SNIZwpRLvr~8_wb?ahh0^YHx95)}=dYU6 z<vQt}=`H!pI}G{NYZNktc#9s!|B$dR)3_0qXzF-R=N?<P?LmWI(pp7){FUpHa@V+Q zV&LQJUfPjlFoVU|XT@BB1)5i{{*`QhxxbD-$Gqrty3WIBDdF4~r+v@eeZ|PVF!I~s z=9NnWLj8`Y?AQ4IxUO9_;lQOiTSXFf-c*fPXFbP5@NL1>$oXvo&xM%&94s_6OnUb8 z!2ch4HUHlTM7Exd4gd2+ooBn#ziWk`*Sd?pQqWoG`@!tqk9QS^x3pd?w<^3BEb{WA zlu*g>3bAViGu0~{U)u{TSM)E|{BlK?UvTZ}Nh|Ig+@ic~q01wWmR%-?LX@4E)Ta4s z&YW7R9J@X)<om=HnHtsh{qK6_cwLWPx#3M%@|vdOJ5owc-+9|CsVz9`al_$V`|OTP z+VLg#dXVg)r!M==Kg3n${n;3Lr6~QiGQ-37Qs?S@m)5`cloxLcUv_(s+VpjD6Ccmp zEo`7yHtEM?Kix``E1oHy&*R#D<OuXnk^Xq*nV#GW%hk&Q53kCzau!H3iLk!g@4&h3 ztHI%7n=_Y!cb=<T$^Z5EqMi3Tqpa>VY~_2h?rfQ?@~wpPp$gkXqKa;BUXt_j{9E&t z)qa)h-L3z<so6D=v*VWcj`qz7?pcYis^>+y=4_c5#<_6jsU+>sT-;o|Y{KSW3TFsc zuJD&B(l85U+OcWodX8|z*xUC~p6CD26E7@eIMe>T%cxiM<IWJ?IZ{h|=kD$})7ZUR zBI(*b-yJa(zpt%iJp97v^O@QEvWxy5%E^$sc2e!h4!(ObGh`NJY?j}5LP(%`wn=ci zGuP)hNxO!pyR7HDmCd@gdD2lMhgmc3G97&BUAgaI=L@&CJf7blSo0=G^PjQa|NHaA zYgz|RuiMMpB=XyPQRc<KNBqt8Td#!#d~nY2xTyT6Yr&?T1v?GX=iFN$I@$R3q}NUg z-xy|SDMf_u(kj&R)fVO5?q12qb9|oke}zA%wyiSkJgcO+GoiF*0-Lzy;ned5U%$?p zE^>|In}KIsqFK9T@Uz~J-?nTkI-oL%<3w)fs()Rp*GfqT+EhOO_Bqq{j9YuJrhWdj zboOnpj5Yr*-um^!{qyTqFPO3@!f!8Y+}-FrMmNjcucoKj+@5@kk^ADucSBw=;P$2I zz6SCICdSHXe|9Cmh=0dp>2aj>lgr7+J3h?4y!ZVyA<NxQxAnd&ye`!z^;~FD=*#RG zZkK+BG}r&1m9uT@!tJ{)-<IZ1+qJTy(em-HmEE!8a}Q3hzoFvmeC|;8(QVh;-uqVD z$4$^WwbLZ5EOEI&zRDGe>Zg;`mQ+riWp}a1<wd_`cJjWOoCNiIPAPvR`-+o~a$U3) z`mOV1&aTZx1x0^8ba==;6HfXzw|S$s<(wVI+l-!U`_3|jCA{g~Gq*{xrauD`C#3bI z<Oy8&^*J(K&b{@HY=>(;tMiJwcFs&*Zb_3JtDfA;+!89A5*H{C<0?0QL5u3G{RZ*e z!T<j-C)^b`UUpwAP{rOz?S`Jr*_}?}X6pSZAzk~H9bk9geRG=k2k{e~7cRZm;@ql` zE!h3wVaI0vnp+!pUZ~|!$o!~X-~HvUO-J%B9`D^IwRe4)zBtK-=s9srpPb`saAvCf zTG#HYrOH-Y;&-y<te33(z+}C%>7bcFlz`=~U8c^z{-?;-om{uT_z=U3xX<?&s~-4W zr1S65b_X-pg{FVKN|Y8Y`W$X`!MiUkWc$yB0##;fH1lsodhTEQ`|XQ^yo+YnTEyGO z6^Kqg`19a|jeC}z{Bo?dzct6N!KHH%=hwXjtGw>+%5uCHv1EBn<oTq98$O*~S{j}w zCLK0Y`sMAf&pv*9aca3G8;kT#hcm0X`8?!!)Z|JJxiL=v{r8?%v_<5LJDp2~j?SqN z2`^XtR4jLGcYk8o-MjniUkjGqtBG_Lb-QrOZ!wSCs=U6*yLJ48eVKgaMb17FIDdg< zxAdw^2FI)2r5Tnhn{G-NbtkyLF`qiUG@k2bnbhkg)2Fzf-stjdo!g<wL2ir}bCo^W z{$70_RuXgOY?_o<#ZsB=@>6R!&0tz(Z?<&vT|<L6n)}bqO;_g5URfQ*Eqftqi}$g$ zhte-RyL&25@zB0C-u}N*7WjX*sWV^~T6tpDMZT%Mdp;_g2rq10x;#zLYu;ve*-hq+ zE{k_`6eQ2u)BR>itG2-YxqmxfEqv_t^ORuiSEXtD7ThrZvO@LegO8{GyeYZxyX#S_ zK-{BO^#ar5vc8pY%`aEG=v&IDVmbSz_mk_*O`*EZPxy+?hFt%#PHw*YssJgTPj1_z zCO=-C=Cv#0lF#EmA9?maVtT!M`cX}t{s-;)o-$UfWerLncRYLB;hypB%bUm96<ZzS zt3~uet9HqqePS9r;c9O|hG7&}&9iG4-o2c9d5y8rwI$uBj-UG^zrcuh<H`aCDW%%Q zrp{TD87n`n@p~BfKq2qE_eQ;4XYy{ye=27vvcA0L{%W&ysh2uFb~+E_*PiUzIkRx` z8kY3qIZR>I%zE4JKZ$PIvsE_vS5W59{VAWgk|fgV|IX_@BWKpC|Mh15K4;hS|GF2v zzIN#M$DiCu-c8--cKnynUBrI!QL@QogG<edOtw!9jG`O*{TA`vlPY*#Zu#8rd5wDO zyj#=ePq@gL+IFjv`(<Q-Kufy4?pl^(2R=+oOJfz<zBFSW=U&gZ_a6#bxIM6`e=^1Y z#F+=v^%~ahFkZOgy0zxR#ebX3H_z3%yJTwqr&`O8PxX(@=+FGQQsv^Tn4jO5Uu}xs zR<{0*_`wbHXUpv7H_=|XW{DwpA&V3X)7+R37j!)DaO<&LulD|TZtDM-kclcY12UFX z-_rcMN%%m0bGG7tWzo=guO7Ca7P1oL|9MC+J#*XQH9j*>x|nWg&bHa{UNj>zNn+8$ z&)W^!8yh61&y=rG+_Bcw`OssYsMr60FYesgDPfjT<;28hI&te+n-?eQD$`B3q^C&g z+~_%Cx@1*Dz}Jb5S55cs^tri7ZI7IXzK7up{(!)M;(!Rze;<BK{QL4EH+R#I51*sY zyM60$_uscUTGPMAYE6Yy@ao#X-@jbV&yJPXdVKrR%IWi-omJm9fhF%+?e9MCms7IW z^5yPdpSJ(qvZb*T_Al6A;t|om)#Co?v#)QqTJ#%at}8qvb5~YpO}yzh-9Oh}@<>K{ zUAUOO@krgQHLUl=%GTNa(o{U?td{Cp928dLsdVSRS?}Ked;S@{&xrHgxy4sg=Ju*Z zcmHk=sEAzeTtCy}*<~lc-Ps|>Sv=b^1jU%Ac}&>)_wUu@`wy-stzZ3cLb_FLsDG1T zBX6o=;>8VLF7IplUA!uC-{Vb5CdS<#x75@=4c<_9_8tG<s(%M+m2O|!o_*S7<uRM+ zU6FSqJMWiVZ|Qpd>e3y}YkMZ#R<U$RzIE+Rm-r5iy>DbTFJ&)JdAI+Sjt_sY@5`G9 zr>gAw>TaRKP*E~Ntukg;h}qhP$afEWxA>ReTt9K*`x|dVRie-Qx*wD@#X*9NeM+R# z1V;syaNU_Hj`1s*IA0~5JFtIawd0v;#|0h#%)(<IiT^no>F1*7+TgT^c}JAp+RO9L zNpT)euKgEPe!<tprI$;Vt5NdR^qBa~*K;?<=eH@A&3-1wwxcQ{%BI%b-?aPpHLdAq zpBDX(wm(&}w<FQ6=)1)^kLW*UG20`~w@*7aO^2T|yin=rgr)8skJbOL6}kQFmyWlu z-UsLMiMww^?dsXJ!a_6lsN1vse#^SfoG|$;KH+Ba+QR|UeZEgOyTC7T?NCw4wR;o( z-4t5uY~Zi3xjRVP?d#UK7+3dXCFj^FOFqSG@OM6b_SAA$!j3dWF*fO+x}rRt4Sei{ zDarG;?8!c2k~c?NiRs#V2K#mvwuv0=4XW;L?3}Fn%9EJb+Pav!g*b#2S=;n@7rCk2 zSw3l%nu`0k_emXWLS{@%pXV=M$H(s7*vP5w-e$yP#bagl{zx0Q!vily<u0eEKP%6; zG$tLcDlwgVtVjOy-&n)DpHsNEy-w7(UG(4JdD?nLlj!sx+LyM8vB@kd6b$pLN@7yr zDUSZfZCUg~=huQ~$BMR3_Vg6~YQ%awwlj8jiT#nIT>L!EQL;|5oQi@wLuWBKA8c9H zEOIE}?T?kIELlu$G8ryHGbT8QPTY`GQ@wq10?RR;Cx_e}y*s#6uf=kRi1Ri6c(`hv zm6L(Pf@t|;{YQn$Vr*@G?zy{Xg6|5K6<cESg^#~UJo)cmR(+y^^qy%!SJQ5N`6p#x z&a^qgZ1VA(SAVCJW`6t;lJuhPUct2@h3f6q_l2a7-!+`rvN|+J_P)>LjVvw&`HGWb z{Y|pF>K9#JBe4AQ#CQSA$cJ`P=l0Co^P(iiJU-tl-g?UaH|xF^Zpgcq9+ACi>--hl zr~7S*4mbM$>$KnRcQ+E_tIG}=O#Hw8!=9Z!M*Dis*W7uiwDIa1wq+SJIfaikG|#fy zdS<tF-Rz(#o=NRDFYl7w=%O)k>C?~C>o5QMskNC$MeA1hl&;;gwiVvKchn-MLvszA zXi(dQ?teadx@y@Ag3|?hrtEmPKu~zW^YVq3QS;JmHZR_v$-lX3ikINj_N8v8Cta!U z-~0E>g=@>^YzuzouwR(Hy?xr*lxwS!x%Vji`8a*cyi!g<iOwRUz=a-*YQv|@zJKyb zYc*5;VKdjnsW;{_oqO@>>0?&$Yzy;a94_|7yCyu3V47H<(YZC??@V33rrbYo_iZnl zCE#<%X=U`=HX$Vq4jt(e3}zj|q71HC4cS4g@1#VSS-3=*`<mKXSPwY0HS#mJw=lG` zIXF2vA69RWeZkHpsMy*ysgO(O(e`EPN(pT)`@Db7ILKw}YQ8CX?&VJNtqTw4^eryr z<@_OaF;mscmFMdJEy~M;_3rOoUv_R{U4>n)xUFMa6t}zOtEVP5z09HFYw}79Plw+L zJ{{=aaNSe4v~K>bB^vM3Hk@AB|HtFP42ua;%clABwP}2C2q^y5!NBY(@v7iQ<cX%M z^IuN;>{6d4UcGJR^L0^+FNfvc+nmud?ZU@}HR}(qR`}``s_S)0<{V4(=}(WNXPmkk zV%~dly{P>XO|yUJ5|=hRuI%F3w7F=<^ef^2e=k1ByVzyjY~>YYSKhtgQmfrpZpN|W z=@p(r-{*B=(>gr<l(i=wnh<g?-{_A0mjh-8&#r!2<dt4{dw)P?apV6q&m^Nu65qH_ z7(BF<tMcdi`qg=dliD4JJky?%$(&bR{ib-|Jmi^qW0qiIL(KF}|1XE$vKjjs*>NnK zXsf&=`&7qa(@#^_CEuzlRjaZoJx_Bxd`I$`g!6ROCE;^F>aVwKylh)psLjZJOQ8IW zP0{?1F&Y|9-zHDp9vqnVYW_^=qkle3R}wsS^;xf7-Z||HKAnF}-Jid7%vz#S`^s^7 zrq!HH^2aU9M3=phKC$$v)`{b1Z=ZX2>&-is9}+W)F0{0qjh)hAckjZA^kcWHS<Wp= zmI!|M*ZY@#^zYwdjOvZLhht|=R}f|4)v*bRZ82E4@7q`9d-A8wo=V!^SM=>_v1;+; z#A{c?&N*IHe{+3Th%?iHTQ~3SV{2w^T<^A^tC?B-uP}G9^Uq^$X(#?TX_ZSKiDh$$ zdA?}f`sI9oPV&keNmF_ISgv<QzwvJqUgwVMi#uxhX2+h;YWuTJ^rv6lRh^WiZ5t13 zJY0JI+Kl-H|7w=n2;TDksv=_lJV)B>aN$#FTT@jAwi=^5s^4UJC55+MtzWuq|BSFj z7d?&EUx|GEDqEn)J9xrho*$QH`dg;AFwK5=%i;7~-uJst+qE4zIqP)gjKaXf2coY0 zdGu{=luUH>#KZ$SlAS6Sc5<C6=y2#L`hGNIm!#lth92p)6)UE2D970!`nyf_eJi_- zo73xm8LteQS{_yHzgV;IZ{^+ymoJ?EpKJ*g+*ejCcz?!$i{ib{ie?_xng2g#*CPS( z4Nb=btop=`{K%2oaPmcvy7lcTk(JW3|7mY+Y}<IN=HvI33k~geJ~$b8=IZr{GhT)l zr5=5vx%YEc4c`XqrP}fav#!Z0-js~pVm9qb^O9-n&CJ(4D3qU{uzpIJ#IfVL^Yl;N z@VcfSn55wPNb;1x0(-}Y|F>5PJEvvx-nQQN%xcN28|*C8W!$emUfFSF!{TLal`|^0 zHXUr<TFChAk|w|X+6?93<<GaV&E`mTjWJDLSkJGyx8;$O4C8^uw0oCNd)eqqN($v~ zV05?qYqGH7Y>Z>dlp>QE^ETNY`6qefjpeU@-Ti6tkDPgRlo&rwUmtKU``Zo9sUe$g z|C2e_GyCpoPH)Rsr$5Y)w_5f>ranTwzcliwnQV~b){dU|{Te49{|X8yd(*tTMC1am z<LP5A$#ydt8@Kjs)pBijPAID0n8x`s+^#2a$>V>?HE}}TTSXZkUbXOOo8|MqZh7T4 z1H+<6J!>9s{@Kg?qO@$@+x0hAcI=O<IT_q_nzfmeGvM{Vwl}}qLY`$DV>~?R19x#? z@hj<N;cNbUOz?QCw*6E!w`6<i%ciqN)_13DIeXN0DpQ{wbH-+Ut87M<S%UjAjVsS< zTI@Y=L`!G7X866UMXvlciQ$d68egh03nz8<7;Mv9JJ+`E#q+C=cC<Z^-y(LQ%}(lF z<OAozgqWboZ<W|OZO<mKtqk}2^fck$!RT$%e{8<l^-!kHV$H_*Ouh4}%hkBID_Zrf zW3lSJY@qsLO3%w`E$*3<)x}#UWp!i*>WD61GV@{i@k&nbLcM=?<|f{pZO$~W&aZ}D z{W#Z&ccx2n>(ZLCy=^1jD6~g3n@{lKS$aOF=+(vpMa}VHk1D<fgq!Uzs$Q4f#ui=9 z8D3g=GH%lemh>}6PdvZelH<O~aI?pe<V|y?P1}>~Vc{C2ay@NNp_u;}y`<mKsnz#? z2E1kQ*?*Lq=}ryztC>H8cV_W#opxFAjm|o8sT}h><Ck~WPUrcwf$Qsv--{l-U8L8# z{?3gIuBUBhQ^TLwiN05`Nm!G5Lw{1nt5zQUP>zq$jV1-pyX-Gn`%f+3(2=`#zBQZe zjj#39QxDzLcVd3GO5{9`!D+*%8M}hiSs!*RkzV#8_qey!BRk`)DWBucWWVv5t+o93 ze!efx-~8(JkIm$|z4T>V_sX*4O&?Ee+_@;%WFL#>x4w=SU3-3qtSgyv-chY=vCG=K z*7*naD(hXzt-7G5>7{Yt{PrWWUSFAKE%&--{^=R7#2lmgy*OT95sK>+(2QVSytaNL z(}lNhwO&3+)9y;x<X-l!(QuL_)ADVXu3wt&f4hC+Q>Vn=HkZUNDQkYLtV!+rBUcd; z609bsv9kX5(`JKR-*Xpmn-s(|Gh7LZajjpzZuPFMRX%6m-VCd6)Gy^Ku=5swF1RXY z@5lYYvo+=m99I4NNHazIXT<jvGm3Rx1Lkh^*Vx6G`udae%&?LzC+?+qUlP=w^Fz(R z=0f(8N2VgTl<dCVUUij!MR>!%r_Ek}Vh(L#5Z3a$Cb{P9p({`RAOG-FJSSFm-cNPj zoD<1a-we&}6$EPtX~_J4wwJ>v@9>R1mz~akOAWo^SHm~sol<AP|K(TBAAUH#RohF3 z_wTvKuT{Uj`SDyZ{H0;vve`Uem~;-$*IR#EpJzj8&5GHd`M2GgF*P!Jr*epQn8(5$ z@h9~69*>YqQhmH&-lv=s)2Eq-PdQijcstLPJ~f}~9~$;f6BeHD^Lw$c>+vtMmu5=u zP}v@@q_^o^>^;?8J$pZ%;c~5f^LNkd^}$o-32urApWKxk!DzfbZ#(1tt=~H0?`LH0 zPWu@5`ornPvoxGcOyq-38q54?T<+bm`YB86_PctDMtfEVTREwo43I5Zxg=&mg+fZq zr_PIe!o@50PAm`PVJuh4dUNC5iogvb3qHi_-SpMtmJ-bHvbU;R@U!w{K&d3F&(@F| zQdaz;l6&sW(#$F_*d)A)fARO0t;z{IJJ(K$U=g^no7H&koh0K<-S5-Q@1$<p_4Fe9 zER*ji){52Di|)9R9lHC&w97RwzFZVuv@!FA&Q#?(FPoP7W%C+Dew{J>eE#{l_T#PV zi+owa^6DR0@?^+&+-&aoSpK`FlzUxabMUOZe>_L|oY!0Y=?R+m;dUU0a{Yw-hkFD* zH@ikTsO+hh-|fHIxT#tu_Vd>j8Jx2-)hmiOEbH9BZ1_u7BjH!dKkr93S|8*@pGeU? z{J-xF|5-D>&6%sYWWV<d?MVsbOF6SjUEN*!=|jhZ!ihqbmTFwj)Gw(D_B*~aKcrmf zd#(G}p{KWt-$sRGOh|n*^V_yZ)8qO5eU>N6?)GxdW!>?`yH6sligl%|H(Q%0qur*2 z`8I+Li`8|_H0lgywxu)g=@vTtTG86%@W;@$Wt=(7#W<cdZR!o_@V&J6)-wKfPvd)R zuClidM6@d&W(=_De_pWh!fyS-O>3A6&K7?%z7(84YfZqT`vF(;kH<;+I)+X4Tx0L^ zy{ldIq*_eQEYp?O#6*^v?JC*wb5`1<-dyErd?yZaKG`s_x3GOnLY4W>_o8|sX0l?h zShg4*nHTI6v_te*`NiO{t(o8UN3NJ-*dx5HpzZwK&?^$g@)<nmqC~gwi3p`1Jjs1u z`RwC4J1%&A`mYyu;Dm^*#{~~{ou}&i7Mo71XM42x<@JY>tCl|0@_zoD>1FDgx;FKq z7ZtHq)26G=ICs0#TD~M$yO6IdKGaeqD9ov^qVUYaZ70OPu6X}g`J_?|Uv<`;Bb;Gv zc8V@rSMDz|5UW)G)bhPbC~C&uZ?n&>6gsIBx?=I4haFMRI($?P{+ejm;CAKSWdR=H z6AO<|zi7vK-rPGi<+DJ-yZ5*LY~5bd!?U*Zz{~7CN*5&KAKD8k{!@K8p|vb~!ScJS z_qm_Q{QpAiv)%3#hJNpz+FSxl_pS?CkZq*5x?+#{TFcOzeI6?&v%4S8o-BT-@aVlO z3Wfzzw~dds6))4Pw}?u7TM|DpocEQ>#I9csvl(yvIOVH8!H`k(<huov3~NtxadP!a zU2^CvirW}BB~p30N|1Zx3-*SczB`3eSQUT!CGRi0{!{tfyn~iI#m?@ZW_IR9l~$i# z(!MO78Iqy1Pp0P?>M`p%I0U9n^*?vQ<Aub1t+Z1eTG@8f`>(pqteV5~{+gV@$;Vj| zAKuSg{Ac#NzGB~6jh{&?<Z~ULan?VzzjETh#sl}X#Fsap<op@r+|9m4SB>{locpJ1 zlfRvCYkFZ{_`g+|?YPy`J#5M;y;2U-!wL+H_snN_y6*9AuTu*3wQ~+_4eyzJoSQQ! zy>^fP*@b#L@@6l{y1yXgy3drmbA8$}<OLpW_47S_f9AV_MRNrvGv9hw;Vhq_Qz@8{ z)w9R_4!6H?r;~yQ_vE%!j*7F`b_y<^RsQQE>&g`skB@2atrA&xvOc5f$m|Wb^E2=4 zG<df7>W#w!$`ABd8=K}Ct4V&%E($$<c2msEG_{a@wsx<ypPdM~Y@d2y(X-k9i|oEx zmK)g%&oN^DEvAqXtGSCyG~TeBThjPeO#Av*g}i(&N1kh_|1v-M_u%Ab)#ZVOIYysK zwa@z4I6vEazVO0ngO5CaV+<!=bvP%W6D66lYM;Md=be(Qj{2MGfiK&pH0)Y0^@RC! z(%vL3my8Wt{uvl`_bw7Vzu48_;3Ov=yHoLXo5CIW*F9`*U;FTVRBP1qe!*XJ!)mgP z4{VZaY<$GBP@q|v;X;#&f$M{Jy~>s~tND)!nHeVJc`3b=K5%4u=VSiBm&@g@lzTV0 z{QSygd$}TVww=Oao|q5`eSR*9iz@dN_kOx?m0_WB-=77)-iR6na-Vv&c;|*Jp#{FZ zwSC1_wi<k|=f8AbIQd(9L2TQ$>mn=kITna;I=uWNIL~sPL+_urlf^IX2>h>jC~Jua z*G$edQER;epUd7at(K2zdcq&F>ipWef0N%_vTextCCTw@eYNzYOOu3uN@REx)cR;N zcm0T4zwhVcWlX0IM|!*nx$o0lSfO(EaMCIHkk<3_m!C>qB<_29-@Mz^zc@cJoJg@a z{wijI{=!uq4+`BLZ(DQmm+aHiv8{S~3lhJ-RkhyM^{g{lsFJU4ufh5cMxqC^=WB*b z8MB@+4X`Wc=U~!X5p!m*d&`gHA8yP~_8MmKtc#ENyf&#|Zkv+V5|-s*iFY6I%w2zL zGuNU`Yf_C@C$lu~4VcKIQ@-0*TIao-Q-o0x!}j>dJ=y!qb{DlZwRicKip46-Y){@c zw@xZ`zhc|@T(-*HLirwBg#uC<^0wWy=l58?&OC72yH_`PRX(r3ua*9P=Kl9C&r_s6 zf8J{6^V5WPt8M81f|+yP_ls_Adj7e1xBA`LTjK>UhOF0EI3YhI+oRJ{t4#F&p9wL! zQY*A$KkN+XTD#y;WyI=B2lt;Ylrop!BiMPzL#cG*+a7kEJylPA&wlQn_GZJWQ?2ZK z#4KF0@3{3w9CFLm?&?pPUz^gmq_+6`o_*HUoUckxs{XP#opDvBQr`c+$XizqO}2?0 zTJC*i6AwE+46GO2(#bpjVcp`e^KD+2pO$n79dy~BJ8Re4?|0(__dfbDlmF$pd{-vf z)AwT1<_Z4#e7QCxPV<L)mo<A|)Sm6PZ7+1N>g}GcVv@UT+kq{q6E2Gf@tz0`ncZqG zd~0dzx?+=(!gIN{0ry|GZfvPKb#|J((bGdOmwXcb=e3bhe4SV3`bkdD-7=DXK9@BK zV@X|Ky*nW6*!8+)j|*oT9{y|leoL9M*Hq~&zUSOdxAdPECHJ~bzI=u0<7-Emo`(sZ zPknYSpHNiQ*mQMfv&X_OCoMn9^38di`e5d5T|eu8-B*}COM0zal2D|6V@-y4r>bsM zpNfg4{RffH7Jf@kn~HQANb^fpd-iHNaPaHIoG~<$`Lizh<73g&wzA7@F17u55ZOK@ zN#I#YfL7I^O2(Wu-enz@*127E2GbKWZcMkE`0=dU;w!TYjAAw(IwHh%p258G$gX=& zT}1Q#-!a{m!SR*9^y7-~ge`10)Xy$`KmXSL+|Q{`;@ftsEIeiTSk87+Nq?1nZqj^P zueCkts@-PmFaH0K^#8)m^D{lqPn+=j@R8O9*UhcYi1XymtJJ-<ZOz|wj<!_xio&^f zS<mq<U@FLzym4;2h;OV|Ln4DL@B4;hfyt396K{SA+dIQakz@H1>8+)DBAqISl_xu_ ztlDz_i}B1$9uhoN>(YXEf7svcxb$tJ-iH$tB0p)(UNNib=kcQOoh}U_X$Jhe^&AyH ztJ*&aOgUV_kTd_0$Iev`i~d(c=}zCVp~6zdsp!`2`9D8@XutR4!E_7fQ%}U4_Lv9h zUi@If&Cmbo=AE^R^~#>+J&oPjEU4f1&Coo2+QnN>AI#GXKCqJWu}6l+!F7LA=70Iz zH@#(H&P|OO-f3-@MMVucr>mx=PL)<nnZxsOU2@FKqle!=f2gFyHzRS59hd3eEAgoc zlP34~Npvn25zpDWL}iM%DtEf(lKtwvI=lANxWznHEPQ<VNj#rZZv(UIkJVwhU-oSB z^=Nl}8DDipirwvs@*6F_OHOZh9By9Y75R?8<kFNU>_z8wIr(DGJxw_C@!U=+f6oJ7 zTIQ_uY*O)hKfSF`=GV5n3AQG#7R&{k{(9ca@!Nc;J5fYvYw7L#J9xC>DylhF#pj&* zCUd_eZB9ncH&sQ4gV&ZbDfP#1+@w*zugrUYnnUi)7oWX7dxgTE?n)Ny(0LKN;JEA& zk2_~)bl2bgD$r=!u<T9tRbAx_!IepQ{{@)xjrShjtnx)!U`cUW@3iyEm38kgzJBuj z64%S0x(B8HUtxZIf~RDM)Wp(^o6_EFEjQa!N+<SLznb>0p8L!vw-r&&oNM1`>{|4O zd&O~&oe!D*p19BHJEwi^BgXGri}rDAep<H0LrFGq`y-Z44vVm(Rd0efianeaTM@oU zM#kgx(sKKm0`7nAJ7l*BhK6Ox&8t>0X%u-bTYTT{xzdY^mz9>+$+n3!wOsyHwAsG$ zR>4}6y>j1{J>6aX(%<*h{55whzdoHOa?E6U>(NNjugVV%j{aShGU@n*+?u;h3p)<} zZgw`9{e-`nWgY8|?9%H_vD~>`<x949a?H9~r^0^o#EO-wlG~3qY7~XcZo7HmY>UBh zhBn<pOf%9Pk4=*LeBk-qP^Rv)|NlL6P}}MJ;r9BIds&qyi*@`j>pL#!l4QeukL_NL zl9AwKHOXve$-772g&n>bWNxUX;%j@3DPh~(__~m#A6<Iit0Y?o-)W7Rd~IT+jmgr7 z^B<kvJ(GX-gN;syrd{fdFqj`Ap4z;A!bcZ{sJ1^JlZBg?TuU&W<6SKJ$Trs?Il|kj z;Lo$K#r&b$-0l8M6H=7=bZTF1r~X@Y#l0&IEz#NUUodA^<%^i@*U!9u6Z0+lztX&W zwboyEr1x#OtewWMQM2ddiJtSbqdrg0y!CAM_O56p`Pt3OD$A8yLUVTnuK%SNzBX)$ zRrPhbbZxGQXRfrxIzITg`(aMhO7C|Q*I7ri{aJcSMzw#onpMa4O$@)?RqRf$Skh_S zBR%VW?uFgE)&*r8?0qK7x1ax{_HE9m=RF<WPZanEZuyzJmt#k3bcblIgT8i6zSyMb z80VLBS+zGM|BTAdUMt1^GO94L^;bw|?W0Yfe%Re`o>INIVck}py;~35p6%zDB(XNl zxI63czP7Cg*0cXIeYo=SOvMwc92Y+NDEnjOg*%mwrGn{k8!FbA9?`awDy;bT+B3MC z?foM0YikmYdmXS)b3UnanBltAGUor)a|0Cl_vC)w^)}(OTD*Ql)cq$6^GkFBRE?el zUf94Ja<DnkZQ1w!BNEj?e7d`2PrUSPXuaU{H{+OQ`Z7JGT>I=VtK2{2Eu8fA_a5f9 zEeA{lIHb6{Jr><JnRF*7!8f=3`^V@<!E<DOZ`r&$+h6Y6yY{eC5<20A`5Ipo{##y~ zcHwC&#|*Xq+MG9ijz6|qd_Mn)OX*(@vmJMgt~?BQ^6jvA>V~`Qab;IF&is14;mzq= z#|n1-ib}qe_w4M6?0^y><NG@+IHvzHKgTom(eCT->aFH47yA5+^|{`^E?#YwREN*g z4yUYKxjfL+#U$bjL*FGOPuJzz`(Nw5oO}Axck>jf*n9j&%<dxhUv`$5oK*YEJo}HF zq~zZpCKI0ZdAk2KdbR)Eypv0|p74~*KX6{CwP)UhY7N~3TC4AQZ0umV#Qf%9mZQ1g z28L%(EU*9Uo@&_C5;s-FQ~J;5Ep<O;M(yQ#k{-gc#4da5K8_>hOV4$E6AuWu;4Hsl zjq&P>*DC)M+1D+$%5+y`v$9(0`ttCUg>P;iQMfDfar%O$ZL1vry}iD>ta`%KQ_8n~ zd@1GMxK{g-f9{nxxr_Sw)oq1kShzbc<|}EtIH}co-j4jcV@ub*{0A03Z&ro<^-%1t ztyCyK7^b+KX&zVW-HUqMEYGKRi%km?u5_K2xxP~MY+D6OkgeSd(fs4DimdnC5<UO= zvSZsWf$(*m_u8gZwO8!V$#k+@8F7i-;&S1R1!CHsq58aY&S+^QYrGVfPZBQ)$o3TN zh!sxc%&C6E{7-!LJ&`%w!a2W>Zrhn`ebaE?jcsK)x3}fqF58rRdt2_i4}YFNyjE+u zI&AH`l`G%PTKR6)^h~dZKW_(iIzKre&#L(NYf*ifn!xMpE>Q{|u|}Ew$$I~7IUL*b zy(@xaDi5;0na?;&%|2_>ryPMrH*Wa6*dYD5gy-PA%|9<s_c^vuCzZ*>P+xxiHRe~Q zZC}rw_P?GURcI?J=vL*M{!8=NrCmJPYIiO+wzx>1vroyMn7LBDNdLn-0nLAFw38*1 zf7RN4cp+Jn`1Pvv_9ScbLm!h&wn<mLDStdIN9Nt_nuQUo%N8t%xgvgimAr6zL78xr zhwzj$(l0sAO%Xo!TlFt*%+n7Noj-&hB^Lj1o-<?LEwignI~N{R{~34ap=Clu@$D}g ztqiBU<86I)rTIa!lfWZJF1_ECa}o+Fr`c@HDC}CNcgI4^IqcDW9^Ih*1va;uCDzL? znBrU9&s!kw<F|I@?%R(0R%^f5*t>K>)UwNRKK_gSFMkvX>&}UaSakDd7+bC9qlY%V zy{0XGF9IceRM|oW0#}yZj`%rKyX~&(kH&i`EN}bneKcnfGfA$^&;0jN;`tHH3#w-p zm@vy-{T#jMs`<+UjCa;Dc85Lta4<}G>3Y>}<5jyZ@~l41Z&$Wu!5Z(U$K=;52IkNB z5h?5w{X$emkV)}w+2jzpyBqb+8VP=xvfvV{>DA@hQdyH9E|Mx&{IaZr)1{!_e}~n? zl?L~BW=Fqn+?tRkp?pPfQ^d&wN$QS=<g|}oZan98`2OTAR#E%)x2#K6Jz0~t&xlX* z^8)^JAF~wQ4eB<f-rgN@&O~vow)*B`zY{EM4<+kk+fUTn7j4@zy?W;#mRZfb+r5f1 z4P&yR45OaMeTgYcy>v)h%1cl9<u55?`F|I@-hJ6BZy~dCX4FrOkIIMi1Q&05^;t_P zdt%3$&)GknpIzySwRzavzV5(*sYiA!`<AUI5;`%yc9ZMf`Ua(c7gtPTOnc`vpKqGI z!+Y23p+8@<Rc>NUnv=12U9`h)@6t7`=Qjwsh8O>N_3d}=xz1RrogdC<Zpr_-`v(jA zt5O{^<0Hqe2)>nR6<90qqg02@`9oThY}Ln^i`oT_taP-RD=2cj__YGxwf5%N7hL-z z1CuS*yl_2ouj5o#V&21fKTj+dUg>43R2O;O?OPF}i1$~S^NH3WRR$RkPBJ=9nKVhf zg?D!HnHJ3i<%=?l78KV$$lPgg<a_w}#1}zNM4JrXvBwmZeOh!rre!y$TD<Y}bk93S z=RJ+A@ZvocFe~S)cklaW3}-J3ygVKzRCOl6M5F!3G6SxP$j_x;xkdlX{doI_wRK8U zr0_DPq6nev*`fjqg}sk)MJ_3^R=mKK%60K=xBjoYXJ2~n%VT@$|LfM%_P;fyAEgDR z*FDR3%RVGNb3>-q62DvQZ=Nbvc-3D|R9NGBXh+f<H!Y`C(;BvQ^7za%GOvxYcdU!s z_kijDF*l1EJIi9{3$GpLo?qY|p?KkJa`~F38iDPTCf$$;GbxaNc7MA>=dCMx;=3-% zoL#i<;z#Kur>1i!OR{qFF64L^iyg1rtsBpC>Z*9l_kgL@3bwx<UsU}4Th1gy!N$jt z^}vfKQ{zisMJsTBKGCLRZdcA;AoG6f5);=0kAk8$9si^o+Zb*$Yuh%1B~vUmyxlf; z!HnjM7p^YaRdoG&*J-W`Cy&ie{WbkcX@AHa(M5meD;xN%i8-sF@SaiXM2e5toae<~ zZ=dC=yq&kh?xqg=&0iN*Z%Etz<y4U93a-0bLP8ie@E_o95L!}J9lAPwmG_fnOJ8sJ zddsu_4vz*)Y~WA6yKR|`=JE`OTy<7``Sb2_>S<OstDwlAm&@*Y>2a1R%)hZEZ}B7z zX3hg?Op{{W#TGAIw2h6Oy_x;7kf37zu}M2`zJAa6e7mHHyXfw+b`G;_7rt#mW=AJ| z=lYi2d$jQDG$B`^y{$HJx4(!<b)URtV71&@UD`zA)+c`DOtY)&ZlvZqaq<6r{o$ym z=MMK^e&@@M&A|q3&rF*7Uj6>X{422aH?yen7hWk5zPhNBtJ);A^iHg*%2Cgrzv+?n z+DFq$lIL2>v<Fy<IXfCYJftUaM`c_8b?sdr?y42dxskhe#boY4$34&V4{7tCncZ%< z_4%{tlPbdhr?VVAqk6(?(c-;|n;u=-cI(9<-OH<1+1JfpH+yA@tQpVxpFbOpO=ILp zF;nw-(e?dxh?Pgj1%c(;y##wA*IfB3AO13;I7(F4(o;>#s#PP6broOL%dkslZx}{z zn&0w>ujc4&@v65Gm$uDNde>9%c`57t^?t8IZ$CD4J$Xw|eqxky<g~BLi>l5}PwqZ* z_FTx@j<cKHyM3BOcD1j4$(MVQ%d9p|>3b3TRr$B~Zl8U3Hso#C+<E^Ox#h0;vOXt> z<LLBXXKv0Y5L|ThK-WL(uX);%U9U2qp8xC~$yR5&&i&|?*AoMp+3v>kTAdL-cjNH? z6C#^LqFF2$90h}d|4zKWWujlpO%wjUzIE4EtXuN)+26{5A31mP{=R=U(a$($`OW|F zR_e_b-jA(QJ7X_-#Kyf}_blMog{gOTT#9g>R+8V**Q}K<Bl*GMkV1mx%z}#>FWy}# zp?UZ0?9hP9o9E6Pf4TI@aXW+Dx`(TM%uZ}=Jkk)&?CH46i|uXq#iWIW22T<XbOtJG z1S;2g3Y=|hQQ<l>d-ATAYnGi@qVJ?$z$L86B^2qz-^d~4nr_e@pvusxHTmGF1IriI z3r-g}xk5-hPCj!_!Q4lipIDr9ZJqAT!|KrD*bursPFT_Dx%GGHFU%7jByKdFXZ}+4 zq-6C>N#~}=2OU<P&@PYN8fiVR?55<V6Bbg}W9u*M%2}f_XI(;cU-F`L!Y9*LujP+U z?#>mPe%q%*RrX^*j^1+CU2}3fRBKn|^!lc*aGs!eX;n!4+g)D1GpuvGzliRy%DweM zHFxU7<%<t{b{}5aQy6?`?Y8XeQr=t<UW=D@ygZ`1ZuR~0a!sMxs++q~lefxb>m;q1 z9(7SX=rOnG^yB+Af?}3OZ$ET0XRp$Z*057I%|%0_wL-Hqu1wS3UtKGIscL`yKj+nF zpLL&oR_~RUthoE;&;9GZ9J*-H`Q^Wv$=$luXRp;)uRWi#vG!?>&%R|0S{WA<au{}P zP@MclNx!tsM97LkN=}GLm|c*YtxrNuP)tflic3nCPmgDgPD3Y;piquOyz{YQ*B?wv zY=4~F`%H#qX6+YqQF)mM>2lrAf2|gL^Ks20CU!^1W$d%9E^eyy|DQEM@s~y8x*0dz zetl$m&pL@+adNox*@(hDA$*-|H)?to#eFP1Fv;M3cVPXA9YzyAsC>1~TbItD`AINQ z!~5AxW2I|ZB6{~GSBI-VH<dfIEI@Pn&D)H&2XCuvmAEwTpZmp6GrpN{UpKk*`q-C^ z>?;fFLU)GD(ySNBwPaS^^CR)ImuA(+oeU`!clWo6gw0*i^V=iu%lDfqQ*1UDup9cz zi{G_RtlkjDUiBx)ZtK3&XOiT2n`_qVEB7vcedS8pCHBIjaX~R0oj+$pB_E%1T_G^H z`%`d=?UQY33pt<f%3-*_%42=o|1%3@<(G6a#JBu9+`N+IXzIP?{d~KG6<l((Qyy4& z>|ipzsPwWq*#G`7;|m+bZ*JdpHdfg=<-qD=i;aw)t6#nq6V;s5$`d0{bz_RhpEHru z`nLL>HZl^eiuu)l`5cQbdu!)U$%=eS&hI)g@<HtTS}$n)+Vc9(^p=`zZ7K14viaBC z!*mZwxU5)t`h@A}1y4117jW%-WTJE0aL${Izj1S`Kb{w#$3E-#iQB9}Y?fylwZAof zb`h_yIp^^<Z9eaMrJw^26L;UZe%vE%?$OZY_v+GWKb>81WyM~nM$76K41N)FpJc9g zJoNt3`jtJ?ICmuQHg9xmzFeO-r>$za@O0@Y_ucn@USIa7CFFn4<Nu|fT|6b<)%WEF zm;PRJ^i^Her1eMr1KHTlyF^&1%)G;Xn(It(fG2N)O2WEx7ia&lIqtgVb@Wl8+xEQw zg!TTt(_OJDmc__6O<JsX!^B{nN%uqNq}<?LyiMs~s9E|&!PjS}e!QAr?Z~H5zp7Jx z@oH{P1^aDndePs0^?$fMneBJSk$~81m6OA_wk7RV-D=0UbM^6{HHwqik}ZtpX{pFR zn|0EmWa_WqJ-xMN?P8IWS)TX5kUr*K!1W<(#@2wR-rj#i7I?2#O+T^n)Poc8EtAT* z98MJruhUqWzg2AmLs;Sd_GgDL9C*QWh5OJ|ONPZ}Z!C3BEw#ES^!<u{$({145hr&| z_|0g%IN56H^m9K8=WJ7wNx9M@m+f8q-oEgcyXl<IP1@>`um3Okx-fNCNkZ|8h$L_6 zbE_*(y@^SFz#ILREy=6x_hN39Nf#4aI3ArZT)6T{S$N9jokBN+_RWbbb=-Mw@i!s$ z0;luZa}>XATKK!BcFx_t6_X!tQqz7QD17Ks<V-D3?!!7Ctuq#xwLe(NHT$@iW8nwu zC3EXHRLailygq}ECBo!)%mP<mkLr-kL6JTAEepgGzPuJZf2@d+H+f_Dp(4XqQ?`V! zYi4}%(xve)<HL{jsn6FsTYB!1JaB~J{>}L_0$XfL?iTdE_SBKovWcH~VYZgAGykMQ zfrOZqpBDa#Q?38@b!AM75wjgv$+El4Zq1&w|GadW#k<^PMTgBNUldw-tMo;6vA{f$ zQ}e11RVS|4-geKm=(?=>g|+>CnjFGcRwY+$IAD5UDQ~`gtNfBD_jl!W%s3v|df@50 zT?QeyXWv`1#q!%Nf1{Z9-=ucT?|v|Ef-Z}e)Z__ImQR@&kyc&jr#PqFbm{FGAsNS3 z?456%{wCf^$i&2KNnFir<F=@UEGuh+))h<quCm#1^r@?@Y3Zt0A6Q(A1UH{HjqnzV zVOZq*Jv{o8Z<_2xPpR`v`5zTGp55F0?(-WT>9~3g<{5ic>jOUsOts*c)qFtSPV`W- z<}s(6+xPt3(EjJ;hVXsm{a21N-xCfm_KNH0zR>bXcT?SB;p>GTwoYb#UK&thvgm}8 z|6G%W{X1H(ec@5{{;eQ*!mxMglWQ#BS;F5exf{Faj-TtsV{-yMET39)|Cprq*}rVc zoqeCP70WIrJIs3+qan6DHT+3}M3TE_!rM7hj>M!YJ8r6Mo?d%#S>*2Brt0T^^}gJD z<!$_l%%>+g!a5uR^OXAeOkWhZysnk}@I!5tSqyI?pL}_4?*fys+X>URe2vodHvZCe zu>a%UIj4&EDQL{=D@k~h6CblwhWCY`=<Q<lNFjYsrz*F1I-6WKE$30nc_}|VeO~p4 zd1Z61S(<TPjp2KJ?&_K3=ZEssj5n|8uzs1NIZ;k9Olh08kE@;#rw3cao&#rFt;F{( zGcJ{w*tMhctk*SzdwFi}U*ygUTvoJo&a3ZtW_Z`PwS`uH-^J7W_f=b%Y~phE$$=?8 z0$c|zE?J)qx$*zB9lMO@l7Dr)$L`xtUoOMyd&K$d3i*k@Om44QR`6<q`O6CeJ9Q(J z#kBv3`AO_AxnAe`KY7wx*S$XW>?YqLf28@hcs*{OrMByl_PrnvnOEiW#ARlk4fu9R z@zzSM<t4AKcEA1o_sYwS@0Q;EV)`iAf0ba_-|#g-I-QH38D`o3i7u<skkLMpbn<4R zdtr)m^=78ruOUv??kW@?n4s1z{M>;tQ^L7w_OCTNb|zeS;p=Lx>=72xmQsA7qPg@% z!HtW~b}y?=1W(#5)Ln1m`O0s8>&>tQu@CRhxY#bSl=naZ_sv6xUu>A=d&5L#cgxoH z-R-8Qik!MqE>=0S`~UnhT_t!;lW)@GmM?ltbLT8Q_9mn~V%pE9h?sq?emMn>d^Jb@ z<jbY?%0)ANn0hhW%<O~Ot%Sw>yqD6OL_FF;89y{T$_oZ<Z05Ti`|QrHjJZ2PH&pF4 zbNjc<{>ZBCXR`WfP3!ZgzveU4Z}3@eH;3s`WkKray_<KkR3{z`D7ePHrTK`9@`(<P z;KwIkzntK*Lc{ICmU}Z&<+l5UJiWJSRz6?$E#*ghy3PKV_&vCyA#Y><*w>0z?Ju9P zV{~)#ZN^jG?d_$<l=7E0r^LLj4!!Z~p4G~}$FFCpFWr7h?WF$f?VEO-t6_NQ+|b;f zz`iPK>(jO^3LDtguHG#B!~gHRj%4pXgFlSS&o^)VyXf@Y*LfMsuB~ws6<+5y|4f9L zMQ^(Np;HcvdF=8Q`pccK-w{74fh#$WbBD|0*06qtM6n6E3;8v7$Z|(tn8WE=dBp5~ z%eMu4tJx<jR*Pw<Y(Mi~*FYnwp(M=9kij&t#^}Nmy@yIp&wTWl<lac8-Q6wLFh|<* z2j63k_g81{3r%?9rp%gkVCfFCB*}~0-nBoT^u$$9Dag<8NL#+Zc|lh-%dPV#o{N6B z&@0HFm1|b!A%_o5_r+hXXi<yZ_ULR<UX7s4y?e`@Iks6w9f+CNnQmTZwJSb0m+yJU z>J=Z2U2UIDJR9@#%E#XYw?A2}vE|b~b)js^jMR^3FK3iqnSE}?X<sSk2|Rbr8%}(( zoUPv)VExtqmcPo+)8}t$H}s3}O*v@mI_nh2lU(-}wuRkK)<&<a-1tQKug$cGNpEje ziW&C@S?#T<k}lX=BKj$3*WrzCg4Ac`gczO*dh)bll3;EAoA*cdIz8-_`)%WqpOEjD zz{s)obPdPk+U$!5Gfep%`4o0<`qCWYRan8Kkv38O#lD4^XJi-W_x|bfd8B^g!k7A_ zqlYr8I3KE9jQi_lYg%)o`R0Rt8!py7-#jz$Z}*a?XP&5jWES_7ti6};^-zN+*KX^k zqXA-~OE<qr5M0izJh?cksB01bX?Oio9!so_ZL_Jl@pMnena3Hsu3Y;trSh<o{@YoN zVghrXISTD}SK{njb-t+EhLho~;7r|&o+RZ78_sJmw<Z=mnRh#RBA@Z*+a~cPviV&n zI{w8@Tv=(8YL=39bkgDfGgfFiHQ0VQqNiZ@UvBsRAVrnpV-Mxi6<<{<zg?DFwmd*; z`}T+pQXSj;nTp)BEEd)+c2T)5yyuZt`<;Ww#TzduUyESS`Doqf`Aa16%zsNwLB0(M zpO?*A{rx02t7Xq&_Y;e5oc-Q>d$yM3E3vti?3_s#A4bhrDK8Y;&bZ*nhxel4-rL(7 zydP~fT<cRT_I<Vv=loS48&3+gujjT~U3Y{>%W<|_LsQ1T#y(T29Y-0X55#%#?d;b6 zac19{pzK!{rT)%#Ua^iX>z#;D-tH*j*uE6^XD4o|g=?J<W2*}|vS!c8Z%@i%_82d} zcTnxw9f$Ooenvs>|5L0)v=;yDTw77HOM11NS!TR)^RinDMPDhe@$0pEtgN%=Vd&3w zZJwpl#_M}zcC~O?w)`sR`1P%Bx>?&vrj1u`Jo;;WCDQueitydh5AL1361`gGd-ACs zc8j>#+MY4JtbBXVuYWJ(uG`>yKaXSkg{nnWtcI1_rNT~mRs8pU$jCe~YR6X2HK932 zqmr3o@)-@TpXhpM$M)Xj!-hY-u3h|hZ=adZxRCKCzr9K9oZRHx3w;OweT@m69J=M> z)~gO-Cu{lJSF{DntK6FDtX;gQ@nP?g+WRxsPg3|e<rVvurvkIo8smGtz59Q5a)b+- zxjOEW-l?N`xXtX!_cbwmDeO}=+OIx+=Fwv@f4hbA58wKgDO9+6)2GrO4c<DFZmm;z z_MzXL^PGLA1NW=br}D20DDGBk&0kk~a_Q!&sviwv9tzF5BG{*4-+xcI+jBw-S5(Wf ziS1HBPF%M|M1!X8x;$-?rhDLOS5KX{3!Qt<-0V3sGt`LxY<qO5Q{gKoF?Tzw(*+lz zPhI^Jdabgys*SOKIe&G~vSk4Rd3O^+d1FcrFxMMIrJK#Z@l4X+OyI!gAIiF2-^#D< z^ig<mr|0ENhjaWzlXT_2YF-WDIsR@^x3psCt}G2nrOdM{lQ$Zuo_`rN<@2Ks$%#y& zl3f*tcV5drvudGplY{=XW9$aEPhQP^ns##G^{tHiH>|PUo#uN{^tPs8MBt7fHY>&8 z>cd8-zh-PzjF_5Orl-tcUL96hdG58@?kh_KAKgBDTKRwLGOu&%Eq>qnvSaBgi|PZr zHvTAG@=fRex|h1&Tf{zX7YhE)6o0y!>))j(TMlz?E1r4pjn(<%3aa(N?!5{si+dhk z+Bv5$JnH?DTdNGsr}BSM39Wtkokh*I-MB67HD~bTRoB<A_c%PgCeAo*Bjbk+iZ{aa zeSKEW{8P0>{W+8NkrSHhm#M8Qp4*dpHrPK_Dnb5<Sn7Y<nuDL>j)!|m#QA(WX!mZG zPu2f(0yYLop*;KMz1}&+<<C?N@pF>@W;d8vKArg4{Kk5tH>>mu4+?V1@;zzPw|?F- zUwoNq!S-)=FZecvTECvs{Cdl>J0;JvEz{!zwtaakabUu%!z~uIIv<|ch<si&`}o9e z9XYr370+D!Q(1Q`wMx6;e^hnrrAiUg1E-W8zg;71xUg`!vj0;)h4(YV*!d;?%=J6B zp*q#GevY5VT#n5XmN}<y{5MDSq*{lGW9(KhqncG!@@}D5i&g~Pp1Qy3U4{MLGpCo# zx;fGE)v3~Z#T?JRoxjMUs<m^ev-{+Hp<Q_!#hs@rA9x%t^Cwhy@6C-tS~v7equWxy zWc|-=?eS<|E-ZYl{{7_ZvH26&D}Nb$@=W4j|Lw)p`#M&Q!}e{B3UjLRM~~QOp`O&# z4ZGxLW`3FM$yyWqK`^z%-0tAn6K`JS$Rvt{Cv1~V`}#5WWq{|B4X18fx-N3NTrF8{ zE%S9*z@k@Qx7>{KE!_QUlZV&Q?kT&ku&%qAcRPS_)v=PJn>!QMJ+jlfWaB+Sv6)wn zcPYEid0zFyh5HyD{|R|0xN*sxq#sMYBE;h^iFpgZY192#_t5B@=vxII{j|vuIsa>~ ztyD@~|7_;v^PBRd#gncqDqk97YX0`;=3{0%SPI)8x9;QK!Tlt*<6ctHe1+;ME5y%8 zNd&2xGY7j*UENlonxXBzF7fbA&c{K)c9EtFTBI&#g&25ir=NGZE_R`fXZer6>wAi( z9qH$eJ=n7HX#~fdX9w;SHZe7{Cx1>aSmc?s$Y15_5w*xE^H2L;<u<4<7PuD`X0GvH zeZKIig@IpO4YHng1ReR(DZMg%6YuwblV<sMJbc;azGOy3QtgAz6APa#Il9KXY?e&; zBMJYW@XHUQ{3jh$Pd~ZVt|@tcYo?)BKKp4ugZl?LcAk%D`Bpf)%;~{%&xqnh%e8#J z9h$PynXlBQ?K$7uxaW^G%YSp&Oxn1!!sNdA%wrqk-m9n@8hjADzVd(1in<eLw)~8r z`N2v=aP3d^+SB{y-MyvyMn}+@^Uw6(23Ku&95J$gv{oW#gT>qO%-qxa7APcth`N8? zCU8-9-oxe0iQ8iz2QW?j*A=||<dK*Mk)Jj$es}r)-rt8Ozg=OaKef#J)wajH6J9GU z|8`*dCE<kR#cxG}W^2EyJuvZh{%d2&eJ>x!`kkqdvV9g8;`#H5?EzW6+aK1Q2u}GD z+de%a-DBsIWlDdw|8huN*pYQ(`@8w=f3(fFt2)gsu6rmK@VCLbztNBD;zGS!^H|l^ z8BWyfijv`bDb%;h!==mMUmj2R(<7_Q((ixKy!dyy<wco2VmVgj2EYD2)^c7Zm94%a z{`woPclGwaHrQ~^I8mutQo3V)PyO^|>vjt@_s&rBVQboGpIvp}b|#yOk?T5>rTSLN zixwtdFv?Z>BvF1{hj#`)(|?(C?p<vW%_}>_w9aMU{j%V(#-zyKIWjkk3wgXRdYKh} zyz@IhKq=sS-LVYgrZ+8m@wP#8_ui0R=_$Oz+Ut8}`mME3yAH1ncdB3vli*~lN?62w z%iF^}_Ptm|th?c7j%L=~j&D>xM=q^8_iyHuEL*XMiN+j^hM^hTx3d&BKKt7LwfV@7 zNoC6CAH;p-eYE7P+kwspD~}n>akA}>s9SX2Tzi)`-yHjZtQSvTId&%OP%O55(CjkN zB=7diXVpowVq4N@{atfj|IDoCC*^YY+kL!x;n~GS&+bmxx9-fllnKIZwytLXOQc_! z{#Y(PQ7SZk)0cU6FGT9>0@^FS{8dX|_gq}du!YO$ut9iR@s__u-Q|&)cY@BCruY@y zIK}g;biwXM(KO4#`^HaAR;5o8dXQ`O>Bx7tO#)AqmPr15e?jWyzgK+o<LB}`pXMgC zEXzyH^M>|m8?}~A%3tzrgA8UhwH%t^DC?D2`r-81Uxj;S$X<!OQyny;P^$gPL%YQe z{<eACTEUX@>W<Ie;=udh#>}Za-S4GVE)zLx+^f0R#IktR3cvat!HFh+{JD-*u<UC7 z;py{*xld@<4$jg?jESL((w^B)J!ELOp{6TsiILVKzWuAz<KLB6--@uDEZVlqJ#=1M zeTrcpr=@<)O?xH9vpsb=9N+ikRb8JXpWzaFEx(}5|K+2EQ=f0lE^VFuRVbO`)GE$t zWgdz$+_%C%<o+`bb#%KZ^yukxldAN`54NUMyxlhcVq3swod=SvNA;wa?U9W4+jXV8 z&~{7Y-G!58x>sK`d9mN-YCy*izxbfm<IWcK{!1QtG!(qq8+`fSd3`=69nGY!e_|4n zwGX}Yeg6siNN&|!X6qOB!T)Qkf5#73z3gYqaqmh#Ok{s|;3Grl25Szjyel_ZmUuP1 zh;6yCeu<$9*U13+-c8KAuJ21=l8N?teE!+zb3*BRPiVY(wZ6NIJ7nIxS=H^yPX#5U z=YEm8{bT8eK9eKY)Jz#2)93Bl<*f4S(Ayo$JY%1=pU;q$%5Yj_a^UMhwo@GY!$NpA zo|?zJZn4UWz9yy*M-Oghmf@2-uhBozcHYeVZzaabsfRTtuT?nCZ|!n^^0O&Zf8Y6b zfMLOJ|C#nZ4sK6R)V*`HXsFfukifWn_AJleb+257cHONIe09k#-}hzcll5;obA)Hj z2o&}G<h@34(r*5n-^3n2+<*Vdob<A<|7$Xsw)*vOyi_lr*z}8|@|n=0$v2j>^y<ko zUo$@1<;Sq_(0Mg$pD@$r1heq)j<@`WxxTCvpW|?5a@SdrRnPO83?JqmxqbB&<KyWk z-@H1OcKDj^U$2aNZ|A??p_snO{!z+NQP1N`11A3#%#m?0=39JqiPv@!-%Hc4SO4bC z?weC{l=qU=F~9n{Bx|OovPkh)%W}5;u{O4{Sh>&Ex!ppocDvVtzc&~4yjyP(!WC6{ zB)fXX=376SQg8YtgyhdPy1C4HJ%_krdv@~Pkgr{GoY%sfR7BtNrg5M8^|^MA=at(k zOk51fJ2l(XEp*>ceo+u(c01AFew1x?<>?oFlP#91zHyKhmpDJ|m#WpYWF7vuTb;6n z%P-#ex&8HG{xdBW{<`gV_ut*|gY&un={#%Boi+SS>)t)<Q+vXA{n7*OlXvH9ExqCz zC;#AQ+=KN}C(lmqwtSVh!$k7^+Y|R)CTz}{@hJB2{0moS^WQr6d;Q!_`{uW2>~~tN z2y9y=#Pz6YU)cBBSLYh)T^sc}J){c~551IPRBGHcMO<9w(XPz)HUW0EGfuIOLTBfM zFPhPHI`Pyq4$aEIwKq4<Zc(_b_57ByGxtPU3oUnB+r0SCCsNOSz9Dw6K*VD?i)(;h z`VXeb+yxpdm#esQZ-4Oq4R^jY@2A@~4pwR>a;-ZSrbhpG$D)~=F?aIJ>KeZr>YrWS zax*X6_uPDIY>&*hwO>6aAKn|P@{w<)yj5Zwx5F`mkHT4D&ypTI)RxUzvRU@z)`c6` zU!K@@mB;Q|u!()g?I;=huroI<>2|I>@^t!IJHxHtjX91+G0okwBq55c$*jKjseNnA zB4_n@wuF28X7%WcZjGKZz2<zC!nN}?H9b%N$?`nQS!<OgEbTer$lUy!r=GJd6uHd# zUs2)^$BZKjzTAua<0oIE5Y8ng$S*ffl0#3vW{x&b%(7F*{i~MEe3=__L;fz`W}^jn zOWeD3gl89RY&d@QQs{%d+%|K59r{}M>B&sy_NS*R`G4=8(t0H8g3&MEv<vM~dfprs zOWqoss+g=<>QPrTk@4mejdK1get$EsAL=cSDKI^~NIcn9m8DDmx9voUEscE}FEc%k z|Em8wG(ffa&pE-ZH$B1@YJ9L!nPPNjPkDohPq_^9S8=Hc-un)VnRhQc_$?*sN59F} z&dPJjy_+`gwtpfkbHK{x$J(@z<Dw4i8GUtHx$`!CPOQ=Wb?L{~Ip-|@{nJ%Er1*Iq z%U{3H3HLm2UNJuRYhl73CiT7ccYf_wP}o~k&Dy7E91|IA@L=Zq8%fiiU7oD*e0Sib zy<Cw$zt3WPaY;aeg<rYn_Sa0=(!BN+|4(%D&a=IIBJpJ0oriIE3jTk#(oYeL(6m<b zieft|Vt8`(>upl=uPS?;JZ0Z_LuO}d=SIUND}y&5v0nFTj`J2q<x4eM)>UjCo^4S@ z0X@pD^G;0K7{)4|!+F|T{o)br7kMW&cwRqqSulJ3v*51=x5UoL2}dq5x?`!Ocvdca zeN*+qJ2N6g&t#lezBOlJ>PC~x92_gXXF9KwdtoEJ=nTW9$VH*tjGleH4%1?Se_nZ< zxX&VK^`C?XbKm8!=GW1Q-KikE_n7^`V)Y46X4aoxxbxKYz&~Pk8~9cf)o2?FuYZ$f z?>V)hS@G*EX(oMziFWq?q|*}xxE_QsTo*ol_=3l8b~ZMD-UDe02XxeeeqO2FYZ=YF zRaw{h+%j#S0K-V5qd$sd?6g{>_jYqQEMneRzF_mgIC~KmLt$agHqlg`tGzX%Vk??z zA~vVBNz`pWS(g2-_=LjDH|qNs1!qhZKc+0UGnVhI+=4f6c@|_c8@D=a;rx1(<%PsX zvHz<as$>2H2yKxKR*U+0sb_{2i-^zL39F|~6L`?bJ<)iDF5hWRi}`{NM1IQO4f+y& z+SB!6zxi*Kznj+9c(i0Ze<|O#%41FZx5V&2D^FeG*|*Xyq3_+^{%<xmtM*qdE{JyU z-12{eoXEANXBXPnY1J)%E^zYmvrV6+--X?8jb;41pN(sVrDdHuKa-i#_X`={SLrGX z{?T8QwO%pG_3^KHVjH$T4SH4b`Q`ta!R4hpeXq2;c{LjP8}jxwoNk=aq|or?)%V<O zc~w{Z>wftxo2cyCbi~QoQNgisp*(v>!^DP3CJd?`0+S9o_c}Uy3Ym1Q5IE$<&fNIG zU7?Yoz-LQA{Q4(TZ*9C^>*OR55aP3PqQQ(yNe?ClOn6x!p~J&<<Ch87PZu_eUnN{0 zUtTnrF{8vv>KCWc$`2bNW@Lnvoba3wkdUGB>z7o?fx3kPMIjRuPE=T_8O{Avr4W0j zE!_3p+Z#7Rb<gI#jHvtlb=fob)j3TInm0$L9{ZfA%6an0`HVUIm9y8DG%Z-<crl`G zr)_F<V)&ztvo_zJGyC>bqr;n|)mdZT?4Li~D)YMM*R$`$+t_DY$X$~Wj+wf{u+41t zStgTx?Q_GV-|6q|KD2JqvO{`PHhg{c=|s^)`Tf3Sk-=OWUv0d!j>9<6yy?AfS(wR` z-?L|~e0A!?ht2Y{*U5OiRNOhQCzV&^sO+(6HgjU1pE_|xrMPs><9cP&9N&cn6ShbS zbC<H(>206<XVU2{Pc+U?{FbijJNs_t|E$&zC9%>H8&<ns+Y#vZ+$hh))9k_2XWJJg zx~<RInR8-o@>BI2D+JVT6cpZcIoit39QmT6{^i9_6DKGf_nsulc1N%=Wyfvicb6?6 zyPo)<-plvJ_D-t6<(nNrhuvHq5_udQH6|!tbWr{D{lwqjt_PZx4+I`-auK#LTqr1f z=#VG-7k-C#4;8u(Y6u+Ks`+TE#stL;XN?=gAO0(m`s%>Xx#-3uHbEh-LpM4uC{Fub z61Ry(LL>F#!P+EOt`?z3wHGc-cy!3Y*_G>tWM|%AJHEJ>L!6h6&Qp`ScKqAlrW8At zj^9zu+5#6?8=5%+wS109=)Y^-*{hoEAaQc{`Pa+d-Dt{mo0NBHx~pWz>6^S$KWFV< zptSJBP2U^5-|v_ip4@$ZnXa7Csqpo_vt)KF&A5G&H;b`BkdJ|T)dZea7pG6nBLCK$ zQ#R{~aCZ|@og<LDLhpOLe`R`$ywGQhX<{ZmUy|0v|DX8B{o>j8g)8D(`dQasJ6XMJ zz4WYSX?aGuoaKCfg9}ec1$$pV_4J&#+jmjznNrK%DV@7Mb^9OxoUK*iPvxC&o}E*< zc1}iStNEi%hx_L7yI$_|3|eKF?j7v-tnP--U#X3o7G`o<E)!DvuIf59eMjfZXjQN0 zM`o!u-T5VVu4~$l>mMgSZF=cbnPk%1%e8!^Kx}gG!cXF-*Dqx}^>YVn;PySUzqY=s zuba2`Q~aO)-O<){OUt<zEzD6$)2lFEyx;d^SiD#Oug*5})GxdKeXRWUdb*C*s?>Y^ zGk>MEtho?!@_cx2=C0G5PKsKby~?FxbL;63+q7?5cDHQ%zV$y3{IeqXmt^g7)%`CQ z{#-b*rhU)fmX^IS=W6#yZhjc*v(@kP#oVyC(z7QyAG)43T#$Q4<mnER^Ihq>Gtx~v z9_3!zx=r$v!8ShsZ|fbheqZ(XPo1@*ZvAevF1~HYt~umx`}NIFw1`*o+&!Bav!uR0 z3QNme8YS@J)T*LRiT#V#-u~%%xB9lqm7h-vGCS62-8+`I;nKM^-d1Z1Or__pNJv}R z)^UZ)Wv@g<=)TLD60f^oeCK4{m$`m>>V}M2*Gym4UGCq0$g%3QbpMyD*R?gyO@Ds< zI&<+<ziol%!pr-Og@nGCU7vpXYF3cUs<W%JdqXr&XxUHP6m#&<-qRP~-VwSP+RgA^ zk&~Oj#m&7(qws)z*b+-N=kM&UE$+uu4y!mGQE_r<ZU2|w+SA+9)pgFLsk@6)-b`)< zL(jIk=F4ukG_6YYS<RPjSXXYd>Y-$7{F+;=0W+?I&B$Gm!2hT3%7nnSMBkYLE9{ov zV>OAo61~Ak`()hI_&?J)Kk**QduwhR*r+(=)xAyp$7{Db`=vxZom%{YdqxV^+xR`d zg*DSHCRuv*o_N_JHSh7em)BX1VrR{?n|>p9mDrbq-(?e>ny;LEw^cf>YkyzC^t%N` zTUzGytn_(&th1n%o$r;2t;5=`lkZ)>JUGo8%C<3sC3u;JU+AUf+rF379KXzZUTyWi z6D@9e)lR-fB^sB`O#D4*&Dpcx3NPKU%nn}l&-%One95XE#~)X|w~Ta{HFM9ey*eSr z`M-Y}<xf^g<=w2a?dH``!{<pNlfVC9?VBlft9JUawY^3jyX79NOn2QDE>Or56n!bX zino@@Ey~Wf?dH?p^WCiHUw(A{6XTA1=l==I70t^kSbF}>(xXikV&8x2?7HgoQ!|N) ziPL-w_vbSnD>gVBEH^V>cTBQr>5K1HT&2DYc^$Hws*g<g#Ae`hbNllDMUhQv(=^=9 z+H9=oWS#TpPH{?A^W`Z#hv%PvozT_X7n7+S<mx+rqj%u-O%_cJw_a_zULQBdYGQm^ zqRMA8l@zbeJ<H;%5|^5uY<*pK`cM3EnYT%b+or8J5VTO_PHQkD`?g<9)g3=79c4pL z#G98gvM!kNr+Cv|ExvUZ@8$kkzs9u3>dC1c$14^sP5<&%j_2d_C&xY=v@2z<m)Ur` z#8Qvtgu=tqyz%Br$GLCM*{Z_j-^CDNe}Z-L*6IA8%L}>mD}<fyUg-CD$FSo__r~=% zz6BOdN}l3mcU*j;@IBQ<&nvAM-_@Gi-gu<usH?UAPTuDu()&ypx1Cff|M!_Q`|`{w z_g8k*_Vz5ferlS=hVsl+pM}~_6#Zkmys~4VO@*9>%mc;hqT~SH<A03~CCe;cn&w&W zJTY)1%OyMUB$;P>A4=Y?=eWJ#$~B$$87&hny&SBc=Y3L{EcR)eD&wLJ_r0E4bQ$+8 zi(0h0SoF^3NS3C`9oF^#v|0A}Zxq;^5Sm$)61QHC;hy*8DLZO=-v~X6Is9RgR8Cs( ziCWXQ-sW%m>wTuDNpK~qDY8mVzvekn;mSMJ_3!PEGVNhbKW5**y>Pa?ljqiDo0pwo z7Fsm*cGMr$O%GMpOJ>ip;QY+EO6!B_-Y%x?tc#Z15B4{g=jObxG(UEhxzn_jA-;`& z+{7XjE}0a_MqgW^drJSs?h0O2^;0K~R56IxUp>{i@z$|hi{~3gBncN)=FZ9Vnb4=e zzae1izZ;LwOkLS>u{9=VQs2TK*Jg0s*5W&#xnaW+xfcEE4bwx*9~DjOJ>7Bd)SZ`? zDwa;2o2q!Wps3z|uD+wq&5XNq5B^C}ib}b)KEN-uGd^Ih!nJ<Gb1T>c?3TP_w-P+) z7NvXV5<|`prXZ!IFLi}eI#Uw7x~{LwHOhIo`o_s#AHHqYpYIu8b&Q_hp~Dt!cp+cO z{Nd~4=7;9HH0kbcpJr|!_tW&sD|YMeemClBAEuu$R~4NXGEZ&&k!PlCafy>>o+?rQ z6dbIt!T)agy249)>+9rZT)V&$o>0Ut9^a6-&ftHC<{?qO+a?>0=d7GN?UVK8=YAEr zLKk&T>NVbbCw;No+(=6`*EcHQPiThx{N;c1Q)gecePUw6e{Am7I0kFk-<uP*R@5?2 zzaDkOWATFW9?8<Jk({sFgg+}x;>cjJpVK`r$;`^@&JmkiS8l5wDQ%shurz!MGk1aV zL62WCSJVEzx%uZnt?B$u=9yybH(%X(TqM7v{b{8oKV$FPpJ5E2*a|&5HuirrFA()v z?RJL$#JgEh7uM!~7x{f-{>gVoXMdZ>ux-OmPD7arC5@!bSFhekl6!E#!l2kL>jRI0 z7AM#L+zy$maU9+A8pHg8ubX=_OJ9yF@nBbR6}x8;EF-wrQRqtHY3XBihYXv{-&8J@ zwi1qJZqx72Se;mJbA;zzxxH$gYVE8It8S>ZioUzlDsk!W?_(?aj!pBMQ9Fm(bkd(c zEiBibOExn$S5GN^r`gw^_O&_wJfnJn0_)9=b?c>md2=666s@o1c$Ke|!T+0g!lSn{ zylPD~-qppQRBm>v=Wk<Xx__at;nyGi9}eHme_Rq)`I5QhTY`UI$!WV4@(&x7_pe@` z7vcXXL@EEoL4!Z@q8k;Gc#MLyOHS>{YY~`Iy|BBivHjokWg17#E5kGvg~{)h7k*X~ z8TWnH`Ny(*nKsLD?&pfWx8aKP{?D6cZHrpwws-bwoqJ2=oxMa%3gQ>%e|i$YK09*x z)>yuKEl)4+Kb*Kp;*UCOV&z4dKgU+@tDVi<eJ_0Z&dN{vXYWi4xmaszv~s&f0{8dE z)d$Sg+LxTYP$W?ocUt36;WnrE`{sY#)g|6XpLMvb{c(QuikUNKSKQ_Qf9$d2G{ua$ zUuD0(&FwK%4L&9-@s?XElr^+feD&YvJ+IWCG*-At^gc;V&F<lQC3?xy`_&Zt`^>*q zmkakylsVCMzQ2vFL-2A?fxLB}wu{u7uct~R1Vd)9-&Q^wc1V_gW_k2=rmH-US|!h{ z+;S+=QYq+p==S$bv;6B8zcIBvc>Um<OEO;BMfuC7f3w_mW5MdCo4%2M4HY?b0`=`< zBMt>_edA)i*7kRl?#If)EsY1g>kVowgW0X8sQ&w^o$_{qp}^jyx(^m>T8mZZhy88k z$e3+f^T<XZ`B|mq-(!#B4t$^gsUh@=x%-1X5BlDvX`Xm5@z(g>yNuX?talPc6}>tk z2?cMAuRhVe@86TLnCrw@Rb|oFYYy!6d%N<LbY|@ROTSLWavTtjxnB3J+DLV7!ETPH zZ<Q0gtv5&?XYAZ2xH$fk@tXeu{qkR{_L&&AEj4pCE^N_wa5;SCYqcGYGfww!d(<{% zj{cE3Zw_Xu89WKCE)Vvzb}!k<!oTCTs!55+`Mp1TUsf`_ek%Sv>V`t-@sFBst8}ec z7wvskFnP(;SL%)jxW$zAJ+I=stJqcOYWcd(_H9_2&M%pRzKb-}*h^pVF!QJJZdH3- z^|xCl(8zk)Pvwn44r_%p-7cTM$d~M&spWd2>F^7=dzCkzsC?A3x_;}ciRBY<iIz#H zcQ4R8-eQ^ha^<fDhptE8_Pcdm{>Q(^89A1T``BaG&wSUM=Eu4C%{+tun>hJC<qBQi zFBtTdSK8-uoMBOG<)p<|?H4T1`M7ia2a7)WCV!0+-x*ClXl>kd%G2n6toJ?Rb?n!c zur_L0I3H0{DQs?f{?^KHznsQ?mDfhf#U0x_R1a=EXyiNTZ+gU^`Fl3ioS!?pYR*pm zZviC>oT^HeUQTLp3tYowBfVXFdgLeFDuw6|rToe716foVY_i|zZmKsvqV#%$jB${Q zP%GEu9c#C2OpfD^Ikn*0o7@j8SWo@<TeXVin$3pOJ}#Wjif`mv#H(AvcHdm1Fj;!% zu1z&D7pKd__@-N#w4B|>fB1-=+4dRAvME)buKcQJwropWs_QA;I!9PCFy3V6ETbI{ zZ0-cc6^qp!d#Bs(Rrh<do-L32QqR;2j-Bfo48PRo@iRO;Y_jXGq1_CHkIuR=Y^A?M z|0Rg$Z7qmgaC3cMLe|Z#y^|Q0Gupc?{r1B66#I)<2Q|~}Kh`BK6;E!N=;T}A!aX_b z)#+v<bxt+CHO2Lct!Y(XJZcX9vd?%EDpl(@Gqb^4T<|G-6z4xhwZ~sOJf74gUku>p zFu8OkwmT&0A8X&Hha!oh#=n*yIOWQ*Y<H!K&KsM_X4{U{vshVt+JEcA-if(gCyrcd zHGXvIwCk^T!4I{4c|z?3grYz1U100=JlmvRTysmNmS)ntPw$0`76jJo@V|8VJELdU zo_vPS=d*f4nH?8=mVZ$Yd)p%D>HF6U&R0&b3cXj)FSzCC8`+JI=d79i(Nv0&&GYR$ z&P5we+ll^B^s)P=lm2(Nj?Z%UMM7?A`}^($O1|P-#QD~}H|6Z!qA6O@xd~0$A37Gd ziHq4r&1Ko0JbkBL{E3H(ezvd5>u+}$S>!%Qf4}BvfpVxu!#Bs~pVd=i*3Q1UwaDh@ zotUBkVO`5$odr@~KYtcBzx;En>&}N2ITy>$O_sUPWcxQ~!xZN^lYBRQJwBmp)4Nw5 zV#^NHZ9K5}6WhEw+xFb=3vGUV#zEgQ=E3KUnvecY=$~k2lU<b2U9Vblxj1vu>J4{# zno{N3UY@UPjo)%fMN;pqLU5&$|Ed(Pc%xJ2EqVUD>RG9qo$sw|a{ZtF<4<d@C2`B0 zTGSyH?!R5r>B|mDrQh17Jf)s*>sn&n&PkM5);ttlx_{OikNLX%d+J{l9bxV&vAw81 z$#t6QlGQ9S7al9l4L`GL^2h2~T&9T=i}ETKZ4T-A_vt`;^#1I*r(SQbyO(6YeqvnO zlVTe_<;82aNwGTfTk5IqGxgZrw)(^CeCxS^AHHhoJ4ft)kQE{!I@Nzp<i!`S%==i> z54XSfzqj?mm1piNCv(4hBKt}CM}xXE%bJ84k5^9ZHWp73U+h0OpW%@wi&CTU%=gwz zhV{h<K1Cneoe<bov%RMM|E||P|1a<D+^I6@()Q`U=ZMz4{2O;r^+2WSk7kjh7Xywb zcJ$R3J$cDBU6Auzc22Nq_Jc_c9ba!<&NmS-2>hp^+?9AMCMwu*<NwuJ=8ryPoZRHd zbM;MOnf{IcQnIlVc~8q$pN?cbxcdFiaHEtp&l*y_lNTCwcVq=zjh?Qx;@&!AW1}M8 z7k&~~n&w^J&1-no>FD%3#-BFj%k4P6YzAX-^}DhUYcmDh*dxVTt-95#x9&7Fwv>Nc zvLK#EMmHnuWw^b#r(OHD?-d7xZu+O~Gw~^u)^wVzn65pu<XBb3f4=X#qE62KV#}tb zll|~#uY${~C8F9fvm!mxb%diHIcU94bGg5dm+kR74!a4Wf(fyQ4lnME>Hc_gji9CI zqB%h#Nur6i<rh~3D$EO3id%NWLf^%#kkfUEpMCvdek1KUzY}dEo*oxmcI`*kt~m!j zHvOFNV&bf4wz<74w|?K*cO&)3Z>5Vm547`sr?@*T$?J(${^&7lV}_k{HG6nWc$H;& z&;u<^$&(KR-cG-=TVB{
^@%2w-FbJ@=~cS<LTp7Y$|8WY(mI%{WwTi=!tujp1W ze#z*snRy$e6W1n8^0;&V_xgOkD=xgc!doA%&%eKZ^$9b%%9gAn`xG5GnnN^pJN|iO z$R-g`<nEYP<(E5~m&xw&<Caf7mbOXLBr9vC+`PZzILlR**VZg)iAt-ZnK>`G@0|Kw zZ_1okwdVz%OCOYbe$nj(?=}_D|Nmw=zh}NA5NsZ(_K8dPWaYml&RgbN#QjKZSAIX~ zjDnr?#Q87Z`|0h!ArPtkgH8I{?XS*`w_PkFtx8&ML>LMmObS%I$Xuxz@6N9M@vpbl z=C{d8i)X*ima_O%-t&)9j7Q?I!puo)ogMZ2jKsq#HH_B1oprMLd8ndYSaHpA=8wno zZYf;O_)&4X=;`~r2EE^Ayic;TO8z!0d-->cse#6#A9H&Gn-drB<DQrlFMqah?bJDS zH#xm7I7;Qc{(Vp-K66>&+R{h)wVIDM82w3jXENpQ@y05Z8>fBbcI2Mq>fOz&{IsY3 zl<=jOZ{K~D4L$#BiNeiH$C{T;0Vld5cjdc9$*G0r72o(-R&UGHaP^&nN3uxYDk(ur zu03b2mwS3>2Clu4Iz1&OJEBc0I7OjQGvfKN*#=zxn|Ez$6nl2;@rUs92Nz8%bNq67 z8~?WjvjV=WtntzDzSXjGdHKEjEO+7_JgwQeLi3*88o`;e>-{!Hv1GG}8M@@S{nC;= zrRkDkJKsv>P)3wf(d*CGG*)m3Pf0MGaFiwM^P_Ox{~a4-I=QbLs`>LlcIw$%Unkh? zx|31Mecw?2ZeH<*cfN-e4xa7X5HHu;t-34e^#y?`^LEs~5xIPzBzD0A37bP7C-e%* zZc*5@QnK`m`#on{=SL;lVTq!CWtHdexgX<Nb7kEXjs2EYYr?mC_qvtFcAtM7yGvil zPtN6+@YYrzOU0S}*KPO>-E(4{S=paYO8l7j&+gCcFTFE8Rx>6j{W!hsf0g+8cT(lw z*nfUL6)vHnch%#|osZUR^Z(qsYW95M35}?A*G%r4yl=X6jlneMg%#VJmy=X}I=ykR z-*q}(-KfTWmP>S5y;pH`;-bT`o-dxREc|HDBeI9@M4^b+^J5H)mx_H~R?bw#e*9ia zE+<=9mcOq@$&+uQ|C*aw4=m!{Rd!R;b%R`(iuDgkahK4%0}kzOn&A_6Z4X%|ENRUC z{MA~S;+g%m^E*O}cCB15A26{mWWA18!;+<<*F}1tChF~e+_xylX=z4xe2IH<!6Ds{ zvy%3Yi)tGFpZinl<o07~T(jKw+P)2+B5R8r|LA@ATEP*hukj&dRhymodMBY+zF2qd zZ!By39F?Z(n6fk(#2PjDUbtT*AeXt`YYxB8e2(vGe+nPArpc+FvAyHEtL))ET{HX8 zDK6?Ns`KklFOSVw;>w`<VyW!Bw$5+g7Tfqd^qat4#<j_PWra}O^TXRFnN96{W3IPv z{|{k{kAJR-yq<kBe$oQ3MXmZ8S6rg5amvfNK3(|3Y2)_~4?k+IWQ}6v%$)dhjaiw! zSjUn@(mWk6LM98zd#~=^7pv4TWlq_mr3)t(%4{|*{k(1c7ZU|0r_Ecgp5GjM?)a`c zn+`2r!8#3(*8FLkI>jReKKXgP*z#vts?a`Trr-PRlskHOX0GvNi1Knac=0vW=f}YW zo{-%OgoIPnf+o3s`^Hn)AmL!eaPr*twTI7Jo?f{sdB@-E;*8B(+X^O6p1U!$u!2X~ z({vVB@Qdpkc(khym1V9LP+2M=$;oMLY8#%NmcyHOsiR||+rous1d2<Jq;EV@^{t>F z<j9m$0S4xtKD%17T70@(rgC^LJt1A_^ho^3uF2EWryp()Tz~P!t^1}|*-kxLt@m28 zZ4KjO&)jcskA<FIuNLrOm;a+VUvuYp$zA(9bNTBRXL$<cf{cxeuU|Sar^o7=cwX4U z9Wy^Dg~g_K6_x8;6ufn#K=?{eOUllcA1dKDBr=3~_egKbxmf1vC!{_}SX2FIa@%7~ zcPsZ#i!Ugzb^dnvgR>P+&8afy-@AD4O`9clw6ZKQH#4_jw#{zV-7l=J`98lU_*yS} zYN_Hk*|(;-50~4BU1EL0Uv)M|jg$AyCzV-;I^I9((cqjNHZer<&Duh(AB<1dykKNi zFk3jubB0&PsiLV%`?5k+15*`w`?3zrPyYIHwpV-VW3S@WqEI10$7eqayUrzY2}w^n z#bJ2*kXzS@LmZsNLP<9lp6Mt`?qZ&)9APq>apFR2#?bwn-cOx>DF0CT+3%~~-#mYN ze&YT!^{V}A)c4L;$YF3yQG4OA=>PE#1=2ynVhQo`DGS<67p&)(SSx+k=<9sN$tjW- ztt1a^I~5Ug`oi54ij^n(1CD*2JauhR;LD(C>lpKY-r6JW|EsUQ>$t!?&Xs(Q{#!yf z`K9bV^KzAl=BdZ2x|1Zfzx6$^I4#e!opV)Fn_Lf<*cCz6r0Hz$yB9dC<(2$e{>!-b zQnN(B*IypY8@0XJ-{~A;({^p<JSE=l?vggKWur(($AgE?OkB4%7T>#j@z3wypT2Hf zc+io#BVxlsMMvg_6AntnqK5(;0v!Iy8ktx;TB!Bof;30Z%_io(%A3q2Q>3@NFu3vm z!q;;bOLGH%f3P(4%elQx`u4WmX!V?&+gX#2<w)J$Hg}ohW0TB%_p0xI{B3aWy=3;A zy%yJJ6`%im{7~Jt5}BSwF2|LVz0w3fcf@F_t2|k1>Qt^?F-0WPk?ZmfzV#P%mV5SX zIGP!C-tLo!fvmY@fbX_8t+~PbBAu_za}0gi^K|0X{)P`C0W2SOGz$iB?y@u9pV47! zd0*i|&mz`YTjoeEs=BbtC3V>f-&V<1=R<o-X0e^QeRF}O;=h@!QCg24D7Y`xj9y<K z{d1bj0x|wQNt<nY7w(_&CgpExLVwG(ipk5LyyQPH<H+f&|Ai*^Tu-=tVx5dsb$%IF zUcslQ(JSW7OfPmi8vT97N<;tClVp^H<+mOy|1&K{|4yk;yNhz}Uc=)@W1l+TJl@_Q zC~z&*MoC|%u`v9f<pTEI%a4{GIQQuIdFxLXO>S;JcH-Xq{%x`5PPH2qKc4Km`uVZ- zj~cdJEl!oCGkh&;tKU{~+8W$=5`Vq;@#?u(D^E{VzVRzY;M^|;7oLPC+wZNh&p3Nb zM*pulcX8Ujb!L5Q5=vM&-I%<LOSHnaWt90CoomQAw9D`CIxkBu?wA&><0s}CMl6k6 z{mg?m=zu}{#y={1Ufl_iw2}>0slH=3#dK{zN`a8%oAj?T7tU|_yZ^i1h01GoI=#Qx zGN$GWsJ^*#*Es*+Eq31eBT-9|>ined`?kr}B{mq}PMdQ1+OtR2M?4?D$h%eQaXKk- zvF@4eO)rji6@Lz6<=X%5)#{+g?5hV^IfK?6xL+Y-f7-YvTef0ae8lACZ+wb^q@Em% zS+?Hyi%5ZYn5qA=Cx5kT=Y%}=K0mE+TWgiF=g*&4!<$o^;~TrBxQ{H#p3=?UA5pq2 zpzG>(O_i)8@$sgg>gFs}Ir?00hV=%fk0xIV*tSkyWVM=kxxkrdr*nzNJT{w~oO?QT z<)VBEt+Kigc`FT`t@Fs3^u;30qVZ*noV3o9xV-w?raz7;a8y1yzVM;ky8U(YEM!ks zgvx52+$uXsaKWseyTAVN-21ljyPb(y`6FJz&_8|-tDjw;DE@8>>&x;VkLo=(dUdSk z`t9ReJ$JXn|7gwj=ezq@U4-6={x8XU@lY?}{BgVGZny1sR0!U6F$#Z`UNXVe!7P8{ z4#vCNST4J2+wLmyPi1X;nyCM!i+!5JybDo9T1yy8W|a#V%iovlUHIms%9~l*y3AJ( zng?9XF??M0yMW`!+6+~mjsGX#+pg4+w914lME1HK(@aICs>O0Hmjpc)nnp*6#<8^h zsF||pw)_4k5fN9MGrpX*571h7A^du!!x?)vo!hDor)EBy)$EtR@%d8jvUBHiS8z@; zUFe=!q^S{e>%^8d8b*_x?-vMWb#y+FW(g7qy7;!N$3rLQreaCkQN1gNczyoP5nhrj z_iOoadxI6*-51UlT(BrQT`@pM<Y{ijh4*3#%Y|qD)Mif+GJ5vW?qJoyQ|sUD_3M8w z<>h|X<=DAr{1S`4X1z^QJuK%g^eq3-ycy1E5%*o+zGPBVR9d}Z#-AVWKkCE;9QY@G z>jV4WS?`Kiuc_I4Fg*M*y<$tbhSJgbCE}+$UMRnO|G_6O;J`=eKZ5rqmA!<T5+2-F z{2t=Us_1)Pk@0Fmev+kmN7g^41vg9&q@VO#t!T`#w<}3@%VhS*CGWI2Ue4mr4B~w* z7d4rE>OE}@Wo_Qj$Hv^}zOmaBmqj!`Z((fwQ@PVYjOkqDF7Lj5!a*M<AD_N;ThyhK zud4Yz^r<M!sPAMh_mg_i{GZ3jaybjPK<#`s_Y|fVZ@;YxUAK}!B|^MnOL_>y$*24Q zYY)#!5Z$h({_jxT)rVpnzj;@!U~!W8q$u=g*{0RJ{U7Cob$Dbsgm<+uXe{Gzs65+# zFPQfVhwe)WP0ydVe@UC{IB=@b`DmA<&Q$vog^xR#IS%h<-nvHlov5{Kqd~mj@2-=v zVmdF}o}7+%n2~=W;p>Jbfs*<Jhp*Fb?#sW+!2XLV`%<Vf!`Dq-ORty+FMg)LexprP zL2SR>%rlK|5AQz}n0k_tqsQ{d=ECFbzpu@CQ2IjYE2p<zqsIG>2U}H(ug-4bICR?1 zAc3FBL2fgD&vB_saR(g@&fK*2iBDZ)&%?o4wr*9j*aR__o8f;ndDby?T;V;V^;4v5 z!{sY_xAsU&HFbXNJuJFQnqxWRzkt7cxC5eZ{c@=8YuOVS@{prhpvhJD=VT6ck6rQZ zY})JZrLLdKrJq#YwD5`RF19}mN!|k7iU&S!P;WRn>8tOJb!$G#7OZ$7oT7K6;+*J{ z8CEjpPj0EcnYTpw<won3FL^sTPP3}mB&~2a5s=uI(tGOFWFuLgh=?Ztg&h^_6T%Xw z)wWhMy;@;#;>!YCr>5>NlbBB2O9*xP>k`i$;mLS>M&kEG^Cb;+(KE87f(t(sn4YP4 zw2wt0PcT!lR)I}mW#5`>1`^yJQ7(Q5JC88m(&RijNBN_}3JuQF8x%Pl?mTH#ySb3v z@#Pa&wY?ABoW6D@Onmxz|G~GdAG&YazEjjTx_G#M`<Y|R+g7hQm2jw|p=_z8C+8u@ zfa)Np6c;;%ANvkS82+o2FPYpRW7rX&{i0!kl;M8G@T?E*?kvwLluaM#>Nah9GA-&! ztzh)oauxo9m3^BTtY`7xSe2sb%-H+*xAU7&<@Hf_Kd4otJp1@g|B;@?;&#W9kd4!G zFZ^$O6})wt=fc{SMN>7@KmWPa$mn-P`28l~^4rHYh#f8epQE1V{?CK?Kvl;c_o4vC z`Ii;@7ssq(`X$DBb<1@v2IE&QS@|y9zON3fX|;Ar-kckHRWvnzrUSp~3$1(eQ~Fds zawygCr%Wnn<fwhO{?V5Y(lgZ7aR}`{vD<*LeNLcm#*^d1CRH}}O9M?7Puuh@l%at; zBy!44rUT7cTh175F+Sp}S!QJWp6kjy6Y-tPvgK-=7tMD$$*9NnfZ2Ff@U%Y_cct#c zY;IewARjzS?{O4+y>M%P$;nA)UbHJddb9jnuG6BEK7tQ)!r#@tV0PVo!>@#Y^};y0 z6E!u>?(rYp;~0K3cix@c+p*+*)RokHyM*UU%HAxocwwGu`E|jSi?)@Ob<!ON#jJ(v z^(S>!HC=kLsMR3B{qKn_iFbdx-)MJZeXIRn`uc;UZ8LAo>`XWKvAle4<~OEg+wx|f z=E(W#S<%(>V^M~2$L`vfr`wpLIbPr8crG1(Ri*5mmfFR-K)%8}&S#%3qN+mrqVM=y z?67teVXr&coAkBkbMQ%xEq|<Cw;9d+saeeT&Z?=vMg4PxYhT#Y@TKWaZ!SLQ+_UBD z)QJkV<&Q$6nH<k29==hcwy<d5`Rm$G9b-9fyINiqwG+N9n;Oa?$MN1^2k+_1sfYI8 zyt>2d>f>40<8#Zz19P}{-?^m4DX;rW{+X6_W{Lj9+1mFn-dA6ESNroRzAFYjTA2x1 zim&E`R(a~4-us+IKE?f!pzlwf`xDQ7dHa9Ga^?GM-=~T^)8P9YStcst!#h)6X+!Zw zzWCGPh3+hlht}V`y8Y|s<p=)ef0i(l<J-K@??9Weme9V=GPabJ?#o>|m&6=wv{H}V z$s{{fT$7=gtxmvzA%dl5>a6VtmwKe`d==vRW6|Ty@lM_LwkBmQ|F)=}HNU*$L7dl$ zyBxC7NryWfCu;3~>Q{8edX;Fr(RnS`+&y3O6(?<#x%^yzO2b~Y%4O%pX8$jk;}KL* ze(eu?)u;aflm5zoxbV;Ull>AQ`Gfxryte%P^Ze0@|N5q<e(YBf&Q(2mH`*{L{EcwL z$LQm2ew7#Qu2bda-QiOZI%_s(FK6%l?Hbwz^(Tannky+X{(t>2zVZKukUzZtIW2Gf z(|-2${+s%`r;m5M{E}~W)o`=f^5|#x9piTYHNI4@x9fjuiIdffZL+VI=4?%!Ki&11 zOVpc;tmsRwOJ78ptGArviLCo|F(lsQSA@#4F3J4Vw1;AE>qEUNR&5RmT70V0-l$CE z_4_Gh9{qQNzWm>obZvv%O5XICPZsAci@ja3jCtk~t#rSVPZn20(-%e0+i5uEa2lI( z-Sd>!|0Q(QUfJJ>_{*-@`M==HdcEEMU)}h--c9nq(WxCv%cRfrOJ2JzWB9m6VpdvS z&+Ck!sc(*Z%1O>HNj9oAYhY_G4d^lbytr)Brp=2U*_C+e-HPe-kLdpvX{xH4#O~_6 zC}aM2&+p&9aRf{>d;9eDchi+B7UG^0?bzhagLJ~ATXOQIe0mZyQ+CeHYmM5fC+m5C z=lB2L&%Er;6Lzz>?^fm3ua@NqeRlhqxoDxUzpE>24NIPt-?E%S=D*Ji>V5d;zTLJt zXZu3es}Ih7<~yeI)k^yH!<{!B9y<TMx_Yl)Q9*^vs;AfEmlpo}buhlYK-)RM@9w59 zW!YHIU-CwC|NBd*=ayfYE^+DCZwJYLv%bjx|9N}eYx&R9RJiAuuxv9fn|6RX`0?zE zt!s2nu<w2p9GtjdVa4KxUk^D}oH63{Y&cjTpk}y^^HqHNgw$)9Zat=Jcd>Iiw<RS% z@MbvVtNHM(h{ES=76V2B0|fy^JvDtbJ#A%8H8nL3VPWy<6NDyDnl3E5q^av<hqtGu zhTuY;)d7Fr76?5}^ot1opJKuBKm5YJ<HbLJJ=ZQzHvT8Svf_p8L>KKt^Ldr0zFTm< zyXwz7pV!kr1mD?zx}uOpbCNZW$?BW^sXrI3eQwA6F-Ww$SO08G+OsGzF7Me=wk51G zrSF=)xFwsso8NNMYIR@4-$2o<WRBa1qIo=S7cyK`F0*sts@?gl)WxH1r=r2;UlB%% zf2KaVT&KJ_bmpDMH$xXaa^%&Vwfwf)g_vtgmso%H4=VW3rGN3I)KC8#|6W#Wv3~u1 zDqA@EU+43AA7{+sSyk|7=b^~dL!10u`g3c9%K28s|6NsG<IFKHIBL<Yw08^MetdK4 z#9U^Jy}tz>t6F&N(^*-u^|M2JxAqJ6NCV-sZP$NryD>&=bly<^GOp*KhfUY}O`a!y zJz(1KkhRTKtyQ%+_Sxj_zUvR$zPy@rm6^-u@{UF8^5tGQ_uC)NeiJbF^1SLz$*G(d z)g7L%&`<rnGc3^jZCwiQpSb0JTL1oiH1+F)S<Bx%Ip03z@-_Dl5&zz+KYexX`+nh1 z%VUMMU$)<W_3fOh=F@K1?mK;bY~ei5wPov+`q&e*6h1g?<2>6YQPt4%dAG=pFV9;a zve@c%3(Wt0VprY*$K8P?3TKo5*teb4TrINccJQC4Y$;DpUYM5md&{-VIX|X65m0h5 z?9bLIn3cuzYa@5%S34W~dzG3j_m4cDBfmn@?$WeEV+p;~-LHi;Pxf`%MYu)1TdidI z!TV*~RMQFl8`PXU55Ela`l!9lgt>HPhDWkaug8v=nc{uAmz>34^gD$FZhY3a!OLpj zey+mJ&v&%%^)*d<P<?S}&9UXn(iepr$80hclc}BLQnfQi;;2&7*_IC%YlTBZP3Pw{ z>{OY>b6M!GrN;7>ot0ZTH-E0X`ls^Qj*EgnClo$cS?KvpVt=%yknH{Ex9yCW_qp$z zV%vA4ocGnUe+#zx$<F$AxQ;vTUa#=#o`05kc6$SFY`eX9)uO&bf94wq{c)LOGUa!= zZcoa|rAwx{KWwTIoYF7lT=K+PaHp656_0cy`=55o^GYTgcX6%ijgmd;+{vTN;BIt$ z<5MO7V2^U1+a`-kwr6#Gtw^6NBXX-dVxDNxPuJS;Ih@+-A4+G%*HoBu8ok+lo^#gp zg|B#)Wdz+{zQ1<g#xrtr*7)eod-mpx=k>Z|=hKyv0y<Y1Uw_T3J>7ryZN^d$f8UO{ zo7KHPbwlhg*&RER%`nkOIV)=NhtQwltG8d0<giVAdrm>DH)59Q4>R-Z%v;;Hd8*9R zHlD6(wNvN)qRH=SgCg^))H6Nz$lvE@Qdkutpnl%R#w^B<t$9w3>(>vjGyi^HS|k%$ z^0wn@xa1Q_lfEZQAN@Lik&(|!{itW@4C!0a8g-jB+h42j9ku*wP_isEDP)~a)MwuI z-}j6*NW6dgfz$u0dr`2{mJl_Gh2LdQ*o1Iu-IICen!dk&`p3+jZt|T!7jD*>8v4O+ z(W?jP+nxonZ`GG<vd}(N<j1{jen8(H(K^LVmAh0vNkl(>XziWyi+yr;kJQXn9*>^A zt@Mx6c`!M5O7AC|H@wqjZ`v;GsnBp}xS@3Eo>=LZBX>UbobWwy<kDuJt#@8j^<JDV zm73nUGu31ID^vTN(`_d8!h6&=Z9ioj&3nV_lK&3RkR@zfDfi`S7gieSO8A6np1<)p z<jv;XmUUBFUW6WP_*r}Kn@V<*Sb*K>b)En2HCD;R-7l5(6^?3tE!N|IIW0T!b9;GM zufnfZl{dX1y&+62^J7|0wfpvoNTwExncTb0t7^7F>9Dm_<E06ornxDYz0zIaD)A!X z@fD+6Ti-1C{#*3H9j7a=erjF&ap&pwici;WCa$sz{ui41ewQSpu;@GE9Z!6gpQwDe zDdk1!+s`xVva5Nu7B-X}yvFWz=-Lvq{M;+OdAH=;{N}Hozirw6jhy#)XvU@-jq0Bp zzVn9@gQfMKippI<Y32JwrE8z=Snz0Xigw=<4xyXAJzlJ?-f26;gE!82bW`<DU5~^L zr_`2xPZ<}UTeZXG{~4zA2d0bk7g-#*<0j7^@9-xvF;BLB0T<^B^>weaE}uRgsjXLO zd;JCX^Dd!J!8X7B3s-w^r;FI`ZTX&V;rr>rv=#9&s*RmyUtY}GDP+Nup&lX-Bk?p^ zXy;Eec9SnN48wym(w-J@mk58Fv@z<lYm}6~q4D7-g<%<DKEnGp-ncxeyG`n?h0FU% z*$Xo=??qZ@So{fk#m`$-@pE;do|8znS*H0EMyIVB-=1u@Uvt4R{;Oi1{_Y!#4qcaA zdm?C)?p^me3ri}p-@KHaxrL|xh4TAXN6N0c&$w7Cx$SYb+%|i&RiEFinRqAXLgbam zsnN$anp`_op0i^0zpVQVtL{rxS})AH&AZ$9ccabQ)6ck0o%dPWDE%dER$xn6)4Q3! z=5m}j4lA5CH)eKvqSw)a?f2Ne+?#M>^P3`vPSM|AA5>&KbThd+?{810cgwm+A%WW| z)731m$OR`Ct8P@csWj2L6_)S5^6H*>sXl21Uz@ic(Uf@l>%xm-wZyI4Z+*(v-p#&# zra*K3IsyJGtKtf0>-;;a5}Y2q){?I+v$A@b=IYBm8kM=%*Ik}0c=P)q-d)wr{DDH{ z+^HWtmPSVChx<7{Uc9K{uT{&kYn_qzBIj`adRLMqd)3C<&dJO_Z%fA4U}mLL%e~IO z7M-~0_oR<c)BavrcfNLC5KrIw)hUXHTXOq0vaLTTY^9)>R4FwlRb6ayHLuL`&5D=( zw;x<*CLS_#>CP?zd9LRIeyffiwn|?7dy&WTd4`J?wS0-b(|Bi2>2t^EFODVJFRG8d z)w-|Q5nO$4-{P#R3{t8Qnr+XQBzrx*rlQ&+<#AU0Tod<H*3SIeGlxDn{4w6Hb7axP zn@Lupw@ZySoIA6fP3p=F0XwdFLLF-wQd<9fS|BPVp3dG9Jz20d!sd#e&l72mSj}r` zO`A2m!#aKUdRvJ}2%j|&c4*l0N|xDmivBX=m5s)xKR9@|XFvYv+Vzytru*Mqg`M+_ z+Gb3ZXxf^y;W4M~XF;*;$_ZSTU%OnY*Gb@dU6Y|DYim=XqjNIEr*x^H=yRS!d{=Fc z@OLlmIHbF;y{)XVzu!OiZpZ8nkL33Z`Fig!O!9qk*lO}t!)UuB@3La7g1nYTul=|u zOy(v3+n1jY99h~|^QAcMhGSX#yZqj1Yv(?CwDggF%H}#@F7`tyET4_HOe!+s`IX_N zIrULsXVC#;%Pmvo6DM-r*U!(GqkOc-<<6tZC&FvAFT~#3edI;T@i*U3FI*mX^loKc zzDXDNhqr>Z+vcghef#8FbMe$9k^SdV7f5ul8W(yUnC@n?<jZzF$JmxXH`;our}97h zcz?&&+n=-87fqY5@`SNsn)BKT>Cpxu=3OVgN8C@($?x@(YLjm<ykO*9HF<%!#Fl>6 z{5gA-?BAaE<eO@6*y!^UOX+oAME@Hy<j-w*Fr(wj#e1<@t4nU&vH#pZ^RCn!PPJ+8 zF8ujW!72JD<c6|?>?NP?jB!^dUsJJe{=02@y6k+OO^z-zW%%j^RJFc*zQ7gzd||eS zR;SwC4{8@x-aVUe?Wo0#R9$tI>))H7WeNQ~G5O&>_rP4Cy|0f1p6E14bWLaa6PI@) zZ`nc4AOD<g+w}bnyw@FjY3tG}vyaCg`2HhX!BG6tC&Rnzc~5_-_+h1V#Coe~;+Aw@ zwc{dr-4ee8p1)pjb&vD0^;-AO9%g^!^V`tu)0HQ_ijOBU+`Urab$!OnAJg@o9QQx7 z;cr;M{LNe6noqgA+g);{<qxUrhjkv`e$yNB;q2=B5p!AMKiLNQo!k{a>)@Xs#Tz%w zND(;hxkY53WXl9c-n$tov3{NRn!_g?Em&mWzwYPaZGWq>Q)gE;WS_9<nRs7m`NMT8 z?lrRtXMEi-U+ZYgs%Z{;t{xEN@p-xXg`L}JFJ8u0=5KReW;rcWKmW7DM>S;r3}*R_ z@{|2u-JJ9L<pc4%1x8J;>>a$hd><|;otY{U*Hn8d>FutsQPHawzd5^6a8Y{RFRyC~ z`qJAow3p49Rq3`RbQ<To^&QJ={|c5e9C$G=;kf29lU=;~iYM*MXq)sZ#-~&88jEi< zTiM==GJ*RY*?$~8_iO8W@tmd;G8!i7=NX%l?=U|VzI;Web+%#H?Jmu{A8L$~j*GRn zha4@+mhD&IpJ5|8wcc*ktf)EFd$VOs9#5PcR`oUXrohMFjb?YZ6urE@>6?*haMsnE z4DYiUSLff|S6e4DZCBzuk!foRa`(o!2ns8l3y4pcaG3x1`Kr{wCAuqL^rnSeKdY9w zuYb2kvgLG+Yc~>XLS!}gJd-V_O~_zNU|7vB!kW54=IjX*TZ4dA-Xe!nb@&AYl$=iR z#XMNxR;I?df1-UAQ{kl|i(gi*GQ8hhG^|vAI32mDaCgb;@1DNr^|H18ahUZM&RA_Z zweQ5e8duj7&6edU<+_)P+b2th#+WA^73i>;%&Th3zd9`W*o@FSQ+$H22~0R*Ab9ju zppio~(-EuGw-;9&@80aZZXMJ9vom*yvwc&pmOZ!7{MVu=*QbGb7o^rZh~?kBxiNp~ z&8?@s&&_{wLOEy7MW^hm@@46+OD7t4$Z~vs!To;g2L6|~Oh0+5P5#37|DwYK2l<P$ zBjnajQt!PNA1?kvE#~b00KKF)ulsZ!yuUSl`lQAhUB_!nJFlL2dyG@O@GSHHg_ZvT zdHmBoFMC~J=#1@Ce09kpX*S2blAzg>jzzL1-Fq*#zIM0s>8sbG7Z)Y)K3ZU_UgX&R zS8V1R&wCOgtm3?LCpAmm6#Mh{ufWO@sg*|@n7HIVG`8t+vRZCeVsG{T*=Htt%raF( zb)K$`!ugLmPOGfHANBq%k+<RR``h|bHkZ#|Z?$~CID&;o#QmeSiF77|@8Q_EZo6Bb zxx832Q-qnOFHyeZR;P1!LDAC7*@|qRX4+q!Abzt*)pF5IJB`*WuivV!OAuQ(=V95E z1J`Fo`}&-p_4fP}lNX5-Q<8LLr*GUD<<PeyTm6)^K;GQHCyG`+i<eqD>9*`AX^C&n zP1}_-7wSvvD!nr|l{t9j$i>5v+tlv1yfxUr(Wkgt<5Sqz<~;|T_dS@BX)x#Wim<!e z%${9!GdvRYOmK0>{bRb<{LQAFdg-+C^v;CY+siV~l}wG+yW9A$vpn-|;WiH|UXcSD zl5;);-2T<#f1qiCUHs=okG&5n%Lpqrbo}aEx9MVv$rT&>KEHKrW(ARt93S>Hf6ti| z^40N6)SIbQoXwR-%}zcopJ+Q%z;tQTrz=msZJDw3#e6oot=ZL~^GqwtOJ(XJjH*gq z?hD=cb2w_I@?0L~V~t90Kdx8pxL=)7`TD7a_7h3}S<m%4x6j~e+b8xw@$-tS#n=7( z^p1)emBiV-`(t2m#eR#;e8Y<5rG5`wrseN9&GvbwnOVN~TJL!Q;TumF+#a?^%(~7a z(RQRNW$#qapuWm5{?JfOg_owC-{mjOx82^zlV2G=kEu|9`*s(}@5Qq7*w4)Wz+D)y z&-vTepCY@2mS$G7{;YGl(&)2Oa8>(+?>p_Zqm*>LH_UBP+<&|>;Pecav|ypz`Qg64 z0Ty9L{@Z<adih`v@6NP&@%z}7A4r!u-}<<6_KnliQo<fFS#+AOi*5-FT^pbNxB0{I z2)(s2lT!~XUs~=^@Paej;nS6uvJzW=T)X>}X--VZ%{#g0{F!HW{<E%gs?-Zr<gwK; zO<k>}t18Pd$-iX2r{^R=m%ll_do7k7@MM;kw66EhoG|lCoSORO*z~5BOjk?p<vZjb zoj&zP?O4e3jyr2-TX4@`_aXG&$MmWrdAb{myX&66+7KxF?;Pifkoeny|L<)6+I}c+ z^+WO9XV!fcb-jD;Px8DUl1?u#B+qoV+k1y)_tl?u>pyTeUa-jAb@}IN_SpwqS}tF@ zqi$mU@Pd8k3lF266Slow5^*GTg2W4M!2oH`EJh<15pKOFv)oR8JvJr3FkpR<u=UCQ zL)?BwU)D`&lblj$^1-jDXs)JqZtCrsQg5!f{M?lN?S=Wy%o>wE>rL%WSItkwD(o~) zS*7K<%lg)Q%S?lrS<7C<@|UCpg#^ATX*bnve5rgk>-n$eu{=lRi`Fz}iLRENb0^$b zdEwga?0rI!EJwqY?^nf~4`g(ExVTfT=|kbMh3xKD_c<aHR@kmgHs4-W7pwbC>HDl- zVPEpI-g3RE*&~=g%P7_Av*?}=wzUGnx9p$s{12G%_TAsl-un;DbU5)R@z;jgPY=6u zMi{OxSbpQB?MKJ*@H<Ox{Jhd@bem`M;khb@9^P0Y%odyH;xDM{V6HVi*W}=$d&ZZJ zT#S91^Wir;U)h9*A?jHvnaYAQCf#tf$uyRjpY!Xx-q*BEQy8?TU-nvX@Z`3p>~B|W zF3ygMx3sycxi#{~@=TWRk_$E2CaP<GId|Z_B>Oo-QIiRUCx0iq+&b*gqiJydHIH$~ z<Ha0NCl*`3e75T6+2D+XyM;TS+U*p6tkv9q)otx$%g<95xCB1@J-gPF|EXcwT;1c| z|3h+LTzzAy9wt(wnsROFe(g6iDi2QId*NoP@#X}zZ!-_RKOM|;GvM;Ex0V;a&6D}Q zW0|r3`=@dp4$ltFTHnw8&M;5GXrWB?(uJC?7dko?mS2cS;y$X{dw8ZkZ)DoLA|(z- zjcf6JZ{}UOzSyHA?Bl5hc^g?Nr=IiL65p5~>R3HFWhga?*|__v3)h~w%+)`1VooM~ zbnjX8FzaZFP;u+wB0jCpkEfQ0)E`+J&@=7(!G9ZmuB+5Cym#wvrS-KbC4V|4-KPHM z4U}}WoLMY=cIT__Kl;B&G!~whQ|+DLCF2-+w&hF1wJP!H4)%>3Hp?t@+Tqvp>73WA zS-;bFhm^FZ%-GKUHS=uS^cEIF0sF~|zyJR?*GODC-dl8m+iY=0b<J|d9ct}t#_M<X z&#J!}JTL6*^bJdS=JRW?Enz+}+oj=+LVM}|*YlRk*1kF+pmI!buGz7Z%j%iLGdRVM z>FK3*s~$0&Ri)K?>bArovFpdo{Bj@N|Kw@<&yivN&eQg5ZtmfHR=p=~NA@3O;oK>6 z-@1gE6cwyeH8ooC`Qgoy*>60fXS-!j-?Kn-TTk2niTnClJpB~*O?33!EICDm*<Wp9 zh_jxSV`6Bcz2>89Uq17*y!<#L+nk$qH-`d`n9H3{+vf?&%xuxIu{ypeEoh#zxzepF z4UV83ma75wPxCjGciPEJ^$HGZO5XT3|E{)JQJFxR%gO(ZUb8eKPCfgtFsEw6`Q(px zn(gCP7Ja<K#h;dJ{4`_1Th@z_Li2j+ybea%u@-)AdAcL7XRE)T{o@sHGliUtn7(@R z-r5s-)QK&0`Qxo0({7*tf9>P{LlS*!mZ@o-I`wM3+gtly)kA^aSxJj>)@aG3-ZlI0 z5wtB~sm1>v&R+LgelK-%X_~uuuZ`rE$`l<&Q9miUP~q&&Ez>VJ9d&m#SkK$S#NeZ` z-*WN7C<cW@haGFwY8y&l`F6*&ha6X1cJKc-4m-Q5%#&G33eS#<%=vj|+d7S>i?Y02 zEN+T(o_KKLikym><Lg}a;Gpjj%!Nk#`)^Gu4@{j@&t~nC5;}SE^^{`gm63nc0;jsW z7cY77C$i&)5Lau?lILpIjNUS-i!D_&Ij?)~*pcf!Vk<b0JN`2+4c6*t{4!r{cXCwV z%`Z<>%N{M6lq;XH>X+t|lod*Pu73p#G&mHZ1Uto!^PloN&hOwT@@QgMQ2dGQOME+h zkCaHpJg+x5p7H3#>b4ubH)nHNFg`lz`bxMaM#^bMf<;YSNJvUfmPHAR1`CUTh|HOI zgGrN=4+LCZ)XebkZ$r}DO>1rA<PPv0T;C_Zc)#|xMCV6IwJDJ<YhL~M{pHo$O15cJ z4flTjTUxbmM~d35N|t@bD?XNA{W4un`tU9Lj$j4Pxqj7KW9;X=-1}Om)kfN)=h|If zscze~ANBOO-V62ndGJ5KW^eD>ZMwC7(zoVcYh3>{AF=J(H)G1Z`#&D9*}7x#I?bwQ zlewI;584PW-LCrk$D}Ps{iZ8TyUw=WOxn7q@2;D94x35xoVf-4iTj%)Op<O2==#fh z?41`X7A#o0<?Y;v<X^Y0<_7=TP-Veqw)Lp`u3Pup9w%o1-2La!@`Kg~CbV5Ix^!kr zhWYLZ&Y8zwt=bZOH1F6%=Y@qcQvPIqyvky~;Ptimv)Qi}T=cALw|cVUeFcwMd;QZD zt1sB6-@Y{ctly)J3!SPoPA_gsTySujpv-F{JtID^Zh`Q0i<!A{AsZ`nLt|Y|AOGy5 zws!5(OGTVFSWG4^yrQTTeQfiGZI+fxziyG9+xOo7l(G1(nQP`K8b8ZPo9gySmi6uZ z)aj?n&+mR+={u2iTG_pcqN(=Y2MVu#Y;Whyo9Z1jx9VX1m#07216cC!R-HKRyYgMy zyXUVSRBZGtx+^I?qi)vA)J^NQ|53OXXPIacIydTO)$xz>Bp!3|sI5F0`nXa}@t4eu z5}uEbLcB8$6-R4W+;6=Ts`E*r=kE-4X_mZYcheJ6JuGwb4m){Ar8YHh{yQn**@NyE z-*=^7@3^Sn9>@On=Cm$WmB%I82brf;7A`ols(tJ9X{>e6zsGduZ%PqSGT5Hw-+1ct zGPy`Mjy6g2tVI)EAO0-&&g1^#tL+jW9)ENx-tc_+2U9o0lM1dMwn)ztIkw}&AHneA zS;tdFC%MnBm~=%Y{-CD36pP^+&f}?dJkpon>X(HzCT`>1y2?K_qhQarGd%^IA^z)M zMqHkIu;J?2Da_x)zU1yc<;?Nc+@dJ?wDmg6;}4Fu@xS}QsrN7GhgS9DfM(vQcTS~! zJ=u0j+N%FJbH%TN+oqdGtcdT7-eN9k!_%gzE%cT5#?qPRJ}UFPwh&&jW7j?1%UATC z9B>s}bniu_`hv~>6YBy}FCU+&{z~-Figz3Tl*|o1`t(G-^UdeCMR#3odst+7!*F7V zobtX`tMVrW9)5S+KPcX6z1uxyt(CTdo&TlhTz{&2G}+#MV@Rs>$!CugZ^m!+>}v?M zGWp@_6mDO*q*zNhP&Gg9^qnZ~kH?Hwznts&X@#!u#e}D4FV=neetpS|#U7^7n*Nr| zmzJN<yDWM1+=<hLv2TS_78|l}FPiE)VQcgsk^QrHmM>?yJlp?o_TtqX;jz2LLo};` zT$IB*1-?CBCS0eowPSIFs>=@EPv?5x2j1Jy{5UP%I;OuU%;3S{=ZY5J4vG3%o;;=e zvXw!#G}rX;ja<vvbMapOU$R}dcdiZj!T%`mV*UJ0Yxn=}c@r=t**&;U$b9p%1w~2> z|Ft3?H*_=?Pn*a($=hzd+JSl_^T(f0ENya}SH=)?=1s8upOXg-|7B!W)FiJnlC_N$ zU<&Q6I5N@Kvqn#9-}0%9mvi?R%zMWz{=9f%r<LeO)teH(ZdR7W@kOL1XL<?W)A?PP zaEOUV(tEPBL^oUg!ngjt^DobpUdG>7Z7(^ktd2!R@qZf6M|nrXFv-ktd)c<ilQj)7 z3I8P`0@T&wC;eU!<@CE&+bYw+H|_Qf)tHjRcmI;4q+T$#W@Y~UBIN40fBADuf!IRk z?^7A?WMA`dt3Q1E-=+KVE3)lxC}%ElpH#iD<MEW~%U5T+sl-TRF5Ot$esQ*G(W>il zi__PicyU1}!&-gwiPTT-e8q{Ixkc*M%{+fKwXQ%XwbyCJJ2R1zmMeEB_T|K|EngL| zc%r;G^LZnA^D~T#%_llB#4dSsMatOQV7>F~3v79t{Ms!z)*Xtnmu`{zGgI>Ev%qaF z`3t1N`kv1SI&{@Az3AV9+fCDJH(fYzMCI}i_NT`s>ioC2RPBGMmleHd=J`zqm#%NV zx&CzU(;k^gHmThnbs^>AQODxcuEu}ymHlcSZc}<iGFhLe?ct@X%0DK(o{=5vqMOz0 zVs5OrZhzg<<OlzEew%aW&5WJQq5o6aKHO+ily#A_3%y$z!X6k?8~(`hX}DzmwMSZ( z60i5}nExng@1C6>8e7Yl{$3D^-hVsjPpV~>V0@CavrOjgBQK9{n!fpuMbJ)vzgeeM zrA}OU%DHhD$F1Gv@7`p~99;Z3E8Fzmwrcyw53+BEemod{<>%Z9@0Q-rmAm^jOgB|L zazn3M)_(KdCNuQ~ewQDK6TXt7cGpZi=iTk--G<s_UyfAueE9On)9tJsr|s=~EM2a} zwl+!o|IL}P+v2h7e(U$<e7pUo?pTv|K49mybukmALQ{iXDi8B(q?iPMi%(d?`}&mo z`(Gj<0lBB^7vFpEuI<}z)9EXp9={axde82IU*={wzW7-1XGh}xe_NsqKd;?g#^QP^ zP5Z;-*k|v;cHLSSJF%ii@9(6T8!qqEPpw~hDqPiond`^So#o-aMU!3~@0zJOb*0I@ zbraOir&he-$&>cHAY~}D)2c{(%lVgCmWj)6nfTsUyL5)zUi0KOi#xAF=7#)h__68f zZt0mH^<GSC{?lsp((Xvzo_UJxc9)~fpPa5>Ok3WY*DHI|$MokK-|y2Kf0&8LT@81u z<<QxEV_!|xi!RYOhfmFPV+u9qyp$L_<@e?i`M71<r(E3KdZuB|9Nn$$%(ulW#g_KG z|IYrM{gYqi>lgi(UEYd1r%e}c+P(YGG2T!2Q}-wc-+NT`^1vs<Kc|h$eWx|Lo9<$I z9wt*QTYn|I_6axhtBvYYC%?KaX+L4zw?%zZihX5%eQp&<2y2mdx>{JV$e+n)hTV_& z*k9%=re8jNxA^6Ud3+LDL1~$u7N?kbCw`Auz454ps$h1<bRpGFF6-qb7ImVr_J?<# zvx$G{FR{8}mwo<;Xh9L_=cdOW+@E&z!QsOP(t~n>PIw<xiQ1DT<h0Y_{a3}fo|9^y z`tM%-xW>@Nj)`r->+q)ZZq?+Hi=nO?bl+VRzaGLX&-~(^%$B6+%j&x~^0uZ{%$d%& zz&3iD_unJ=n^{gQWLUCZ&VRPr^SnI^YPi(AoT6AKO1?}z>ZWk>;TNx5wX(AuyuoL= zxG#ITsy+6qQM;T~lje4ogI~C~)nIa1v|4gntYUC&Y$DrX4sKy@R_<W8T(!qpHHwq3 zA5D`szdT*E?d-uFuRibjlcn}H|GVS1_uJO3<=eKemvP&=zV~9=zARE$x>5Mn{4XcI zYkr<QgDpV!TV7_5z2=k9>$x{BWb(;1zOsIEH(}>G6Qgu3*%i0;O)$PLYQH0l<II!e zM}9fa{QGs`N>}BVn@=th*vs#$<Jq!!%8`%0$Df^f$kR}G{Pvdh8}6^yI%P0pdYx>U z`^`4jxRVwCD>+K9i~o0+zq(RW({p)YwB-yvRmSTAit|IACT)}3!5(DQv$|+|^0F6F zFFjRGyH7m5bd_@QwTUduttY<QD6I-nT9dKplYx@Ad3TrEd7IBos!6i?Em@vINyiHN zdX79vX__)!)Tg@WsF0KU*LfXhn^#yc1sUF`4c;^H&ysW5-M6dlRVpP{sILAPDE>&# z>TyO#N?zsuvp1%NE#K#8wkbs7V4v2-o(u6ce@@h%Z23Mj?TU}t(&Fg_jpcs7V>|yS zr`(?=ud|AcZ*rMdt&6LTSO=H4)T+;WqS^G^YTF&S4!yMu_iuD=<ZgQYz33U&jQ9sW z?zROwC)~E@3C>EMtjRIs-<q$H3EH=--G6;h<DIo}zJ6s0!yZY4V>ft$bta!#KS}9Y z`|(MwF=j@t7t?fJs456{pLH(OIpMzDMbL8Du@k$u+Lb)ncYSt!_PgF2w@#?5msw>U z$W5PmcG>OI5(|TNRz|BYx+ybtj_0Q-#mkhrWG~01m9cpjM)ZV9%#6stdU?|18vjTq z*@T5=oe%ok?-hwv9T$mR&VS+Rq639Xx$kekcP{3H(ZZ)Ti!Z&Y);@NA3V&MC1<8xG z3MH!|nm6uujDB<Qw*I0udw+|}F5a`@{Ol&Z4IyIYdKT|Q+v_`G&3y$`yLU(FJ$c}I zpkc!VvsCN#OD{xaXH--(Y)Rz*)^%=CP(@_K#l|wu)=$Sd6mJ!%lyUwl+|Q+ce1rYX zDf>l3XR*BcYVJ3=DL_}>>pDYJt(mbwMecgtWAk+_OFb3USMYY9-4)T<wKl_}=8|O6 zl{VR_Ykx)_d9$h@Yti{-l2>apU3IilceU1J-rBR;dG)3YL*dwi`_9z;lxl8P+Vo@F zwXEp}wdPzD^5j3TAm()I?m5PLxOZM&`(|oTX0!T93%f~19W$%U{=^>Ylv~OvJHPF~ ztKP~V+>5?T)ZgTf&M*<pzT4uF?y)%bm2|p`g2u$Gr6;?ud*;eMa|)X!Z)WZm{^_>i zci9Hn-v$K?GauLn>G<%!^lO~F*($lf_4jO!hWmya{ff7hEorREDy&<gEVEiYd-s9u zlhk~z=bh9Ed9$3gY3ZY7)dzeyI7~g=r+uxIs4|q^<}J7XB9GvG+uwU!YFOfaU+wJ` z{1M8rLW}uQq*2t;d#iNfZ|IlbJ6bL}>szSHi_{ZueRpgVzcFvm!4GGvN}pff^kb9n zg}GH;olBVgPR-w%u<}r^@7x^$Ps;yW$*w%jcGkgmMZ=3PcE^%e_rE-HT(@R+!~9zh zcE_iANGfgPJe%6Jq^5rT;tQUs9~QKf)nzNUNtm;INDp;9W{|l;{>0}G@+&7QwKD2@ z+?#po=TALX&rP#=Hq8<&p8g`QQgP9VQl;mNzhw3woW;lUV@cMlViW(+9Pu=segg-d zU7orUD&;%NqB~?aaae_3+;;Y<z{l%6skc_1`<fmVUG*qx{@<1Mio&NtLVbme!d`4| z{co~dTD#6%hHrkV!`&asyMEm~5Zmw58q!qi_DgS-_sO^J@i%T1?^O8w{@1R>pBUN- zr`^!F`J>9u`c%T-WB2b&tPYuyn^kJ~<MG>VY;7Hn&QFUFxqkRcQhOoKni!UN=USCl zJHLxX>TY^^W$y{+%L%i*w(M!UyHH}HK<3OUndhtmQu9xq?$l!t(pui3Us3+dt@`WD zSW7joCG&4Mly<)5`Vznqbiw#>x{8&_?5C|88#!xv^DdiZNFU8+cTg$iTV*=OXcKql zMuU%w0^a<T`5`=|j^X*E4buy@waEG>&-u2vLb~^Qrrwsf)k|(}H=DNX*s(p;Z#heh zf&zbrM=a+MGE~rh8p4}+zV7Ms-zRh@dYw+c->Q8@%sJR|uRwdJj=a9IchPC}ZMJOT z6+1pgvBkA*_i=SSE+o+tUiU1_+yC8z4_6Oe|FPlyA!)$}RX0AoyM1yg?~5qA)u+1? zt<+N+e@4}t->CTY`j|=o0lS-%%A-{8GGFd(nV@~sO3^51*ST`X^kcR4^Ui#)S@`bx z=hioOrbn^crkst?o!=R#<M=}EbLv#)$x&}M2AQ0>mwr_^@?)sZ_aj`Qo-Yn;QB7UD zBXn6v)u+}x)*WoAT+!-pCm-88edRuBb9eDi?4_nf&$3r=-CWJ0mNxy8_vSZ?;v!Zx zy;|9@@5(LNuG4o*FHg8(B>cN?#oJwt_GeUhc!LU}5_e8N7NKqOKBVSERR!zPV&R>W z&RRz->MOa+rS1LV?#2?cZ|_-G&i%laHuYw2ikzC%oi96hGy}d?%?jRhGV_?{v5CFU zi@Rr(ryZ)>r4}&vVW5so@5<;H3kzGGg8~`Tgmg}dcg~Rc`Re!7%#!@$yaB34I@+=x zEIU4@{cIDmceE>AQOL=0_n1(2e0;&K1$jH>83c-6UX^w2>4d%|n?9wSHPE*W-kV}k zvi<Yj*H3~9R~>z}VPeT)+d8k6wX^h(PA|F@d!ge_?sPUKy|*>H4xT*mX_MA!8z-|E zp5w}&C;h9;Z)(3LT-KBs@5CZ|{*K<s)S0h8Hf`pNHrrpjyrcKp3h(K*rxztmYW(Sb zepz7RixW4O+lRV;(f$9TCnsgOVV(Sq^eL`qZ!o>*S!K5+xZc5kzKO}yHZi~GMHl;L z>)0>*p|U1cFZqhix+g1~YF`^Yj}ytre&d+g^pb7i;mNm@f)~gyeXnfp_I#7snaTSc zCR<v(dAV`Mp`X`QGVA1>I&!nOq3>bJX`drAg!`G+DoizAI;ZWQP;t|VFKt^L-z=S{ z{K~FpztKba7z58_JJ|z&jn3S654U8TxO!)0f0g?87-6L|wy}Fop1M2l<vE9hX|jDg zMb39KZuhB)*uD6Wz`p(Y=clq;>CbU`8<p&5>U%$J(`(y=<B#ox)8%E(ntQPX&iY@n zIw_dnUnYIo<V{Z(JvMWB8GC7Y$pPEOWU1)5+uPQhS+LLI{B?cqAme>ufl}ee_MV^k zA-MLf%dQVmnI|p0#JmFkUihEN8vT90?u<#3KF#uUV0_S{>3MU(mcr8uJzh;yQ2y>y z!*z82G36;`A0|IAaC4LJZCfJnB9yUen*nR+2~V#LTVEV7({^<bDlp~e>^-(w(NSi@ zN6i!|jwcNghyTcJl3_31R={E*EGTKXViCJrknfKs&F>!?5@X+H=`G28prX){HDSrh z$Lv4Kgik){nl6>o!*KD-59ZhP@{3qkGxIEHZ0nudJh^*z`}FtuPyQca_57`TVTJT5 zjr5NBy0x<3ee)G&s&4;ve8CSklR26$DU;JgS!G=QgakZ4z!MmqFk{EQsIng#{GP^I zH?K&}>|wn5#Ln`jt(K%{X36|wR^w+GmD!TbCY!efX<wOL_itWONwnmK^&OH8pIGIR zVkNu2-Zo5#vftGgH2;4n`@?<~78X&1o-OiT+t$xf+BI>~#Ev)HcG$?;xyXIpePVm{ zY|BmOixU|5e+RM)rweLvEn3-J>+C&k@3;S})TPDKWtb8aWm=+KQn@X)@2!y9ef7|S zjX7*Qn#B=sVw1bi9Gd;y&#SoRY!0KlpmB)Bq)WN?Hs4@MXlM*Ap6}y+Y~ht7&r@>} zE~W;o_E<RKunUK>=R>xDgFBKuS4=55P!O_#sYU<7)j9p~ffsMZ>AEF3@P?ZHVmLW% zU-IO$_oC`17PR->e^8;y9US$#dFqwRw{Gff{COpGk=hd9vV{x6HnRMfCT~&Uye)rY zhrZa##>`~a*=_D_D-*;I#a?(hqtNT4jr6MU$=08aZ@8NK;!SX!!Ct8;>4_6=ip}ZG zofN^-k$*&9l2h|p^zM6l6K}i`jZ@fOqqxO+S@Y{JqDc?dcjR9@{7dX`)b~j#To1!8 z@SS~p^XJ-6y)*oT>;5g6mg)TcV^%S1|NI@<QRgz*XQ=tV-jubWwtbs_)qH)<=ThAt zC0R-~i=X$q=~V15ntxT(@)(2Y^7F3KzWwKq-aIpMW8uH-tg>Lf7bOn8GdPrF4_s`# z|NG!X6Yr8aUpm@XT+8)-bfGGF{_3;;3*B>-bo(+A^%mLe;A_>9zrg3gyrlPe(Bkw% z_d|QyS9>m+w=vtQ;G(W;hvd3gkAjs^pY-N;IJs=w^`)Kf+x@P9W8Pi-Z*Q6~OtRc* zto9{q(I$C&v(>xPZ-kkx`=@TYPPzZ+wjb&d2a2cWyPUUsmtI@xGwrQ=eqBsWWiZ>` z$fLj8!;iY|t&Yi#{{CO(X80AA<xzHJ=_cE3g{A)I2i`2)q%UunTy{Y)R%q+8Ut;2y zMXx<~`crNmw7A>b<kh4u+p1M(YtByG_*ZRBq{+e~e=INMt=M-$Rq$Tz(d}Qn4W4Y< z(z0TcNZO}@>@r8y{R<ZSnD*d-ntP9N^~_V#>uUexa8F~XE;Tl-)s&fJmr#D>j!fX= z*Q@g)$^wF}<QOc-GoN0&b3xF7o+%I6Tc-3l_5`&|F?;X5;NYCXPKD(WE%V--)>`7n z=eH^(&}jL!>cX_SGrL@O2P}`(VCv<ZR2>yKS^W9+w0p00)@SXp_$;L5bw0OD;iN$3 zUJFTsu%rIQS#w$~jg&V1x0rry_w}OQoWJs07pJ{vc`UWvboz1=7lTWgD=xoCo^f@f z$;B5pKfh6s<+ig6TVF2!e5uEUIjUug-8^FM$1{0rraqr*em<(?C$swgwBzjBk7gd< z*t;e+_HT8_zxkU#8gNWpxIIB&eLu&gzTGaDCin5`MNE*0ZF7~7Z<)g)9m`T|w_m-J z!|Aa~gZqB*7QbUhypxoam(9PZow0#;tAg&ujS8tpCb=-r_!1s|@ZiaIub`Kb2Fqu5 zd!0&n+~59egTCvv6-!#WHfi<<J(8CFnc>pA@=5KD_3o})?{cSvT|ZJ{z!4ceSE}WQ z@zi7XR`Z_eXGwTPHAvm?dG<vjCSgHKsF5C<$h-CCQ`>LtUH59m8SCAq8!E)&6P5i} zzq5MvG4*OlMl{EnjjkacnHMi7h)X^^ntGteU*dt?g3SB#c+`z_Oe&2uIaqY%4s~&} zv!{A-iFa`uA2{uE|Jc%md&irF_jy^UP1|<ct+H~C1D~ktTk*&TjK96F%{`*@ZRzG9 zk%!$`1vA!#Z#2u8;d8I;;YmT=J?py@9F`s_;n=$UVfJr<m6tg7R`~BUJh!7REl^}; zaNL&u6N^{9xKz+q;v5puDCuFaKyKOL-~gxZuPkOIo(`UU&PLDuPsygImlOB8Kj-G2 zyz_K><Jv=auP<FPTkGOK;o3g7o9i==d?{ab;crJ{yhpR(&Ixj^Dry$G&%eIuia%c& z&Zr*|vh;hzq6eP0qh`*PJDb`hes9P7r9M7>3opiJW_jei@J;cN(=u}3v1h7}lhls2 z^W?Yg&lW4PSM1Dx#;z0g_LJ@2FOq>$?@cc2oiBD#`LKA(1@@gAFDQoco@cCo@YCa| zV@YyGhR|(8%ZA3g)?3}~FHDvDsB(3}^IG{cpRS5Leq@wY{im~1{%VU~B$LMN{}Fqu z{MNsbO0Y4!&-YeDY;m1{|1z~aTYsaHnOPrSF7`coA#ROXt74mO(ZO{;dZup4S2CBK za>7YZeATR?Qt`}1`&XR{E|>d}JL&M&R~{?3{Yw-rTzh!SEQcNc*Djh}Ut+2}ucf&3 z$nWI5B7u*$T_4~3u-Vq;`O){EoEELl+P->2ziq#**ZGR*;7N~#BAu>y-_|o;ZlRZd zbf2b+{k(G3Px)qU-oi1<CcQgwtNUZiF%i#=Mg8U`FF%U&E!T+u<-59W$Ei~*9hrJJ z8+yH~{cE|-O5)en4I<0;=&<Ew@AfTxZId99qZys~YgvDv$VY=CmnK}uHeW1Kzj&*2 zVN2MdEqS|>7(ZBWC#`T1`<ku&H-k6s<D?DSpL3Nh6uz?|N7l}VSGu(2oz&7`*4!y2 z&!Xf0H~Vfe6e_v#`1F~=T<K-&<aAz_9?AUIt2!&E(?vFH-I4wi$5LkBRqMF3{!4R| zk;bBp?o&f2Fg;&hctiWl@x%Tc7q|bK=fN8lw3_E<&5QXn)9WAJ+K_Rs`^tn(?)_UU zG%Lb$lZ*r7LoUu{v0J#%xiY2aeqHmeYbTqg)(O5hS8`Ert2uI3M8jP^{J_2Z?w6ZY zm0l+{Tr%cTk63WDOZ~b3pS#<qL^c=(cxJ42yVX8(rugGe8nR2Z_#&Pkmlxl%Cw)Ol z;i1K6H69%gH2I&feACnqpP~iS7fo3u#cjFh*R062=K<T!Ure*;xyR9H?UOfs_1~G+ zOP*hTQu{<WdRs{GrVGZaZU|~RtKH&$wZ&5+cIJ~CEz1|IN&6z;7xsqJG2{)4y#BF@ z?EP<#^<I^)P4X;so&Pd+Rmqtjr=Rb-;9V%H7@w8@@5a--xLJ}#JF}jprTYg>k<s-3 z6+LI+!<QAzPb(6gZmRWe+`fKcvuMrpsb`j)67P?nW@d7#+2Zz-6G6S@#*^1Cs&n#x z{lPB4w)*z_z6-3=wcT4L)vr8q?4?-9v!jlpw@a@0SMA>7IAhYDP$ibI_0y9-Y(L%Q zyY)fTUHd;<T17>U&dB&;RqC_;k`l)r5xbeuFZcXj^ZsCf&a7)|7rnl3qdsS891Gtv ztqpUgRQzCA8x$pNRJA$hLQ?io3Be<W*K?lso?KC>(fLHx&QHQ{=2gpS@d5SwjUMmk zs|uB8IWYCvibJg`=F>#hwYxQxUQznB=$geewkK^(E~)L$)*lUCnBCX-?(gLvJ)8M= z$N$pIn!v^qa7vX|u>IT>&Bi6GbmQh}Pt@OAukcPqFwytPVKYW6I}MiFMycdkAuHy7 z=gj==QFVU#8ws<&!uEeXEiayMEo|ezV`i>nb*87btK&)gqVt~%PFuu3Yq9N}vOnU; zpLoV}t{Golo~lpH4ZOY6F*G@(xj?G5<>kJD;xF@F$shC%KXy0z=%L@|7Q~h5Pj1sS z?8r;o`;@Kog4R^tZ|~;%Hf%BPcAup5aF@GH!nCy^H$Iw7*B0$7|6Ui<xZ%rMd;Jxg z4(xGax0c@+vF-k|o~Yf2^Uv<6m&mI+e)lr7*!>r^>(4Em+G5PF@*?7n;p1k<7n>Sm zgZaLOsLo1#?lOzz<cAd-*`7s5-Fg`RO)}(6=3Bv><`<UbQ@-kK+q04-U{}Pg<GWXT zdB;q<&M|MFf8@%i=GNMgKR5l?XOT)?w!Td9);=-mFa`gK`EM6y>1JsiIXa!|iMe1` z@rGred-r>ZL>*PW^K_fdsalJ+Ne=Q{yz2LLAF``NP1(wr`!ASBVehxS_Kx}&ojO0L zPj1laDOh^tt9oKzfc%EskM*A}3hvZ>k+D(qZ+gyI!LqxXH`*N8<tX$pdUN$^_Z-R6 z<59*OhYFZq^I7P8T%+vX-mYP0`;PNwXQ^*gQ>fO}3Dfvbm?+5mC0gDRn8w-ZH`na! zzK;dcGgXd<O}@2tqNi0x$;x=?n-``qKjw3~-mBD6$Mnd0!aa+pnc6aLyO<PDFL}Gv z^3S5HyHDL;D|6i>Myf0=<F3*vhSEEZJ45>?p3j)~I5gOK*{8~x-&ak^V(vNlQftwL z%Ah$fx4o(Dd1xaN%DnLW<jBL59~Lsi&zHQ&c8{YpAkx94x9hsU^ZYAQyS|98zqB-L z%?HhpJ<sPh-Fm!6%k`4#lYh)>=b1QgKXRLB<Ey^>@6I(Z9Cn^i3-vl!d|W#Eo$2Er z?<y;w@?@9w&fWja@c+w%4R2eQOa4%{@tc2cLM3xnL-mrxgv9V03<B{9oR@U!HeS9F zG^svb<H*lTQ;cRF`@^%w{!H<sEjO=RW(k<KU+<WYr-NTnCATNjI^Va?9=o2O_{GM1 zlF2!D$84rgm49l2%(^AYI~(-g&x^>IVz2l^w<p9tcj=yqo270$WajPP?D57VzR0iX z==)RNGPh5=1mAO*xhrR{HrMu=O0x@%y(Vl-(ISgvBC_s!EP7`oWShUl<WbhA#0YbK zxsoOEYp2bAnwXaLaDGGKt9cP-d}5~;F0U+l$3AP<n&o_|TV~H%SNHVTS<@p?Qa{f7 zygm9RuZt(R<@iG7TP8pGXEh}{dPP1tXmT#uP}1RO(~Q*YPtSa(F0wJ$WV+6<bb{jZ zDcfbjSI;Uo4g7xS$8qB}W)EetuYGJ6{xIi-K9_1ye|;#UT~<2sf*SwaS+QHBKOK7V z=10uxn=3;7qKq$WcI)E1Hi;`_srvGw{uj5HUu(&GFh-wU8>W0gv!X_zPM}O@3(NKr zlkc;=&Ul}2SZ34rLZHu`Z&lpQ%W{HxlYQ6z*jD<iSE_YWhfHuwM&H7mO=6W9yDKM8 z51ah$(*Ej@XNG5uX3u2O^WEQ?+Lu)JI;D8-{r7@1E+<G`;W~SZtt!M?HlpX>1>eg{ zAFj$QzuGb-JMrA7onDXDuP89#iOE=h`=9uNR%uoBSxN?<HWfTLth`rZ;f^`$o=iB% zd`ohp<hiPKH}sAzidbdAw|}OoMMyfMg2QyxpPRn9v@Crr(Dtiy7W<AhTc+L)Fn?rz zfd7+7UB~uYm5mb3n>!||>N{N1<$bb7+-L%K@b?!Dp$EBdAGQ@+k#aoF`>XNA4vEf1 zQWL|k*6-kT+R8THx^}Y6nVBc7ykhdLWJTYtuZw*&U5at4VZK9)%hjZZ3Hu%T7EKU( z&2?^8)=c%h)24iHPI`u@b7V<L1lqRFxB3>$*mFY1*~Ouk^VtcB?@h6n?%a>PBR->l z*H`6RR)RGpH`(3^zxVYmPBAVr-ZitsRVDe$T2Jeh%lF<&Vo~MjaY~)s_x`Bp&-(BD zHO*P3&E**ls!1Vi_dXjG7rNj5awc-)t1Ci!o_4RlPZYm+Aiq*c(d_6;k%?D~7PG#3 zdCB5c*q_@gB+u{p*F4MY=b?iW=f?QYO{;x&q+!l%qtcN3v-z6UO!L^k^xZK!ayy?_ z$e$(lOU}9QlBMF8y($kB?~R|SwCSH$cmw;+NHw0x$}ip0Ejynye<<>D+-#z_OJPP& ze(2Ve(B-=nBq!RXWHR2b{(4l%Ca3V(G~tyC`5(((60Tl<!|-()3zwEvr$yK--JOcw z(rMN8XEM1xk8oam-6B$9H<3%?c~B?IqSb-=OAQrwB^Ay;T5<G{{X5ZlL2I5bUbP@& z_NQO2yN)aEIQQN4b4=pJ4Hgfa79L#|ku}ZES*Jf~k!bI{&ldh`4fU@-@xIR&#!^|y zJ6p;-RhrqPd|BR<u2<|w^M$I<b9V?t9oRq1yvXC4X|cg#lM}VGCQt8OU2@Svpx5=; zs|+=HmG>b9uiGxV|LOlBuW_31^X=Z5z9QeIN~_Gjyy!!5$`+&bnp+O64)9ZD)voz@ z%eeh`SQ*O&#!|scp+_=)y|Z`xJ;zRM+ZN{O9V-hS$J`2yzus~_?l#Aty}~uyUg*C* zB$0Vk_TaW=r)@cwOf9pU{&z|Lw*R?u+sw2C&b_u0qI-9`9A0W{esRZ_W4gWKXYaJk z+_iev4ufN-H22@sV4WwnB$6eqVEg-~Q~TB>dc6(Zp4_@u=1$PX%_p_@TBxj@ZvM#W z^<-I(jE@=YLMF9`w7l-D=J}MUadyGov;*dD+KpL%Kd^A}g`B^~#~-?2(rQkLndu=M zf7Vp7Jxb|66`Pnf?*khzn`mv!jfqL_Gvw~LzTER7;eWGs!3rzE)CaBK=8Eijy`l16 zkm{;!!G_bLtL>6+yD(1`bBJ_b+BWyN$E0b}X%2xGbD3+xf8L&V=$QFZh4;Z5UjKX; zn8<cb*WT(gLkwf#M=fvd@7wI}JUe++GsPv4NtF9>(47~p3(Aiyn7+5=0^g~$&>ySL zPl)YO7nry7Vz=Uaktrb+hgKiFtTOu!r-c0enM)sZyIu;~TxWPZ^3>OgZ=U{V)m|)8 zTD{f3P2a`;(y`7PKeU(wADmU0ze-<hvE0GaKNEBU{^!chS+VW#x!|w)uOBSErJAxU zs7`LRp23Nk>|Z2b?c8>A`Q6yZH4l{U2S{n}-kO@cbh@?6;TD}qrvf_pF2?ZoJXsxX z^5?F{#Tk1ah6D<njE*ooptUFa@_x6qTMhOcT<SL8JZ8(w3btuxvg;US$|VD@to&E< z;-T`MhjTpk81jW2HoE<Ksq=aN$HrcN+5hUz(%)U8w{zq1Ue}4zJ$+Yxcc{*Mwdau6 zBD=ValRW3^U*lNxNJ{3(IlgPWI^RUz#oRdPUd$e@E>mYxXqfC8nk&swtYdKK_|1vE zt%7XpgLRrXm#lO65v0FEX8YTe@I-6Js+uqCto#e}EZ#Ie$;$s1#P=*pIO#xQ?UK{M zEjd&BsySvnkvyvO<#>yh=LLtea}MvC{-)#eQ;XSB5;;uMp76-eJ-}H%+lp!Otlcu~ zS0-MN(GC2vBhDqbcjB>mQyOpGzU=+%-LtNkBbCmIi$WiWoZY$a#bw6{Q@MmqD}&u{ z6`H=iyy}w6-Aqm;Hs78=g(Txk8QxC0--32N2vG_3-KV|dQ=;W|jt{Lr<NmBw4i(tD ztM6w>Z|UuqBGs!jUnyBWDLf?QQ~Kent?$(zHLLnnZqJ_o>ccyy>3`l8%)iXDDs$~I z%a^<KR?J;@Vsd~tGm8iV2zWTJiAssl*gKn<kpYBx88{gdOH(rQ1B&vK^$JpwLPJ;? zm^-E@W@v2H|GOZlh@aui3x=%Q2aJ6UxQebYhB7c{h%5*QVdP?9-LiJo>s{+!TV?HH z+v3nJVeZJStPtxS&61HBEw*Kgkk_uPpwQ5(7dLOY<fX0ra@DGHPLD$Nr7wNHx!jA9 zmzjlGnfV@HUT)5lts5=YOxu>OackcBZ$8Ok+n!5q+GraruzKT$^!t}i9a@wS>T0Sg z%KG)ml_Oi0Okr`eGSd20wfoLmv+li7t3wvOocA|<k-6E0S^xk4|6gxD5d=EHpb-pa zCOo~cTEk%Nr|NTRi3j};E}2$vceC@<1IDbAqYnA~6rLP)=-zv^$jo>jo--}em$%s3 z$L{tBT&1pN61)4&l;zptZ%bZH?bX|~w)5~4lP$)ZjW!u>+@QB^?HcV>tJGI(sB5fL zTc)~H#UQAK<JM}?-_FLLOIFUV&bwKicJuRPd$rnq9`p4b9UUAl8i}^PIFhn1y6lwk z^IcD$Tc%shtx8;LP}p57eROSa?;bO;_N$jJoI7*s#IYlX4(!v5`0(n{txKm4?b@_z z(X2^bO;tr%Nl`&wOv<v7qP5?Bs(0U6TXz1O&bO?!L7mGkDK1o4AU|Jjp6pziIWKz8 z&dc1Dc3xYvI_&1>-EVK+Y+M}I*&7rB0bl;s?Z5y2dQ|=1xb@dxf34bmH*f2^vfZ&+ zcb^vRjM1BZ`flEKm#<~J@A|!78@Bpt*4C)CUw$ejt~kea_2vRA?vV8QtTq0<Z&z*% zpZ9B5aC~W2wqNeim20oQ^4_v+-<>NiW@oCjTCZK2UbSMGTvq`1#VbxA|J&OeZ}o4z zwR|~y!>so7_xCTJJh)InSa@$^=gffHS4v~A>w<v}2>30({IX=-nl)?ItN?>KGcJ7g zK671DLtX7ljQRJn7sk(CKf8B-duBR&uT%xY+J!S$GBB{tl$gP(@6Rb1vmoUDA6t7> zmx7hi5p%S)G|!%$v*^vug+a?_9=fh&{q%dyrsvC@ZJ%XN-3ya<u{2!x-1hfVR_UWR z9hDQAq>q08Q{DFN=1s?BP9^E5-``Z1eLsKDYkA!DS6jS&INyl09(6hxek*30Owh`6 zE9(6>zYHj!pWbrr!mCFYo?LjepeVrTCr8v=ug(R<iKc}zZRX|%A{@SbYkG3}bU3Bj z)7;$FHQn3VdGe87UW;n`J3saN#c9bY>!-Gws=1|wiHVjyYf0PoT>bI9*0(?ZpILKg z^4p(Bzx_%42TJ4eA}lOlJ{KonzJ3)1j)K8fFjxu(PlL+0ZYZz}pAqf9EX-IN1hSJ8 zLBMeRIuHl|h3uL&-~Po;WnjL=_U82=@f-90zi!(9d-wj`yLVp>1p`Q8F$e|&;W_i7 z*nez#koxx4qgS6ky?S(O5*T!WK@%8Mfx)aGH?OUmwrtrn2MlI`!LsY_ZfmqxYpv2+ zy?xc9Ul}vO88j0NJi$N{3_P<n+%H=N2gNTH@?B~l9Q5zq`*-i&y)%~JVQW6<0S51k z%{KJ*HB}W=H5Ij26@4nN|6X2R{yk%+ofQZefq@nn6y4e-UUFs2kts`BSn_Utjt>l# zR8-Zq)b!kYX`cL^=jKtDjC9}roXT*c_|iQ2o-NPqe|@k2|GobI|Nj}4r)&1#fB#+j z@z<)oar!>nZ@(?uExZGqIey2!%IEIBzVlM3=fga(#MY>_VXITqHs8!K>ux%lv@zn% zz5UBCt(?AXR=D1;s@+?Pci&t8`}*ytyY^RasR3m=NTvekte}-4T2rfzR`1N(eB*8O z#z<X>%-zqgr)>;>J@5Zt`zSj}DG*QsgIS<_5u!EKYw4xu7xH#Z0D%kl>VryGuMSzX zvc$^;6l#uO-~a~pU|<IZE|*qL{}T1gOhtr+MdXXJiU`Y>%V2OA3^s$oVlYUa>bG}O z^kdV)3ZJ~sFCX4qxa!i)hm6Xp+rzb|d6`UoxAS@XakeOD<IRgVfBOIbznt##Cm`?u z3|tmp%rL1c27{@7d)N6X78NWqHxHBsl}o)~z$?Pl>a@@l3{1cv&uOaP-X)B?f7-v7 zjP`3(%LrSR?4$Fh%PpZ-TK`3h^Qz95r3#X)`xclTUlw0*xj*??e$KDlnqFV~-UGh> zfA0t7i~22lLRSPVbaFLz?Gn)pTHw&g#M&jS?l&hObJrEEsE8no@PIc{gsqRZa0;vW z%(wwA?2JEu0)ev4E}cTEUQ=$Iy&ts4OQ=DOfzj9Hv@xfUipK<njkA^AdL#^!T7K)) z8gYQ@E3?Pv&%ft?b>9EjvfN)8f=VtO0*XoI&Mh2*N-i7%3Jwhnj7jFpQ(ChheVB1a zthv9g@N0Ewuz!ugp8U)6TMur3S-<hSp4s2`|NpDYTA2h}y^;O=@Nhf7yxoC{4-XDD zv-9uH+zP6&maeE_*nP8+nVnC@qTt4F|1Dur8!kjIJl@oLugf?8#)ibhZM;$@85b5f zGRuL%wp&-WsPXlm%+)fR%XE};wTOmaj+Jv8kEBt`fd)oqHXaF3etP%rPkPn0y6f+K zb9U`{X)`O^TbuiJ)~nA8W;J}$nsURW)A#mG5CD}gSFc_KgR@}Zxiolv&ex^VJvY9D zT@wwxdSx=le}UO`pgj0JqjDao7?!jIft@pUfWhASYj%C>I}axpNw*u#WLFd`JDPa@ ze~Rc-7B{0?ALPyczE8YtEPr5`^_!oTIX6D<|Nr~H`)Q3lFvtai0x-x21Ap^pn|n_l z1A%QPQ;cT%-1{B4NnY6VvQ&nR74uukRV!rpn|D91WIO)g-@Et!-o1O5cX|6Z5HOSL zKmK@QgpOGE(VO9o&AV4`p1rtx^6tsao2$ELuU@=)@#NXntGhR^UOanpcXKs&`{9QL z5xyV*u9b9o!9aJ-v~MoS^X7uUOfZ-V2B0>_#EE}xg4Zgf2$UsfM=N(9&wKP?NAI3x z$F8}_t?#^f<<^Z8*~x_wxj7)<0|ua)PDh6a403#Qc;37QgF~&{;(9R~5)L-8a*OQ& zgKz(0?>_L;dCRj_hlyju?ERf<Hh*sB3X4c^6umkng!P?=`)yd=&n1?#tI7BG?)|@a z@7}%JSAH!dVaLRQfSTtd70*d3;7an9nAr0!FRx<byO~)W5ua{F$b`*)(5Ncp;^4?4 z@MH&zx7>^ejGP(~LXGwY2baY+sags;d}!Ejefbgyd^#~v*}YF@CAcPWX<`B8l$fm3 zV$+n*P4$9gmn9w(m0Y_-6rI4iVvgt9A^~Ma7O8><EX-Uw4F{Mw6&5%!^H>}>z{Fv) zpn;h;=a=M+NQXuifsSe5x<IoXlxj~1Knwt7M8_tU${?fp&NKWK&!h{eLGszB$H)8S z?dyJg0B0cEsxL1tE<T?3Z(rDkW^j{gN{m>-0S1<y&e*KfM9u{%*$j;K4p*K|4i*j; z`q99+?Us4M<@f{3<~MLL?_ro-r#k!JX>f`1`~Uy{x4-!Mfq)knxPgHa80@+-SyyM$ zInlHyeu18ePImHOAPWZ4U?2$w<;K+vY%UtQ6GPNAlUgou2&znR)za-(@Jw1V>Cz?+ zq0_$dD@}r0IG#QN0VRGpn~Dz)7J?f+JCcw0$y%4`d<wWTaRvx<fI$TqWPpLiQXfxg zC6$f|ih3z(CzdS)r}_8q-l?^M!ER4*jmcqb0S1oke6m(0FDfd%Ivxb=o}D1sucTP} zXT}>vPL?8#PwY#cEa{iCuVR?l@<s9IVfX%%iYGlz$XFIVIWf^W00acUrO<;Ng^!Q* zN}CsPJlX*&ex%KEuB-@L?A8k@hnn3IKwv=_JA;jgvyGXRo{^T(r;3o6oSveZl9Zf~ zGkSJOZ*OiaynKGgOINwB1~4#AJ2S&D`Ph9Bm=6Z>4|?qFC5=)}Oi+X*rz0=GxuY3e zh#73EjcjS|=xl3mwPxGBW80>69K!6}yn_6~{N)mSN@8kCa#DG}xH;K>{s4ivJry4x z9qrx=0=D9MF*^z#HoZSOuUkwvYD>n&CRT1Sornz=z_nrY#-yWNqS{P7vsZjkwk~pK za&UHJJ}kqKz!AhH@LXjNqX+|&hn7&9jR21j2bWJ=oC34s!KMi&2Lu!t7!L^qh#X1a zIi;}jatXTw%L>uV3@f>~r|kW*s<nTTPkOS?oRXJy?a<HZZ{Dt7dvJ?%MAo9GwsCi| zn2uLo_9{DcWUDT-?c+yQ_qV4^$Uc#EQOoAt!%CIrncT;NEBws#XGvUl`L$x9a^3$p z^&h4ec4`?+YusQIUCOiNg|<QYre_`v8c)Rao-E%Gd8D%6QF*OK#_#`9vy3i9a<0s@ zJyWH%>TA=cPrA1=Uxl5!>$PSX^Rm+7v?4LbJd+)L^JFep{j_*F^Lk}x5&JinvwLq= z7<gvSZ1}AI^|HOf=Mxbb?+dFLw|>!^u{TmV;@a!KrHbF4rbT>cNZuWMr;c|;wPo#% z)t~GHZgQWLH5b3&_1@veV)?j~&4#Y;H6GigvHmkYH8*_bFVEWDD<cJrC#WVw`89Yc z?Gs#{WB&Z6hT#E2uSHkQuUlU%oG|w<Ye~0Tgu|^XI)4=F%$-laFnT_3%><p7W!D8< zw>f<}uDfl|`a1nvA1gk^N8Suo>`TbJ?k=d67(Bh}$BHf7%j54%bJX7Jlx+TV)iK7I zZi|;UW=sh3^q9uAn*VR^a}NcHp1m#&S2w%wdm(t#ozs5FpF_X>dT;A*xDunAqnP-P z<#Y${jAW^Vl_!ti>D;F`spVINuer69egFQjTeI)6F(<CSCE0(WLF>fi7)P;#^M1$v z)W3DgT}7s1x_kZy`)&MH_Ii#A+?#It^1M~(H3(DsW&0y9OW&$Mes||a19r{pv1}o? zxG%})c`s;<Q;g`-<MX|-pgFnJkL~^?Chc3Pk*2yYuFlBj6=js~%4_}7AI-gD>2>j2 zFCOTI=5_6N`24BA=d8C)irR}khg4eqnD$OzTGLa&S8e3RZk+VEueFu`eyzt$t-BA? z7+1YeosqrMiT97Y|L@Rk)2di6>#gR{F5Q*QpcK83`<&Us3I3Mnp11VhcKpQ@-MlpL zD>J8#Wz~z%jx(o(lx+=R`>hlIC(P5UFRk`VrO$=#XWjyN8*9%BuUx^jPN%MWrf=D8 zkLByN7W0J&E8q6y&wKHIH*=rF{Eh`>t0q<+v0VH<OekHt_LAX^rem&Gr`8l^iRS5t ze9vU_xb^wR7Ux8DmDnR$Et_N)|6nYi>UQZ{cg>xt8hi^U-Z(L5na{G`J_X$$@0?Gq zc&TUZ9T2L&Fw$Ez>w#U>E&WYfPiW65i*%J(xj3F#<R;hbq-k6S6E&R5?ugH{$$D{4 zBqjZY_PIUxTn^7XsrJli>0;r@n>4w-UZ*lYTh)GRql4~;yUtTqT$axlTi_Mnv~!y1 zl>_#lw#0AB><l;f7SwCtxqLTUz$Wf_IrHC7`?ur;^ZQmlzbUe_GuQ*R+wN4eh-Z1_ zYvLi7`ds8uO2y4tY3o0V7}pkvtzf-h>S1L1^Jy;Qsu#Kj)tjAof4Hyz>0PG1mpd)` zn#Z)KyXq6u+V2X@y|Jpt?9!r}p30Ij9`in#9$6jlwLGHkiEI0PBL$uZh8cGRug))# znlt<Te}((A4r%Cp@tbS9cKHj=14eb1y<DqJJNR<5v!AWxEGo&;Dn4VgomJ&bT`{Z8 zrtJ62Uz*;!wfb{xOVG2<m<jCpu_?j3e>c7VSog9{o2T?*%<5|krf3M(zWVs~>lQK3 zyJgE}2}=6!h*XWz>Jz_v>hJoMEuU?U8Zbp^*_T={={hcTYObEFb6-Qf+WXCf6AM$+ zKCWE6dD4!RM|w5RTQ13sQILvqjgE^jJQBig?6z?44o7j$6BF`R#J~NrdQv>=-zP#X zXEL4(U9g+^bFYQPx^;K@m?tevEtwn8X&GiA5TA7_<+O+D1lKUhUte0yUf#C&5?8s* z(xUFVcG4NXz`N5WPEF?s42b#4?aWpoqP<T#yFSSwPV}(aS&x)iYRppEj6EkG9nD=I zCiFbhH}*-X^jD5B%_{G&T$~L{I$EVSr}Cx#S}>KZMkV&%gNXPeTf5qsth`y<ek@%g zvhvT(({eNah5ALliu|ayF>;S&a#h*Mw(~8%ecikIqibxB|JwGU;HFmdoDlAlOlw>O zHWt3Q5Ys%<<YFtUdw#}R8zuQf?f<QI3fAKNe8G!6Cb~<dK9*j^$z8kepk+m_=0vgZ zusKbW!@YKGX;KXJ+I63iZ@)?FXCc+Pb(wWGpL5^8ExzM(eU<Lhg!`!)ubS?6|Gweb zxb$o3>!07IX3vSQJpB9G_J;4S34QLqswVPrbAR=4m>3?}em8gh=05dPWi3zY-xlj^ zyL>RlGJ}Cr(2mvb?Dg>Nh4o*h&BO1r#aXRQSn~C9UIKeo6t_dXP4imS*Co%K+Y`Bz zJ|<p%nIv*rqG1-_cNMPRUWd2+eej_!q5bL9MNa2uGF>n|(tjk?>8Q)wQq|cuq0BkH zH<%nAe~PgTJfN(|P|GD!chTX3JS*$p5Cwz(XKr78cIR<IjAenUH}k{~X8V)uGurKR zrGySt21HMP?icaf(PhU(=BEvJo?V&WDs=6C_oI790(dWVUS>MUD81tC&bxCjy6&F9 z-`vB=`{vFrmOtO$^0Sujomi&+k(F)k{G?1~?@*EBeV_ki-B!PMSj6P*r;~>kMoowa zcxUN<;o`OW7tHTgi)@*}9>UCL^CA8C+O0OzKmSSF*q_Jz;m!9`pFb_&yET2v|D7}1 zZ1R=Z_x`a{XOxYa#XTkNM&<JFlRF|GS8yp<?pQ5+cYeE+ov-@Fa&0&332HTKXP?PE z<aM@VPlD3#t%g^w3)erl{b_zZsgG|CXNi|<!G+oT?HBGW`CXTP*F`jE^MS>s9g=cI z#|y<Xw{3|lOu0XKcf0S3&2~qRCKgLgU-`Mfz}h2yU7AW|_Vo)lmZoKh3*5Q+R?C;I z^!iD@SF!0I7p?H*O`0T>`TpScaA`xv2Vd2XZQ^m>b86`pWf7?<2i(LqN87!h`gujn z4c6XMU%qU6IV*qW(s1woM^i6OPQCM`@YB3w%2hpT+ny*zF>`TEQgC~qbpBR;829q9 zmf#K+;pbfX`d&3bjSlypGo-9uDi_goMR${~2rGX`(oa?I`G>Z~#%{JXTWP-f_De2~ zUyB+R=yP$@EoykMoOPpCcGAv=zTpjrKQ&F~Hnm#mz*;i#f&azo4&D8NNBl1GEbI6E zx@f7Yw@u0QQn$TDS$~o{by<$ti}B}dd^V$g-W7Gm&C{4Br9S<X8+bL^UhK=yO3!;! zlRK4eYYYCjwm5BYyeUHP+0z@hqK-sdcD%4fT1+CPHMC-tsAcbuF77*PW4~S6#V=9S z`bhRezz%uWvtM<#=42S!vH$L^p0&#B>Ea#B-ga&h3Y`1+X-)N}q?uE?dulqvDq4kF z)eIv1J|rzXQWM6aeaa``!$IfW>a)tzgDl@ZKDK0yMVfu2@Zz}B)+_(qw7<*PShv~U zcKVa<{@2@IU$%WdOQ5qiE!`-p_(z;$zN^x0)~L5<Rr+7O%X-an@3mfRgz!uCHok>D zRVGgxe;uiplu@gB`$wE-y6@?Lr`_{^tMfdvR8EcN+k58p#FMqhAD_5$NX_!+?@ekK ze~Iv4G~BvlDtD%&Mux|%hd+XsNL@L4J)!>d*U<UF3qEkSa{ZTgT;j}g?dc<?;`_0m zEnY@wTn`c8G&q-1-s2q*sMBLn;ygXPC(p9y)ruwCy9}dloa!#&<uOWCY~<$lKk)dY z-j;cJs&B8A>`)ck;(q5;OJ(-vT{%3ifeO3dted(lI%tZ>O2>#*vESZsUVpluTS_fe z<5g^uz_$|{_pESC^=Z%87_a&5lc4R16q|{q7q=bWw7B=nE|Wv*8Vwv5i#}g!DO6q5 z&trLM$@7XI%g^q;E%{sS%GS^$HxGr~Qn4;NuzpM2vgY@<#Cqkp&#NzB-+Cdk<)kpf zMeo)%t|n@8MBjK9Pk;Geh<}1&+QbQ|d)#-eOgVn+&6n&6k@X7tz5Sk=tM$HqIrabM zH}8-8Qw<H;xo_<MKl$y}6m<>X*(zSHSqhV;O!~FO(_iPrFVjiBX)!&GEO(u~%>y2s zf9Lyr$)8V;|Gl|aAZ(XDy(Eq0vG%Md_Kbzc^cXdw)xs4L)Y?<Oq=}_1X}$1Zv9sP5 z$CVtn_wU~uG?6Da_DG+<?S8lTH^Lk>Z`4=aV_NCJzUj7dh@P|9!J5@lF55V~z6XBb zK6cb-&8Jzjv-mYKGnx)xYH~NKaN1$+w4`O>oh>m-j`S}*$IE%?*c$6&Mf>)*{wmAx zzb~cW5`C-GYVUkr?~SW?=IU)qs%cgYbTi}#+xX+vN5_kHvvx1>ul>B|!OQlvOKZPQ zX1(-C?bdSb)goC>iX1iG9VvhGIM)5<|COwNIhk1H`dNy(?;Sb$-n+N!RdCvpqnW3& zqm--{sVHj7tlL|gIpOAPzPQ~dP4)gwInKH?$AkZJ>+~%yWeQG>LT|3ED|fppoBI2~ zxp>hD7av#TPdI-=Xi`L~vizYZ0^fh^kT3dB_;gC6O5l_evxOsPlySSIDM)Ds>e{7E zuHay7+0=J<vQF6g2d|ud7UU(n)Hr=h>}g1JO})`L|7h;_9FJPbbZ_km_uZ-tRzE&` zscuHGijofZ&ip-Qt}(GUr>=dnX?<>yw7mV(M@?CFi;liX%GO&XyFg&!k#^4|#s+im z^-S}6bS+qXQ{b6T3%@io-qbO<y7t||TdtcMSX1n_CASJ3J=y<7@n-+8Micp_50@e% zKB}}b9u`!bpV@ep@w)HtZ8z%`>MY$%1H>;m@iR;l6;d;Z)bNl`?Bf+o>Q`jR;OG=L zw_DKoAbDGC;sfO+=hyw0lN4~4efq=rLeBPd_Bq@)_j2$*oo4%Jw)Le(^Y{C<&eT2I zGd=mf!?(R1YYj6JT&qs@9-B40;?qmzmYlWgEsR$e@>n%qz5b&A*GuPvv5vd4y}!P! zy8U$90+}yI&u6mm2{Dy<iX>J!%Tx=-t%=*xY1seS_Vw(aOZdu!+_#h&AIQ%ByfI(( zhr@y|f_Hy>l(jHitYf=7Maw8sDe-uY!;e}i%VoQ>7C9J+@7&O7dE>0rf&bgx67?Hj zelQBTl=pU0M6RD%)#-}++g23pD~>p_eEr1I?`&-QFU)+-^m@exXa1=@^JD88W_0vl zVh~By$cT27yL-8Dp711-Bkhm>N<}n_9D4aIo@bu;UkRPb{8MJuKK`gy!<_q9LHPH& zkNMfhqtE;}TCm;5#<o|+@Aj_;>p#ReJY4br+pBjh`v3B-+pYGHa=+|(V%M?a)(J1V z`j=_{T)obxBDOHUjP=%S(WASyk1(wN(^0|7(zo8`wS7tdk5d<(XkRp_IJals9+qaS znGX7^^NW{!TQaL*rQY%czhz9zO(erMY)X1sH7#Y&)6kq_bA47bdb2(&%@O{4ndz~| z#J}7JMQoSKUef%q!q?-N_1U=DCpGTQym+v~VPmR|*sRK5MF+KQvIIX(<>6i<_IiFG zYwRqGqMx6hTb**sIW#ZNY4O2jnPrZ}fg3LIm1uQ)esicdd>zkqxsctm!~Ab(kQ`H% z>%o7Ey8mcai!D)Ky5ENNb-?R8?@pim!>;}>Iy(1&sZ#r?DFMz)n^vi$KHXiksxP*+ zxbd?r?;Zb=a|@;}Yq59x^Etn6a{iMa%sjgpR_&G04oVPs`m$cx&U%7_TI2u!@5;*e z$}R8$Poji|s%}thWD)XExahf{OJ~Q#$R8!jZ?b>ycxgYuZwdF0Sx@!V=6_l!UeVf> zez`v*-{n$waJPr&yOm7KnU*to_5ZrO@LtJs{kbm#(|283IPc3c`@1_E+1`~bGq-(t zo^!#!HTBkeU;4yf+aLS#<gf3`pzvPx;tN+nQxl(OpH16*^A2bh&vgC83=^rdnW`Rl z+&VV2G6ep9a4>W8&75b(^RK>pC?|XF`RAWC*EF<2V6}PK+N4URPZ>I5y+<Bjj8K^b z9^nKJ-uKP~8L6WN0@p4ce9YVGs9^9zn5+3fd;}PPrw~D7vugdvAAhWf$#*$W*xbJU z`0ck9yW;w{Uk1<0Hg<yMN&b8V0Z~5CXzSjIO0HZ2C1)I(n}4)Fmw9e+{^wcyKZlF^ zKHp~aGyqKi?Z5y2`)|;E%zBX5cHga9%*-aHAGM)?(V1=gRemRqcMnb0SDwxM`umKn z8JFzz(@%?bg63`Rf@Uzoz#);dccZ1pfrlDv3iOnX=O;LEs`Mx@&5XFol=Aic#$RWX zemAJJGi?Bk601I_+8ek2I^!Bp*oiIx&G1~UVr~-A40`e6gMuq-VrtQj7{1A%*&DC7 zuRs7afcNN8RG}ji#7%96GbD0s*3~z6zVy93dzrcKWfR}a8YR(S5CsO2U?6P4-Yu>h zv7<rp@O}m-j^C$`g#R`1&4&yRS2-_m@QV1&TD~`W-L&e)vfp2u_Qt#|=l*x?{o8Bj z@5Tk}{syxA)hRH@vYP9+{PHR=&|aM>3I<oNfWQ<mfK2Lz?A@3xn%598_0`naq_yF% zw$54_mHXjP+Qx9{6>-<rh+k`4z16D~G^q!k(klXiED!)sLMJ69C4rqE1Oi@OUY`Wa z%*?>EIi}!&MhkN=Q1!UcBXDuyF&6OH`Pnld;InsQZT1`XeT^ckRxDexXvwk_D_-3X z)_WTGW!X#L%O+fNdwP0$PVWGXkM|s}01b`z9JV-f`t<4U{Xe*m&o6lB$}OgsaALs| zmZwhKIu^l~f+k-M{(F1ooINjD&Td&U#U+2%Jrm!>7cw`1!5T0CPekn40tPb@UM3_h z{kC8c2!N+BCk5r_^oqtSHAxyx=$nvaAh7t~TaUVyrlzJx8hs$2KT@v)dHIoA-lnF< z_QzM$>1!=|84L1zkkjSp*2!lL;&x;lRAPHys3>2gz+WW)UvX9abcaJ6cDDA;j(krT z{n=%57C5r~3ua^${xO03>i%XPhE?^y`+r~AzxR0MtNXL>L16X!T8Bw3AdmtEE?}Sn z21{5tCkZOmsIYK||9r5}xq}m&@<4NKQmsx412n$;tg)MazAE{;P=WV_H&0&Nd2r>% zn;REioVjx6$%7XUZrnNZ<jRXD5ANK!a^Z{xD3RREF`Io>ZSu(!qnV(L5@R*{%u?`V zBuK<ZX`+XUP^FDr|MBF#8?*cPwAu5F42`z<@Vs>c*(uh2G-;zp_3<9@gt(Zfn79|> z<sZeACVG@C5^g{I@It#CXogRGR{_%k0XZFQEln+LopYNWX=#3CZ$7wy-IjxesgbYb z!TayOf1a<mn}7bf-S>+!78!ONj)s<snu3y!lAM+kyC%$-GG|K91P@;qKOZj-w^!wY z{DqmI6$^Vn<%-Sow`IHUo+}5X_~N<W=RCK*-q_I4VA0WBQ&Us(qrLt~(at%~Z9qj! zUvW$qpS$A$=HpL-PUa|0KKgXx&JeYU?#YHSeC>x5(FzxHo`0Yv5!-KrCkV@T-_6?| z4PFP){e01P-cHAd{~O*{D@^*a?>}q1(;@+hn)@H>k1RC!ap1q={pp~xam{oP5C?}5 zXnn=rw7na`!Bf6q0vyU)SA&4J>$=B<^}p|amU~h<|M$K7{~i~f|9$(p+>`S4)%RZS z`>s&``|k63-*;cPJyBotuCV?wxQw|42B2A(OP9d8{?sGTI*~&lunP<}fdP2B*rcgX zDr!Qn?c414A2)B>-aGf?KC`fIa{j+>Ua$MM?Yl&E)XnSjzHR<)F@0h$q{a#a1JKl$ zuP=CN-ZM1N*V7dY9KpaA3_!DdmX=qgcZXg4s5>X&k#ezRj*r;ngJ&KUM(UiAantKQ zdMs_@2BS(IwLDPSkp;>`(2^qxoO^=63tGT)E3*ufkM&3zr<|Ce=-dXHY(3H;*!(!O z;8EV;{rWq8Z~a=7d;6U^&%eg~`)(Ip7h6}~>|gWx{=Hv&U&q{6QdCq_w7dkGrJN`a zo^{y?_QFJQ%SrM(CyEOS3JO|I6b6+-KPT{Wa&mI+oWRY>%F0?ffxU1K`_^l(yUs@K zUJ(}?6S8LOuF|7XE3dA5E%q&I?X~?YV`HL0d9(UDcs|_$JfCh4o=>*}2ZF7t2ScyG zM`5Ox^X&YxRX!iU;0+kO0D}zB)cBJpSEL2^u!<e1c({nQTVl<IW#LibVG)<ttQ32D zSx1LCVBMN!;1Y04TW_y#(8`csm!`WdzWBo39yC+VU;E<o&zgUq=c_R>EMVyrRB~$g z@gQ;WvP?d0D~pUP4R!NYcw}gtc%ja5qTSWm$=*N2Bt=E!i;KOhzlq9|!wL)JLF;L( zz%?MaVlD>N(cbBkcY+ra7|rxio9w9~)ajxGuCdpc9|`y|=eTFp{O3RCUH>`vc;(#l zKj(h`Iq!MpoaNtt&bwbZ?|Nm`obQ#t<~{#8_xjH{#|@==-5yJW%7h=TppwI`&_af< z-M;3r`1~Kv3j;K29&$GyO!&|&&%)GrfZguH_uqg2ec#W1ue+`N=k)cJv*%aN>i;>r zzH(-J<?Q;OGv@!CwY+ln@}INk|D3-5=Zx(?XD$CZbNbI2?LWompMU;&FQ^Qty8rlN zh0VRsWxMa@ec%1P_I>64%P&iIUEj;c!ou>zQSQm($B!S||5KiR`l;Rbouc-vHPiDe zr^VlV9$z^v|0Dx5XH>+i``W*Kp!LPW0*gD3<v^Le{x>L`_P)*C8nyP@*7Ykbq^c&# zJ9-*g{uG)j|Iya-RDVq;=PA!qon0sU7u0xio$Bm-?>+B{dxnWr@3$?WQuXf}TX18l z?$-Hxk7L3>06cT(W(EdnX0y+x6@yCEKG~<v_D`1n|MQ~zjm?+&^0hD9|9p1+_wyou z<x9@{opxU$|KIy^@5?>uR=>rdr6;N2m^yj{1VEYn&>^o08vO!}e2kBp+j~D&*vR!~ z8-TzDKB+BkUFm^U>0jmsR$czGEOQnJ#e!zPAFT%gea4Ji){9gmy1mmjRl0~xbW1br zdbpw``D={!XIYj59S#A(rEDS$3_=2YCQ(Xkd{TU3hk|0*n3$3tJ>YR>U|?`E6ku}_ zX)s=tcH`~-{oE(c^I6_pC>8%oaq>=1o0Qy!mFiCI7h`StJ-FCZJ1hd2I-E5ftg5EC ztA4t~e)C_`jbAMB58J*AF63)I7^%svyKVDJd*wf6^DnNnP`;rR&l>P>?%u7N-sR{1 z%s=(*?#jJiqk~Up&lF0rtad1DaoPCX^}Hj$w&cWznce0K3>TI~mgh5E(~7rvTw++i z<_LFsirw$biFYr^9KL1nzWj*S-WNYh`ETthJSXq}XKq7|)qjoYrX^W*I~x~1zVdue z&l=tXSqZ6$nL4lRjP0$Sr!jA>x$3T%s#bAkk>cjr7T4};o@A80mVPGk=$4d;W}G?# z-CMi(YaAz^&tCt{U`mfv`DydVy06RrzI|l=thDL=Ic=THtZ(!6T_&doG#-zMUu^L7 zgv_>-Ilr5li(;Mcra#I*{w8O^wESH!PMl%kk1|R8CcNBex2k2rvH5+L!5tl2XB+eB z-J1Qvs<dp8)AyJt$t}DGYaiAf*e?9raq>nV!!KbI9OX`5ynoB+>$hWie93`|8M}|a z7A#!xl;ynN!bv+hZE`^2|17^`{@Xt8W=%f1^ZoYqYwV`4%e=Pt)R($x2d3RW@mlP_ zsbr@-4z2VOu4fnd!w$|rnIaxKJ3dc9NoBPVC#S7%PymO$&88rJk0-aYf0~8mAK$Qx zt-PLdsquxa$_gj<+lRl{9Q{xIB<sejs=~VLR_AyxHryyqmzc1ta8}THWv*>$lQus- zwQ0%)*BjSmx$|;&&3h#D!zWrqaOPBvklzeD>bhn$3m$E8pLc5E+7`vDGIf$KYjtNB zWmpv1s&y3Xsw%Qlcp%6gY7=AU$)m}h?;F@QZxZ(^)|Urj>|L|px$k1z&9N=)^!A{2 z5}LUiA1Fwk-s^R9PqwpYqrmz_?&c@F#jjo6b=EoOWc`W>Z^h=;`zG#QI`!c3n=)3t zYTPw%clgY`Gx5bz(=tx4uE;|N9{kx+{Bl#kPvcJ&FOO&#{#nM@F17EvZo9r>)x15Q z<-h&Px2U&$vD?7+dGU|c?>_|pH(39FUgg*3^A8x?PD@Vzkx<pLtmAmd6M45d^~S(B z;YZEeYGt|~RL?SeqNVo!vhlx%asPWiUuWJge(FVy`~H9I)qiEby%M)rufLojQ&?^% z6O+mXjgn8teCP02o3=&DCEN<%wo`i9>ATaX$N%_}f9liSm2cy<Uw^+=c6k5C^~+P% z?^|}zM(20*=6}{(U#^j3=oPp+<<P8zQn79Nx*HPn+ox^Ns$FgFxO+yO!i>dj?@do% zm^$NLcYaO#{%_{z;`!_JH7<XYt$Stv&h(S|=J_{IyihUpu?oyRAFnav^rnr+@A&bt zrQNeno_F1^SLoq;sRvgcRG+Q*VYKZ1L*xG+<NqJ~dA)fb_v?&*$LH7e+y9mQ{px&W z$kH^2V_d~PW+iiOAAWG)%;)4YpI=R~_RCBR3NOwpRbG<b_xS4W*~Yr@UqsGamNz+Q zJ@F))(d_tJ7v5CZ#>>n-^ML!rk%+`C)l%k#kDczGe-eItPtL-&^;<YjTw&qAVV?X& zIR4VBMdzCKJouYGr_@4c&fHKLKA)Ku;x^a4m+!k7()D4VgXnA<kB4evUZs(je%|Bm zvq)U>QhcSGIO|W<_OKUB;a!TlGO@4AQ{J!8Xy)iI`}x=H-p1;m2K)BaNe5`N7>c;4 z%{YHv_o(?A$IaR~_736-$&aV)n{1!3wNk3^%W}P=v;FI|4&Got^m7^iUzu;OZ!D^N z-IyE{ZZ})Vkt0IqO8BZZ_WDX2T7PV`kCj)IGJWndXZy~ne<yietjcb*JQBtkY~A(t z*h?Xmo=Ly<%~pPV=vbL#^XCoU%(wn|_v_ZwZSODW-1!%=anpH*b1A3ZAHFb&IVkuX z15XNTTl<;Hthp~dSQRF*vwyc1R}p-9<>*W9lS~==Zfi2})co4*s8*@cSGV=+>kN%N zzQ;w1Irhg^I~KllWA*nHoUoEpZbSaD7t7Ziys8==oM!j<+`6-xSK`e3<lUQhNpipV zx~jnPluY0G2mft?f0qef7D@kmYw_a$S6)>dVR-mR>bm74*B>i?tr5J}ZKwNWf5neo zyI0(u)VyVyz<k~%dL~{$lcq|%zLMwd`{}~&R~sAVGBmF3>ha-z%Qr!#{{EehyOn}p zEKI0fYIAgZn|h_|k#iL>&vH*3y6$SLeImTJb}@VJydp6LMIn8gl)weQa(aXK7H1w~ z6Dus)))ap7_R8a`2eP)G4?dz;ZO%9EyQ?eT*T{V<H&w)j*E3G27j3*@@4EWQvITrU zmoM3Sbf(A_hlg#eB!B%~U;KOizH?uEn9nMRz2RV8mp@H%!v!BxE6K_YEf>q%thd#3 zcwf1!Utke3d*M3%zDMumvnD2WxbjtgefqMycB<Q)%eevEoEkF)#SaP|pDD=Bt(?~{ z$o{TZO~rljhrdnJIx^d9^m@J-x)t4J-;jGhI#5XC*XH@&&TZ3EE#}W&cKd6%z_U4A zg&M6z@A4<M{0LD_mEPR7g)c?=ePqw3#Zx^dySl``_;Rl_!m6Qc0(+14vdwcJPM&BZ zfA>rO{#`Y~c`u~;-n=jplWSCd{VO(Qsr%<e(d~sf7mB`p^>xS!l*vr~5Xuw$?9Fke zNj%q$_A+Kv#?`-(T(#-KVzYYX{%r3ap@-(Y1y?`V-nA$ZocR5v>3_rZ|Broq-MF8- zG-co7`E~7kC)vq9NtPFl`Qs_<#}e{=UTY1*#yn5|vV|qrw#_fiz0Y`dTGW*<tA9Ce z5boOXPf7PhhsO=Asw+#S86R>bMG9}<rqlIm!J=!weVZz#+PCc4)o)sQBIbExW#Q&6 zpKe~3m-soaDf#@z=lfTja9$r4-K({+jUhH6{LA-k{SJNJ_alqiLc9Yve!M;_Mc~Cc z|Ara7EjP|6->gi%J7ZN<Y+`Tt)4ysfGXI!7+fcmjXRObi1>a|vd24R6TBdN}qFh`| z@WeBHZ3S%I|7PTbTxve}VCMJqCtokE3{3h_9zN&pnf0cTGoL?NX6yfLYFk9@zeGLb z7gzoSIXf?3slHjDQSij?n9ao+S+>Ue?-m;|o9?^pppvduac7m{Wq+G%mrb4X5}v-k z_T;{V<B4t43;layH*Bo<5>vC-`mODpKO5YoZLWUq=GKVsjdYY>_{G;F|IQVmLo0P^ ze&-yBh|spt&Q$-~*R-kc<w>)cDZhlgUtCaHWH7m+E+r{1Hu1TEn6Qjsf6yL->2lv+ zeqQpQ^ThJc{6;e!m(MzL^`eJFFyA>L`TO6kls#s288as4)od>^shwTM+@yY4qmaF2 zZHvn}rW13;{DPuxdU`(mDXdl%@aTE$(MjB^?$+uzD68+;+83L3%XC2-k3;h%xdwsv z|L#1sR*ZUc==fvN&lPOD@+wQ-Tza;SRm*@yZb}&s%g^u6weE^;WV+Ygp2t}=-#zi- zz1uFO7YyDzZD<qEZr+zCQ6$*;{o~C48?UeYb>UU~$$eri&%U)D?@>wWY>~X8`M0{k zXlufL-jX1HNy}x*`%bM0J0zIL6W`6x6|>{(0qOY+{WI@QzEgihE7-O*b4TG;^<pcd z^EHticddQ4^|l%}*nNAw!63Bf<k1avmTg?ZU-wVDw(ehywa3O?dk#&WrYfU-Z@aAa zPN!*+GVju=yQgmdaMWqLAD8sw^mXP6io5=9IawUE?Z)qK3!5fydvW3fm)_^T4=be7 zBrduI&1OGbHC6v<yZ(iIy-&P{bt^>e7FMfG4{ht-)vQoI+xGCs-?Izr)=hT}ocL*i z#Rb9h7ak;RIPx$|ZeFCQo82PCwe0WxZ+OqqXD!c-uK3^LC_m*x$n2tNN$VHq6r5Ih zw2!;Pc2~#|yT7}){WVX0d;J#M-#@$W-hO$Qq2Io*c~|DKQjIH<7aTacBropVR83X! zEw!9ES<mM#Pke0qO<;QPq6*HyNluwwdu=`Wi`Vil`ewMuCoR9EwmkRu`&(ki<KtrP zo(qqt3|Mr@-h!*^Y{46;M;;U3>nyR^dv=lEN2hxmn8U+_O!d=VzH#wbw8-pwcNBB< zt;Tb8&Bo&8lBLd5Tcl+TYkF)~WnbiU)`_2xWzp@EAs)rTtoOFyO#q8)y|0wk)7_h# z9p6{xIWAi9`C9Tso<nNCgd;jy>q?m4J2@XO&Y5g6k7L_~@D?9gbB_hrKDwFRW3rQ- zuY9RZujR8A`%;d)6E*c`tu=oO@@1xfP<6R?Ja4Aj&Ht=XMVnSQZMmUlEo~j3YdE9T ztS($p&O$dkh5dmN-vjHtI&UKRJeAH>x_Rmxifr>zcvX0fV_*GS%S&_Bz=BsS*?rYs z74rEi>0Y~2KZh~r4+GcH4jn&-bD5VJub<rIH|^V+Wu7&=&XmSpKfO&d?ct>DAE$r& zxypZ)*}WU(NA@wZ770&j+%vstSBu@U=C>lh^&cx<+j(un()$@Tf7h#R%$BxZIyY!J z(?+JPO#f@<On;cF;$-wN>G9*^2__%UZLgd&{i4SYLz#*vr>mFWyMIRVgIRB9_k@-^ zXMDk(I5RK+4SuVsxq*jrZqAsoNhL>3$470A<T0LZPVU{g&EPTaS}>>tgS*>eD;f_n zc8h8S<$TbWJNEUT_};Qo5GVwLts6dAeO7b%pr_<r@xdc6EiEnWnRrG%cmecQgYRe9 z)&D)8@a{$bxfi|bUUc)l?0)yWf8L9pcQ1NHCuGE=_>_dyl;otugzULj*Li*S&fOp& z`D`U*KgcBTBIT=1;6<TFi%LsNm*zyLuAJI5sWho+sm{(#V6Y1^{NoAUI-xabB^U^s zHgwcBw=_02HZ-*~yZ;mT&B4mf-2X>WPU_WJQKN?o5)>Z9g9nvGz~D*=Xgm%wx)T_1 zS#stw`<<8OgLi_=0q+EvH3>Af>*KTit@LLBi@)ot=W}rM#N-Q@DE|7fZu|SK>&owT z$9&)O`s^9r&oR@dfxwK=X<pifUM60~UPfNNs>_0cUT%AB{NXZFtMHt&tv_ZTubjQx za^mZ|$DZZMd~Z8Ai~Hd2dwk)-jU4r#&E%Dy<TdWzHJ7RU>n@geuSz)XTz$^BWA*9S z_d8y{E%^5=@7}YVeaFt;(Z4VoJU;%U2{ioLaz&`uZSh5oZt(bs*6Af8B1?oPuh_I? zNvg*zowMgHibKnaUb?RZ&x%~s1&7$B1C6{M)BZK5ytL1|J^kdD1)iT-*Hj9GNB=!@ z(LkfHv6IUq;6dhf$+vH+ew!B?n}7ELkM2HEV(e7gSe7u|?ucG($Mx{W&#PuvN=}(< zdG++2GiUdlS($R(@~u?mR=dc^wSM6dVG-eBt0yN0%-f=62m&7=>#!ZcX4yc12^j3j zHMS1k@mXXcGhf7t8w^K-|6UQf>c3aS+}POI_{?W+FaQmPadPJ0ZU%#sj$m*wu72vt z=!47opI&)+WwnOij2|m9MXr1mOQ_nrcb0l<k+He4v9tsTaDc&&?;voz4g$94Le}d( z*><+6LV42{U;ZaE|7m)&s494?`&dj}(Qw4xc5?9LuW^Uxy__ERvQeVyp0~Zm%c}D7 z@^bV0`#``>96YwRcbXD-Cr3*^2>7S&+ztlix65qxCrzI|{dwg)chK5$zayOw9zJ|% zIq&gZws|?PC-%v8E>_J_deCnsz{Jwa{>(lr?O9#pI>;`F6S=#WOf7%uly`fN*-8xq zEva7*n^zovU&D9rwaY$kx%0+P9xrxRk?X%+DPCUY7yi7+uA&SKKzX&av;+)5ZY?h_ zD=h)<aw#q@-ku8{4c!TuX;6^?fjhasPwf%uWEG8C@SxqHUjK{Jb$gx<%fCCe74v_w zUT1Dz42p^3-?u?vcK2)$xShMZcUkT--(_oW9?R*@%q|w-cZqdu6^U9<(A4+(f3fT- zND;E&;NwS(Y<tctfl`vO@qUN7;JG<)agnR*F+stxi6yar<;KOVT<SG_$3L3yd!D-? zVxiK}*EQ$fFQ|~)Qy!Sq_Wq}o+)t~%?|So|=h}QKk9%G`3sh|UW}W5Z4Fb+!U=0S~ zO<=*nk;|4YSTJ{n%K0#_9iS<(P>>glH-pQMO}EQF&HZaqcg&jEKJT`R%PBvhyW7HN zNd$>$hb%e4aJ2KVGk;|pXcFkmAEwy$HV}x4xY5opZx?sdE-Eg5#?J%aDh~;HNIc~K zp#OoL)zDze0vA>lHbz!?1D!qh{44gduiu`a@Zjq|`~9!)f`R^pZSMVYwpCvQgg}4` z446P5Yxk1bXUcxsgxz}j*+J0CkkgCl?xcGg!E5T*f!D!bnE2->2sDDHPqy8?wB^F1 z-Y;+0$`%}Oa+RIW$?0~b<H7F@;oqy`Rr*hSeU_H?EbUpHJP6E(EQ@b$EqrhQv^i&r zuyCqpHF#Nko<Zgf0j7#QicW160+;&AuUmT_GTZMEE^U@`V?$!I=b}H7d6TX`<C*O! z6Kj0x?7^G0GMBI0-Z^c1_xwbOz-0AscK7nV&)0otD7tR_?%}%a#(y{5yL<QUox5iZ z&fmU#`SNGm`{12gpRGZ=-K^FJgI3;~X5HCVnNl&!#z$_R3je8dr(UZR7ZnxRJue1r z4SJku(<=#HVgGoWud(r=iTB^0b=hC5kkG(jRZx(bcyq%d$JCikMM+EtPO)u&efG?q zGrXTcvq{f`Q%}yBn7NNfpLz02Mqw6Tj{C>V7-zS~@0NQ~wYpa7mZbS(@Sd+N8#bJp zv@)hra(is%4c591=Nkjo&f0lrdf?=<e+}*0Ccm6hc*<h7-}A1|+cebGPEDFtxioPT z)1JRKqSUs9ojh{ngk4W;{>H6R*9`o>J$v@-S^mFc$BrF)mV1wljYq;D;lPgE|J_ga zSBSq?VwwHv#Mh@5v2p9SZe4oxYg}wXeBa}(SGTf$`x+M;6CEZ0q%9I$Do^YK18}zJ z1#Og@FacDG^!NAo^@8RYdwROT0K9*(Yx~>NRq5%*Dr$xdiA!~aq^Bmh_+I+z*2Px2 z)!*7^*S+=MGF|UaYbr`Q^e>@v*KEmWo<X1~p;h2LK#^c@Gq>6k)E>y<;sVb>%shDm z1R^|7tC&m(GV73aI`~T>(70r8EyqUNb=%p*rnO&KzW28Og|F}O%)oQKIh<<D*I1I9 zE;gKPXjDD8FKLSJr`AWVkB(ODovwZR^|rg<?O3I^)0_qD4!lZe%!*K8zac+Wm3w#d z)rZIanUtHGpScVvf}$eK)-Wt^Ox?Lvb@Nuy%~S6{W{WO)W!>FY{dMZh>n*>Y97ufZ zcAm#P=gfuV!&Z@Vn3-N2Xe`~f;m!?<)Ds6b?(BSc20Xb08E6JiEA4{hbMWl)s#U8% zGpHbJG!s&@&kCC6;RykEw>j<+-DJ9Mv(1}xrD5&0Q3sxFFu5W5=Cf7zW(I9$>BY?K z-`t+A%jr>l?UGm+A(_K-?c}`;X4iMm-o1PGPdD&f(@qC)ZM4;A`Q?`-zrKJ#$?Z5R za6xOibisu)CbgGWy;&wKyi7%yQ}{C1<=kpc241bxB9PoT*;~cid;44NCf@FpjZUsy zya96z3L9Bfvy=oF8G|^)j(z<$;ZgaUZWVLm@7!CM*~2b16eTUv$<#8OnldG6O28B^ zlNCC_0e(JHRNwkeVo03I`()c*v&9J-i#O>hDMyOSE3G>4Y{qyxkiV?Nzt^KoeQu z%|S&{X<J?j3Vkw^5}w-WHv8fW(A3mLLE(&BFJ9c}STQ3bMI`x(Ns`n=iM!jpJyN>5 zX1KbZTy%S#&o*^7S2ke}gGFvEEa!h5(2sl1FXO<@r>CnU9TsQ!k>e4l6auvk^JXmf z=04pf%3!i0Ct=gs{|`^8arWF=QF46yY<GrcX%-%4Lmmd5&XpmhA`Hw1b~;urI%0An zd}1A8QY{A;6l(aeIWcrF3N$oZbTm0|rRkoM4(MlltT659?(pkduI(z;xEH5;@9*2? zjb3ejc}tJ@?A>^|G~da0{Q@4=`1JUVj@MrA-BEi#dfnnPv(0MeJ>one6f^DfM31mJ zg*sNpRrfP4{rqU@s^izDr}*+(btq<cM};UWEQ@LWXumL<HLGd)n*6EjW_*1Yc5_kX zNmt`(CO@wQ=q3iOkcx;C4md9F@!~<oiOC-{pF75^Qg>886n41z&WF1_!nHlAQKy=> zo|tX$SZvx2r|7`1E*eWJgv`=i1I?WjBbEg>wlM#{e)sgZJmVPwDmgJOLV7d*^+|d@ z_s@ysG26cRz{9ddcB;<ntKOOXKYM=qYU7!6wF5+^dd#adj+~gi=apP?ec&D4CU1+} zj@w7N=E-F!{oW^Z<>i4i@rIq2C(jqA%FNw0<KV?B7kJp@POS2HnZ0f{Z(2ibdjzNb zle^pB)*RUO;JWyflx16a%RLM3-%B`?G+Q%w&t7p&gS83U6vOJgQ&=rzbFLTWMsw8c z(N4?maCPz3FRr#f$+jbQL2PxB!0U)<w@+Eexv=f|rt8D_WDRGo=mjkkzT#JRRtMgn zsZdy8lDAy(izLrhpN^${=OlDB&uDWhi~8qv+RN;j62178^dhE9{-55-97x~@`1`)# zfawG;LA8Cq)|N`oc$>xk?1J}EhtJ_lmQ)K^xRo!7Gut5eI%4IERV#SdZ#nx%Oxr4U zL_~2pPm{;91?!oQ{t_|Hn9=?8iU*5f{D%oCPtLCiD-{hbx+&SQ;iR9U0nhwDmI+C} zEE9^^%if&Lf11Q3Yqv48`Hsb(;^}<9q^CcPOxh?awbfoH^RV;MZ$()zy1E{Od^BZs zoo1yaGWX<GtF=6}(mK1smz%J$Piaj1!SuMXI%`$1ddb}$x8~dvPXEPcJ=EZTR(tET z9-ENE%WF@ka6Xw`udH;hB<gfe?8(`z>K$q2zK0#IwFXpu4|fQ>qB`~6tdDw|URDM_ z*SzXt)Tojh8Werj&{eSTo8j4;nm=S-T=qHEv(QI?-RNVQ^{+yK4+}3e8(e(Gy8R&6 zx(RJ^MFBkJix#=&teMAev?Nzw>&ceD(vOJ@@p73{4nGiLt1`4s{kuzl>Y<Bn{YDxN zPk3K#U*vjVVM0=Y!X3ePkssMvYD~X>aXtIDU6C!oOE_D<Ok8tb$JVbuoh=(h42wPq zO?nZa#CAfBZ+-{!v>9@idZi+9OF6D8P0ZZgI6Zm6y=&=5|NpA{qO$VDZBItM@crU@ ztbRT5I`^%LJ-%jX(zajik-Q3ruWWlAZ!ycrJm%Dr`t5#d&!u*3To!tJ$5cT@&Blob z<d1bexK#4O<NHGa`!L>l{+=?jd~;bh#?49GQ^U4@$;F%cH#FZoVhu>Ru~aEqsL|8n z;g>sMz0pDoE;H?q6!JAa*_pCF<MO^hw&mO&cNLfVDgQ5EWN=>J?RDStujFfwFB@3i zZ5I1tb?L>l`~6>xq*x{}o=n*!a`ykG5Zixu9&WkyjB76ESu<Nn4#`u`;}kySuJf;~ zTlTy8#@)La0aG^Kaj9fiQggNaRry|AVDX{0d46Y8!^Iy;MH||A+;vRNm;Yd{nEUtP zK8GCJFC_vMokw;nd~Vd0-mRE=UHeqTCPwRs>4EQ$q&=|fHLJSU#XGsPq~g&EIVVN& zuNe!kPV9;~v{!rm<fiMJ&q-_EOY7*&GQRN0SCVCK+SV5a6RcPyEP{pX{TE;He$#(C zA@6+I0k^(0rOsbDxDQXAIb*A;t$Z_&!MYg*{fz4DT4%$aiuW<~unIQZKKZzy|JAeo z%BvEau6Zo2-xi+!RdLCJSjJn?8;+lyd9bX>;n1Nd6ZIt~&$njpa$GDF-mN!d>&8|K zIl1F~ujXAlvP58qS%BNo{0e_A8N0jAlY2SV`Ol8sD=+%@t(xxS9d5!EmP?gNg}68H z+ip3s{F26(1v7Ru7ChK1=xV(rZI}7|x06fReX0Z`|4J4Foz<v#cgQ2$f5kW7(BogP z9=}&}y3`=@oq4Be*UmoM(5wePcCU+nFh#84qP=X}9=@&>8fK5yotfLJc&CQ_?b-%m zEd%eW1Y@@Y#?!B;Z?g;7lf3<;v(E&}cs8H;MyrD_Sz5ia=$W+1XYwMcjtjC)5ns2j z=1OI3d^vg2Ioq@~_eI%rSXF)f*mESV9}h4wjbAjgboFxkCiPc-mv%J@x_z&b_icGA z7=7GN{>kV3X}69srSfV^-WRQs)yxtObWG>I>3#1R=j2P<y)~Hw-ydw>y5sASJ{Gq4 ze~CNpExdf9SYdJ+|JuOn>m9l_Ei-eS1m;fpGke_!^9*DAi(mBYa&|bxo#<wm`A$sf zmU?*o3lZ*_r~MKl9<0f|pS_HQ`B=x6Wo9C#7V@gM-b|3$z}oF(cEWk-wawCgM*2TQ z#5umTMR)VZFWCA2qQ<>xTk7Aae7Tjh=C<)Z-{Yy;3Qfkj$?Qk}zFTT5J<W02`hbI% z{4c(G6qMc<&c?`JE@;3hx<py_+ZFAYK$99-mDqPeTI(M@DQi4@>i7QH=Uy3xYpX7c z+OYqSae?ad)9KP;yRvQyde{iK7H=rZ)7G9Y>fdX6XGTU$So{JvjmM>(|CL`hL|nf7 z(kNe{jX&s?s;BtXtp^?VZ)9El=;-0om%?S|@TWv9e9pRm(%J3e<!5gk)iwO5Tw|Kp z>5}34?e)Y}%#RK<m*rMpl4)7h&9t1))$-C@{vGG9r_`BsHeIwUGYS{nG9j&3^T`1v zo_ztDAy%6=OH9nvHCT5)s(pS_)%mN2FFrD`6)k^usbmeOHkX0MrV_=XpVeX=E(uy1 zxl*O>Mn{t4fBo7$@5Jr&l&&i#zHWyMYb9r1%Uf+d?`c<_N?d_P^uE$#Esfp^dz4>2 z*!cFp<KF5|<=3=-{5J8NbDwR2>Fl-r6{}{N+&lQ~Y0FC=%bA}K7cjpynqo7@eC?EN zGfUL>wS}!%S6S@o{#o|}&$N4M-1imcddF}1(3oBPuJ_}tqUpzW$ELUECA;44ZrScL zt6y4h!CAe^C)V4iPAi)D#GR$INLg^&dr46nNdp6S@e_W}pNU@P_IB9+S;?5YtW=V- z@XMoy6BX_*Q&08Y+okc+B}M;X=@P+YHD~k1b%)z09S!hj^Zt?8b0(p%S0~===U>II zCnKt?E@T{)Q8|#Pzc+Mio$RHiWxdB)yYB}+E<Vm|JhM+t@>l8k2VMaTl>#dJ%cmbK zZZ1)FU*i1Y!|C)p!SiHN+0OBvP@2B#eb2qgbr*d$zl~_v6Y!?E$6?(DC(&)mA-X?0 zLlkDWE#`W7Gi)=v&ixeT@AugzUgS#T+|smY_Nz69kz&Oyme;z11sL~o8~=H@blEYF zXFslW%)9X<gf03-%HD)EM+`mBiyxd<dVX)d?9wjLi2if47S2mz3F(?rSml&x@n~`* zuUx}yS1zrc;z9CG=gW>Bk3F=QSz~F;hhL1jVV?8O918xxvFyvV$71|3x6?MS&Wkjd z+<jq2&F2F4q8D=0kGn^S?QC%t$hKctV!4Ms{_EtAi<<TIO3we#o73JPT-oRQTI8Nd z-Q+FP*3W<W;jne`<9A9Xl22k+`vivxYu<>z=zb&dspGZSs}3&BtX}p!T=5f1LyS$t zPrZ^_zVNKnqn^t>Z3lQSA6k;vv_011%lpL%lh384dVSsd!?pSBPyO;!jZ62nHZR?E z%GJAcDtC94h0KIx`z`!i*b1gxoxM<d>2|S0Q(W~9P5Am){Nw+tKg!hldi1Y9tKnMd zFS9wMl_~#N%}xJJXMd;ai{-qE)rnXYt-E2>@q-ie{w11D34eRdeNokhNz)^>_PyBO z#QD=iX+^4H=`w%s-prt1;yZWjoV2Y^*)+w(+&Djtb$<35$K63?D<V&?E|^ob_rnQ^ zD-zG##m@XcoEKiWzjo3Q%Uboz-*OgD&c0B~F4(p;<H?CzFYi{IYmndC&6=6=$Nfj1 z-;*0c>-jIutYy~AIN`KHb8(d3TC*i$TUj~%bJhRbG|oTmxb%a;Mvh5_N7AER_cl4c zHl6tB;8eBP%_=Ghz5TEKuHTr~YMFMUj_cdvw0_n0t0yk5NS$by%=Ts)hhl!`>9ZE; zH|<4_PAHzdI`YEG`AY)znjL3fuW?&#@<@eIVCS6K`~{|Z4=<a&+cuFiCi+RtzYM!m z>#zJwSv6ZG`p%UvXLd2kNK4%KyE$`XWYxRbN2lC-HX+|2T2sNtXG_bAW<mK6FHeeU z^4aX@cF!%^KXW_d0r3LO)684FY<>nec`QGo`$*i1QJcA9NqIZVmc_ac`mbD>Ji8<I zjA01F)LdWg#1~Jt1*|@DzQrd&_}2^<p^vuuZv|Z3*T3BCa-|~pl{%xJw3+$BNon2> zUdp%q;n2M+eK+*oGj5a2-h}LjZVi7vxb1foiEsYxt1Fi_jsNNMy<(SlJN<rj*vWmv ze>FSvZz17_TzhVD${usdSo3nq8Dj~iDdF4I{0}P>?EAM!EY9lj0T)@vWu^gwo=42I zJz5Gq>{N3;l}Rm5%e*_CYnJOLk%^y#^0?PdG@B`W(bJ*q{G5)x851vV;}xCVmL8c| zAoor~OR-H!exF<4kImmc9++NH{o&;0FELMjrX<b!SQ8$%y6C*i>9d^Py30i+IoP`6 zY8y0dZgmAtwabg>{$af!MauE;S@poqyc8a0@s0D>ym`8L?uRA1Cnrn^5n?LHmV3Y4 zC+OeJqI<RfcY6yyiec3~?zVGJ_`aP^b5rV{s2*`EWUoBA>ggW#z3m_K4&9!&D53xR zi7N-T8?tOX8K;^cqrTtL<Jhg+3H2ZUtH^yw`NaR&bmF|GiMieP{=T@i_QLVf**Y?T zS~bfonXm0`*k8WzgD9u3iJ+<Yv3hg8TM8-H)GzJ1v?nKLf|iM7YyThqt#^K#AKLRE zewD-`&)qK>-^aT%oq4DB@TZqakKps?D>m^apVU9iEjlr2Nz%^FmajW>KfDRndX}ql z#MJJ|?8uABy)LJD41euhcjwtP@t0@U@@Ez6EYV0azvd*{bBgP$by@S-A36?oLYynE zFIpsD+36M-_IGR9<^B^l-5qQm#jkLk+8eR+(vCZeY#zl-Ombmh$WEJd<IIcs0cRRl zglC%ync2o^Rn+dj>Q%2@sI@51MeN-(Zjbf`GyTSkyot$b(rq6v&t~^pa{KV64+7WY z`)@4i{(M-}(((=OgWeSarvqMBZLpD<C|c3JaADH(k8@IgzB8K~c=6(abxll%UG~MK z*5@kE-*fi+`=<J?rWYT#N10vvJpcXqnta{u?tf;pUfZcYOXa?C)a>>+@4)&3mKkol z{+@WPIwdK-d68Lb@n_a+k`bZ$jT>hlI_F|N=fP8Jc9$iU-~MOEseKW>^IA>kY*9kQ zndgb7?7KGfx^!)vqiR0=;a=NK(RKoFyHx*tdDdV&bKmj5N%KAb#y@75xJOsY<i_@e zFZv(dJ)qR9J?)E#64#|!m(=qQJ1Ta5o%N-NeTU<o9jENoC(kZbmOFVN&-Nv&l4XN6 zXI#N|&*N_$?k;O~Z85aVV6!uwkSxvDHhuObHbt+<{mPQc9B;22TKemKT(ZGEWwVg? zm*%s(U9$Q8-h7h2YU9-#wg2+{*K7<Hd?Fg+Jnz<@rvG*dn-&#p+1%?AbNKM|#!v5! ze{XNA^6gD3^tl=Mux$;0Ir9$dxe_N*7MU<hS5-M3R=v1k&#c2=e)^hwwY_NNSaQXu zBys-T7ZSTaIA`8(`lo%L&uXjC|An<ODYMOAZkfol!TEbSV<Ds2zKrZh2ftmfr6yf! zv`iP?82i3*<?Xlkx0wanrWO9Ymc(YZ;izcH`7cktuj|(3d#u8kwR2j<-YJVdlxSov zD>UE7a9z6V`RgkZ%33nhRxny#{*?S-=jY`oqV8_0OW_pTmu8#3r1yW#kN!D-wkt}9 zI!~Qbd+F4yZ=dCtE<cybdyZk&<m1y~x79U;U%mP)eYf3_!sVHh7EfC7ES$6FVh-=T zl;=OTHM9H0PE3kY+AEN|ORnPE%Tq@7i}V?LO!Z7s7J6kGI%KP>T5n1<yL<M=%9q=< zKNU~7c;R|$*wN;BNyd?1&c$~%ox6Q_#(u;1+>$e9wygHh)mv8H{V}I0kvD~T(#H$u zCN^oeu{?e^bqfD~|6AE7PBq1xs1Dfd6&jK$yG40MY7Wzd_p_Pi1bb)os)n6rw$T<b z5|w-()9G@<rCiXUW%@r$fuO%RhHI|{#qT!UcSK3`bH+DbpJpd7J?#%y#ar&{ZRTq0 ze$uKRv1eM_<!v)+-@NjDaj?W(jrmT<kL_-;j9Z;%hUvX%c)#SD+O3H7t8C^TFne&W zYQc(ju3Vj?Est-`RB>1ntQOv(^Ke##i^cu;e`k+Yf6}v;Vr(vQpKPNMy^*i(zl(v6 z!MoLsP07<6y8kSSsHlDPzvxF{+$;|6k`B9w2T7h*tk>rxBuxCwR)0pV)3?V}f6dIP z-+x+eT(N>Jx!Uwr{S40Oj~n+^>R)6?J*;%cNW;Kd-)@cB_EQ-PZk^T%^)z^J)4wmx zaZ6y*&GNEb2hBUl|F*ClvlZl<<Fq(!`S1B{Gdtqb+xKa5Ye=X6{}6Jjx81E`gOAyS zGx{y>RKmZNmrUQ-!qDP>x4w54*Qbk*RyzFOJZq0>Od#(CU2g6ft&`jIjPl?6_QloT z$*4VXJ3MxG&~L7w|MI!hYOiMZ{V4uD(d$5e7>h!-h3~QX>VBW%<xCBXePaIn`oSw$ zlY7G8Tz`?|V(k-Kc|LmHc*-cfV~hQAQ?_GI9xc3~8h&C;bdtT)-OD#@*X)t<xH<cb zTC#HzckU<d4yoNY4kgR?tl9rQY|XB}ZQ_3o&Tag2K-5VyHYjV2)Us(F>%RwkesG`8 z_sikC+B|PYmp7s3^m0FMNsLjmQxfr*c=!tc+px-x>mo}yPM1D2c=B|)agwly%AF1I z5}zI!_}4q;@WxE9JO6gAuHK)Q>yIs*SXk9jQ?gib=b{H!++1P`?Dz0a<eJvCfz_o{ zy=CQs3m>C;yn4*)RxjD6BR_3UQfq9Xp3JP*_q%qSUuPPmeQeR2jcc+DEdv(G&fYfN z|Ap#gojFR3R*y?e{2c;JUokK+G$=4KbWF-zYUj?RA|o<EyEK(S=x8MS!5e0Uh3a#5 z9=g|1{468?W5gG|cMDB_F)#j-pK|iv#J9(*-sPV$nRayx<IP>UOR7~QO_|M@&6y3| zOpH}kUHxVT2L%N=Ow)h@`BSx<{3o5r-Q@dV@%x`oGS27j^xby$Tc6PmUH1L=9x<D5 zGv_Ol`C}#X=hy6L=|5J|CR%f6&EaV%Vw&n_KWB!|!i!6<O!M>ixpVjK-L1QKhk?$~ zE8%hioun5u3AAwA&E2fT`Qc(?Z!d>w1_?D9<$TucDUkgNNsk1<;3Ift{{`^5OBp}F zQ|YZAE>888_A5Ai?b3xSS1w&}i`lHODg5=!$eCZg*!Hh8RRn>D;29Ey&IKT#P*akY z009aogakk!;HUZjll33(&;O|3dF8xB#=QxmHpe1rN=<Lfkns1Dn11TWyS7$_gI8}Q z#Gep&ygU2tKgc{QcyU4Phl?{o+g(6d;q>0U&*l~9-<$mX(cZoMOXop=oYDK4&(F;M z{A7>C`kB+&<^KjR`QRfLCmXV1@3vW-o9^VLhW5UX%D(<iAGEOmG?Du7VWYyuGrOPN zy?eJt{r=JNas}_*H{WGG-vXL=`W^6U`%A~fUiax`+}^j3wr*W2+Vsd}>MAYoYJr~( zPj79NehuD_2HyMd!r|GYot5iu%&C6<V9EKnGq%Uv`KVTVynW7`m`hKm6oP;UWXG7r zj~B<Dg%>!7em&&Mp}TI|RhIBDv(OK(t)|T8s=K@Q1!z|2*|OW<oiDd;mHf%c@!Go$ z1lZ*F-YD7iW;sJypDe5DQsoAP<MW#0r#DsQO;%?LaB-Yz<GN6}TI;TQz=ahjPYVCp zRkk*E_kQq%Mbs_hvop<>pUf<bijtC&lDc(s{@cw-m(JTw0S&Q(uxHB67`5p~Q&Uqv zT(mzb{2^`Qb%ugF1-H!R6x_LCmSvE*`C9hnshyhK((%_*Qc{wWlNC%9m?lMvI2&~* zeYm*$+`BtdcNcEI%?qAv=jMKFw$p#zWb5M8yfaVFDXIMsWLmUv%a>`o7XzwJfAir! z>2c}msis(^gZX92-%nrV+Rw)Z0^dNJB)>idgQIsKM^3Uvf;Tm;zFMcj931?4ijAnh z>GEYu>^yQcEnWr%TCbA$>Q<>@%k_%$Y0IpIDJvvaak72|O`&}G@};B%w5zSCsXs5J z!Jzfb^&j^mJ{Z@3HjDpk?B5#SelukEuGJYn6aMi0>Fb!#Q}cpBnR)-Z*xmMgG8P37 z4lJB)o_FHoojYdRdSjE-Yp-Wync2>s<6AuWj)=Lbq3O(dK7Pw)dZu;Fobh1s?&H-a zA4(&PYr47YAE$Z<IG*8=kYpEeVCWK7`}Z_@-qY~7r?K~*hSoie-1juH?rG?Q>szzm z-r9QY7<l1|D&$BpXYia7r(IP?nwlyIH0n&800x^t+bzzfZ3J!DJet(2_2fni+q_JM zA6JieOl&Gj`V{=*>-1d`3nfo)IL=dTk=Va_jf~Zr4J%f~yasLV3<qt;k^>#t2A;43 z@0Ao46$R&ZK|w*#ejyNstdZd4JR0@xp?kF5uM7H>67!vvl1_IE`B*6W<gDqr9U7UK zX~~0_eEtQRSN;eBD?!^ww?@5v2?2qhz@^BC4=NME)9TjN)*miDpYFAQRV=LHp;C*O ze8qu7%Vm2mv{i|_F;wR`{g4&mVfm8T&BUR>)MnuD@%+TDhILQ8k2<7l{j1!@@xs&f zp#Ah;U-;`{v#-C4Y7miN5K?w&VQ@6sRJ!e4`<yv*WCT@<A8X8%R$s!}$*ef(s7mqu z1Mca!KvR=9Zro_l$<Bg+DIr>=%T9%8O`YX(=>h~CaCdJKoXWQ0Z`u65OLevEK1*e{ zTwAyG+R>RH01h)r$saGy$Zk%4(*M23)_G<3*{tn({OehS;&$Aqs;LT+S##h4(_YRO zKPG(n_O0}K?(1XDnX`8JExn{^l2x=wymj7;9lNvJ6Tt<d!wJyVFCIx1eK7cNF*YV9 zP5kE3qeoM3Ub<wo{RHSBMtLtW_0wlQrGO5z^;8N6ZLJ9l>jSO7iB4U8;FC|cX~Q11 z*u|Rf*RkE?xhMPQ*4qUOPhCBFaOTbnbFU^`ippNQ^;*>KvhRD7-)L(sc)+-hkzoSE zZ>HZ(@%N*4e-pZL9qe-fmd-RWGw#QSK(l#=_?OltC-a)DusPi=7}N3LHh)ybhd7x% zWv;9u6}b<u$7eHePMsQG`1akqT`Z;GvnoM{<pl(QHsTl<2-sOEEZ}$mI@qp#{+s9O zkCxm1ncKKLkc~h8D(khQTUqx<MMi|H*n}EfaOiq_J#6~5Ztgd0e}Mt$=(-=@KmeR` zA1r<jE(4xEeFz1b@83bdBoAnE+AT7VKQ;OG-oE9(R%!kCdi`U_CCw`>N1m`q&GqtK zaB*e!+h54#Gq_lNW>b>z?grzg8mn~6yi+G@HPS8h&Phyn$-2orb&*-7)~ls@KV<B` z2FZsiS08ej{58u&N!_`(dDG=}@_Zoh?kxzMef#oR$?Ur+=H}+xx0$8izN5B%>(;Fs zp<u1$^=r>eD|O?t*R4H!jB``kf{B0Cf7Gh;8r?{L-}0F4PgU9c-08OId*4|!S4&^h z?#=!SNtc;mkl}Bleyc}q@xp}*=gze}|IGO3n>TOHtjQFcJrlIH?V$UAwjQG}&Xt)f z+1TSB&z|>kdRN@hx0fTTm-<&NIWLt7iuS|cJ++`hIypHRQn%j)6{d&W)7!w+d85uw z&@QXL0ZowQdq?9xPyh4vE3194npa1{`Y>K238_O->(9SE>}sgQ^k{9AvSPi-C&wdO zLEAm2UkB|(+a9$%>{?cO?wZ`Jt+D6zcAmazT3%XOT3c{3#&G)N>}QXfCZF3Xns2&& z+ZHeg>WQfR+H14#MW@M$2TkI?7V%dtQWR15=~$sz)_r^Z&sUd2LRW4H5D*XO%f7y@ z7rf9QG6D=fTwMQb^|Py=PgSbM9}N%p2JPF|*4AF#ziQ{INYU_<p`l)~(e8#XtyZM0 zn)%A}>5{IMvqD1LMBQ#YEu8nvJ-xiPLhZ&~d09F6AEkP0w(~5%b$D~};>pbW-$lP( zx%-!Czw=j<(D%2tW|yA_AN6VZU~%@dDaN2lZZ9uzi3~nm5>x_%w({$Ub%XZHzy4YU zJ`MS5mMwUFij~!*hmW60w@8Wbu>AS_x|zwvp^<f-)r}nwS&pWIBLuv7&;<m*%hp)r zW5MQnctF~IFPiN*UT{8Pnbp|PAorrNuCDIi^Lm!h10R~WbvJA{1j>>dl8(%all$}N z?#-))3zUu;Gv0XY%Fr(D(IWBjf}+={<$Ziho8zzF+WJlC%J<6oSzCWyU0-+pyUqE} z2i()&fp==YdDEZ+UD{P*HwCi7z|s=hGO_q@vA4)@&J2g;jDPy(|K2gZ``6C<AB*JE znWnmVF2Aj^gjx6qs9kw&7t2>reu6JvS+;E1vS-=*+PpyP1Ae^lk&=>{HM0`5veQ{p z+4%Gcqm4a1JVwtXANR~melo*CO^waYN~gU1UatGWT<QPYa{t`!YYLwD==!|3cm4j% z`r4%`Iq}!_^y=+%OaDWb^Dw)$H8vI=I%8m9pnv%AC+C?iYPCO&;{K)XKj2y489PtU zZ~9Hwvcf{gUm!WTxrLxrUEDQa=J$p&tf<_wG2&s)|0~DX_Wzrfxc>Ck{U4{TJh(nP z7F@U{Ca$vxe>C;^sXd!EZCdmw@#jJxrNqa2tM+Kgt!Gk?O%=c2|8Qc-#C}Hky)R7k zrrwCu*mHCF#)!hOJO6H8YxKWu8Y`milVf{J&~u}-(I-Wh`Fm&XE_?g#zvg@<`MEcz z$UhBq@UzjepW>;KV`FJ)89DEOyZbMl{U>LCF%R^cd->TH%el)xnauN>?6LBS=G>*5 zOs1JFz2q-=<%??=n@iZU1I(4Sl7D`22r4?%a5%~(K6ZbeVWQ>!IKx<~)oFHshKQ8? zmxZS$?K~3sNoS(>qe*F=Cl6OhxQZT=oXZ=r=EY4(;{)j`M_4-7ey`m4zHWxciT+xN zs*UgKB2Hwl-MV}F0x{=|w9l5OKR*9p*`Omlzq5FeaiCw1@a)b`d9NdCi+UuK?F8p` z7%$>bf>h`MPXz3$CN}<XIT0@qBgQyEcZJA{oi(DyGAsf~0*nn#84Gho7?{;_3Tn12 zun17#=$Nq3IZ<QAq6ICC3@nTS3`r6$hFzAc_DBnw+x>aYbM!y=O0LTrf7BjLo$fi) zg@5D4ztt`Ik-iCEE*f4-OfEjZEhyAib_IKBhGT&3C#x(`DZ7>w3(kMWE@Ai8<{fZu z=7@Dzt1QjiQG0udpR@DN`OL15v`%GlWM4{}!<rtku~qFq)5Di>T3rHtZQof#PitPD zIPW6Qdb$4I%@6eta9^^j`SE@J0o~<$E4CCBXI*^3>Ef{}?R`wT^!~nR?I`^~rd=xz zH1vJ`p3}xq{<e(a-LaXkw(}b<k7N;<IQgBhYO}=26nop1nTyJs>(|HHb@ZI7)hh3P zYbvqS)Z^<sm%duZWsx2i)sMbqIqInRY|8ZqB9$VC?mH%$8m@?cTN<fqlT>(d&9*5E zMa(nBROdwg?Njp=d||X(Nb}5+$P2U2eSP!dW@C+>*zDtxwl()S-);A;-mX7m=F&;W zdXqXP+q{i^>T%AxXI5aX3U9=@-?q<L&gqEoN_?F((QWG!UIE756rHuvy$8E?sh#Jl zp7e2Fqw@`UrU#5mnAuqy4fNl94K)>s+`LUm$?VwetgJ8V<s6Uv*|Bl@PlF&k)p?)S znniR^zxm;NcErX=wyj?}`@EiswrnyxP~YMH&))WgzzV~IYvf~P(^HnS<wuuj{XCM9 z@L=<=m9u!4rEks`UXbM^e}(t=!>DKt`3AmiA~M&mos6!T{d2zcmfL;b8t3$!ymqNy zYa;iyP$}z&X>%pn7pF(4Uvu#Bx^PZmH)Enitdr{QxG#TM>XMgVSRpri>a(?(@=SS5 z7K<X)*BII^DS48ltT(T^E+yiLUIRl(MThjk#${FujthOW?vnP6aj&U$xl?@j|2LW0 z*X9|wpK=ro%n%lh`p9V5r<AKIa4vU2c4Dd}$BcjkCPp5m&C(lBFmbi%KVT5rvUq23 zY4g`dKD*SHS{ku`tN6CC=UnWUEWIch#e=VwUzX|9l=?RDmj1PGMNi*7Kf=zMtoZPA z)+e52^XEl*zj$>o`sIobJFjjyW^>B7=3v@K4U@LCN!It*N}oPjr+cP?c~N9Jcb(R` zDeuG%1_~TcJlk2|U!4Elt}gb<6{USW^$!gr9B*%k^FC{!WWKs6Qg?}8-hZuUA<U=5 zCuI91%q<t2_pASCLQ}z+j!)%xR_@ZgT>b1vbMv(I^#+WZ!XH`L>pqpJot_kC88Ppt z;3VOfU#kD!y!Org-V4#?+0p93&oxrjc>9&+94;5R;e4*{M&7iP(rpXcRwx@Ce_O#8 z>EhsD9Nq3|eQ46OndRFzZMk~>s`5I9rrO;ze=L@0UnQ%O{#|KOX^N%%6OTQjx9)T5 zO}=z4H?HTBl(qeSi^Gx~Q~$U=m|ZA0H|_J3`!){0j#sia*erb_6C5}zW@7aFofl^6 z{k)gBbI<9QvRA6J=l+mSn)2sz)ZNz-TjSJ}{GLU<%Xn6yUs@7>QY1ooUrO4v+D$PD zO&yAd#2Js(W(z*iD-7){tn9jF6I>TGW3BnSzM~C$y~{T{OfrA`TsLn@f~ef-w=Q>@ z9^aj(+1s?7ef0#f%e$}aNVo8CP%w3goBVs)&pQH(w_Ef`urf{gzeC5A<v@o2JJZzk z8}e6D6{eIv&O7ws<gRr&{c<`gx3i6x-*l``7yA4r+=oBaW<r@r0Yl``weBWaKb9>E zQ9Q6RWZr!z&C1%hwzI5{9GttS?1N&^%Kr*4pU=tPELz~4z2jT&FSkI+J8hf8F29Ta za>U^1QN>tp{h-)mGTd=7DlYDP`WuAf&$gV?Zv0hISoPtU+WMXz3w?8+%SyH_A8sf3 z`o+utu{n^ko2fbe$g1KBChZN)l8@txS<N08^u6TZznqgAn{@Q!R^xpR%{v2*aX(Y_ zI{ZlK*pc^_uVkNT>zx|6WNyf!t^535eY({)<55`*`;H5(YjWnspX8qy(rINYyDvV@ zy^J;WuCh<Lw(J|O%m43NG`wJpZYo)5J^xYuvz+X^zqDh!ZWh!n`plKLs?VlB*!i=i zoX2C`<0pS<CkJh{U|kp<e3I`q^F6Jp;xct+Jr@I<+ODpgY!T00bmFbFbYSvAhSWWe zZu%~IBe{|3^tIoI{EK#ME}O7Apn-vvUshYYwXyP~NP7Oc#-|MO>03X1lgbV)$$I8K zr>Af6x>fxZ?<PMFIk`$=v)iGNnO#LH-N`a@xj&WjuG_LD%Ri~>yvmX7@3<1Set)^- zQIX{=1I?pHx{qY<`e*RUN^a3T=G^vaTh)KA(hq*B{k{F-dXviVZKs)%3*RJv3B0&1 za`C3{t4VkIH#|N0COzoRjXo{MyH8GTco()}k^lNDRYv`W9N&(zmwEH8UlOyQ>CPm{ zw<}#=etqw^Z{lkcfde}^=BS73o6S0V?c%x9FBP)(W}YtG*25egS9dRZo#X@_UV#nw zj;{ZxdGX<o!w-+=Z0-HX9=2O$60ei<iL8sC!~L!AK9qXe>nHd9GRLXjlgDdC1OuiV zZS5&K_~`fR&QgV+iXpF-m1Ji1_MG!zFP8JaC0ADB+V<l`>Xt1wVynyM8!qDPcWF`R z=MUQRF`HxMO^@5VnXey9*y|K%zD;al$`QR8mo-j_KNBiSex<Tz-{S)jm7RZWUrHz@ z3Kq)Pt(xpwlUIFNX!aZ5+@}slX4x-(u3UYqKeQ->`RM7b4u*RcO3QpZvbpolj1Gm= zb8S=CY+U{IZ?^sgp`R%=;uZyNn?3b^YTL6U39S|Swe*zN8pg)5mbY`Sl$lmfxR>+b z(BDWCB?H<2+#PzCmmjRN3jg|ghV`?&H+%lufBztS`u2(s>N6ZQ?Kf|X-|Z(j&+xu} z&pls1`JbDvrp1}wo3wATtImqq($_1e2IM%2E*Cqu)bP=|o#NI)BBEhe^PYZ}yev?B zTK~h+@W4;kj+B4t7TP+iWqIcA6OW?r*z??q{JQO7wDW_)9p4R^C%kC<e>3P_)bx{^ zmw#!m@{(E45gckKXz0!9>9TeyN4q;yqUg4~<-F?qruY>z2y@SiIAFS|BIP>&XHEWj zOMFDsciv7}nONrgtB}$12JfWpi7x)XpJ<<8@iTa_VQ1RkLIcaZL(VJJ-0c|ee~-J~ zl>Tsf`E0Io5&OwYr&OC*d|>I@U{-2$Fm2NTEw@nCM>R$k^)n;?JT5=?V%z4EPx2Oi z?2S7VvCDDgGta3TjAUXyBnUh^nH~IA`1$ky&;M0(?D@bvL;d)as}j;%w=P*REA|pc zUVHUg7yXq#F8*D1HSB$bm<Y?u1F7Y+Ry7?@_9+U_|1~Y7D>UMY$cE#_)+{s6Zp&{8 zk(<!e-t)DvfH(PJUbytt7qb7=s;*4GbIe~jYWBaFmGgMDBG?|xxL~-wAyxT*P|W3b z511$1KlZM8s#ljp_`6LL|BD@Pao)3I;}pZ+FK)2=bFQD+Eb+*wXICiu&CqQR>#WtE zHyt|2)M($X;^|Seb^rH6f~!gc+Fvj{{g>6pbXxG1(v^%AY?ss)-P6}P)BbRd(0nd8 z`!^n+rh9JF^WS$%>BGYq`@`uQ_I&&?|G2&AE6z<k%ho(>Ht5n5IPJCa#<AxrTc?U0 zXMX0tGGwbr%A^mKyEXSPiPq}WE@(-V*#B|6oUHNlG!s?tMH@fMNvxOOt7a5()&8%q zNr2pXv!5;7+2-tKUL>n8Wwz@VcjwJ+ztahwwkpPs?>Ej0Xj3@1(B|33BexgM`0hAo zw(HLh!#NxF3Mz^$v`8-tzwfqT{nlgWR_t96^Vy|)*~aYmtg;W4JH*4oL?w%Z4_qvN zw@I1dbMlUYM(fY4%fxfDE<Km=UX;<Px}1CWH=D29j>WTUGR6J)#eZ_?g*VQOXG$Zd zU!J#Pu4QsUP?Q6!kdQ&{hK@pemTA2=#eTe-{7CaK^ISgv=coQpHrYFo*UI%Q>;9Ei zUoR=_*U)&h%6XofaawiORe9#*6?eQ^-X$*hsrfHFvu(e2(!-AFQ**Qx3({7f?URt) zy-)w8cg&M$tqs?f+UPC6WAc3Rlj-lLYB?=(>yk3PA<Hp;b*cHid299lhpt%Ql(1rF z?ef08D{Vt$P4DR^Ii0_CW_nx~v-1CayM*=g|36x6v2vn}O;722wSNV=R^_X&%NRVq zudR8gV~U_t|BhtK13N_)Z+>}UYBJNwG;z=SGX!30R7>*D_P(wnu`y!x>QD0)K1mVU zuc%`hyWm#V?2E_eT55<hDr-jso{!iksAgWJ%`=@rQ{=!ay_L#emoChC>1CDWn|$Zk z*@Uq0`S~hdCloF}=-EI2lyl^7?j2jh^;<TJ1}d1Brt8T6|M~v+vme^)_e**k^LNi} zPx$}fuz<b)<?ny~|Ec~kf9snk`Dw}*H->KdSMdARWmWgTtZt&WJ*~YeUzq+rq9N?D zQ7-V>p{`H4={IHizZ+^r*QY9HUXhp>yk^3T;w<|)w!TYSOI2C#e6uo}5X@(u;3u5r zb*fUsV^yMb(T@px41{WOP99#H!?3x+`nU4C)+dDz4dWhco^d<z#@fyPx!oKcJTs;o zT-ft={*k;nCfAt^k8yn#XM9$)Z2hEXdbdP$m+nw~a$)6jvt=6lrYB9yUBdT)@kqC_ zL*q;DrUn*fHV=l?=cnce%-nV0&5}R6q`D`ohrM>4)6JKe@o=Y*C$ok{R-E#^xPvEO zd(4)38Z+hn#7@x_`do|u98<Mf(r&JQIrh;-E#}O*4_CcRF;85xn|<b6{;QJ|w&kmQ z5zBir*GT`O824VUVAVPN{Luzy4IS9`rgdL$dAaC?c5GShYVVUz=5ekx4?LeE;kD=F z3k%!Kw|8HZw;R-%I*4~~V#|ASe`)>%r{jt99-kNGzP@61$oHse*Qc?$<QRsE><~XN zk8P)X*70k%YwP~Mt6%=-dwg5^;@XlZQzyUouJYNUPe1VW+pODV_UGr{OF1`W4~ekZ zOuxEwU)XA`*t?f(JaRUtUn*mZ+i`xiK+DPxHH@W+RwDb??@-DpIJo%k4U2Qv@BjaM z{X+J=f74QPB{sM#Cn;wC@1E+>{)OeP+MaVySO0ubZ!RXtzJ1&Fo$M#`z8%^d!mTRN z`d@m*l;F)TYFk-?rm^eaxqHTuFWkQ?RQrzR`N+LXcDHz6;fR%CIQ(8rNbAvs(icHH zmMCs{!L{p;Sc2;k?a5vx!j-iGw&DC+4)@0{zs@;v+U%<3_iyWLc>k&|r9Pq~v%dM- zkMB7aT5om+USToOf8?n3G0XJlGnsDX?lzlyb=j52(}ZOiJ1+%KRGl*K{pq}}<|}rW zdAI3X*`4<GVl6wdd+)qSfhV%-j14~tPu)@<_M~^>Vw>GtCe{9ZDwi^MlB$QHj`7uJ z8>g+Y>})u9=iH2f7hhAf=E_$p#UFXTJ$IgB-*H8*8j;5e;d^KIOC8v-(Tq#==;o^i z-h0>GSrC79z9i?BstIOYoJm?M7wTD@NuIp@a<6S}sne#|h>qK?JM)fOJ~h-8-%_3u z&2i+Fz4r2(;_n_koIAH#<-o1Jy;p8r-R3B^xcx~;WLYF@?wx(}Z+m5GTnZ?Nx#lnI zDm;6|qO3K&RjpHeUB&;D9O;=+;(5`4_rQA%wY|<84;$W|+?TiJ#obl=HmsXv5+OP7 zdC06yMh8=k_*dO;>w9_RWn<>Ta|%lpj!Ml;=bt=nqEm`!l##aRC!<HA*BX|Vp1Xf? z)91Xt6vmL*53Y5nc-6?a7iYLniEW<ideLy9zrc6(7}KP)U&0G!BuB9}ONg5KHmk{) zcQ>4Bl-Xs=GIx`&m3;rpw+71lV^2QuFyHt5di`(tL%l!OGTuA>RM7u7uUJsh4^gpI zN}rlvEBk*qeczhn($eX>uis};em|Rc(m4@rovlZWPCilKQ-4#e$S8Tn?z@*``_mQG zdl`bGA8NkJGuE&Ap!lFn<j<*ElSQI|jf`iuhusi(-o$8X{#BGiTz2Xf%U^d|!khMP zYkKT?W%*x@#Z9)NJCdL4O%-V~Q4(9y_PudUwzcYf&#egyvVZPfAC>oZ;z4g?nWNHe zlAl74i!;x@caS~c^j-OKWn-r`Q-ipdTFdR$Ogr`cz=Xc+ysPojP5%VAdPJT}=w6bJ ztC-$9_q))A83ntSUQU&ozsQg0&+NJEP8XvYRO=Z6*`%MOT3j(w++xQ5(zW?{<|dyd z{*n{Ilh&9qP5iTQ>uy2wo@f4+x6Y@qO}}+ZxTwl$%iY|zpqq;YuU@E6x_x;T|2v79 z5B44qYSK&#Jlq)|;NHn|wu*--=Y(9)6qY}?@4c5+elG6Xbf_hGvc9ax@85bg#UIM{ zE@7<gT-yBpV8P_=Jm;<y`7hKk`K&JP));v=Gh^G5X{<-<c2&Lp^yQF*(GIhtlk~)6 zUK>@tYoEZg)l}o2Vs%9De%s(Bk4*YA4u-B_dZKW3-{<sa%b$Igu9eLXT>sdt%KOF+ z+pl}t_s{<R<9nszE1%{1uS)MY#rH-F>#i@5DZJP7S$D+)?FE(Dih^G6O<cH7y*B-D z=)JHH_hYUo1|hr0DJxG^b6nfMKI--6bf32K%He|7>`N<R`@HM}mbA(ymHsh1m*KbW za=yY}6}yCoon5idTY2B^KHu_tyX=t<ZMrN|VhigR7~Qg8AEm3mc1Lw{tX)vaWMP(1 zC-b*<2kz+4)SNN<b&;sgMF!_RmmaLF{dMKR`Gc|7ON17`dh%bUc!hSzvUulLlRFXv z93TF!VT$AJDP0jYL)1>I^6p2wX*(n4&kMNHFPb5%5PvN4QhBqM{PmNkU7UT*BDeIL zEK@J^yXL4{G*2fv%*rxY(z`^`vDU?-bjsgwQ>|$%eVnny+>NR)HXZ%vG;34WsYa%C zedoV#KOor3b$-5cLjy}28*5$58kzQmU#7?=6-wW}+gmgx@`{QV&(veN>TbPLelveG z4-cunGiCON;CC*)Qo9xiJO6mTnN4w)d-tFCyVF}OKiUZ~Zrl}oS$W~|w5f&bZXMZl zf5N5aGdHe;7EE9<x~7m;J=<h<81G@LeUslxYrI{Q>Nj<R$fI9DmwEc!9=EONV1A<J zvn|p7>3tiQom@;i8r_VZcrBbHXCtsGsY*ib+LA3%&a>IGa?I^}nghzq?7tpPN|<IJ zBB`*oSL2^-^HHvFl}Xcs{7U!zYL;78cXIFKXG^TsZ(%%ZcCXIBAbooH!SJb-$?so; znXy=hS}Z(u<mShJvXeymPrfgkv!FBPq(k@d<UOYfr|vT@+VWv{XvNwOHf=F85=xUz zGWZ{=hbGkSl}>1Exh!{(g>NHMcf~=2qwAH0j!Cz4GN@fW{G|7wMgIYnMSmx~x?>i{ z^6K~Y=L;X*P_r_7RiL$VR)UGr+kS`H&4PWkD_P5Y=VwjhjL#DP*>q)AZtXL(BWJJ2 zF6{Z7Vl&0`%_|{0<*#XM8-E*ci*H$(x4zrqWaBoy5-A-H{~Nj7R%RUqFCQc+2-VtW z99~g;n#0^%;{P+%b<^t3EboXD+%+LmY4xP}+^ZP4mKvybmp;?j#N2tRCZp_yQ_$>u z9Zj3iN!_*IOrDvDu*`V$R;b*2;zphCX1ZGWp8TPSua9UfDD??`+$50r*e>vt{r=F1 z1uI>iChgKz-L>CG_h=aRdz+BS*=wV$E7HZk@y%VdFKns4*yjf&f4(o4IK8;()Z}-3 zYtPRU&kodPDHhVwR#&<G?m|t#j&QZW5dF2@55z9!tex`kv*T^8BOb4`7>*yhT*c0P zd1q#F%Bu>&gGZJv{SjHzP?WV*aazNf>XhHRGlf68Z<-U@_dUen$&_1Jj`hK71JYft zWd%8X`tE)u$$5@f?XKLsQ|zAecZHRn@d^47bwGKwgzTb_qFt++{>!!>^o?IJEh=}7 ze?d2IJ8OVv&w^BghgNg#iv72o`>n9B<tf|OT<@)Jt6v0iI9y_CKX&11#JYoX)m=EH zgD$lmZraYKXcm~gyV2>&`L)yM>#)sGk2~z@@$Kg9{kwnPPu@`efU#%(^Ct;cZ~0Ga z>D1%Du|_v;`lRq;mVk?E^q4mX2CioLsJlN`{4r1N3O^33ox87EvZwk^&AVSL$TcO( z*Y%W??eu{6xuI)UJ<R)g<`wTv-$!k;<2CrCPn=F@uIOxgmDpjn#+0$^-3e1~hf5Z( zR4z^p3!XVWJFpV8K%{k=N1sPiySAL1+`dZ{=hm!RwekIeRYJdy-J7hnXr+>pV4=X& zwI>aIim!NW&^wb+b>f=N&CH8wo@|NC7R>I<psBnDFi>%O@?8GQ`d{vUXaDp3zo@?c z+_L%iukgQe|2p}X>)$^!PUn^1YAstCn`wUQt=44FOyJso=dNwF;kkHN=FI*(r+3de zy<I?gLIM+)6$9(eyZa9~x~$y?0^s97_wC)gcdso7?0pNK3B0`r0&GAVg|oIsLC$7S zkrR3!tx=$PKI)Us@lV>@KWQ!hv{F@6QDp%GqncR8N2ZmN`C>Oj6s>HxetxdD;S_k6 z`&<x+1p&}v1=+jD|IPs&7BGAE>|!&}rK7XYrp@$*&03`z>4AXX&BCkVNosA6AAYRX zVQDPtVp8NfHD4}ox$yD#8$VRcXo#2pXHjQsWx=Gt=wJ^%t5yy?d0ik2zG*REemmHX z+sFUrWM^j=o81I08JKZ;D;O*RFQAz<i`nE$?}RBQIxeaxALH=!RD1dE-8oD71x=U- z2>jl0_RN_%XF)rNRl!RKE-{M5?C72+SI*d+`LeaUJz7I`qXt6@gD`UqM}U(3anKI% zW5?$B8iK&a{^-ZP4+>@e7s{xgVqjKMd3}Cj;KjqfCMqH<Ukd))+_5ZwX9t<Zt-a%K zV6frYbubVwehHp3|5_*?Si|00D*8j=^``#ly~j^(UOaiSDP&jku30f)AaC9^ouQ3C zWCzc=-k#ePy_bLV^c=V7*}voT;km`<EX&L79F{T&F!}I&P;}tz@B4EHvKr~qrAb+? zTeoc4v}sd<2>;fiZ0Aju-Rmp5x$+Ce;u{Y%YIO;F=m_!MY)f(8l{m4$!z?A*Eoowb z$F@1v<!(udAOMQm*jUKyF8CA{)0Hb0g#>A7X+0~-(y}t0JIT-YXNb|_3YJxAAB4?6 zinxC)67_dnW!W|VN5|xf&e=b>^y32$Ep9&SyXS~!%@O}i)t!@noH%@UuJw0DEj`eh z8P(PvCpYkhYDZRwB$qny@wGoEZ+`g%435Nr?}F~y6E_<YwR=3Jd>LKcIXd-{N(K2d zQ({8qBz}EpT35lV&~)PUjn|j+$}6hYbXqdbwJw*Q@EK`Z*i%*~0(5(&>pA}=8bTr~ zyINXQT%C%{`uqA8xVyUt+J91hw&`Sy)?~x0E3!mPXGwYX_IOB$c4in&Kb0c*##QQ+ zo|jOr#%z|0$NyfrQTa(_|0S#apS0$GDzHD*WpDUWOs{~&=AUiMqUOcL`<7(hT9zO6 z+!J(|L|a=62sD8JILF()dww1Q{4XDefcBkRK<8Fm%?eek2A^$SRb}@s-KNmVzUE-7 z*q`o0**g?F<aaHU@B5&v|A|%cD7%)`g9nHAi#>VYzvl#}@P_Zsa(T-qU3>L)KX~PZ z3O@*(KL-MS?4Z>Ue0;~jH>LX4y@ITPvzQIan)2r7AZHPry?zA*EI><(cp-<PpE*94 zB}Y1^BwzXQqDMV0F5lN(IK-{B;Q^C7gUX)^ynkP}`n|a4C@Co^IlXY^j2ROBA0^rg zCF(y;@BjT0d?NItM~`x#D+;z4Oa~t(prfO6>WNor>n@|%iR)a#7ieqCe-D2h!XW7s z(3<ez;;PV3Om=tNSAXAI{BBP1Id{n7C;yLA=FEr8yK7u|*(ItSR&&Q)r2pHK8uxYo z8xM3Dh}&H`ur|8!{^7fA@2=JE;9t8z{F&8u-iK?yA1pj~JmgZ;-gDEf%iqn}UHm-H z9^`Gyj|QOpYxq$}|L2a)CS0N0Cfk_zZRpR?xa~eGFk`CK)Lk9jI*a8VDavmz?!9sO z_Opj~GaWxz?a%tSuI_um?z@NImhx|BkoWs;^XY75*t>`C?(DRF{%!|&S;@ubi6F2s z8B|Xc3a;!3`LkoOaYE|(3N<w~H79d{{!?yBb$8lN9Og}xH<}JgBl6{Mo)qRwMeGpN zxzlO0N%2xSQ}SQlbGv45KNyjI`dQ5%(ADVM!Dq)$P`2k>*%As`1LWkCep&l#*xtDJ zS6+XWTU|fpN%p#DDSFEq)26W<@{pP}e`apSfg79JZy#xAP@U6r_;|wZ$Nk;1*?lh3 zO2-!}^Ui7AIM@0=cp)fg73hKm2?+`3)QVU79DDEaw(Z-B99=mOi2Js}hdGPC{?_8Z zkvBP%X7pBma7jx|NtW0DR$zbec>B~3FCK6-9O+>Hy?##dIm_pNpy$wFoKJIp=gys$ z>Bg{n0dyvWMV5`x6X(k_7#^8AU3b46@gXjjc}m56KmF_A!c7^xhOV_41RkI8sJ|Vs zZNKT=J78e<4z$p68~ETWvu*v-mU|x_jkmp;{P!AXerPk7LX`j2!_l>3VO{r6Jy^YF z%ciP|-s8VkxBp(d&nvriuNwdN;7r?{+qP|cmc0+WBqD1a*ul@zK}#&t3YjNN_I35U zyd*Po!=}q~d`&=L%hQ>&Cu)F~v{ZvH^fr<QU#jZd1->}E_Rh&w+-br5%!gVH7O>Ax zab%tUB}o40aR#0r0R`XYKYH-i`mg9cv%Ne&{5kXO+2-^zpV?Xb{N1^`a}R@$vp4_- zpt^hCJ_|@SZTBucJY0Nh_N}W|uRhHwa@@INMNF`srntCx@lMvetMUT3FN?mk_Qkbn zMl-z`0;^QiB<?3=vakhrtG>T@>aVz7l!ofY6NhfN|5|MRYtg$o#n0zhKR<VKD|nTU z4XD=Jv<aN5HrVAc%nQG?`jzOHt}4^LU2(CNv%>;bubL`))hkPM>!x2jRxb&?s+A$S zH7iPVE&Pm)Q~wy)3}m_Oo>%VsJAJ{wxje75ZhYKRuUNGIf8tNY@2@Ra<+NHb{aBzf z_x#S7b9e9Vv@U<%=Xqm6i(taD%gbl@&;L{aUiA5D!Xyyr0)t;W7P^?s^iCDxdd0!Y z%KEjYs;a7J`O~dcOXHS@zcj6Cjaz>zC3y1A5VglzTUV~+eC4aM=Vj`N35thPcl6AV zaxY}4x^dI)_^-#GEw9aMw|>{L$U%GRgPRvmR?eAyXQ!=OkAz{;kq?k-rwy!(gdqTO zf$*hELZ4eZKzU%_{)&%DFG05+pGpDW$Xbxall0x3-}-xI(4Mo`Exu=Nx*@qRC#hk6 z<;?zv6*KB9#V6)lavhAA*8A=pBV@6VI~afuXoDOc;tE<&bavswg^JIDKuTtUR%cF9 zvv=+JRIo?MuG7~cX;OrWUZ?w`Ni$UBI$iyaDDrRbJl6hO^0R)~^FNT&-sK?2y~~0d zcy{vJ!56m6f8V@(`SRm8pFDi{Fj23(tgLL(`o%SKGv{a=7T@<MfA6F7KaRNnIc)yt zeg4$~XFls24b6NudyWN%?!B7)_iDcAvnNlU)SP?%;K2i%bC3U=y}#$|?V7XKZO&f) zbLRYs6MN2__IaFlhS@HBf!ss;?M#Q%u6$n9uvdQ5U&sCZ&xM|FsQfv={>JV>;L76f z$3f?S9|0%j1E56w=k)#*adGh#Ut;g2-nw+@(xaSBE|Cj#LdCRBPjNkUXj9C}bphdF zOSCVFzBuI-1U_TM^{H&f=Gyo#)%(AGZfM!VAM)qt)7tMlZ@)ji@5<+ckrKbFKZNK1 zu8ex~_^F>K2tZm8KSlR99qHg%8?^MI{ZHXcQLnjf_n-JK2wi3JOEsQpZP4;dFDBb` z`X_G|)N0rhxX(_6P3+6pb1U`+Tot~(-TOgC=%*JATQ$9W)_z}Z_59piaAtY;f1>=$ z2Pe*pR|-Bi`K5U3--NVe5KvAIx!H62C<wTw27wRuzbN_`vH<Gw<2r?Xj~XirGZPu} zS_(NcD?Jx3V&*g0u%IcKoAXZ@kK@Op?~I=d4wn=ux{Fu%@hyA(4zve=eZ>)QInpTy zx>DvZ=;$aRp&|t(5U9IznfIk()sItlpn}Mx<Z$of?U4~X7Ut;32&rub-{)WlUK#eI z@c3nkHuH<uZSP#Sy?eg)+f%!D8#QfyFtjk%y}kLMr>?23t3fVrq1`FV@^^PEr6(wZ zYgW*?X%a~1rWO7U{kx(r*nVaF75-Pxudgjzd3W6w>9<b1WAmnZKlR%glsAR@Y0+=+ zg3w>TJ~=mwJ-iXLX5-G(hJ&ox`FZ!h6>R=Hi-TdFU+%keb8kcLI|eNo{r2q(7+8EN z23=usJ9i#9w|SW_IBpYh^X#5}>zhgp6>JkaS4>&)eXZ<dX%8M2MTQ9)TP{sy6lq{q zizu1WFvTK8MM5E{Os+MtK*ZgJfkA<%fkEW3!<3{`kw^V99`L`5a2I+QnWyyIbZO(f zo&PU*BsTwk_gKv$R5^QTPydCe$gNSl=gsD6`Lx}M+xtbAYo`il)#(H2vcl~F^B8{2 zUVV05$eDSO+$*oyEbbN6D42Yz-eP_F7Q6eF84tef4xRBOOY4&D(`Z56d0jXD$?F~v z7x>9&bIR+*@zUalRaHN0ohM#u+-k>H^49Xt$+=!rD$+lkaSb{h9oJO#`q{&PS|OW5 zH8J(ab(~u3dsJO&{B*lJ7_VQQuWEhj;YM+z7gt(X+TX14I{eXMcedt(<0tOSy<q8o zc7I&<1DSbAfodzxN`GljRLP9_^;&mb;t6ftJB>Yxc~7l>T77-8GCSbm@(X*re;*BL z`my@bB1zYdNmE`5>+zJWH*sdTKR-;Ne2MhVhCSJwr>&<gT)~}?m^<Z~&(;;<o>iAQ zdUbx(>%aQ=JV>_ge8$XBS^e|3m$58VOFI_TW_0n=LBonV)#Lw`75a$3Q<z+FarRa2 zML%z(WH-C8Xz;2_DEd8<G~RMuPCMb5`1|%nw~o7X@*eUPJAQAnj&|Mmy&EU-M0alQ zdzEc&b<*h9A!gmhu1`*z-kf4J?RD_xI~mJanU{XpXa3mOO1~pxcai{W4y*m&oAW*} ztP9|obi8Hh0@)w@S51DK*S@~K;kC@`k42_Urw{5pW_)u~XjOWC#FZth_86J35ZkJ* zBmQC9p<v_ldt^?oik>*9Fl<X>pdLdQm+lYm>7Vy_KJYP?U2P=tJUY)PQkBWk)?GVU zaQayRUyi3m*P35cPC4_^rtsvlD+~1BroZN$z}OqV&A3QpQoa<6@hL%t(_5#vy$CS6 zeEA#8OBchSXOp)ozx<XdG=19t6Yi3g>)1*vLTmoE%ZtojUDdqxhgaIH)D2rDL%7>` zPQPfnJiEv_jNi1>;HLJ<7C~;7ZMLi4zfGL@Gv0Z^oO=0+Z%ulB2GcARj~z0Su72HU zC)$vCi&Zji!A0(SlU$b_+n$u>XQHNgJ9gIYrtV!HD?hH?F;lpA%ZUk{GuFH?(W*Yc zZR5gqc8B=ZCHHpjKH7Lju>6@|cJG^ovju0S3S=CM*~e9<^tG?r@$otdxl3K_ve&*& zW?yZ)nN@{5y}EwYY|CjoIj%4Gt*^dp-<h{Q9~GQ7KD>D9`LRVM4ZJH<FN=KTSCg+W zO1IUV+V%JTOk=L8ufobBh2Jmwc+v31=7}@r+@Cs;Ptkq*m#0gu>+QTg9c)n5d>m78 zadGt$;j@~Pd?jD@?UTJ5;IN%hmSwWmhkXwv*8ZH5X#JP9iODD4)+Z^sBKl&v&C$+D z2ZJNOPOZPn;Td(>A?^LCCP_obyWUEoLUK-v!x^(4$Vw-O?|tNQDk|^#j~=02;Ta!p zUft2Te((9kzjw!r&a-#flYH~bah{l^ZGqQQ8Kz}^SK&Xz>0afvWB%RViZe?hHuVN| z*onMfI%o9{Io3myrhoEhEmiqf%=RXt|C?`})AMijyVqp*dsi1KT3;*Oagf*cOI1#o zzM)`V!9?*WrE7AQ_Q3{!S9xsS|5owTD=Fre4Y5ZB`r3@r-!0c;eX7tXuO$2ZYP2Jh zgIB3A^Bs#ROfu>-mN3rpIkPdLQHp<wJL}<DFHI^$Os7Z*xwn5ze3j!UD5I4bp<vqB zDRNaNH}Es-1?~Gfzc!kG<}{2edSoECY3k)q3qyU@9xUA4%vo@~_pr!9!Qi7^*P9Qd z%TCbg>*s7){3tc+(CzM>T)$+Z>W=0*+lx(!npj)(&+$%bTx&*|b%Or>t+yB0L@=&? zq@;U5K+11@&&$eNU(Y-b5v<J&a(yZlct71C=G&Qg#V7SatDk)>@qXZNW5%YbNe|}V zUts<5#DQ&fxrUEY{=2z)ZV#%d=9yIdY~9lH&Hum0$!-?nh~(X^vf<9tclRUaJ<PqJ ze$-LP;2o=+x?Fv=Q&F=|@76C-oK>rfrgtx9SiMVPZR3$uQ$KFilwMLW^$u^a^C>B& zT-kej*xHhg9hsk^wf*V&p5H5G&k%cjwSznE(ed4@9_<cZy?^euAeE;lHZyJdrYYeO zSQMd}xT%hXCBJ1_wx#;(WRI<W=_jN__N7`ce{y8v{sgAD{%c|lXMXw|zq5WZ^QT*; z8Vu(e9~{2TroF)a-&%$b&Y=elf6RQ`9j%ZR!f@Te{`;J6tp~wbt)~C?78&PEP`fl~ z#j33LmA>xIYDXPJtOTbj`)Xx<x^{hWhS9uS?~bgrLt4@YeNNOl+%i1lFaF@rXS-Kl zFIetdA$rU9>tO@uqK;h)HD2rvKKa$@?0fO+0hhC@KR&99>vP@G)=_Gnc<|<QJ~{cB z5|VfBAG^A5nPb#`(+vXcE*UQaQ_TWzI_uVM*|Tfu(W7lrV$Pqq8aDsXa=j(F<;a3L zub3S~zb+Lx9=Tvo9+S}1pVz-9E>L-%-ZpnNOLp}sqk7BGri0(w-<;a+<<X^;vi-ki z@zI`33|Zxp1wR-M9@j8rW0hdg&eYh_lF(f2m3G$DNq$T8lr1YN=P+&kyzt`NtDH>H z>!(?m{dn9TCAa6#=|%si%{bOzup{~ZHKutI7daOmTFq9k>Lh=;>V@Uc@=~F7sfNPu z89QnxSOsaHd3EIuOX3EW3|8G>SCinwISc`9%N~EPJrFRN|HzK>33qMx8l94LXbS#O zG|i;u#0kT!*B0Nq+w*y^aD6>-YM1J~TC1yfTTWU?lwV@fk5XK5%-?c}QT3!kmP$rB zQ!y)@=}kxHE!+5}e&!|VU%MYIl=TqceATKPQvM-_NtA2PS++|bTz19FWb*`+H&rdW z^Yt-*opzCXiPM&vTL<E|tXS@wR`Wzd<b}wmmp$c*yY?6S?fMaTxY?Si;m=QvPM-DL zPtUD8bVdHL>%2LFsb~K6-MJn8X7Rq83(C763mm;wo*=55HQy=DZPS5lz2(1Duh)FI zE)$mdJ2JS`wXw3kUn+2W<>idej>`Yen<Sp!-udI6iA_h{;}tWWKaecQOlNkU-)pO0 zxLjx1leC(3+rRMa>0tZ6s&+?QI77ga^e2zfSNKQW`e~S@{iR^SJTH!>q+->&^CzwA z)H8*;+r)43m<RChQ|8jSd^q(BclUqM8C#VeuW*_3%WD>o)6vQEOIEQQFe|KlY`MCQ za}HNW)?M!{L63#48drGAYrgiYzB+eZZD9Kix$GAG$x=HvaO`y0o835#nZ<v?nRh#% zE>wLfDR!wt_Uccq_X~UZ%W5Zm>$8{>eePb5d>`l0GghwOBYsuKsSB3yEGj+o;q<Zj z_RmBnMidsO?P>C_e=42t@cI3#=?9drv;X1p>-fW^_9$}755Z?K5A9WNvTbAha4I9s z{z2cQwPE5KR@Iv{@+#ClL=yD=aW#Kh=eOlWLpSI3i)R~3l|0YvV@)>wc(FQdPWJ4r zE8kdT3(Qs)u+)&_u5pkHk-Qo-+p2a^i+>DHj;oF07mdYI<=3PZhCF?vYnd!+Z{>2S zMOr~5_;E+npZ1Vhx6d=*^QvE7z_;++KDlQr;y1r9ba}$D=bX5Ms>Hplv)wGgPxmpz ztqJ7bbL+HCf6mXXtcSPipR&B|QYG0oxuGaFPUzRiN)@H}Oo8_*Q<y|_*6*=%{3w$7 zwUsSr=bZ#c!9JyDs)-vq`ekdc%r_VQH&rrp(=xfM<xklsv1(t**I#x|?$q_f>vNL$ zn!cK|<?NI^Yp8m!&s;|9%A|U~54@Xp{CqKYg6M}=y<t1j-EK{Lzmnlyt{c~(44b3! zo`GlQi@#pcdv}^@(ro+mbC0UeZaTQ$x6L}`vYdD$(_M}CK65-5&b)d^Icn8bBasY= zoR+!#d#BkR`DryX^#4|!=xpC3MOxZox}QG3U0d_*LCyOI7OQu-tm|d``sCtr4Vxp9 zJZj5dt`l=tRK0mn@RY=lxvPWYgTFo7eIR+?d(FC&PmdPg)UNv%Tk^E+^~r+@Czj3J zYUdohIhcK^ybsqR<C@bPvUW%7`WIg>UbivQn&CO47Z<}+S*FWndNy6LQ_m|uH@!SF zNYDLx$Jskh{;x}0Zr_$w7eA$UXiLCK@d-QkzSB5j5qi`yFJa-E6~P7jp00mdqyCI9 zBiOR_!+GUMhs|+6oVWKeYqMzUdos;>6aHn-k<;&N4Rs#-`b_w^;(zBJ=L3og*I6Ik zm+f?}xS*K&Uf}q|53b*{uj@UR-Q{WIFM7XvWkYAyTj`0*ty_5W`L1o<Te^Pt-HLUK zlh;fC(wdaT-n;4R8pZcd)DH<>(ctB>(6tG%mC>6#bM~%3Oe>q;9?HC68X{`7#_)vP zrj3pH%e*`O8V5))_55r)DABBTr7=&T;}h#<c?m}mE&<;6;tTc#etz+sDM0Q;qtsU^ z;|opcwSR?`k2>G1k&>$M@Ui&(&3EVSV;lZO-gPs-BeUYrJ)aMJEB`plEaT($wM@+G zWqL3trT<>w%ghED$*!a|%I<rA_tvai5YYX9?J+%xjfZVdSTDQ(Li|A}+s5gs4sO=0 zKl*$e^XkJ3Et$SAj9zZEv*YFA<i)9lk_8{0u&i9UgX5IU;!pP_&(t2|X;RvC{JoAB zL#9u~Vx?oOCKDSc{pRS1yQF>c*`ay%Jkh>;?Wawe!KAAH_jBaw^$XU;ifP1rjAz=q zw|vp$T^sB_@@D=OydaWuSZ9v^!@b(ck`1NZ7nd|Fo7=zPz{8}AHqUoN_sR#Cg*vbL zx+l|FrhDGzLvIRNgiSTxY_v_@;LwwQ$#m|8Nt;X0FQ{g4wA6L0_IYUZ(=Xs^)v?By z_5NLM2f4p6ZRcrrdBj>hO}WY;QaL_WSybbx2P4lJ&g_KWp*m(eT<a3m3b&WM`lS;6 zR4VvKTK1bQjQ*R96&b&JJGR$O`E6s&#j15Bz&fn@p~_sxfSZ5iM4mp=-TyjZv53E6 z@+$@t_x>4T8(!sGPIMFuo)C9ur{vS^mj!DQ44?G7Z<2lxC#$5@dq2=X{=NI_?EG?V zx5rz%q>rYlp0&9>@9TuLWL@dT&f6a+Nf_KTU%sQ<ZJ&a8cY|l+*5(^KZkI$XkhFBO z=uEGvuqazUEBU$8k>?zia~)HDCoSi@BdLF-+nx8%?7auAW2EOf?}`?Cv|_(*?!tUI z!H35LKR)fLRk*Jj%w%(=TdlcxNqvP+!M-U8uV1L1@;$+{j9E}OiQhI$)=Aa+^nr;9 z|CMS^S9dLKR^MI5@iFCPN>HPVZpcP`Ion4D-v4i}Z<=M#`cNV6y|!y-qJp;P_eXNw zWgVCP8$S~<Q&|)B^?vd?!+jR-c3Ul29(BRu-(hYqX~%gYUzhybWbvNsx5Nwa+{BcB z!RNjR_bJ|-J5$3nUSjI$4-;N{&N;thi6+m{B|Gm%`I|5LQ_gvH>m|jahq)Y`$6Maa zF?yz&`tFc#-+O)e55Zao-!wTd)hl``E$nFeuH)Q+9gE8IuWHL)+Vd-v?^LSC)Au`1 zzL3#PnUgL5Ovw31b9IQ~BfkeTI+sYCkH2}Q^ir)=R?iNPr4Hw6yS3M~H!ogZa#7_2 z_rBlH9&4!tpGr{`p0+dgl$Y89E2gTmck55R(_d_S?)=>kJ1YYCvrJd~NEE%aBHmlj zagLS#p^40$KHf_g9!mJA^MU0<4QrIBgl4l5?~Ug%FOC>2;Oxzm-2FT1*rh*(eG*<B z(TrhQH$QZXefpzT-e4BFD6ozpF(}e#`^%<JFE?B%efML|%TT+L$%4BB@}ESpX&jR( zY4)BUb@cn3O^O{`%C7IT+s-v5qw!<MmLCl_zTFpS{CFv4iiX$4rbD_1ME#6Uw@;8c zY*pnSRTO!A?qx4Q{nSt5yXHuk&$PL?G+|OhWolb&o)B}%oQOrgmr1?)5~#Clb^Q_X z^_H)BtrvCQ$P7#hZE|&DcJRtLv$Q4e?5)3Rn0XZx?1GL?-qd(unS<hqYmNU`@3^Cz zAi5%0I@ngc=L>InIq$VUYDcw~ahUvT?G(PNCc%HDOnT4b?O8H9b&3lvyj9U-t>>9! z^!3-HRdt<t7hiOU*PT^&nbD9Q)N}fMRmACksja-Lf4s1mYs0lxdeYyN>Vq$*>wU>< zdOzXrWB>O@{vB0WnAcL_d0?^CVX@#$#vKRZdRG+uS4!*H$g@P?I%A9mx1HvFg?FZF zuRSSyxr^cX1M&ScMcWT4P5T`sb3@DX=i7va8%bH!r`e{-JeIq#ki}!6-iL3yoVRxF zUa;}g75(YCn)L<`c5OdD|6rY6hcnOodv3~|^PjJJq4^?TW^emZ?@jXZ!N;%gp1h<Z zwEv~lFUO3Zi?e>aJFSrA{MZp#eC)W;YO86Y9<>o3suCOmFGH7yW!Z@GH0f#=G{3tP z_<Pk6k)?_3&v?WbHZ8d}@j%%l-Ks<H{G<5g-2Pr_>-&9k^>v>+E;DmGwRfa{>MZ^z z^hD>f%rnlnVkUnLHoMGtRFW};)B4<N`M~)`?(cKA$VeyLUB9KFKj^{1VEYH2p$lu8 z*YgzYSa@b$##QGh*AI1wK3@6VZ=%og&@6|O-kT15TG?4CT08MSN6nMF)4%b~k=xSD zX>y_@|4Y>*8Kc8?jJs8pJ|_Ec_I3BLI)7ejc{ov+&v|pp+A|O3&&YqjQ?YkWblgOy z5cj3Q%g%Uo^7e%3t#XM^{U!HQwq4}qTdf#_XCHPumUW#z!LwA)<&)N$LbfSuqh7GO zh8-%HQ@F5E_I*KtC-Zlqc-3c<FI@W9I8FQgi@1%&zOB1osNQ2cdwNz(-%hLjm5R$4 zX3zFx(EA>Gi*2&o=08GCD$A$JoS5wJ$BQdw&H<L~2YzL+_QfnMk8(I@^jh3GxFAsW zZU5wVi>G?*5!}JVa!W(BaNV^PoK}BxU#0GyU0lZgrz^PY?^YjKt6x2<ZytH*f6K<d z-tSQSoArKC7aLYBN~}~{$oXB?>@rjMJgvFwCz>{V4L)IR($~blGOYdluM(rXOLwan z*1ucvAzxN@)|Cm%xBJasDD?S%)!Ihc*uoFXUGCX#G2vb_SAM_Gxq7v=-`4W&xbAAE z%4ojk#j^L+)zy!0=jH#1U(xLzwP*Iyqq{?-=6T;fc3SX5%Ab!)5BFz_zRzQNcY0>s z)S$3tO`{81XQif{-?#XNp|)6F)0t9d@ovu|<24EAdN%K}5w47J+HrMZpF-!fD&LQO zf7#XuJ#Ct4xuEle+ltxdf3JD?#+i2g+GjVt>tpmTzj+PQ{3hR>G*L`&{gfRyo1+hx z-;Azt&oVE2*>L>!cD*@^I)(HeN{b2G1a?e2IQ8u@qd9A9)AuN+oe})pd*su0!8vth z?~OS&KmM~LtMTWCtzF)0SKD`1N6az0`}XVo!?k-p^)oo^=-RkwU&Vu+Dpi}_Wc-}S zYPWZ7?z#p^El(cbJ1p%^KUS>~Y$@B_n|w3s{h8Sk#++U<fBy;e{b8QJxW(e^L%Tip zx!-pliSxCs3fv^ucQ`}Rbaq#yRdF$6-{N{U)A?fV7b??a+Y9zjdpk+S^2Ch=S0lJs zbp7%sCm$=-QB*$}-MKkd($4Z+z0$qA@BTV?t8?-Q=le*`iqen#{;6laW{7FU%$=pR zMmmc=nw;Dqb7a9JNrQ^+C)>NH{&hcEarnCb42e^5J5TQ379jmTU4-XFP+p*NtHryE z8#IhADOt3xdvxZCwx>nOdFSgz>OH}Suduwnb7a<(59uo#>JxONHt(t{@DF~?Qfw%- zmAmgn_G&)vm>1_<y067JMV#F+ceB{HMI{?b)}$Z1=gxBTSL<dK4}tEY0QKKoOiN-P zt!UnHSJX-Ao@nf`a6#L4?c2P26O42O+U2frZYVYh&RhTc!&2`M&xU0VPuKmK@X39{ zf{?{Zb6&P>&~j_Bb7!3P@>o{`)BWp)`=bA+W}LfKka|nK@T$>~{__iGr?_ieJ!Y2S z#PZVH<Li-hp*bIB6nl0g+FH(8+~9ZP)a_$Q`D|grN0ghDoB|ZInDW;qDf*SMxchoE zB|G*r-qh1`{_SF|srF85{xWG_1<}ynd;9lI@ASTD6m-#UX514?^=;KVKkNNGp&$}7 z_t*T!iF{6Lq7S`)wEX0jfYyC-t};Bnk1Qox0;WE751q9=N_nAHQBcaljB`sq$gbkw z8vQ@u(}CTu6TZ7~1pmuf9TzKO%TrMPY=^+K1$`XnEspgBPFv-kQY+!$l&Wr<9`ep= zhhbK9a<-lSpNKn;zU154d@fbcsM5c%F=pNFg~B;jXKyUL_IO`aT=fPalho*+%skVc zRU5vjUwB-6fiy$LOVR8#r<N(3H*DUeXMFKiyffb^8~3^XhxXN&JbveUIb|_drr)Hq zWi$9n`P$#zUX-o)&Pm2bGFQN_J2Go(PyNYR?qRvNt@LFh<hQdg;I{wzDY*G1^GYl0 z60M|;E}<yK<@s7J59U>V`ceI(_D`y3a?Q_0_a9!13OmBKcjA1N&8!`E7RS?GN@lI^ zi}@IT!9AY4-J$#Hri%yF7wld5#F%TnHn+0p{NK(hmwqpfF3{MSA<Z#)hw0{%4;RH; zFOuD{h~227W}@Z?iA@^6T+%gjVj?o`Z}Yew5&AmFI^$>K$ybd5T*1j{$MPdwC%S%Q zmbQ{Soi^!y@Fbxx$9&z+N!)Fpy*qcNf7N$hHvV9q2_Z~V4T}~^oY^#0uyU3}h^2(b znwh8KrT_grCeZpew{;<tXh+5Sz@~?f(&QGH9C^jF<5<e7pu-*(w(nHgjhCu5xT>$W z4C#3C=EnL1Ek87b9VLtGWvzUL|9p3p&o`9$^4*xfI$<&6J$2sIS3l2ZyPEUybzJ?% zLkF0St-s-C_)B+5RG^OG-yBJ%Cwz=rk4=pI11@cNwP@WY9jSG%O+FT-8Li}8wQJpE z^?A{Iw57G#v#y@IZdkoO(1U?##VR2NuLVL5p`oEpA)!tkY$m<3d?8a;tm?Rab?xul zej63H?VrM^#LUO6&U`G#Z1qPS{ug_qmGii&R3{iTap-HkFWY}>t;r3oJKSYmGoyXC z#%+yX`@L)LrE;!zi>uY&i|$*^ui6?S6$w7-Bzrq(wM%FNcmmo;7<^97v>l+OKBc?) z93)td?XQ>o@OtyR>sLX?2~A^dOiA(Bq&#!t8V@%W<?i}57H%?+C8VwUB#$49@d1IH zV9>quSx+h^%(ve;+1S<5eL`2$t@UkY2Q7U3e_!=6@S7amRybqUx79PhaX)@Br*t0Z ztS#_0N<qS)rA~>7s*oAWZLim_U$37Co+IX9+6$V%-1F%DD=<)E`grhSn9|Rflhf-0 z{iA|@xlT5+Iu>X2O8-~b!&&m;Z<ux$s93PZeeB+MRm#38FhP2*sW=E+zXk%JOQWVu zyJi4hKcKCh?c4!A-=novlqIfErt`$3eYe6twmG(R=dWosu#;jr_Wt+s!XBx`M>9;a zYNQ{;ZJhqfSWC*Wh2Nk+S-)zf{!Qy|U3*jXzIe&LSn5@J&GPk{(rX*QK?=DF<5Y;N zma?em)Bp*VWABfxd@OQYq$ul@_-oCTf`=3g>XO|Ar&T5EPU-6EGVNUPHtO&Xtp%17 z?Ej{p==9<!Qj#xH<gYsZ=lI-vUzRif`?AdX@A1ZOtM9(_t$!E3`*Qua)myJggO3*C z;%aqT7$EEq2DiToGzcoSNrNo7Z{PcNis0+FSFS9%c!lNou1-&oLqO4$zsA#`SAebY zqqK2H!N(n2mVm&P<h3b(_?ilqn+q4nzb~A}X91$*%HLg|eeX=v^EC{@;HxaWgcvwM z;G|2Z%^i1@Zx`DxoH)_ZB507F{Bh^v$)67$<@Mv15!cOYOW1g5*$NQo0D}xL0L{8X z4)Fj@cE0#oV^?2SSJ%P<Z-eOo?S&5~79%XT+zAiGeQEk)IDftV|99Ko@?1ZYwWN zPfrI;?5nG*t1pi@)F?VtWXj}8OHY0}vc%=3%DgWVWv#F2gsqAA7`-tz{Rs1v3ULdr zu&C;rX5zbd@-b^46#F$j+`9DU`f0cCGr8NIHhH~f>$#|O@In&EDmN)%@Eotn?XLyk zwJ2$6&Kk;Kz+57_PAOb~+40BIQylf5l=pv9Umuiga&=ik;w1OR%tv*Vk7D<)JSPQS z+++wj>gTrU<ddN5)t7w$uQ`1BRF$K|aaX|(nb;mZSMf#N-hXtDiY0Nob8mWjBPl2I z<~q~3^(nJwym_jAKSh5#7iZGGl>NULOeNfYpA!EaeA~#N_?O*D_4*@f>1&N6z~EEC z(H)02I>kgrYOY(8!x7r0<s!-_y5v;g(G`n?Se6L{TLeweJT8*#WvHrJC!%snu$38f z&wc9db3e8z)HG}@_~z_ZF+u$21oodIAH?jM*BgkPJ9LY^ar+0!HLaTs#P+4FzfnGS z^Eu1sbBfPJ8Bd7Oo9-R|>yrN;75=+Ne9c<ilP`MjQQ?4O=an^lOE!9xOqxGALu9Sr z|Bo8?9$SC==W=iUjmxKBKe~HUb@It2>b90fMn;d6?Laf@kCgO4GwqKQ<t8b$F8?5+ zpuqP?L0<mfL;1c#fdaeVy?XKN$%99C9zD2s=hlsDS3nD9?;N%T9V4^nu=Sso`8Plp zW!=7f`SRt{r%#`LUAFt~@xzA?pT7MgKyu!*jJjtr_jk=qzk6o;y02@J|Go-afA8+y zyKi^brl+T;Z-2WDw8rx8y4$6o3%q9MT|RsE?M_>D_3cl$#l+m{yIojNAf0zu(mvxw zs^7C@y=TG8t7dr5eHA>tYDV+V@E)c;-&U8Ni%bWt1AV_81k52o7;@#7>J2d1_WI$i zTeoht1^D{<>T-Y<!B(4?m~5HN^zG}Z<6Dcj?b@|N??#dCTajxwP1oPd_kA51Y;pY4 z>f9#=N0!LlU4Jbydu<_jZPc-+MLT2i<Yoz;wK#KTLYmv=MKfm>bl)k;>Ad~;+78{k z?T!nkId@-?+v=7Z=zS$?^HCk6>N9LoR<Gxjo{N0V3pzE94SH;xq0O<^i!PoP&tAVy z!JzKGJ#TWjxXf!kJ*DXrSD!cvTFtwZ^#F(9VctXmiT&^APivcYt!(agRzofYyI%$+ z-`8#na(LLXU+N(9+STH(*T=nPTEnIB;Kv#cx$ON?jm$hhR{wof?p-c_EPU@(_xQCx z*6!2Fo?Cj&>h&Dk)0<fi90GwwAW&3W3IeW74T4GuJ)kn_ef&k^4!+}3#|)%;-PXEs zG#~h})@V(_>g%V(vlm`1`&-r0@vzN+qv!s2|HWF$*Fqf?LjUZm4+ewVTca6{u-JXr z(9CCkM!}g!f5!zTZvI*=LA!><|G!*V{!4RZf7Ob=uQW>b|Nr85|BKK5FJAM%c(}R$ zzM4N}>ov(BFkpJJV#NwxMexmqBAgl-f?h333*A=;dNKV16|x)aPHkY2yzOxCf6c^o zbr*&IUf{01!2CBjJb6MQ8>?8t0>(`%Z_HTs>Q(<_5CA(2yv7N##3{fN0yLq3vjem| zwWXy+<o`t|C}hp$w|>&V%-_$<%%!<URc#OGV4}LYXJzO9eYN<bs-B_a1D0Hdyec7w zC%#&aZTTmxKUx01s(*5SMerWg{^XRDlqYU^At518T;ra&_&ss9+Y-y)3bn?p1+rSl z(Gjwo$-&|L^UptPeqEk_;zu*jyrb9m_|BG=I@g_?yS!Wa_^yo!*4h=~@t^&+-cQ;u z^)^3e*)7FytK-ezZjKPFk=C*KZ2J3Z)cg4$04}njW%j&3v)bF$ui1d=`I0=2*)qN< zpKq9$b^9i5=Dec_I$ueoyzJ3+MbK(kk<yZFujAd0$!OUBxNw-y>Pf?4-VdV3?9QII zIeXrQqo#GEftk9x`tf3$=SRE6_5ZZ)FOWHYQ()JRofirm53w2uKW1MQ_bkz@LR9~= zmff>Ni+MZabsd>FWEd_B8aN#(U|x6G>aa^*Va~;-8;5QzI{Kj^d5`A3JAd-bdX7F8 zuw&uo6_eCq`sf)Wq@O(bde`)io;gC(U*!GKSEzWfwWPe^{K;L~PUWCVf^E`lP+5Kk zbhKCfPf*ihdU+-II?DW?(`<C?PH)cP=#_GPwEmBmzz?nULjRZlv16UFH)%pdq=<I% zBn!#@BT9uHa~8c=TebGy3vOnO7py``RkiohFI@QjS?s6+cqx#^>8C|ILB}6i8dgp= zhoq99lk@{Y=aPP!x?Tlze5hjOBy~@<$tP2aj$3&ijP{B9vrwr1h(=QX4rRNI%a<29 z^I3l>VBoX*@SyqYE*H;>T9x4XOBqz)Dk&-|%70Rv|0vkzMDw5H^)_AZALZURu5o+1 z%WjL_y}7ZncjxfG-7j~h>*}n+_+N?pR=s~^{W-NZT7K4@@|xrGe+XBD0C=4=_!iU3 z%1ZE&X=S@Bpa8N0wY)rrZ^hAr=Ph=aZ#Ua!`r~Err=K<ZRO2VVKgs^IyJ_|Y;dhO- z335MHZi}D0`I@Eige{vvU^NIV1_AI^1L;#IAt0CIF?3mTlLL6EmBkMEJK)0q_U-q) zS}tb3>X$E^+mRN%*3T+|fnBaafQdoHL{Ulk<oR7YK>$>$L5`kVyLRo*S?j@9nXcS9 zYxPnvsGPNYAsATBS`6A+F?a6Ine#;_7EZX@eKUZW_vhn{^|i0V_rEz=_vY`1k3Xtv z?D(EY6tq0K{o3K->tA2|Dt>&jJMwLH@wF&MwdtTZ1UDXTzb)GhYDT{;vptgr-j;D! zAPKyz_9$B;c->gs`j63&-5`0_t@nMbz2RMZ_5Y{HO1U>H|9?%8fA;v_m!<nwo&S|! z_b#~p*Cl@K2M5#4_I+FZ@SfZAHKpgGvga1B3j-ZmxdsG!z~_pASI2I82(Er+NCZ9B zQ2TZ)s%O?ja2x7w5U92O4^##pI<zf&xk8*}-L?3?`FkVpe~nI+-V-h!^DS=wSHo|s z_wL~MvF`b=wd<R9UhJE*qJ@3yT{F<>Rm~F^4;XUDUSk$%VAk@{@w0G~Q4!%02y0Se zKA2GGq2$ECkRZW!fT8W6g^!`1?(K&`uKrAWUtfr3$YpE05-`DI-&e1&lB=v$UrPM% zZq;$s(0BKI9=|ZzyGi_8?g~Eb_o22AKF_Ity<O?Ur&~vk98~K3IJ3{D=jxP~N|i4S z``%a_`d)M5*lf$*gOmFeXYAh8r#X*1@9We4bJGJSO%}_!dQnPs$I`F4g^&7OMW4ps zV=!hkvu<MBZp`!|OT+Mz2!Dge-&cu^i|?kJnfLyEJ+-e?QY*mbz{&-0Ts^P9j4?6u z?%w%4m~FxX@z6axUrSu|OO1C4QG2^t{&~I0v8`7BFK_zo;gFVNx8v!tqnR8n=Wfq7 zeCNQ#J@?Lp&7Q(jb?st~#2EhV_+-BJqq;@E^uZkmyZ=v#H2vY4c~qL=sqoLPgAN)` z0?$1Cz}n29al7)+1JgFax3{X2CY^YHBO-m#%;Lt|tkwFL^tYV|cl2?NUC;RAmHfHX ztX)rSuf3kL#F|OJVr$L5)m^-4JIeJ%ZS%^bc@7Be-Tb-b)n=1dd~Muy3;WLTxvl%L z@jTZB6?3jN@1}f++J7u>^@c=U){D>jlHV9Sve6TdYDkOR7!!GYjrKHA{@KSbToXOr zb@fzM?#{=zVt5X2+7uUgO-I`xn|DWUq&BO=-M4#ou6oTh?Wx}J*^4uS=LRc354*9Z z>+bf0QqSGY5|>L$vrGF=n)~3|;k<{E9dCI|>smd2OCPlO$MNhV%ipc_t7i%_C^?uK zq$y3hZ1nEUyf4eoPP}~jirI(X@71@zlDY8g%+?=ixs!Ko=61UDCrRYE<43szr#)x2 z1=~tkcn6wJ`eW{4VpA-6QE>XCweK5xIxd>pzukVr(E4}-f02G&N4`n<-Ke$f1xaZZ zzGpi;1wG%f*Dn(~_dM<0&zX7Jb1bFGS7!a2EzVMN*MRv_(XtzCZhzZ%|Ggzr7H)oc zt!fdg8Xt#IXiao?K%My86K{I^)`nf1+SON5c|UB)p$iF`UkZ1dyR-3cuR8T<cG{%f zPra5T*$T>jdc9O5m(!%(;z!FtuIC@4dgg7YyMOipXOed1XNA2t6qe@gj5qe$b1A_` zAo85;gdG~yx3hL_Ka_q_i`8n^)6cta{{G<Bw(3Gt&b}s#<D9Qs#e^mwkS{uK!xWg& z@Zp-<L9y6YKPw-F#4Ty>Zxz0?6}yzgeyG+|B`hve%9`z8i@2s@fr7A-0<W7z+?50E zv%Ld-?)E4#-&f(8UwU^=<i6;4lMHq#D>w<%?LXE3H`4Cy`YPMDckji*7dbzwnYK!6 z>Pu;cXr&aLj>$T!nU8Rr?Z}?@JYdzXsn_00n<^b^Tytqj*7}a-+N0Ly%Q`t?QV#6R ztxCH&?|EaC&GEOkY}TnyCw!mG<*K@Zjk~c+hUavB82i$QJ53Im7rs`RzTFXM@MZEB zTm6smcfWZ5a?#syP~x1NS{oymp;VjE&G2+n`S(S-vZ7M*%l~<uJEr(%S;Nlg@UFsp zfBhFW=QK`ZWpvq7v?@|Jv#B-uas4fWrXZ)M!nZokxo|oB<7_dWebUUcZB5PABQktx zi<4HAa2M9Cm+G5e&hoN`VgI}Cce1B=b?ygBaDL#wcs<}<u8_C-w>kf7s#&TGq_m&T zV2$WK>T_A-e9^bKmtl9_UW@Nfm2+;CzLU4`^!?L;3SqU!W&Kt;)I3&F3r+bvFY3m_ zWszD3cz7zg57}!paD1Ac5n*tbl~t#p<;5job#A7E5B0vsggy?_e;W4v--lIgUw&;r zT^M(NNrajGfvX!e+dkY_!71UEF7CU{UBLRy6!i_QE6#}W`$^5*`=+q$d-dvn-<S&j z=ehC!syvsgCKT^@gK14la0kZ{^>1zLk+1*!T=$Q0?N*KHDtxbl7ua&$e7^5;!Pbtp zee!z0*v!ks69TW!GW;5^_I>UjcK76mbvqvDyl>%t9y`NCSfK4c*Yzn&JGcK|UB0zv zN#V*@Yi?&<c(mWGmE%~e=KeK1oCO?TJ+z2e@S^FyfYPt0{E{^nmT=B&&bVs!|8tVi z3BGN4E1cgl@px1!vV3O0mbv-3{f5kSSvRay^WJY`;`iy8xPa&E?&j^sGTQx@zZ7UN zS?+u$glU%LkND!eIYpH(>K$1V%T2Y?b@}F{drshQ$?|YgU*~&B-MKxh>2t%R!ZS5H z4||(<FF37e)xf^<g`t_AV0`<1nc&{7IoFd!{v7-iU%K7cIj@|}qW|P~<IUk4BCFqW zm?npAxfA~5`VK4g<WT*<JHl&D?6|s-J0j6w-d^*EYzOlt)@haIZ1*Y4JmaXV<QvP$ zyI0iZZQ_kn3(kZ)-ku?%Jv;i)WrfluaT*fezs<JM@O4;m&$(+sMDnr;xk+6rAEwL7 z-OUY3=g3`?8Np-8ueklg#$Riuylefn?eq5O@3SUlHJS^!Y?0cVs_~`l`1K3s?>4As zo_IUy;ilb3BH#Z#*r5J3N3Yw*<UDuoAFUa@R=V^49b|OlYMJ>xd&`RHzZM0`&GHNE z@Hl?Vm^~@Xs#1bm!B}SfwOfX-D+B9Hcw6o+{a5PM`swk~<kaW9wS5Y`U;3N9Z~ob` zW3`#;8=r&WV%@50?^2#TnlH%B(Ol^2eRR&9Ior>#Y6*P79ciOv-n2$;m(%X0ZPAKp z^B9V4()2zR2AtTu?TD3#v!wOh!)E91WLL#+xV`hv@-X)`&s(?guS@-zP@EVZk!JRB z-iFrO-zQ&l*ty_DZK}%p{W)H(aVsWXJbXjbzAXIiM*Gyhd&1Y`zwG<gAk@9#ziUv+ z8eh5JiVe;zN6hx_ezhuTci_RB{7g3LwTpK@J0p1I;>9^-#l3z^hkxCvz0IL`wMV0{ zbYjY!$6m!7UPy1vpVZzJ^6GGzhuLR`FMC|Kb8Ixwn6sUg`-i!EDSOo4t<{!>vyRk> z2~S?$tmr-WILG087bYpp>r$BIz;7=nu<eCKnND-gl_##7r+9U*t=P9=riAj8{fQ?w z?9{4x^XP<Qq&cVi-2-g5zuzz1H}%Q1*<aVJ%bl`Ya$`)uz5NxL|Bt%|?QCG2>UE(k zWc`JtlhauyF*vytEZ+I@nqJv`u|H<@A9rtaY;p7zh|qrY{P6Va5_|3P*7Pg2)m$|; z|Gp~xT#b0kJfZ)`Zm*2LcA}%TU-FvOhiIN9sxdxQ+plgvbbiI&GhUbacV`KT|6zLR zw?sf>;jIm!kCYCot-5=$cZqE#kH)fnc{8jFd}bIf>$|fxH1+Z1C4YQ1MHwXf{GDRz za<)tsztsHsmv^CY;ziFLiI)4f^nKj%%>SL$s&DTM`#o)P%R`r}E#AYrgg3f%qQl<i zrB~PfP@c}kJ#SXtDaTN2H?9d~D{jahQE>d@vUk%g^_VrbtK*%oMYykcW32ecw<u%J zh1y-*n;h+K@Y*fnUh&m3;>$6~2PW6pU2B%9subRx@;Wv*Ys<as-FMeYoj=Cg##OXB z!e4s*^b0mUzx2*ZuL`($O7{7E+k^=h;%A36*XBeBuF{ly!5C2Ae))ign(37Hf?W=$ z*Q)y4ifK=m_OZG4wDr3I1J_frLpxW^TVJJDJS9xDW)}a#r)M_&DO^!B^Tx?d%en;i zr(ariEKBRprVUd|WxK?aR$07NJKdY|eb=_#cKpI^S8G4~Q*m;C^YN-d;pdsjn<Cdv z6zkU2GP+jaA@T6Vi5GvT^SyhPEl_6k`D^23qt4WrB%}Xt|L%(F$jFfC)}6p%c7B)k zyaJ_b^NfmS+)jOKE4QH2Kc+8c(&As<%dPZhIUGNH`sgC@S+(KYXRY#?XTFE|=?A6v z*OOm9d-TOB>7-o6rhS(dW&KfJFP3xVvTls#4{wLS`wHO}?>N<Y&7O7q-f4cqTJn`; zv3u-3jndVtCe{>aRUT(~Z<y`!^4qdoH`X7B6HVIUvdCuj%7yhe`n})AY+iFQP3S<z z)ra+0HUFKP=(?oGfn$+ZcxTT6wM|Zw6QeJ5ta4dpCx5}FQkSjZRFkrX+;21U<moxb z4nAb~xh3dq-|2$l-Y-flYg}J1xN$sFUgFy$z9sYi231VjlYBC5PIW__zUAZdTYs1u zIT`w-EUosQZPxL1^4IE>bJFF{G8g4tdhB$p@r!zY;_Sn5yZ<dLZJFvlQ-V?Ipl-T} za$u6f9-qHk*h(bT=N;Lwx7O|VtcOACnph+=V&=1oyf_^v<|uT#+OBDq*uSFcrSe}U zF5SLw?ysfc5#P1$RGyI7)sY(+`#rbp5(`IFYi-RTxw6|O`@3_tzO9@e(%CfSobFE( z#_EECTfGkt{4j0z<cr^<BziDp$LhPqx31bPYkji%!uo(J&&4grb>^PUd>bMtwn|F8 z!nZJ?_t~p*)*lo0y?foWQZOUr%0t=6>7fq~Pdr;y@<mPTT&=HR8B?!;hRv22rBh{% z4!kXEIXU@r_4b}GKUbeiU_O^v)KtD*XO~%Hj?nL>Wai2)p^0-Y?Nt*0RT5X59Pm3K z!ewXRpX{L7@086o1sZqWDsQWnDc|iUVw0NKCtIw-lloRVeYTKVWa1aUyM>__GY?($ zzv82QtbFRO&X~O=CWlYNxS1?`X~9`_Zeo7<ii)d~ZX_(PF-u(7=jZgW`+WST6Y*|0 z!rEpD^%N{yrLdA~#!vlCW=8cV((=ul9roGkboQj|SC82`Yl7vq_Zrug-29|lKkLnt z7s^>%@|Wj$_hv`&6=qEbDo^ZSSu$@*P1<Im#cbQ<a?+okSI!hqH9B)ZMxb?e9REIX zquFzA+OD^WcRsgi<{gPTNv5KIhbsO=I=)UTGVYCQ>AVnGy76kn;!DL6$*b$!R{!6S zq#fZPf8HgzrE;~CV&K%JM-H$otGuOVl+WPFG2d<<%L%JX74^&9vX9o3@85GW+xe%9 zfm!LSmn+IDW~#Ax?X=SIzg}+17j><7_5B&jUim3|4MT6$e~$JkIlTYYE$0L?qj3EU zTPrWG%yW0!b+dO>C@~~FQDc2~l-tKC>$CCK?>EkAPlzh*IohVr;d-vF!`40^!!uHh zfBi-Uk)6d4&R#pXYl&)3&)TcTq5p5pT6^J;ZQsU8SM9>~^4_kU{Bq|epRbDqX8+kT ziNzr^-t*4B^vw&^oAeJ(PLuo)YO+8-YHl}+_-`J`$+=R(+k=*APVjJN=i_%sU3W$? zFDr%JqO{D7znAmi4L3u@j)%8GvsPb!Q+B*^&Xu)=w>Pbb?pu?%az(ak_SXeJbHny* ze7Cl?PNPC6dD4=_xlc?N<yjomJt3_gaP6D>yt~&f8h0!>=x%yq)~w#GSxx;b=RWh1 zXKLx6Q$ADs6<ge^+Jv@v?$57%o%~u^xD8rtZe9HwZ4<Lg<ktq>5|*}ayQKVjwlWxO zP@BiC)Vl8$*TwApfqRsMeyj;T)b#I`$feYZJtex=L)%<4Ry?-ke3?{Gf1p~Uw{OC{ z1-knLMCMqem2sR}_t0ni)2`x`8Fhyx#na|E{gGU-eX=U!q8+>Yqn2<#b(~Z(bLXc2 zM*Xk&6<Hq|ooaTRcaU4@UUzJI%iCqo_|8ah{@%@EZJi&XnzSwS=}R^pnUgKY5BchT z42oUq%Ml*wdRWzjMc-@Mj;|d0?(%V2hJl%{yLQy<ox82F?0Plpt%DX{L*C_PmA*Ti zURN0HFvU3HL?83^X`#oaE)C?-i%Rl8ytkCoc<tJwzvHHzRO8Kf5!N~{IQ_SAy2amw z;`>MJH($EEYUO+%lTRHkm$_frMlJ7Lronmb%Tzs<LuM(C8BUy$PlZ&tnltvS)CtdF ziIC0MzAl@KTQlIedAPu0r})q>b()PYQZ+8$EO@u~%rcjEU1BUR#D2~DR5HO;pHF;w z_`jW*^Mx8VOgeTk;5CbfiPjvETi>;l0wP0B1oeKNU{bS6rmiva1>?^1dtyvk<{D}w zaQ@3FKU{SF+_HxO@#SkDxYu-j@O^haRd-Q%w1MZ>5~<)*0k6zX$z=5$VV~NgJ2T<N z^oZ+I^Dh*IUuOO{O~UtMu!OUk^|1mLA;&uJp6aWdYVV7uSbbTLvQws@B)xw2(VRQH zo7YdAn<4$8HQ>TN!;h*QTdpv0NdA9%=VT@O!qb6vQCv)7EG0r_d69QFUTLWeo0raS zV$%I6E2jR7$I{gB*{nZaE?cHt|A;ZKU{a}OY~1^evR4=$@7WT|yH-3uo;l*=r#Ocr zW_y3@goH$NIX-UR_{wqP3_s!aM{{mwwK-0Sn4P4|aPFAp)oVV#XLwDY;O^L_#dE!> z^|;md?K?8JDD0hLFYe#9$#$#Rtoyq!cFR0^+^fbCb;#=6i`?1+TY2Yq3Ml{WJHc@& zyIF5~-T?t2bs;~w7b`gA{zqgjtJ<dW>b^!&11rPp6WmN&r=2)&lcliDocnzf&(G=c zK_|>Eao(w!?{Yle-b>hTl@eP$msOV6EMo`Nw_ElF?${Fa<73nfPQl*W8D9Hl>KteY zh}x?8=~M3?;{~7XIXVrmUGa-yvEFDG5Hh7#-QArd^vorWwGVE~tl(bqruO%1-n`w5 z6sNd9+)^@eYulG&a+Qp8I^RZ4=sC(c^|Qhm{zp1Lv*w-iU#h&uy<$V;^SykGWdcf* z-#=Qcdhyw-sh@K=mxb<qtl+y~UEEQw3tt566L*}Qpcs`?vT!1!i`3z(b04SfvUPkH zGI5>I-`aeQM$v%xM|kZf7I6to3*?EenVHB_E63bbU6J767FIFiPtn~A9om;v7rG}q zy=-DWfAPUQ8NtBj#b@fJmicp>m^3%(NS#ek%K6gPzbEZiDDAirU~v4L;l<_;<?>r4 zj-Si<IR9wZpN$Xso(APCd=aLhv{%~UsZiy#)k^>CKcr{)OgsBO=}72K-wh}DOgNq} zFX)jfN^O|BcE5vb>+>C68`lelT}+p+TC_U%Qjd4lybo=zEyk&TmKT|aB`uk`XwLx^ ziB(T7ZRePfVB5>|;^oRBeUrN>3eC;;>r`X!D}={eDV2Zx`|<M&J)OUgf4uUa;@z<) z;PYaoj|=8RRn|!<zYX~8b>;N+`MVaE?&^ryuGBE~Y{&c`ksl0JO}MZpD(;xGp~s(& zz!(>Qw{w^DuC1s!eOOW=IqRrt)Wr6yX1oWkXeItMd1ZG`IPdtgRo<H4tJYXL%{*Ut zr}|Z;#`9MPoLP-lWpK*xsC{7bTwPZ7af8IdWQWd6Ka?&lSr#+<pV*OUSEaVe9u)kS zcO;avBe1E;B+10Ys(SBL&))fSeHOd1A9j3m<CWggwRKAGUd?}WEz(P_sbJQ!gS!N6 z4raT>ggVSnUE!`Ae0cHYuaR?J{kd}5#3Up^rlcqOtx?=n`$ucb6!YeWK2qeEoGG=2 z|Df<6ySCLL91@cr%!o7o%y{Ps!>_|fbo~P^y|Ijn&p$ZDMf8-RpN8DT!%KYGlrk6a z@ZUHjC|)VrD9|O}Yfvb`%Frp)$<ojwpcEJyT9Q_=tZRntnkOAy?+&}>a5f9ZUyGX& zr8$}BAkzt^6HI|}fr0zn9V;DLe>CiJyt^;np>nTc&G$$4t*4v$EFWxW&i~}&{p0P_ z2|M;xA7WR~sF~#cDfax-2ORr<^S<e=34FU<E#Sj)5CBb(tE(>uovyG<O$apc@8e^! z?z$A{z6VJwRaMocf7De~Ri93nFu`C#K@9&RkE^$$bH%(%wuZf3TYt;$_tCbE(Kigg zpSoN0J1?&P=+SGpqO-Px2DCxPAlZVZV8P?ehYDjCgXZ~HtXSc(9WoOzyB;#49X0<n zWYBx*gWnUjPA!{Rf6LB(>&`t_*rc1>a_>(t;c9(wZ<nt5AL0GKIRwpah~2AxZ>a-1 zcZeOb@A?*aS<2KF&=C4si*@0kac<BE_mw~X%P+sY^8Mw73l{|ZKvN?@Hd4KAivwk@ z7mHq)peDld<?-V;nO3#4Y;DfS%4&#kwK~lQO>~KXCYyyID<_zkn3yj8{qyI~llw1A ztZL64KYpB@HH)wAw`!gCWVz=aOhV7u_Ww3ylK%7VUdR2t9&bw5d;ghrHf{4wnFXK# zUY_3E4hEn}As?CRrl46`qY_ZS{5%huw9uV?TD9->4_4`n+TP`vjja03hngj4GFRQ= z|G2w4G4}oxkXL*_^Eg2b3=AtwCwQm?wKq3UUY)Q0kHy1mvaip9|1|<Et?YI$8vltO zynjN}xX5D9_g&Zb9(n%=bgRg-XB9Sb{q91h3|r5(xA*q;UVOZ$SvlRL@50mj&%2Js zO!VM!+#{HOzjNoUcjA9tIOnK7OB21!^z7*KOGTS^x!zrt7h=9L-@d#g{&mh?UHA0% z%FRiTX%X;D2<S$qnV`c|CLSz|O}3EXYd`$Zz*!v>m<t1D{7*2D;9+Y%C?mkZ!qn*S zpi}?Qk75sNr-cD8TI0Yn3;2I6V6RGubNW3!{^w+Q5Gwq4_Wk$YKj;5HdgREF9}@DH z7q#X;j6QJSK*E71`}dV*tdPy^Ig;c%F~Zqc`ST|bc=Y*ajotii5CElI(4}doPn|mD zRr_$_{j#=05BMdVox1q{?3WilnEx^HpLgt|@{6y(R_%LUZ6nwJymH?1MT-_a3f<#1 zchaOun{K}?)6me+*!k<wW1g44_nprFsk8rk_`Xxm|4zOCd(Zk$!T;VZ{xdo5`rdV~ zK}7Z+$T>(yjvP5MyJGUBNt1-)A9;fovDkE~b22nUf#zCOoYj?-lqUV3Fk!+38Se9s z4sG7BAp6aF1H)N=Ci#DI-*<xlr%und%WOhT|5$9_uF##kQc*0kr@l4*VR(gToNIJ+ zbToMN$!*Xofm^q3&C;6-o;J1m559zO@kP6GJ8;^4qdR+lSM|@(EgRP^z4*Mkl6e>F z!Z$%7IdVan#m$rV^nbsUV>bJ2+GfyMO;I2q1qOYur9i74Kv;5D5%@Nvr+*-eQq-Ow zd;AfUgf{c6Sh{d-;{pfk$qbD~JJ-Gbl?DcCpuAWZ85s#WXNWCw-M+a6`wYy4wWXE0 zg}YCmICkXFhuzBcMa*xqb3g!6$oMFO4jXc2XJccVR$p6FSyNh5SX2AA0F;3Cinm{H zpWYtcK0SOLXrga5_ssT>w^wItcV~NRb93*vX5m*j7#>#oUM-+N4+PdRg3p=RW(Gbq zWlKTK`BSG(T>>o{dn(Vv*1X<o-8zePHtW`{(OcsbakpmsDux+PR@+<^;f(WYc|RpY zM5M0#{n7V&j4V5!e3$n3D_vjx9(<TqDENw@5S6Un_uqe?z2NH`>*M=0C`dL)CP*$Q z$o`eGMQG2x>btM+RlhI2`}&^mf;)RIvvvMTX5kmKa$<P+n3;b9pNM8d#fOWH?Ci4A zTMABF=Ouqw*vP)lxlz(0#p9zRM{M|kRuPRQ9gI&FHT3k|mh8EZ`9ochsY2c6`Rp2D z;mPTre0I)ix_j09^}V#j#`SAft$3F^Gcvz*>Cvah?%mp56`#Mzx;py(+j8)2SKws> z;OSt{`YX<rpn~{r-gMARV~pN+$f;5<PC$Tk<zfYnj9E(lp019S^XHs6wRCRs>lG0P zm0VnUd~D>D%|*c=cl+(P;Hg8T`<hh2bHqwYyFlv!ze4YAir%}mUG|^g<g=+!L0(R; zigMT0UfG+OfBSRfEXmky7q4{n?D)R|yr>XV6oXSQXt|dMh!?anM7-B+@x=_2S@qy@ zcwxZ&YEXi{{Oxb$LHD@BSN_#1+y8aj{~~|?w{-h&!arBezqS8C0h`8_)SOwfqK|Q> zy59^cz56=ux>^8a5knIQG=Kp3*2{vJ>jhTeJags(<fw}uKc4Id`RS~h+h%Y{y_|jV z^X67T^AA-;Tf<gfR($DsIlX1c5wnf{4Tq+S?YFKte8=$n1aM*A4l2#T7pH*=aw|(H zfQ0jOP>CI)H5H`BM{TmYLr#I){6!a*2MAm^FB}|vY0_ohy-UA;S$6Ndbrrv&rmf{f z4;7)#BL@#2JP4YC-f1b<|L;XV<j|yh$8Jl20_H{Uy$Dd9w@Ck&5?J}X7o9uV&z%3b z@u1!QpVfBf)Bnv~pWK|gDA(qZNN!$^b!Port=p&eZCaW0;XJ6c{%E?dt*!0Y<%(G| zXG+>XPT$irT@qAOU*P{6puw{j6p^*}p05Pe(Mv$!O&R#?59o=6pb}AC{r;2Le<sC0 zTDZHkPT%d>`=cj99)1n`BrxBb`?Od8@=w3_K2g-vcT=A1>Ez_}$<yv6D4ogw1TQFv zf71PDg8Zk*8nODL@gGBLM00a<a^zDLD)uR#FE3FPKj~gHY0r~A&Xy;AKTVpWB7f4g z!e)M_&5?4OZ~wqWUKBX;z=a*SoP(5N;P?Z#9#*ehxpe7L@GWn1=RPoEY-VL<Q;uNf z(a%_LIXlO)yT*0hqwSzFQAWo=?VN#xWACo(dyh>8#TdA9{RArEj)TjyG>diJ(2L1n zl#<D*Q>TndQc_ZW%1cXswlp*}l(}9g`Xr9oprA{_LHtyDhI+#SgU8!%`8!){U!UK@ zz*kq!lko9+>H61k$t~bTq@eR88yg{)uU>r!F6a(JseSFP85Nb4sV{cz+V$!EP6He9 zzSk0>0TWagCoR5dwDIPS7Gbv^3@ol};!hSO8y8AmWx3uEv*ny^_1)i~Rjb#gfx$I5 z@U4booS>3bM@Q!RL1^U*r5<qe8A$vQ{Nh}ywe{Zy{cp$ZZeEF+I;pp_btc1XueE={ z3usS)0c3S5_^79@SHJ&)jyC&_ObJ+CDsL|Py?ftv{{QQR^J3nXas1l<;dlGT-Szr^ z{>91&)#&|s7sFf>V7>h<s5IUCT>!ksDVW&<Ttwx%fDaL>)ZlM@ybHR*0Yb%wE3kIN zHoTAH?c<4c|7W|W;@)ck^&$lUzb%Oea(}bl*%q6i$SNA3kjN?=^rbHTX8r4I%L@$5 z+&3&<cksxV_Do#C(pH{l!f{xJiDiNzi^I_=S1Uyt7-cl%blh}=R7AK$;@0W#vM$`{ z5W~U9z>*-r;H1!|?0Kp)Xtk`^-IF^%I~9u_*A7zH>^Q}6(}ObU^%rKe#jTWHqW1nu zYl~z3%HB<-n?FpyHF2w%>ulC1=MKv3I?81~LwQZ1;ffHYyC=F1zfsUVu>4kg@U%kC z54`mO*IN}9WgL!+az4JJXL-4i%j)ns20LaqtrU0i`B%7a6?@K=@MW9J*%PlU|By9> z&u`;d<4m`&GsImNJp3|!W74V*U!u5IOSG+iqM<tfdInqbY^U8n<ZTz-adb#NGyT|s zUe5TlJu`n~ojI{dSb}F=Laxd3xLzBECuf3Njo-h$zSdatQoX$VO77cCzMcC{#1tmp z&_8_0Q90T7pOd2Ity#yu`<<_hKQD3rg`ohiflQ0;+vNs(H+;Ed8eesM730aAix%56 z=0r=KHS1l&mbU1SP4dmTJ<3|C4ljRL8kFl5io_iMkSbQsrPF)viHWzsOqs>=zpKap zU8M2$c+S-0YvwT@GJDZk{xvhv$bI#qW$}@6$8F>Gz5d&$Y;<Fq&aIS;C60ARRQT%d zHoI(JYM}J-{8ioU>Ys94q<)$m2)X5=GKE#c=zhhkvRn3BldtkHNS|bUQlMekpz%76 zm!<vjp~n{`=e`!~(As`PZ%MyKef+Opp}%#7Hxi5b7$zHd?$@_U;Qsx}`O(@NI^q0f zg&tQP+)UzFr+CHi<nox;6?s*Qv}(#Xq{rKQPvl%&w>NMTcdyAUfyq-FHhbD`iqbn# zts34E-4go6YBR$ZVR@yf1jlDmK2=iRT^Bc&Je7MTpY$*$>)VE>lFj|m>T43D+rF6J zmW+%#VX}zz%m3c}F|*bu?0b0SYUckh=l;ERo|%&~>CIB(7x$)2vVVX7iL_u;;MxaY zPKR8)E?8V>m=ts5#_|uVdFzhl><DSi|FZCKc)?u}&d39)<`2)@d$Zj0ft}YciSAjV zuA6-){`kFED&0RNXZeAz;+tQ&Oo)EmbmZ~sOn$54+K7d!ac>OEBodij)2|BH3a)c? zX?dh5ZnpK*mRW)q%FZ9NQ;EN}{Mp+bA=B$Fc5eTyB)<RW8<DS~p7%k{3|m~jymxc< z<<3>nGbQKuEiq~9=~(Gu9dT2^BJ{C8@13j#H#WRF_fcx?&8H{UK3ZFO=4|V#xZQX9 zI<!14C|+l1_it`dci<>yUlRGo{UIAy&YV4;_uVU;cQILE)1~ZxCGBe$=dG9AXqvV` zQ!~X$EY59PY-sYtnWfhPIww>+zblu~i$2p-e9SmqF1g)kbGyZn94VP~c9;E%3jh7R zC{Z9&@AFGr*XjC+o6-^Mgnph`BeHV)8LyD)jMMiP8)|p&b9`U4GG27X;*N$lKW6;% z*~$9T{9W+e0+-8IuZwKkFEs7<HT%h17VlVcFpV#Yt?)yD@?1l?Ji|l=j{kQa1pnE2 z&|koQ@5x5p+L@Qve$VuHwe_adqM&$YzBi`~ca<*_pSQ2-?fiG_r=weHn$5oG#FXsR z?TbHPJ6nt2I9J_!XV$7~$LvL;be#BpYDzr2Xf7>v*?XD8ono_BThu-nN8C>NRl<2p zLFs5$99ykz+e5*Y#TmaUPZ+M*v(t6WrgM3p%}i}HEgrVSN@kwY4C07z-n{y0?dv9? zY@3V~D_c1@HY**9dH8<eW-YUY`_qnD_;E^XWAJ6k{GI)M@#~(j{H%33xeGI9{{Q^B zc){d;)irGwm%i0dINY@K+^evHGQPZuIgh+|Ml>I1+`cdJaQv-RW=Ec?8TIC+>qv75 zUt8T=8D4B@%Bahdrn8Y>Gi9QN!LI<Vzn8sazOMiK@RMY+_XE3SS8G?A6-)bU>WXGI zY3uNpeqRu!bz8-ysovsmB7>`GvQX^T-11xQ-?r6x?6|e!`1GU7wmmNe{!ZTG>bJb; z^8XLHN{(s*s>ec|mdH0|sH+snx0)+Fn)OR~Yv|9=@M}(@tkL35fkizv+nE(gY&5se zQj=TtB=p}&{TR<<aebO{ZX0&XrZ1bFV##gJZ!5m%+Vb!l@|z>%N&^D-O?X$x&UmJu z;aoq%OXnpk4{k1Aoz|v*>kHS4b2lI7L=@k>eZ|a+;nF>)(%eZ$BDM)m?f&`1jMFXA zeNV$9DZMNIGeX7q^;Fich`larxOcf#&SlpXC1Jg6`m<iEuxE=fNS#}KBvQ3vU-S1U zZ?|cMk&joHgt{%iJ8k>+na8%Q*ZSymH!Y7vLtb(Uf9D;3!RrU=kJdz-RydLIcoUmI zn^CZd0mJ+2`x%!k`c_hKXrE=2-M2+)Ol9*AO`i2R_;v6*o}D`%stE799lZGX3a9(? zSg)yaU6pwFw<K-Z-FRUUxgEvpvTWQw>a->8RIzE-vhdojo_9lXadnHjec$#=xrL7l ztq#vGl`8mU^7Hz^qFt9mcivkk*I(Hs6Crb7>Tk7Jvf#-s!98jxJ3>sR?&#be_5N5~ zs~6W22d#*wj0#H0`D=^(^Szd@KelyWl=!82uj;mH%;pbYb$v?T>%KWN=V<H27#R5a zBsMx)er)1oJKEJ9x%wwBcLc+1M~~G<I|G}$F85VCUc5h%+3Upef{H4Uk{3NGx923t zyz`c7*s99hVz}m*p~2Ucho%;t;4@qy8TfT-!2u8>?5S?y0l!Jn2J5)yo7g8jJLn<v zlvV$|)MFdblG8~?)V|&Pmtqig=hZ0{UVgt*Y<$Z?%(c&+<2~vBub}G}o5bTsP3A|H zS?94X+%_}e-<nUY+uHYkev=@Pu;k#L;|4x_(h4opa}I1?%r2e7rvEU*s_gcyTvOj2 zi>6Jmzhu-p<=c~)m*QLc)A(ihI#%qvCK=?j;>W?omU;8i6@DIg|0VNP)RIYYe>o1- z3(n{JR#<8$S8N=)boLaFJvC?Bk4*nP-DN`{dq(<Ezg%C*Jj<95rRsi0is!r+x~JcA z5_{qJzGB{FSwYkDJsnoZ`;G+6yHg^(Pg>A4_3f#Yf}T9TXGec-eZhaz(tqt8AK65c z>wR2{uTAfd`cP(DxOlE5qf+KKzeh_xUJjDZx%0x<ZTq3KQI-e98~aKx{A&Bp6D4*h zyyyJP)o)kn?ryq}5caAw{r#``lS}{3KRhjN=dbR!GoM6ExRzd9n`|QH+Ha`3jAf^z zKU2}9f5P_LCS2u<keJuhWOr$4(zn*587YR0mKV%+M+Rn_^gOxt&@cO$=L6@zC-k2_ zZZ(@C(|o*Gu<n!NKh00>w!Uq3c?Ds6mRY>o($akK+s%#(N|V<#hXt>jW4NM}NsX%| z_1cCsbzVDX;n^3qyxAG3<?*$?Ao1+frB4{T`F}Ld=Mv9m+iEqTuhi+;G2ff_dv_>> z>Gtz>FWWlLmFKs#$pkM)(Ji`S=Y1Z8O+C0~)r`ZJN{fDpv@%sbGx@_gz3u$B9f7|a zepIFwt=n^j;edr~<olnuQbXsYm_7-b*LJX$L0(7hG_!$=!0(I#BMIKci?SvxF!EKi zxtjaTso~Q^ho2J;bk?hdDc)>t$d0fxPM$AlF7CH%>QpHPiT$f@7(X!O`<h&vcWwV1 z9nM~Pcb%u-MH%Px6<iKePC6=~IEkmzg+I0bq_uI-^A|x|SI@c{cEaUR{<dpUQ!MZJ zb(>8$(b%y;<NnbpK75<rXr-(#dlhFeC0F6W`fR<b3o`<E8e|nipK$1Dym^t;vu6Ie ziA(lvtdf{wqk75zBpVZxBA3ANM*_YM>`V_$7!;jDR@u*BUcjT{^5)QvwU1N&tm*AA zExo1?_1|dbe}?t1SNey4)oYcSdy;FjfQw=hD~s<=OBRF863>mkU2_t;@pgqjdsdgO z&x=bBC#Wu1Cbf4tdl{QpP1%<Zi#|)WIR7?&KK+JkpEvhpW!r!U7J9pQcH9(Ui+%KJ zW<$2-=M9q&MIDITu{L|d-*fJ!6`XD<R<&vR+*^gy*1ny4Jw2(pLcF8CHA<!_+EQX( z;+H#2&-X50yI|RRPKJBMQg@$e2dN4RswRHhaiL6oYs|sIFvjcCneOb`lKMtuS$uDJ z<>c^X3d;oNJ`s=ITM+O#;N-pX2W}^$*lVUFwO=}`UAZCago^98C&3~U-*BF-b99}f z@{ddRjMCh#+m3EA$T``0T2bG`_Pd<nQ7)e4LRELg17=JAi&z%+pyB1}r+23_@4A^Q z`TVcPj7{GAuNnVlQc3GE&Hr>zc+-zk$L@DCb5CY6wVJnV%N2MLe6MvmOL)1{BPsu) z&Gj4$C+xdl7PDV)%f-5VA6IJJpYNvocq{LNILXB32Wo1cSjrkwCtWa|F+=v#KARaG zK5^@pMJdjTD4x*UFhj^S{OsrHXH^bAp1wP3_l5|aC${2c;SZmA_Z)iDH$7Rg@?Y_K zIln)5AFO`Sk;*(nx>%+xuG*rn*`x5#SK~+q{k!4MTezpm>!%%xwQ~G&q)#R+%w<#7 zNkaztdu)68t?ZUL@AF#0lyk0}{mF#%JAcnjTI8H@viJMN?%q%8Nt$jOD(9aw*cECK zYsmlg;`hGvkE?ULMYG>Z*`76hYt@?JYro|Gs$5|XqtZ_TDX*R}KQivzaChYaJK>eu z|D38`es*<qy7S03G2Ud-N9OnDo0+tBNhmFfo3L<SoYEw<=Xd{26?t*?>B~Cx?p-?y z6m4DKRxG<eY5ixHlzs<|PktMUQhuD}W3Ob849i|$nESkL;dW<D>GOVHf{&a&FP5xU z@@^XU=9D>~L*|HGuwOsdI8^&q{|d9Cdv`8p`7XYB{aowQvYc;k?CVNyVbR<^|H6s< z1M%m+9&Z1urZ3w6(!siB(XGu^K|N<*Ub{Tc)wViXt=@Rz;k)<sAJ*B6hi<dIC8}^; zZ6@<1-`yJ}g(Wt9;P7wd=6G1A)>^RQT)m&{qc>gpWf!@;6Xc%0ak*<;tLQ#wbLEeM z2ayGPc;jl@kNtL+{Qb6orz0-U=E;wrw-vX`%nwYsu+{p>-NUOmjJynDT@xQxJTO0V zKTf}EwyloO#tY)Lt2P*&d$)f2E`gtwck6boU|l))^uq#IE|EX$mmNLu@)^?si=c9g zv$}4<b%{qrQ(gLhuB=F(Db7}Z_g(E#L94I+XF^#8ra#wVHNJiSso1`a5kG^UeLVY! zyI^;DQj74jiO+tX)?qAXn)xQHe$}_%Q<m)c_CX?~?Rxy_O_$bAbY7iz`HpAnL?>;N zmw%t^DT@ph%3dkVA!dI6%wnY}l9smCZ{N*4_xXsoZ~9KxX`Y*8Vtkf9o2IbVtf54C z$r+Qj{#h~hf%~`)wuA;%6|p*5%*@<rX}5`GR@jl~U#rX9=g!Wqd)Qk3#>&QY;vBw$ z?JawX+B!K>v^12yURkXl#`wBi`)%*i4Ii%Md*;S#h+NI%f3dy0;DqEG_K%;tD$l-8 z(`-?f5qlITX6|@ELvrh_s-1T-eb;SF<eSVDHAnqQ)iE>1{^=`Bo>%857K^cScMAq^ zo`^Jjp1k+`6tyM(A0^tp<=nKq9#bZx{ot@5SDIQ{{#FmW9_AmtQMbf38U@xo5EZ=K zSHUM!KYL$k(+`_2|3?Q?7`Ij}&ybv3;K<J)p?|~pTyoEIhgs_r)V`OWWVmkgWBp3a zon3Df4UR}0Q4`PS{-Yt__T_F=Vc-5#jmIW=4mUQ1X{&nuQB6MLvyj(dnt6<o^~0U_ zv~xJlNA$drdXU@oxn-%DK}$wt|DuM8Tx<oMM>P(baF!+5ec<#cnKAACd_RULZ)?#g zgX4yiWJO<n&95l#`WRz*b9&K%#R1|!o}3R_{_c<H?9OBB@2oGq{p4%ni6gHMe_SQA z(YxOIM@^8-=C3PUqDrDT+`bAF-H>(fC^@j<f2YOFlM7@_QW^S`n^|-GI~|u?dDP+} z`|wzlsi5U-WAT4}U3t4~YemaT)?{t%GnkV%q4&Utb#u4<WqWPBbPw~>%STgoZdk<1 za5#I)N4Ch%OXW{*R{i%@;z8q{Af|M_gO?x8ZBcB!79Q6uUvhHq!*#E6>b%(|<t^1` zyk)%CH9~>M(OWk2@4}8V4!$*Ek9L1=)P8qLct%ZOc+Q7jhajfHh+n(TtNwngw7uE4 z^VRkR+k_u&T5WZF$7G9Z+&4|vY!Ql9c+S#rSZhXR)zQ$;I~%LtuXQLpy|=uRC52@c zvk~_a?ez}3HgzR%NwHpV-P!Yy;qoV@hNo*ezi?%UUeH_+=rF&*j4_sJ7Rwh7mja%~ zi!K{klsMYt1*#NoFz#ZRz_S0qr)e#ZIo$X}%pI;AFkp5PY*Nk;bl^F$M<qHWZQ6Fl zK5>I4jW!3C#2<|pnicLc`rc-E$!~w_@W$qe&Kui2jOPdz1?Z<X$Zh$N)Ms#v*Ogl! zxXo^%^qms!l;}I$I%ht1w_0x7Xt<!&>?prN&!Jgvoa_&i9=co2-tFYtac2(SuJ(iB z7K-l|%$|I?@R4<oXi&sA28RMLfLwNx2L_P6oZtya@K`x$nEUGV)a26#j#)>q4GV4w z7IaWL;vw26WB%w?U(bZ3BTo+$GOv8w-;=avEeL>yXu%_xkU`9a3l|20HsC-<lA)B9 z&Zz@SH&n=e;XRkzeDCn%$tle5&Yo3g%-!3r-f0USjy47Z$o6%}cCOjrk#_Lt>B|xj zrF~$f%;jJUi?6)l0T(Wuueh}O@WhNKraQG(pUlxJnp4$$xxUBsQ9#<{)t_dqVQXZ! zSioqJS+f}=_4)87ozo!nnz5k<bi{UYauOJTrdkpc6To24=6HkOrvG);Fg%TD{da1^ zs+v@dbqx&bE?6i3ei2gcKJ%tzsk4tnkILeMzKXAAMoQk{iH?`x6e}t};I1?K5qJmm zRWL|p1Z|%apUwc8-B|+OlLQS5uceo`i`R%|e>~^4^pZ#tCy&{r?dqNGIXPa(Oj*<g zFHZD5exzf^p|%$fmVgJ1H*W#~$U*w+z+gcDbfy78>9!>}=2zGATsRfGY5E`4=A+)O zhQU*K)ap;h6@{Of!mzGFb5+MykU^UuBc!11sf!lP0)r~hd<A$O5;TWgbL{*N%a};6 z-8Z{G-#ZZ)sg->)TK`W^<pa$KuX~fWrmU1{UH)W^c-^5OP3_&kKqKx;mY6_BMI#wl zLExyhwEa<k)Yv<B<K>f2udLUFG%#x_Ybk0fYyH&pKN(VVwQYu~r1!o_3pa&|UcD0R zbt)s%bkU-yiIHWgbLW5n*oCuZfB|^0cv?kz^3wT2@s*J?)=r!<rKP2%q@;w!<l&sE zrXoLEM?+If%l0LU7R;OD=j-G5{K|z(S1t>SOaagH27-Yg7+kyn0s)&51M#5AMNJhI z5fKrWW;Wgh5*lp3)+!v>`e4hWg@?O*RK!oZeVTYiS^K2v6BS8MyGgxIlxCi2ntaCi zh<SD5)8`-nxo>4T7(ixQz|$?@VS1yPAnMM|n==iQ+xq(a{QUR?4&M$uckWW)xpP7N z=lKQvf;BqWF7*4KzZl5J=YQ_pxl2OcIcpA^pIO2=S=DRO8%4_`m-QSI6)T;Z7=CVC zxB5)9MMu8aJI3n=%^xV{Ts7TlV!?jDU|vp`+qJ&V*2db((!$(K&&81u;Q_0)R;^qf zB64N&<&~Y8pSQUQ3Eh9aYaJLJ3#-|zFS75ZMPI&I+2h}PtCv66B&rp3ME{3bBCD2% zqt3J<CgCXxb%sno+Vdsa-&d?|nIUz%HX;8R!?81rlXm7AUUz?%+EsbdIy+@rr{U=j z>&%bOFgRWim(mt!cvxVj`4;VGyv}Ld8!XbepPbb<|J*&HvN`P79>)oh%-@czVVq#u zEMClJpC&H0nfu<FoZvHF^2L)}4b|VLJ>fK-^nL14Cf?o0?;Nr|oz&PSmY(-8mT^z$ zse%pK*90qh$~j&(6>pIVaKB^NF6jP|?PI%HOTj_&Tf8@pg}u+(d;Qhgu=iW47GIqm z^?q~J?5n%i+)Md7?^^Ymdr4pUR+~rc-T&%s==*h5#aF9W-;4S>?V5Ge`!!X`SAVa% zccCi!>h4v~#8-R^xwQ0{Yv+`ig)3KPt_;_y5L?<2=&bQD_=2aksiExj9<QTPN0ul~ z`k;~FA}+`)JKJqxlEB1c0xRy>fBm+y+<$N7tFvpq`|VA?621JM<yW_b_tdXMyX`G} zRU7<X;%i&rd-1Pjf$zn>rUkwi{TdedUgWD=;CdaoX$F#Kc9cYX;?`av(BqWeFzMmR zQ$dFlCD{*fZsMH8S;QH{X~bE?-?=2&qR1rainf@dkR+erGuGA%jt$}s3O`%r-E9{4 zKa$;e{DNPE_!GzX3uarNk}MT@++o>f(PG|o-|$AmYl(Y(dB?UXNpG}@5njc5Im1L@ zYujO?n?ctvoY}D{(kGJltj}?WWpl1wIMbt=oskx0n8JJ3N1Ba|`Ero6@#Sq-K+3{5 zcAqf4Bb3Fuw8Vt_bwz56*xHUzXRU`JA3VKX9cAbDoLeNkG4q5<442kL(@7Kh+}#%M z(p?q3XqW7&+i7>XuI2{Zy|f~F!LGk6qv!8>yE1y-uGDL9{qCMy5j}U;*_EMbA|2{H zsT_q(<|RA|J@I>M6c-=l_T}YipCQhm*P`2`^Fq`?G?y#2+v(=KfOQK(93@>jU0+65 zF7k1F>2lNMq{~j1l`b<~UPj*x-en}TR9il9*CxTG+OIXcH99rgHDWFOHC=XY%4t{` zK22i!lfL>Bdv>V&WVLyEipe;5a*5}%h#jvFem^y-#Pi(^zL%3qo?0msr51XY@w_>; zD5ubKolZ%&cTwN_sjN31O)5#XjA9R9C^XPB*~Cz^M#o6sbJnyaXV3U}3!N)i{KG}s z+2UdJh2A{oO@CXiKAsi0c7~gabWm%$qwy{tk8j+5{mE_{lOzOleyD!oD!UkSAm!3_ zA>TCBjg!JXGcIXGPF>>Vr#jzrWlD&U*3T&=YI7&~dM;a{tuH#Y!?exQX~_dYUYAeY zF2@y<H*2`?NL><OVy(|m2}*sYY1C<bSkh^30$;Doudo!E!XERZ5-t*l#ht`G!t)wS zn4M)F`WmqDGXGfQv+=>B8;4FT+R?NkN#pUNJMTD`YOfYxJvCWm&KZrVx?bW^b&Z^R zU7n>f`xqT%GHT{E@SMt>7M3~hv9z%s+ZNsr%pH8E#00i@`xvPmW7^=(D6{r{sdDUk z@s}=D{e6q?zqtR_%CG*=%D>D0m(0I+xw0zA{gwOO70WLqe-V~ti*H<gVDX2%#n%fr zzgyz`Fu&F#|I*Aas<!K&f88_h`sb>+^RFvoJ<q?c1dE(o{QgBrtVjAjtsT+#U%Jj+ z!T&X_{?)m6S7zT6sdcNrSh}nG-lEM9YS*zp%+c>EKYIJgZ`McW3wQY4(a`7pep31i z*G{b+0rwQ=w0q6q_v)HFMOo84|4Z+_i{`)F?!S<(U7Y`=ciEb~P;O{sB5V7h{E&bp zYXTPj`#;=3i<uiY#|nni+~T?sYXT-ND)rx@<<j^`g;m{mhECw56#kQf%2lo%JST;P zSWfWU$d}u`c~$WS)V36y&V0OgJLC6f*N%n7275a%o;PzUs0DNAz`i}Zc5K_S<f6_R z?G@m<U5o40$(L7-Y*`Yb%=z-fijE9^(`1=_kEQn|%kN8+t2u64^ZUyOgYvW&PYziB zuybTP$D7lfzk}_*fz*A2sreFp`4wIH7996KOwNz!dOxH6{fy4{6`lDOUGH~vyr0qW zzM><4Mqj=K=lueq`vsHpB|7sXI`V&X<p1c&ztNL#)_2fc`tSqkdyl2X4t+nD+{}>p z@!gwO6<bP*i*jYE4<DNyEgfCztIOMa%V>eAw({(4Nr?&Zaj`McQJ2iPUvp)Jx|)8S z(v>xTj^FG|&y{Y~N6ODUvA*}vI`7ljLk>v`7cLXvT_FF%ou6NL-ec*uN78kVrOO^m zPkSug_lZ}&apRA^<{y3YfAr0*IUHVd+^goe>5sn2KhhFy_C@aC%sFDtZT+*Y`$yZ{ zA8E1H`yyxbzOP`r&-nWGx?<OgQ@^&Ie#||A?H0rIL(^6NF3zmVG+}DAzx9k=N2t^7 zQT`8WxqgS)@e?yXM<2^?OZ@m_ac<o~@t?d0ekDsD*!1VclWsQe8B71LIWXs^szyW= z+bnJ7O{%khz&0bLUjIZ{V9M^jdu{JUD)Me&+$Uerz?ku!v+H*Y3#U*L=k5plsyDsw zld&{XnZ%%0(jHXM-Xmeiq*JsYW5@S7Z)|OC_by`dQ8-oQo3dBQwQJ20Jtq!U2}LH( z@4e=eeOovL@7?@*!_{X2gXi>JN0#zQ8nu*9``fC}Aarg`&zrNo?+rJaZP3@>_9{wT zyu{mEDs=Y(W>v2V3XV+AwK-p(;!<J}u#;#k=4sn6kaOTqgZ!EqhHTanjm<v1muizY z8*O?yBSPZF^<CdeZMiaM-*4Z=tY{F}^!u2#-^sqyr%y|ZHJTmZQ}sw#RD0iK%S(w; z+vsrK*Sm@uK9@2}J*bJjUct-Q!f*2;AvV-N;hIiE+5Aa6{GD1j7N&<a{m#q3&&nyp z@?-JzG^cWoPHPqx4uQpnYDWv+%igTEulr*mXYSFU@mg}?*AE{)RHS!0%)Za&-KAo% zF}~ul=*<ednja75{^e~n+s`vk*=da)my%vrY3$9f@80#5o2`&xWG-@kQuXD<#!V)@ zG7cP0JqC<UE**jjE-fARd9(^DwF=70%IvvxG#gns1QmZ+zqeGZUbk*tUTWhPmP;NA zii<$PA#vPqw6?8t43q4CUmAP8G}ZWwVLwmtndH=7!2l&iC5;TOlwHZk`(#T>N{%aY ziG>|zFYXXj`^LTb^{d5x$B!He`^kQP&H4`RSGPZ9{#>$eh5qUGsfx^Vx)qq-YfpNg zA$QPPyQx@=S<xb0|3Kw)-40O}{;W8LGaqBv^DkC8o{pV%wIQ+ZX@x&y9YbTxzCA9s z%pX=<Ug+!|z`?{=aa&nWk58iHR7JUE(UTubAMA5nJ5B!$tN1es_Y19-$37lxXUzTl zn}I29nc7N6Hkk=Vdl{KltynfMC@{d^Z@Jns)umFN9`0_gF3!IDu9wD!&zIZx^4XIT zQ1|n3?t#5Kx8_v)>aJb7DNXlgiH{D?o71biv%S5g&K|mj+S>J8=_Yh(VxVB4wulR} z$G3yq`)iJy^B23nTc~{dqwe*OXHVJuNSkXXwVA#A*qejwCglaC&-nJupV>UyxcI}* zGp2f6#l7p(m)-P9le!l#Cx3bS<Jk`{e|-8Q;KSSplOG=bc=t!rkAxp_KVp7F{fPJx z_9Ns+(2sy0erpyuiD>u-6<lgLDWvN8ZGw#9qVSdz6KoV68~z;lTzLKPy1wIlyDTkb z3oQ%oR7mR?d8_*JZdcsd5*e8@#n>ynEP9*n&1qMk-U`ZH>9cV{nTv5yce=~w#F-Ox zES4T`@Vf6MQMkgWo^x+gtfO94_cbQ3#^RFHpH~Ya@)|r>ory5g4=>%jW?^S+M)_JC z!MadE%E8{w*2bs2JuU6Haf*#by3G}<Q%lZp`@Vg)<HD77Jxso}P3%wmQd#<steDdB zncq^Hk-^b{X|W7bT909e=8BBA3K0f&^GOqOUMM&?2(`JnGBpOwOG;d3$iTqV!NABo zC9$Pz6|+=JrriRwhe~rj4{=P2@qBV5NvUParfoq5N77Gr=S<tzyJTY1FSV%0xf<#( zMfnczQDU8I*>f_av_0<Na&EJW&v|zJ|8nnueBC_VBTZV5Y`-OR=pUQyE2{Y3s6c+& zAE&%{*_O0rJNU!vo!|N270B6turU4~?@s$thYf$-j@N!QfAa6Dt4G?d-xXiQi~dTn zc8boHJWzG`o4H5a62BVfmSyKwe%5GE32XUvaZ^=d{K~K;(@zzOU%a}zw`qdRa#MyD zL8*&pSZ+V!3g?zz+_g_}qhI-|pL=7v-o`v}UiDm~{eqIi^KSy$>8$&re)1Q6V}C0k zw9xmh@0I&p;#U|gKkoH-wYU84AM@6yj`N<eK1kWBJY~sQYeR-J8Z4a~Z%A!m_Hmf% z6D@ixcFMP&*E6G>7(QDGBz>AL_|E-*Lf5;1y0<2ITr5BAg&rO~{N&SeuK8c}vwpIh zeGAQOIvC5sG9}}aXivLf{zjqNjEU`E|7Ay=c-h%1ChPcq_Vf#!A+2Tliz<%ga`Agl zF<8)Lv*vqUzxiCPlybqhpIFo#pRxq8+<GJWsWfS!Yq&^>ZtCYW)>S1ZWLHn&PJZy4 z>!h1L>znnb8rN%_KbW<@TkOP!OD7J-_HmRPKeBmUt!}%(_OCO7uiRR|U-dy#Wp!Zs zOZ6vzuR6X+74*3H>&wP3!k_*Lnu^@6nQi4WUGKF=L#w+uS7M)j?ds5z7OsoB3=1=P zAD%bb;+2}0`%K{LwmkX5-repOwk-R!{o;~SzeQf(I#Bw)@6~LE6ep|edp6yk;k`y{ z^5fd_zJIZtjjBRUh9@s(Nph?WxgVH0S>ZsLnf}6%)Wqy#;^MuAU3zz>y!a<<|3t4& z@FHis=;19#r7v!`N_(pis=CF8QRByp3!&@MJ5_(}7B~KN)Z$R%@25}0r6glRe~C3p zKJ+Tkb=_|E_~7zx9Zp}5U%g$2)54y-c9bzwo4tp9`cY9+wLjk*`=;l+m7I@}td3Lp z^MC4PmxYm%`nTH~&b*e|u+C$Py<L0wiLUJmi|!@wKH8#Sur-b|?E-UKW~0VcK~>3R zTuT<s*m`E^jmTQJ(76nGM?BuL_(rZ|Ui64<cC?|~QC}`S@fBX-=dUaj`L4M199LjC zbC2tPA@dhs!fS(H?Edxm(!;ajuczJbZDV*dN!#C&_Y-TW)uo++?$g9HcJH*kyEw^z znYYK?e|_zYW{Z>}-v7876}fr+>-U~c|8^}Gh_8yV%X6Lm^Jrk5ugcSN%QJZco}}>q z>$y<kBbmYIQ1~J+=W*JP%!Mvrvo=U?WWT_hw)?uSq|eU46<vo4ljAupZTCq}{;_F) zq(Q5%L|5_4n<dM#_1f9?ZM$>VPbpR*T0p4$j@87Y>`w3QE=idG;#2w7uh%DwipTp0 z|C%>tMM<Q0;L@<X4X5`i2kp)2a!__Z5mZ0%ivP*~f6u?ZDH4CETVuEDTf^f`yFWiO zU@^&`v6W-Cu<a33f3?K2T(66X%kKP-eAeCHyRuBjKc=W*ZHB$sj%O^5wJj$PA91P9 z{{8Na-p=%=jE^%tx>p}bU$9TMpviKZdScI3_QSy%b}0gX)Nf?wGtXG`Puxu1Sj+6G z)B8!$>wmLdS;e?^<^JQJ>b&<%IvSnAQ;?RqZhHEsXMd*U3fJ5)J5?_KLu9ho?M%Vo z+W*_vUzx36w&Kls!^Q^Y(l>4w{Ji^1t}PVLj6U$7bA#PmpNmK09T(2rYWwD{Yw@Xz zY5)6jn+rlb?w#BGrAp|U|Iuju!pq!w6;tK)KmMC{AguUv-owrFog~e3(j2~A@Lsv` z?7QoQ-`;b6xPIaO>f)qX;v8>eoX=ROpVF1!X_8nYnD#bKbZ6h;^5Z=j&#x+ZD!;53 zd28}AEAVkr<Sc$+UdN=~=j)BkbINunWpTTQPkr6=k8Pm<$Nk;)Sw6?pi?=iLzZWqt z;3?d3qN~(sshx-r_v?>3T<#Yc1MjvicgjEeGWs^lCtjwVZ4GNw-$!m@Ut^;)V}{FP z!_@Sn6I1t8&)BrF^n~hiTc5i#s)~flFE5qgmEbYt{qw!_<trY;v`w>0%6lb@BVvoJ z-Fl33boa(4ACcImck*o0r8LD&o#9(DCMvnw70jCW>|qv{1y7Pxg2bY^y6MwCzB_#C z*fr(pbG{u5IFQhhc_49vK;~QziAKBU23?v5GCBeeoRGNOa8Y16W3!+;v$C=qBfE1C z6HoG;Eh%c*Y1@p_Y*S}u-`np~bZfPDd3uk<<f}_|XCLYMVBu;LA$Zt;=>?-)hD!63 zZ~uP2cW&qr%-Qhe%d0*KvzsMFrN=qUb*%Q5IJZce*~actT(+cL^W<@<l~W&yBp5tO z)6R}E-fU{TG`jrAhJ!miHr!Hte{E+&{QiG4Z=RZQZB6D`pRj8wsXp1)rc7v<;LtI_ zp}`?qJ^t*;wPhz(TYkPe=ZsV^$Bww`FILyh)QjyXZf|=!+3!?=^U`VDZ`uOX0xvJh z2vh6K+bbEdm*s+ux$v#^20hPH7JSQUxO|6a=Y!Wb-A*{wPq?$bn*G&D!Obh<y}oAd z7ub|r@Yf?CdammXvpE~m-=zGzAAD3TKquXK<)I|$b$WhXOsiuaiz+|S`ztE3Xy(mB zqF<)H{J-PX))z_hZ>t(=>(BYW*C+R9XeFP->NN+K{O3M=-RsPsEgQRTPTcq>LT&3( z$!9Cm(^7lF{^m>VUY7OA__{&biL__?x2-ZYKgJNHrnKUM%u%+34@-}lT<86J`Ht-C zBA%A#DnV8US2z}K5w`v7o%^)&`xGD1PkGN3cKn***m1#)JKtSjZes;E`?AziEtA(T z^t>LBcA|Zi@S2_r2QFr{y-b`K`S9P2j5j4~^HYDWdHObj_m$+y<EMQ@&qrQgzVY4i z&uY6}^ce4kZ)B1-d+B!dhGQz%2^sg2FZ=Iu{3_lWnt$ijR8zBi^{hJr8oy0@zkF?t z(bcK{bI)%1wT-K~Ph*DU%gK!W?ZGu((`=GX+*;<Dx9n;3&FtbYi*zF&)!$<dSC%>B z<CEB#d|2YPz--H<JF*VVSnAJO_$XdQ@kY<t?$Vf#0!F9JP6jR4czibH<{SAlTcs|X zdE+N*#I>Ezb3RMc1;vJdqaKm%dln|PUlCQ=^0R-Db`tlLEBh<G$_%X~E`C@&qbX*A z-?IdZ%gtePGg7R?_nu^X#@Kt@X~Q>-MbR<tPPLC;IzH)le)`p>xNULqO!1O6Z6%Xs zzeaVJKKotzQ|Nl7Y*G4x`{`5O$}Q-ec%1dz?0t*Zhp$d&PSuYt><_-bSmaltcva(8 z?x|C1`MG&6Cpm>Wr!bk`-PfGZ(BOH-<nGTkUB5P66?fe-#oTIMZBX-;Lz55JEt}br ztKD!cS@UAG=*5g}rmy4Oq{3!JisW4Ue^Q-GTlX)|Y<cNzw+n8>z1pC7>hQFU{Ig@e zR<SNB@6O=8&HCJW%O;gSEYm-{er6qhP@Uu7q8m1|l6D6jHLE)n_cqz_#oD9WrgX2B zaI7|W+xp9P)mtgqWZ`XITgBIH%PL){nRh$4@J`v6Ur$1^0;L^JeEc&1+v2$LP_rmz zx9|DSt~Fkm`6y-a{lbg?=38*JKCjDp#+uTsc0%l%eMPv=Kk-eTx?ddhKXT-T9eb9# zUS<+&c<nmLqlbL|N-wn)-)&(Zrg-k!svRE!=UQt9e2=xwUK+P`#@pW~k2iiV+RZZS z_k$Dv>u;HSyPEUH&}-3y@~zh-q=dt=N;dy`68Pk|TA;91c$n<MkG+2`-3foLW%;!- zU_u*n^t0(va$jYFPB=2I<xJ(BUezc5G=bstlSOUI=Z39jviy>{Sy%Z}!5>Tg`MVz~ z^IvUdcN7)R>RraRwzH{h^2XDXMA%>J%wkm1T3crHc<QMy&Z3v@W{S0^YV69C6HaKH z#lG7}r|iV#)XctZ8&6iL9(?=mk&Cc~_{KYr{7W?y3q`_p)&+L^J$T7``EiV&a(?RC zlF3O=f%EoQ2o#!#r%uhU(waZA4V$JTV_N|}})?LjlPf1bB+-}Ud8%(saBZ|mLL zzjM7tT1Lv89SfSG&EuoEPtOizvuj;;)4}IbfvRiqdUNM6rSsBD_$u!1IN3Ps=lS}1 zW$JxvC#ybv%=U8XZI&#dcIKP*zrUt4Ul)=2pg3D^ZS&i=tAy?!x}0fIe7o_H`#K5M z{V~tl3txOmS*+dp#Y3WE!73J)wg{ov89~)&Y^oN?vbRPRN31sbm8!qj<mv5;Cm3T+ zPG*r4mATNlSoO&o={e_g^S96XAu^AnVEdEiJShRi+h;Gn$SdEy^1!+$nGN}>lV5IW zJu=g3<L>Q$@^ZFkiwfVV(CeH1{LIducWi!Y=@mV7@)G6Wn4}ok+Z?e|^Ob(&Z8fa} z#nrlIci64?E+;hi2~N5jqMRc$`JTQfN7bB7c6t_Jw%2*OgDjT>{<qS9RgrX!({poK zpW-x$iAKt*@75%{>{|J=W%tT@lf665w&d?Q%X)xu-Ff!>>WMKyKHIkMH){OAeEF8y z^PN_gbK(o`|5r=UdRiRnz<5x8U)D`Erub7S>r}LRWPa5Dl%DAGHfFMriAB)UgnUar znT4(rFE}2ol?WC4(%!fI=q2umqXDM;s~9eMPZ5n=Y@4T0f8o2^S%H85*?r$~oSGBK z^5&b0w6EpPxo0Xiw=GKHG`+vse1bx(+0u&0?u4pdlat%GZhWV9NpQlv1dD4^C!YJh z>%a!-ZY_^xr>q|L@i=Ens^-QD2PQN)OFUpuHaNg|Ty(*6t;zfa+`h~|qUP}1xhcOE z6u-n;e`0w!%Vo0`hCAEuZN0r_(UYQW?VF;)o`%O<yW@H7#Q$kY4cu9q`i`V%S61c! zirZbRb9~#QZ{6#|-vyTIFJaPp;-t5vX;SUZ_mS3@XPsGmd!FLfW3vq|O71$YG4Yx% zTiU<)>le%%_o{ALdLg(vZ*t7qzrSCX1)uR<&thS5mv`NSI+m7u>@%B0w%l<@wT)>` zI+eNWW84Qe{U6MBA0)Tr=TzT*vSmfbsu1tc=vCfJ>KKFHYkBben4eJeE^bEF@^7<@ z_m*~Foqao5TD)bkY{9Y@-g*Dup5rx3Kjr-Aj{lr(vpnCPGTzC`;3DPPeTH|6VPDCO zsT}(!+HHAuLPe|F>S(&RS)`IuHhZ=kKlA3BFJ{!)KGD8zc>ZB}7W+4Lm5aK`-O=+? zPaBC(sR&q?wegbXp4jmH)*azzG*49|PkSq@`?coJntMAmP2)f3Kkb*}(OkSIdPBp3 z@7%p_LyL}NN<QLAuWjLfn)gLTFs5d;UQE`UM%U(rA1`hX@?FP~B4qyVm)_B)+Fx#) zA`ZX05%E9e-c0G>+VJOb|6ZIlXFhFt)mnA(vv0L`a<(sj8TM;Vd9(tzS7LZV(u^C9 zQpQv5Re61Onm(70SiPsgH8oZDf8o6+C!9qj;x|t@d8@spbbh@ohw2Ln#;DpIaxYh| zTK>j;-WtOVbAtB<Z@l#9&(3>Ko>jf8xqbXa_Nz17LML#it#p)U`jZoMetN>2*PE^~ zoIT+!(fCel?TMI0S^v5Hu4gNEMA&x)YAVh5{Mfr_y7M`ejZd}z)J#Z|Ug~d@rSNlC zb=s`pXN&WW_}})u9h~-gvS>lJ7q4}q=c9uxp=TlzN+s3AWN#$#TrJjq@JiuWpv8p7 zv;~HXW>2iy5M}r2ZJcA_Tnk}+<?favNB;eoX(~O<^3-mT=vn9NS4+)0V3gj;y{=K{ z{V$o%GY!{<x(iyqEaE<M?ffI<-qHqvsmkWv$~CHSB99-NzR0Upo5AH%-aD23$5|JC zlOH?H+m~OsVASi)b|@t);D7SHz09UN{oQ14C1)Ku!29a^c7|5Ji}t_H88HZozRsI7 zwOVa;;TF~j$IK_oOy88XW9{rC$9=VI&pcmuYSA6%Cm!ZI(lg#?FF$qb*E;_xUn~vJ zl{`F@%l@o?s$|FCACX(j4KL37QG2u9ez{dwZbk9^ynDA3zlfGTdAn_!^^qx;7KDCL z%g&5l@__4%La6wXuYydX^P5g@|73S*JJZ6$dS-Sm|BEs<m0xPx$IbIyb`O8N-MO!^ z{k#7>R?gSadG1wz)o0f2*E=`o%59E+X?(wIqKe)}<sGii4q80aF#4WwQ$xH}S4P#u zHpS*irCF2%XTG{=NLtOJYnOTzb~-gazkf+HyRcbp>zX+l!si+no=M;p;_oXh7mPOH zw3)-Fykg_D(9QSct$(+A+gj(ZGpm{O_IgeE&&TY}UWWI@ViX>HJ+#{QdBOQz!RxKJ zZ@jbCEQqhg|M%WW6QW~PbXII;`59#(x!}h8MVI`G^ge1U^?Mch^X<9meep%nlTvN3 zt2z$_uW)Sqve`slOgnN_;VHc{_Mcg1+^Vmazb#kz?DVVfIqbHvC*`}Qo;V--PrBvD zc8Nuzg40=hubfRk`}>LlD|_Ycc=h*h4ZqE*`&7GqulT~EuP@(4P2IVz&g$V3J?~<# zaEav`T0WNDUgO$vxogLdixaeY-Gw(fojBlH7b@Y(*q~(g{^rUzA0u~M^|Y6o8CS9) zVCmbk>OD)(y<q+E$~S0lmVfM|)osD&WeQcF=ohxh1!{{dJ-?{e?627CVC{JktLDa? zU8%Xu+q||n_*0La=i1s=x!av2?(cYdE?hA&_nC)(%Q2C%JzP^*4<+pEv7P9$w=zdq z(CW^sM%5E%1@ijhwpcLd>l;m4w}N};{(C+S8~J-ongciH{%7ORt($kS+i9ZIj~~sN zwPpfS<|b^6lTMqWt-snpF}THN#=YwPnvNghpSt}Yy%zc!>}Hn1`>Lige}hf<znJ@1 zbzjaeef=sl;;H%dKYgXGksLKAuQ~N+mrcld(^bjlcrz&MTDsB_qx8yMi=Iu^l~a76 zdiKKfY4)o6g4I96_SZa_EHAxVQZPGd>Ux0(`tAwalTJK6RUR_wqU)4-$7O_U-tPUr zGv)S~J8LgYGQ6MjXX3U*K9Rm>ad!;9uP;(we`NZ0HqYXxzF*@mPTC*2e|_A<P`l0N z^p(SB^d6Z0M)%7OH};dq!e*umOwmnDtyOcHsTy`IeQHcg{5hk9z2AL*HomaEsz3k4 zYUfh#UX2S<Q(|9gnFM$<vxqQ&fQR#%sN)UO=dCbeU;tr022O^=(v-~nfTH|ly^5ri z<j@dS2Ih__iWwSP_5Uu|kj=>ObPD6E8<y#z0vTbh{0#du*eXMLF1(Wxk1J^1{qDq( z#{LslhabhB^tEtJyun>581`n7@|`U@n+-11*4~Z}buGUwsMG!9o4F@jAj{&pY21}g zvDaOFmk4$;Hr6{a6|wzfO<|jN-q|UqhGoCrI_IemSIGZn6FPNUb<!@Wiv=M)y3JP} zP2J_Iw6kLNsx>txDW4qf=E&<=trL2@wW8{1^dj#hiK_btKfCP)Z=z+)-?hEfPt)W0 ztCXCjIdc|T8;W~Pv)K^kxyVrJZOhJ!M@w|)s+l@oQt7|iIQI-^z2M{4g-5xYpWPFR zEi!bRUzW}JYm2+;i_3gUYL4^2@p@cX&Z}b6WcOA+>c!=6i7d6ZY`Yft|8C>>m3o`U zalV<iNR!>$P_bWILX!l(WG-)5;2$kgzrf%6OQT(`{x^sDWzQS!as%!(+P#&MeKFlv z`I*Cfvwi{Y+FQmJ7nbi;-qUE8E1k2zKiWNlx%QTk$%W;y&K0e8xl(H`ET5~q#%aD; zpX7__y<Dja{C~Uge91h|z+8K4&whvb+juXs{@RlLMeN08yH=eG%YBnse{D$(XtaAP zQN{Ho^Snc2-AzOF3(Iv6v;0auJjG#t8IRD5>3@~_9OiH9=J;~@-ywk)m)jVb{%(r? z#r`F8bA`kHH&gjuOwavfu^@h1*Y_0{malE~xXzoQ5Su#v{ON{u8%qV&YE8G}XP))6 z=5ExM>F0MhY};r(vv2m1J$bqjyga#~H=lmiS&*G$%aYewcGI>XI72F0+@XG+$gfo9 z&gc-|{pY)#=5JGL5R%<od*PBOm+j$et_y17Sht-1m$)RfF~GF-W2MLilWl5FPd}?I zNZvN%+)J&+uVyXE;`&@Ebip`B#9)omg2=KRO$Wq7{4=J#4dwsfbc*xrrs`9Su>!L% z=tzr5A84Jxd3IB_6Wfa!y_;H<c7Cb!&Y0%=xI5+Yb6tnyIU>3uCzqTLc3Ph^MQ6um znb|e-m`YARdw8yB&!<Yx3&v)rMDsjD7Pc{H9htC!sgmLDroe3lXU|PL`CQUr`x{A# zSF`4>oqFDysbi|(uGIT$xIQ$m;<&phH-zPbqH9au%{5m9Y&)D@Oxr8ee8G6zGes7s z?Kz=8n&)i{JoMoD{aH2h_;;l)kJ~QB`}K8xxZQeo+vM4c6hx*lCtZBE$t^1M>F0_I zhSsSJw^H*184`v5z7=P@pcmcl@rUo%Z4LKZsk=Y8?e(bt-Qux3XY!pj`(r0<J*`%| zaap0u?i~JWde+O%Cs-R!?|y&PAm;3*Mg~XYp9Qm)ng+S-e<SDk=17cO?Z=J3Qav<0 zHouWJn4ogz$?sXIR}E*s;FvEXJon&*s7&c%pUcZ%&6vAtZ=UXCevkD_7#vC!CLQMI zvvaPh$XmWv?Z}d|DH~;FBlym(R*Aipx;w#1blWinbB3P}7a#BC&0gx&x$u1gFK_=$ zS+f@tRyMZD+)AAvu^^@N7WcPH@_cVM)tV@#eOhR8+Ai{tO1#>A-m{x(GX#x)rd`na z&C+?vb)S#jy2zX>78fTdwn-WqB_!?HQDYDl5$2<%8NK_B^rjap+`VU<zH*=Y?WSrO z!PRs1<{O4h+VD41MBM7wzr>a^s*~TocR7B;)ojAYA6`8CmW%fF96cjGzq9V<+@9m1 zUbj*gCpaE^JZnk(woLBH=P&ErPGObopM6ANt!7tnp~dCvFK6t1%(0%+>qtPSkm@3h zu0)NtM2^-;s!9CTA^{qlfBCe#{s?Jzxyb+0-6s&V@u;}dhW9VLZA5hWTIxDQT^I4M zym5#-@x8ZA#k~w`L-AAFOTFh8>%U@{EV@Iy_+G}^>wagJ?q8dDr(%J6^SPbk8Yetf z*WE5&#Oz?c#Q5aKHFwv%?6(ip`usWVT!MM=pF?i*%jL}Pr$*0X;cTC2E^jw$;s^5+ zsez``LS;pC3iO{{{<Zt#0l#JX8y9J~UoVa2+kd!gQSkSN6O@?`?h`KFd*hyPwFlpc z4{52Df6^_dE_@#!Dfjcli}P7eZl+$Yn07b(>+BBgBd6+J)4v}t^!xkJGs|F8+ANDa zJH6868ybD}0Rem*XOB7?bL}@glYTQb+bU~GXnvYxUFgiy|6d$Sz4-OC<vZcky-$7r zT>tU(Z(3?4FR$;J$tzY1o|RgzFTl0PdV}kViD7FSZ%Ua?t2~o;YTeGCpMQM3v&qrs z!Omx?*JDnle}DY%%(9OsD?i-ISUoZQ`{SZl-q*J5w4B~mw{D{SJK0r^MW3V1JX{ZD zu9xJ|P2M2>YSqmj7iH>y^d^@4Gdjg%c1kqPXXR5B&fcI)DwEikF|e$@+#&ZxFQ?-A zl#Zu`h0a%Zo+=hQQTtqT!g8Azu}Lp>@u|99FV*u|w0df>af+C<kh#yN&}(NTllGKM zTrZ`ocrUzrr&smu6@`lwoq3GQj?I%5-t1hxH)Hdyw^JOgy=Qu!^O8QzxorEDjzs2U zqswOVHX5?G^-jOJri9V{-_&(dZ@(5w7!@CP?cQ0Iwn5_DX;o$S?$bOv*6SvgO*60v z^O~t?d~y<d*sfDjeZFm<i*}w#Fgm#)NjudbXGz0skN=wIKW@2tN^Vx<=A9{O=T3_< ze|>Z;J8YhDgr;%wmRpvKclt@KZTnnwu%=G^*{Z4AX5X{e!XK%<a>1Ddy@Dq*)ZT7c zdBo!2#N?pOMoG^tT+@~Hw!aMzRM_VF@W_OxecfWkALnk1%G_Kc&)F{2!(L@{uUD;9 ze&y9A>)N)vO!t_z#n(nDX5M3Qx99p_gb!;k`dss(?8Ae+6{lY|mCWrGf4s3GPDD<- z?VWVx^_eLp^SgETYusL%KDD{|mVWWfr+VCnSHAkUa8da)y*{Py-llosUEAls*e+9- zKK-eD$NKs*d%o|h9`QF7&a(I}_pv|uZphP}*V`|j&~e{tCV4FX!kaq1|1q<L{@yvY z|4+N0pYnQr>G~Pcf%n6n7W0)Q%9!R&f4^Yul!NDrpQQ49tGZ~B)z-U8(lYW~!sEzm z6*nI(f2;O*>!y-}MFk0_@{^xEGK)CpdH8T`y4dk;F(*>*{t({l6q8r%WIykx?gi`5 zi?7xGT=P%K!E)yLHxKlFKaI&+pJSl3+3^2c?bGtpCqJEXT>ksHqH{+xOYTUNu6T3i z*gEN&XDj}?yjd-`HFs8Yq*B@4jg==J+%Zq<ymS8X^#4DEYkz8-6J5E`_ilKf{h9Q( zXJ6L;nfr6rziI1p--Z61!*|x?gp+2#nPoOFY^$PwtS{pGx!L&krYxx=kH2isI``E0 z_3=Upo@1$&xv@2F$Nomz9TMCh^H0nBOL(2h{{<m^@e=1w+SCc$F0$;k@8R-FRh-^? z^Ug&1-SOu>{@~^EdMd3uo9!e2k?`;5^?ujSDXI)Bel302xJNJIjETFPbnFJ<u;Rig zJ+{ra7yR52@@$RHegpTT8#1p*%&NR_`nvvRm+Vt_|2O`a#dq}m|4;q*73!`qEq$lG z+}=*~sB@OzGe@0r`-{)5`kq;|{*>D6Z0z1@?V2nnbZW0*fYo-(pT}*U7M;2-|9`=P zIcHLTe7JaLTh7H_`<!ar=G|Oi-uBbSYPmN5q=y>v(jGiJmE+6exBA|m5^AqgJSA&$ z+72E2qRWwg4R@bAa-u%tnX_^9k-O99UVXpMNl$xo;i>dzTmBlluie($V`0Ah-K$M* zO>zHPgJO1l`tfwrpKbX9*9%QH>vB)oWZ<Z8-*w;K<g>DQZ@k^-(C^$E*Ip>!IpOrW zonfVseL~x{j-8R&Z`>bmw>tArdsoVj{KlYdPvuwSURIp>`h$@SYYKbi%fMS1X>Zd$ z-ICb7Ga)_KWas&uC#JW$Z|6LH)3Wzmq^5RZ+J;-%Y>!vWetS68ZT3gW`NCq&TaOi+ zJ=>ABv}b?DoU)=#Hi<?NJ@dCn+UKw3PiIrkH@wbsEWIXc%jdGb<-ON4YT9%X4TISp z8=FN(8n;iWIcB!_pr3^0;++<j(up^`ZdgiZZ{2wO*=4QlR6cp5=A#MD7M9XKd*1fk zJtp3N)NNkzp{l48H&5i>NVsEm??LJs(_;zB=TCSSP5HRVtSCCC<?5;8DIYI|J)0Bb zKK-G&Yv$=s(F;OSqb2-)3JS#kx7obo$Kjf?|2bbQ_1cqr?}%JY{vW~kXy^Bi{l@Y2 ze$#GF%?(zXS2X?U>-fk;=50Tx-&7LGzCYv1l=C0Ld%gUOO*XsQ|8uVSTg=zdn?5sk z=bdFaf1ZmR|9|1k{m7%fKi@3dc%lBf{_gnH=y{)hmhL?B>Br+6TS8OId{<62x*<_~ zGeO0D<(sL0o%Fn-`<!m-o8(`<v*zEn-v1Z=e7fozdT&|YpY-WZHD=#sPAv`gOJ2P5 z<B#=uee3JP{{J~<Fg<eLuFMOk18dv$?o6K>9P@{5r;dHs<)52BzFM}&_vX#N+R{(< zX-$tcn|n9>sgZTbycrkP%-8t$sek90+htEoR&hTzcGJ7P$+_Z&<N3-0F%GuXe$x!a z{BzFV5nE?^>EHwx*W#<kH+J~GU-C*_*QihDba{|zpY!VZTRLV)mDb$h<?gi46!c$| zC?Br0`n0vU$hLr4XItl)OTF|jna1TVdtv*r`C@|BFWu+9i+*hNs^Y#>X_?Q}haclF z+aI|up1vqR_cybbHN%4tbD3Q%p}LOC0~YG9x$^e5`3r?sKJ!b@Jr_EQn9GLPx=axW z{5rwTpHomH#MY%}i`InGTCB;;Cl@kV&RKGPb%Wo@Mke*l<xd12KUO)IbNxI^o!_PB zz7O0%f(PdOS+l--|C?FTff|?74jxSJ@c$~g=ds+47Du@oEj!OvY<^iQ<3BA>&$TyA zB+fDV&#XOaM^akXPCPcNHha$5)jRta=fr)zCeiG>BVGM!ZAsFVjJm0&aw0YtXKFo> zJn*fm;pi%hJx|vd+lYL-*1<gc!_Qfj`=@TU>s}I88M{`{>|wOP|HtR{{4cD`Yw+E{ z?os}i&ziwLLHOH8N0vW@2j$IWj?3N8Fgu)Y(!O<e$<*|hTHCFpL$`gsw`x;A!?xqh z+O6$}<{fg@d|#a%b5QNfVyE6Mdf~p$th1Ipol`7*@~GVT_P4APxeopdy`te3dB<vs z)bYi=E>8?3XEr<Sy`j1AeN^h*&Ydxvgtl^WUZ2{beo~HM29w}fO##6dXUpYRUetP{ z)jroH*D1|RZ}%xX#v4ovE^4jSy4~>Xl*j5*wr<tz;x>;&JQh9ZJm>QB_}%**+a`8P zZd{z8ez9)R=1`y5i(j|rOBB7UJ-??jro_7MlGwD!Nt081(wh7H(p00b3N%WkEIr@t zz$-A}>VjK=7eBD@S}#3c-PFeZ=E8(ao=*#u+WlUBo^#?M!(5q1tDbjQ%sQ~~mF3d& z*-Y-tVYck<FPue$HPixl4mgUQ)Jgnq;kqgF`;LuAdfyd#&EI~cm$jrn_?DJ|x_KSX zO0#vZGEOZvk?8uaFsViOrM;`s^F6=Cx4)g;o!6#Rd33t#rc?VKdVSdRrP3>7s-*0H z=T~RMZsuIE*tuC|xfS2Zxlebn_lATs@XB0zuIaG+f(HX%=JE?W_U0L>C>~z@rPA|6 zfUtFBHzT8A$6TFSIi{qJok_+MW<1oYl@l`dnABtGz!Ujv4|B#;$=IIkvTb|vcc!I# zw=t$v+}Em|$Cx7Xuy*y9&;-AfJ)h@f7m8%%i_Va^ds^#_owm4g%%OL|zigCaxCF}N z#Y6jFX+P3_r0j5h`YX?a3QTVPFF$uQ7`pKD7+$SBBBmG>G<W%zN~bL>6JOcrKGaQN zY<e2@%SIuhZpYMZTxU4D<LhrLE!(qdzUuyeWvRa-JS)$$^Cr7qJ%8!>&jq1jRRLc& zgPajob!tiIBmu_y6Gm++o~MfSzD-tsxcSsNn}E%^t0tuKv=(hFJ#|e>KgUY?h}228 zO=goef8260;rB6~v_-1tc086kJ4JfwjCaL19ewgj3==aJpNz3F{5!G4`^HB-w>1;( zCfzf(>Sw=qv_v<lcS~@B-<GqjPHW$YiHJu%b@{jB%G2CeTpNr2tjao7`r*fUN6q<? zL6^_RhOtfGW#9KbXHjU3*t6GXT4Tye<0JkR{#h0CPw)0F%X7|mR!s_<c{Tn?Z=&7i z^*p~_E@{nadvX28@9=5u#rKwdEZ;BSzw^u@55uKm{;PkyC`?`)&UT<VPA+EI$1D|n zuJupbMZ;neZ`~FDUzyguK<jtP>4?ZGSB4~pNiB~~?Di95VTx7n@;J0u_D|*Fw<i`( zJtE?rvNpd<b<?`nR*~wLKL+t=9_v<|wEw~{|8Fu!_)fkMkNSUav0z}}ca`_WpLph( z-|CY|lGc-W_Ur`D#PVuhg`i;O+Ye^O_I+RMa=mnJdCT+W^ed&Y(?7S_FjP3(Y<|7# zUAy|_k6V6UnELms#rIQs-;OU`chZi@sXuDQ+}kpGsgFa-_?7N)mgnBPW-)7fX|{0? zOY7l91-}A(PjD;NZd)*Gdwk8Lma7@1ic8Kvdn=yJYi+T4<F`d~&1d;?WHtq6-(Upw zSfjWYLfC}`4cgy+Vt#a?w(8Vrf&0RrI<{X~xoK^dj-uH!F5Wr0;*t`tt!<yW9zT3Y zMo|50&{XY7Pd8<*JT%qtsp^T$#V3;<^V%)f)NVYIw0(N#%l3XbbF(#5wN%^Ytts79 zwux!ko-1Fbh)YS!t(ke1Zz`{*>>{6t=H&~YTcn%MT77MEY{8w1t68aQpQo^ja?1%% z`|!A3C1YWWN{5F<lbD+ZlVCz#o5QrAmI<x`E((7<8bzM-yU2fWcyf@>MfQn9O!}XM z#%Ado3)mES>oOYI;sv7uT`ia;TWp>%2s2B}aQnj@qwsIZstZhFEe>6ACE5Xvyx03x z^&*xWXyay8XD~X#E@9xvSs=hDB-G<DCp%8Sk;6$bVgf6N-2(65N7}eOCaS-9(8yOZ zPyKT;yUw%&j5B7-zZT0VsxUO%)qmu1;6m@;N0blc?uhcZ`#dSXpFQ@Kga5OZ{T(X> zStH#-#nw4K<V=kJx;XTEX6_}<B#ZWR;Vqgjj)opJtT%PlX6%n)df_;KbLo@?zOh%s zMZRcR*Nb<qnyfL0S6THTn~IDhxBns~i`_+MIb0d`zRp)vS`uNK&av{{(S6D+Q;#pq zywKknG&iO^K+KV&`UjKKjl<XZ6NKezA2`eZ+mXzlu=$B2|IxU23}z1l*b`PT9hNs| z-@w@&apOjXIA4Nr+1-YtNk8Syw=mvWb<k_8R3^jh53jkV>aT6^{SoSVYW1J~?rFvi zz80#?fnU~298kN{<S6k=zPyGp=g?B_J>OHf6NL357tAXC|9FyigYS=}Oc$E}O=Y^# z5~;D_rTx1KCjVIts-JbjIYV@XR@@2A?`1H1IF%!4Ri7}^jI=|B0!ylHetu>D?i_o< z<(5(#g{q>MPD|Ma-->o-+c);>_(V5w<{$VjZ@!GNBK6Qlo-Z4}%bT|`-Y|LSx66V5 zq~II-cYUl0ms^Ub-DuE}{&4*0%tf;cyttx!S^w==rIB!9_6DDmTz?94e`&F`YAu}= z>TuPm@<br(za4fRZv`D0Z<r)XW-`r{IVdmQ`*nk@f{MZ+K>_D!8qE$XqFOhrADR;1 zXs>5g&2Yvjai*{~|Gym>XBandHv8_l`__uL!FR?{7cW;wgWPfnhS>($oZDC5c^Acy zbI8hTg<N}+Ta;*Mp`#_&1+|;ptyVWRmat5iq@egf{HuhJVpiK+8Ed}Xy%YEZx~){0 z&%D-tCv&kn)8)O&N`_ApufCtqHKBh^Tsy<cdrD4Uo(d$&w|-csuuI+3VNb&w1^XL6 zrtz=Ypz?Kt*UJeN0YB#$EIA}&&{6xGJMsF*CjX};4?AWYX6@h1dgoSr)Pc2%eqs+q z#9Th7{oZlNe9@(iH*L2S1X_k3o-6meU38DcYNznG0g9|#$@brPg9So^IQB}i>N_nw zKkq{DMupu^S!Ty&GkL2fA3CM)D0*(ngjZ=oE}=Y}iS|wWTVH4@X9VwArzv&pb=Q&8 zc{h>;4@r3nC2}}%TvW>TQc1fQzSop(;fYy73qMakF354q<n&IZ*C+M|SZ&uo&tG$a zS?-O)pHKaz0#!n9Yxg`ou-W0$5hfLXnGR#Y*wlH_9TuXosnQifv5kwn)_sa(4s;FQ z+0K?dXVsi7u?v0YioQHGd0lAE0xr2r&vO@W@qP%I$T&}H%gWHyX&c(FtTI<KI3#!J zd7{JeGcWWK8s#qeHCoPW<T+Fzb}i|_Ue0E_=7|~ezuw|bFq_50>bOZh(pUUOjDDnR zWQ1MOHU|#wumw$iixe%+z3i&0oYpkKCAc=EbSFEjiq3NuH4Vp@EwhfgnhIrH)_G&5 z{6ptX@I05?1BGdqBO}&z9+<p>OD27%Qdr#I4YrC-2_oHhj~ffDG4xnhXl}p2SO3hj zps&-9GvB)6d8PSr)-}ed>VaYw>rDBUgw1u?J=19A3l*-W<Xq-kD%V?d{1@%(f4J(t ziNfX`Qtv%YSo`dzGUiT-kMRw!6k`3I@oB?ec>{&(4UejvH%*RlFuAm9#RciZc48OQ zF1uYj;5XT2VbHeBlOjP6x)QGVHs=LqOkQ*RN#3s@CRbLcX#QG_2fp{!FI1}-oZt7` zCBZCRj^oVA$TyFFxa{Ei&HU%g_Bk(-{J&mgtTXM3_RYA=^DWY}>)^f147owQPLVf4 z7td(#oHG5fH1nDM=IIrYpHsu<Xx;nFw1u-hxKy>_!p+o+3xEFBaG1SM`v1b6J`O@0 zFOuAQpPg%VS=+v5=1RNgaaT0lo?D!~`K6F0soGh_VfMLO6~+ey-EJJPVim|eaq+CC zQc7jNbHjwEd%HOJCn?V{Zec%Z_{L&qtO`rctPOqwx2C*$v1YPzmatRX%856M8!jx9 zd8c$-(f-E*U)zky9P7e#<+gaThK6R#G^|>c#S{>Fb*9VLxYXWchLHERt4);}eZ#^- ztyipBx9Zr(6R9CCEVH%4&dqIIHT68t?3Hpi=XS<z`PkXm)uNtt>&plB6(_o$d+>&? ztxAxYy}gyK_v{Ljj{C=ieNTuuIVnr<Itef|OENGVF7)7iykUyDNCUG)n2na6o`!;f zm4zI~5ymDD5e}B71Oo{U2ZcU&&sm*}UskZIzvC!hFI_Y<FJH#w-FtO~+p?uo|9iLB z*G&(+F>z%UL)CRZi@ba1r%n^f-P04QxAW-Z(ApPX4_{AB^SLS*zVT|;Qqf2I-BK1r zB|O{awm9nYmpEgS{dZ<^YW?xl{Hk$u@j3<JqZ>Tmp4!&TK3C%KvZOQF-{tyme)sv8 z=^|FLm!J0<M@aIaRr_}@u-nFQMfAjx+#d54O6(uy&x_4<(x0cf@Ug{~!<Sj+Ueu6& zJo~=(Ct;DWsme~O(f`aZPHFC*ufF_X<#U!hp4)|YcP1XFw~+Jk|1P^U#cJ2<l^0LC zMc0Q{w`}1Tip$#Eai`{rX5-J!U_WE#RhxW@txg>}G`A%6SVdg<L9vV9PcXi|sb9EU z`1%XYyLaj?+AVIL^2qzpJ)@FMVaZa-zxrPtm{HBL?M8b@2OqnR#nBeEYdRuZT^res zd+&a|HcM&S#$e?`M-G2`+-{LSfzc?kVf~hrhjAB@{Wq-)3(h;cQGH|k@oRSd#)2<i zJvbe*&SOQa>ofNxM%GWqgD*3_;I`u5yl=TvT25lt7o}xx^Vx*2uDlf@qG!bv9C~$n zmqwVSb>C*jC6XHj%^aQ=mD`^-ZSm{WpJrBO8NXz%R;JUp+Nohi;(KM|D<7*d$)?q) z>QxE76S(qw!KS*Pqw^#WnWiWlbidS8@xHI-xwdc9j?3R>GFGq_f8TcaRLX1DSLI#G zx4Rz%L{0QejC?ApTVme%dX-QY`{AV#-_t5Pd~TVWemFn>htd6#<vI-|D{uYb>R{H` z!sYMbomFy!J2hxu{aNNO66acM#Prw9?3G-vaCK4kHJ&orfI9}S@_LeL?ktX4`*z;J z!ecfH+np?mBzf+xpP2RP`3atcPpwCdH6A1_*|4Rr%k^@>=^IPK7lk-KotJZX*36#@ z+&dGEmz7^U`ZX(Dd3yD(1;0-;G&Z{O1}W?cIV1EfV2OW7;)jy#pG;i|uUz))M;>l` z*6w*Az|$uwc_!1ItP<tBB3EYgJnZhgB-)|jyU{m2P`SA5{m$E;OB0qwH7v48`Mje@ zkMkwpmnmK9jGux|eX8`dR;kp{oqB0G4`=Bvy)&ykG`BYF31U;wKXZETBggykEcxqJ zsbq>tJ8qRydeqj?s%pG~Q}@TO{D_ZA{x){mkKUyPcK_~sYq^KHl5L}}lHZS0Q_hAg zIk;};%ZxC-bE`d{T=X@*@Z`|(Ik&m{XZX+hJ>8T4TLkwZD>s2(zv7mB_P#W2vvs+u zm)L~x)Ww}=8cPl8_8ZUi<!^6Sw7j4kUXnPWQ72K#@!HPPN5A~I8*GA0j7~R7iSKbf zu}#9F+SxDdI>X_>k3YPUj@d*9U3|F3E8cFg$I2z8a+c@jueDTPW^QVA#d~c&hhT%G z&Z^&^8nw$3PkHY@yR7ip!P_AR_HhUr^*H|EzPn)B+r(EAQYKAz-e`K<<P%YKU9fUi zrIW(d3a@3a9Fp&O_Z$=3QTJ!t9oDGX@obmoCV!gek;eY*W<}{qJ|3fGx#5ikw!PP4 zC!MRZ*?jS1@Tv>0-;-ueT&cV_pC=~&M4BK+-+_4E2!`CG0QO$nNk80MdA@gT<WUa$ zqrGqK>wo9pOjg(*az8~+f6saCSUH(YeZ32I%o*o*r@wQoKUl<RawX1f_kQ<|XZ&k5 zR;Ek}3f6EEdEvFrO~qQ6<MGAyo#juSGIj`DSoo?c<xtZu!GP`7k%yE*!=+W;XusKz zs(0@+yQ7ACw%Rm{gR33boGwMXEc@)!`@T$W##DKiU)D17j~se#D*yNDK4Z6^({;1| zXhm&b#g=~Wnpafd)fvUsyLhtWPMp=yIex}U{==4zxhLmJ{ogz7i*zp6izLrk5xa8C zq&2qhXLpx;b^60Aq1oQ+Jl0>lG^JnHA;LL$ZpAbE>bleA{;E!Yo4%&W<jxN{py2Cf zS>&#;^uYC5WiiE<M3~p!fBi$?yw^Xs+01o2_hgDFr`>fw6y9@R!?;#s%R8%uH(ITy zN)}CCzQXL`ovYlb)8487;orPzabsu9qnxq@Vg+rR8Rv7%Qr0VA*`l#FIXjVQ;rtT& zuN!LrtXesN&AmwZ;%yhz8442vESAjfJNM4lIF0|s{p&2&Q7+CAp8b*PduNLNt`If( zC-K~6dD6DECzZvHh1oD4QmERhnD$%HK6Tc<osQcUDd@bO5bhH+xxi(M|J?YiWr8!N zOcIJb`i6`9>H19@mq;zuOqs@0l*4*NZfWAizFjY3OAl&Hz4EAQ&hBT*f4C|yY|;Gm z@xq^&U2{)=Jr#CbqWZJrU-`pVYOW@&Pmy|kv44WW27jTIOr3ikw5>38F1^}zM!s@e zs<hel8M528*1x)(a5(YsvCAiHqUUXEy)eCdQnIRIQIA&l1NUDhwYyp+yiOVVTRq-T zk+_p**X<PX$m<T@KQ>gZU+7(A_AcY`sVQZikI(Ee`DYw*D%Ppj+D3u7c5SoRYS*;2 z=GSfoEzpwaskr!e#blk!J&tG2t=R4%c<kZY#>OvOR$l)7^XC4O#f#q^iDB_l-&!#9 zf|Elj`&!lCxBnIYb=WS$wMejU>LQ<j4M`&2`=2lP8FA)yVRTJ;X63(Gm46ub$?|V~ zpw;3L+0w?`9K<ZT^Jm?SVpB2m%WQ&@5&{~_79aLfcQ=_lqiMk|>(WPd%N@Dj&(QOD z?v!XyqbPeK=wL#xZ1suh8Q-7i-FB9mTlJ3dw(O06@lh_{{nM_^fAD0F_Y6(*7w6_E zZaOD0qfXLTNqGIOhZ~>y9SJjzR=j@1vobo%`sq*Kjas$=TUIGG^E*yl@rrA0)2)fD z56^r#8fLzDQ@8Y2d$(|d^W0q=IxZ4-I{$k3I4zo1yuRD1oq5ht?q(tRBJ&-}#xCci zE6Y1S@v}*V{J$!by3px$r_N12??V$78Ew4IE?8m`X1lBT)%V?BdbB4m@R>C6JoA|> zMP2vkH<y2g9qRLGI^te1<;RSQ&h~=yeD`O%8lGPC>&55UB8_hvBFjnz3syhU`Cxjk zZ~N18r#B>Qxf^`6^yk`Vj%iQ&s!c0`^(O3`s(m$M-=w&sayFYLt~hmRgU^HQJ|6Lg z_vQcDNB(-co2lrIuc7+mFolI2`HPo`6waK+;c1nZrFZK@gkjj5{Dv#8#x*|<G)OcT zv;{txb;ZI;>|od8eKT#JTTGiOG(+ghvr7>+a~@r5Grw?5*)e%ttHQYziEk{9*!6^E zo$oX_;m2uo_3*D%KW(L0BM<0iyo@VPz9K52(J?7YtoqykxCb2ZFV=k1?%we7r0cvN z9ihLs3Q7uIHki(?zvbtn#KRqHxcz-ns&<GzlK%VEo4HZZoU5&nEoXABGvD@p<I;T} zXKs7kxhF);?@cH3TGyHLPwb43cDFt-LE!JQWX5w^8bR!Q`QOhc-`VSE(!sj_$tET1 zeS&V^Y!bx`RwuU!YXu0|hK7D(Eb38x<ox4ldqdFA#fkjVs}j<rHyZGWf88Eg;8ew7 z6tXoXzlw#a_tEz}mWR{IoqxJ3WL&7&s_YhatnUOL$J@<otb@yHwoG(vcyTR*e__=c zXGNXKT<!`V6ieNbSTy29LY@S89Xi70{ri^n!vo&T+<RB{UHYf7CaSiZr7NQ~sW#s? z^@EI0X7YZe?Kc<Lzh3(<W@-BIN$KBmn|{@O$i48dBWCe2)xdLs4iB&FF}uI)1E)vD zi|T~woSQZ;+Pk*8_UsK7`)$u<MI25V{B_V4Z77YCT6_CeP>jiKDFyijotxHVI)3=M zcpAf!%(mdTyPhATJ>Q-G5w=D=pt#8QYN%j=&}6CE!HMS9CUX1lp38LPlI>w%+2&L3 zYUz-$;>VFbw%P3t-yTmrIh!l=xhMC_RiTnywHKzA>@nb|(b%Scc*z9*YJt?Qdj<EZ z8<uE)XP*17I_5}3p79~w#QI{-X+}$DCNC1w>nz^7eY@4M6<@bLyY)Bhs<_JOSCvcm zbnNNTO<gxv{r;vMcYe)C_c>`9(xTx0NQ8aO&EOvi3nQ0?eiU8RqPxt0e$-QjN^32H z^Gp|*Cgh!&@?(vnvdZE`rnfxL3;Avq&rjMC)belkpY+-DeK$ro3eT^X>}&e{xi0cl zv~$r8^MfUarWyoCtYh(Bd9LDHMfih#*T2{<V#+uFrF+%NH1oivS9SG=@;txu*){D- zyyJg+NqA-0-ftdzmF}FDo#Q+$ZI_SYys&7cm7n%~?9H3TvX;%K+9+U}^2Vx)t$QR+ zo1f`X^|PJ3@x!y_C!?zt*={}9`ROuq$f|Iy74^Z&+^Y2f?kd|??s8Ff<PrOp>iM;} zR$;=;Z<{ihKF^u1`mgT9!F{Pxu8opsJ>;ir&QbJ#VlYKV`_7cldo*+e_Rjgl_3D)U znaB58)!P3AY51C-5APGQPFk=iTI<;2N?+}{a%;9E3+T=@Vit9>aN#~EcRn&9;`(&q z&2y%#IPqj(??$d94WTRZ1^><pn{}vIpXIygoU3d1bf`H6{Q5NElE|jB$@vv+S>J=7 ztg&_6sCY2=<t4Enet&zLH@@W$_-?HmBatv;x@Fi!<;~Ap=1iaecy6=d$&9p_LQ8}r z=O$}4e@-xG+%!Asm-@q|(-WWhm8i`;Y~dXi`AB%0Q0`7AGvmHYdnMMHQTe`JzJmA8 zNPhood~^ZRx2n{+Ha7j6o^(B*@X*V0T2SnC{@LFI1k1JNd1^=p-8=4_^m*p2_PINz z{ofsC{>x>nNy(I?&u&tN+bqgv_ZB}DShqjw=l6J>BW<&S7RrR*UF4@4C?}I+b!Re* z!&T?7#-wi#Hiyj4lsY?4c1u9Z()*Ggtjrops}|WE;dME)(J@D#soQO9q}`FDc~27; zW*qczn!37G(#(6!QNAzU6{Z)L*tVG*Ye?(}TXBBv`QEQug~6B3cymdlnU-`i+a_-i z<aDYyvuDYHl#t~<wpR@Gj!!tHu_5`a+Tx=<Cg)_OpB(zlkTu<e`OQ|&Rmx@-;+uA# zk6!$$dzxO_^r@jnLVe$vbJzdd(rUG(eE!=dzT520XM8z&`=-qz#bZ(NPeL~=ZZKn$ zZLR#k5?Q$0PvjEc`&}FLZ9MiAnsrtlpRe#ZZSTQz9Z`>qW!&QKz0!ERy5;$<-R@I+ zuYUc|wp_MNMD``)ho2Fbw0_^poUyB6^`TX%0TbINus!l|F5N4ktRON!?Y!FJ1sh@( zPq-0xL-OjD<5Oo_Zc7NAm-qaxT-dwbCrqOIvXgD(oTI*`6&5byQ(&Alr%7m;h?IMr zz1i`~oSrYQde?rk`N|Ze_%<ek<HtF{LWMn5&M{Fxx>;RJOFuAgds8WU;q{*UT#+Kn zu2WJyig|K^TV!t))o1mcC>8qRca(LiU4~=wqD2n`7v7JSsy{sQ$2o!NC2uFbR=;@l z?1|Dm!;?Gg{+#YV`hqJ-d`b;NWOp6Q?2DrDZQVDFxfd-xI)CarH=V^M&rTe;&gs9U z<w|49OpW#C=^eful7(hd#9w9|R>^!K6?XTZg1Io0p2;2+^9`*@Ty5&>E>Ea>tm?YI zXvvb~clV|SIef|UZF0@2eBRxnrYh0DJzL;d|Kz`CXP4K?yk6H|$-m`Z=3RFY(S6>h zFS(}A=zO%j^wY7hjJ%0Sx4#@I^A@SKwtf(}Ze|nrN-dq(PnI9#KRtV6@;mZHo28OA zAK$S>@3(zVR(Y47^Z3$+NoAe)Z4BMD^FFCH-x53*`Gi^SYs;KBl|Myau{l*w@v3U; znk`<NC*}A?Dpmf|p+$mw4yo<)b=&^wy^HAUv>N#sw=*vmxZh>>T-u&Ga~|)KN9iX8 zlDTL0@-Gz)VvXB)(KA->LczkDv-cOUT%SI5>FQ&?6_>bQ-(B+H$vfM1Ru2~Dw!aWu z_O>r4V2WvI*v3<uzLvYA#JzehI;YuwJ#ka-X}Io<n|)>5H(mezL7V4dN7bH$;|d?w z1Z`!QxBaQp&uxi&rc7f$8z<ZJd3t(wm{HQY2dh`@p5K}K>CO9}bD#XEaes91X=Klo z&68#p&y6^HM5##aJJV~)3q2>}H+=h$-S5rSb4hVpd+OoHgfo1P4>iu_>|$HLP*Wvh z=9&XDWRJBnn+uEZ-ZSvgKeJr3^;YNhf9KV=raXF9_hv`;TR|Ni%RI|R7Rzs(<J)d~ z?!&Sl_hi#{Pwb1|^6j_pJNt}<3nU&iyb-XwzefLY&hn=s+hUC^`sb(hxT{{A7`|?s zr0E(*)ziCJly`5hmfR*@zAv>b|F>WAVsU@l()E0foF+E+6{gSoI?G?3LtkS`HjA`G z*s+wjP1o$pW*uN&_Vb=>TH%pGzs+-F<FeU#b$4~`ROL1(V{|^}+WA5}rI%+;!CQqT zE$1GH|5^XUVY2sLHbt$S%X^o)3q84|F!^po<FTf^g?WK`7T-HP45F4Y3!LiBVZC+! zk??zN%kvs-I!|_r`$<;&y~`HWc^vYz#=vN5vc@^L+`67i+g9DE3KXjE+B$!|7sq<- z3pb;<udmZH`t;I&<1c5e*_$pmcziqj=}y+^j$7Bh2zyU|tG7ZZuW5RsL3*T(<}$Xh z+fUdmT#B0dCKTC5$;f;^xhP5b=7Z+{jBAc_UfYmZ{qU0O{l-mK`gS;e3t{-CxA)vq zJBRQ8ZP+xoNqxP2`|8KT86N&>jkAAO+vZi>cx=^@b9>zk3(1KLx2N+=%RG_ek}rI& zqLeE%Nwe>VqRq9NzKpB=7q5FfYb&$0iJ#lKpzobMIeXn-^j>;-`h>x2uh)#6r~1q8 zKQh+g%6HuTZPSXa)B8E%n>TnEevuMd<NRv&hc6+qmCbkR=Uo+W2w=X_vP`_qOgwSb z(WVp2+E?t9UC`&X)nL-j<1R731&(=sx^h!b+R*)_PV`0IsJ)*gqVvR?f*q8%7%VFm zc6fJ3nETaz?&IxFAKmi`IhxncXP8pupnQ17!dndM&MR%1o9?WUu~pbtVMTT=PrBd2 zwxvytF*VI`t{GFxMVTEKX5TDTpZqX;Mf;H{l9z6mG@E{&d6!}1gKhCYBQLh@z9Fn$ z%6TDk$}tC*yR{tcPgb0HUl^M5b6LQ<JFh-+OYah%%qac$&hnLP-<O?LkT3nTJ^E_> zA?JX@mnTk9ymYD7K3~5lEB|1Os-UMJgF(^b*H+yNTh1sw5|R6!cCm3<)}&4TYC2L& z|Lpx0(9@lwsmZZm_nQeP6J#tk?h0BI&oz)<SjPCEa_4LL@GAeEk2yuQhwWE(KeOY> zU!PY7m1`pFSS5aQOk2-f+GpUFwz+ZEY=zP@-SX4jo?m#SHTzrt4c}`&Z_f^D(YRl` zpv`l=`vi~UsdLZ$clP?T>Q&b~&u0>~yl2-<Udz7VV<*?Ppg)tBwYqa^%{uYF{p!+6 zA*DzQ!EaSMQ?0p{&1K5&JYc&b#5Lpa$&HWdyz@?XH-F2rINbLnXyqDv*~i*v4t5%u z>(oYGRDLm2b6W;`6tiW$f%W8;vps7X9-ePHv02{0Ng<f$6<cdzM2gOjD@?})b)P>I zSlj&R?2%_Tm$;ek;o6a4bliK%m#uEIqt021@@`Lg6r1yIw_jgGPJpw2i@Zn}t5?K# zr)dWsNpCrve#BgsF^?<E?2FfDwTjnD-LhYfPGL-4sP@=twP9rCXRnlFTc>4S5C~@W za#Z{#mfNXrbN_#lp1I^&k*QrjE`@r=<iwQE=>8xQXP)JHDEV!4aK+P&ydkMO%?p!u z+vv|r@$>QadUi%Ra;xw8HM5O2uU9qUIlPF!R@+WIFm|E@hsm9dj^cY~{1X@1Q^DD@ zLskCEgN|n$hYP1Ky=k!esPT^<8X1cMVh*NVUG99Xabuy2+|ekb3xXDNq+cDH5!CbT zXs*w}<k>&2@K4KI+9kdJqbG~#dFc%vt5X-eTl~e&WYWpshZd-v@18hohq(K#o=fF- z+r*{jbp2+IyqFw$YT=gVS+gE22>UEjc&*KRxzm}SiJv1U9NHE>Q|657#P*EvXN#U@ zPGl3(YAH_MWjrBWmoxj1z_0#?<zfxH#rbz%sBIA``O>vRuai^nPT40b{-v23AHEfw zu|2h@->Knx`{_49I$JVWUQ~sspJLl*6I0y2DMMM{!QY1oC7Il|LX11^^9f&b)R9`g z=+9whqnxE$fqTx{Oj)w9;rC&~uNvIGEL=NmWtIzWoFY`4cil@T<ngK#*SxPROxAJt z<=8r9&h)i*e~<TAFm4ddo3M(10%NUnTG;A6o3zqTsBGEQFfUC0AIslZhYRaVcP!lh zSj~BRW%8@6pfs*3pQ)`yIbPC!8NsUxa~A|n+O;%MRY+U<SKIzp5km)k&m4j7zJoKg zOnhZRu1vcVvZK~q{_h*#S!-U&O)1X5#8S>U^Y^NfM8B_d9$k(2Im;`1<DT?~Ee%J7 z`U-YE^PG^f=kYAh<&)NWnHTRDS@hY7KhJDS^%>S?;e7&MlAfI44fo9au6gj3JEy`n zj-MA6S}i;1_T%jTvw`gG-fJiE&J~=J^TOxX$0uxoe~bNM>K1lv3y`>`-{{OTb<G~J zzb6>q?A?EuKY8|&={q+wXesY+wYx1CWq<R0-0#9#o;g2xOLy|GncH<ga@o!`vYM4D zey1YDPlf$j@os+-`z^MUHx6D1|E##DYvp`xFaFFWT3q#i83VkTkv6xy@_z8$l7WE% zg!xf6x1^+1q$Hv2Zc(smWn>6p;^RGYX6-#uHU<U;2?hlQCJsh6j=Q_Jf8~95_y7Oh z-?x3`W#V9DW@t!o*b$e?1GA2U0koG!B6Oj$AOiyfKNutLrAf}oMzZel<J#|eZ*2q3 z*x1-O*;v^aV8$?jjkzAg<;HuOfdPzBTwR1@2zW=%-*1czyb)~k3i`P8bOZ!0lr#6g zt+#p|@W=Lw>Ersax<>x?&ysO+YAzpaVjj;kto$zMs4+YCBm<+PuBx88UQt|DZRgje zSEq->cYPL`Gd(E2>a)-*@8$YCD^Gq|dTF{xeAZ{7+0(D>G5Iy=g?CmQS1sq4r6&5d z`=0y^dhR_(KX>1fpF*>ypW8F#=cJj_4dQpzocy%ZNI!I+%g;+syeH|q?sIvjdSd#q zJu1(Z8tB{Zd-5abv3HNY?!F~If*yHy=*#X)`4RNcyG5UOpUMxR8PoUedGd6ro_=9v z=4tOnea3xXzE8UEU8|p2+4+9y-szk6v^-s^t^acGl<z|Kyu;#FeG-~F{axIwPm}I? zm+E^~cD`Gxq3>9k`A&7`^m%(kzE7Gw{Xtw+^~v0&_ovo`zlzN~@3lw!Wn^Z#>fNcI z*0iKAy)*UQnv`_aJ5%$*H^r_jQ@u4cEqqe!%5z>DwGXayNnd(>>a#UZc1@ZyH7YzQ zHnUXq>eNSTSkjkXnR;(c%dSf=z4F40Vpo=^UYdGq&5^XFrrN#hRCZ08HPtDcDOU5W z*L>~Dbys!@J@=Zeow=?hZK<(#>bjIP)iYD|!Z*dNJmWQ0J8+%J&Ph+aCTdTN<Sbfh zsNET<dB&?>+j8BN9YT-2y0taeP1zyz$g5pja$U#{p@&}0+MMfH(w6FLS4OTp?G+Pt zYg5nzuLkYEYoBZny6+Vg_9!|tf2odkV&uzHURByp*S2h*G<E8#H7==3cTZimCS`lj zU9X_9MbVn4yaK{zMSJEgRo6bc_DPEBj;Z&;ihdt_&tFr2;disG{e!=a^W@+BbNJ3) zS=aEKeW(47&&<~L2mT&>%b!yp@SFLT{F#3a-`Gp*1b#E$kiYQr;A{S8e-3<QFRHun zi}{-Tntu*o*$e9!p0lsCulUS-MSjk|184bt>H~f;Uy|SQlX15Enx6+>@VnG6c*efa zp5d?KEcu#$2R^gUwSVyE;4^-c`i5uh>2(u+G8@|;_;c_nzfOI?Gxn+W3;rB@!mm;< z@RQldzTwZo8S))J9cRef{5$ZGz1KeB&%sChBJ~q~FdvbZ`FG$0d#k;|pMwwhS?U>{ zvB%dvc*-7Ici<^|be+Ht<^%G7{vLSGUTc5h6Z1a#H-8mQ^Kba`;1vItx(VNz_sB>5 zbet+5@blnZ{$+m-ykjr5pYVy<N<2PMv+G_;W@hffwJ#1yX=zmnJY^54Gx*NDQ{LvM z;~oAve;D4e=i3+DKKP!m#=q&V<9ohuZyL(kt7P81b0}x8ENe(-->LrgqwULb_VSSM z{0FJ*Wik)mJt$+}X1(Gr<6FM8a)sT^R^}^G_BhVEd#dBm-2*3iA3f2@FQ^Q9>$z=* z`c2E1=XkmN4;2T^n_S}GW$Ss~bB}q(9>?j@I`gK?nzFn3#$+#6bN{HE8j<8GAxTg3 z$#c~!=Y8R<3VPw0<;KbWbI*x$3T15TrcUdd$&<ET#Zu6B(Il^QwwyEv>*iaxCqGI1 zP<|<9isX-LzB6rBa?Oj2SC}qYGO_s5U7iC!MCH`<%+4hUd=4(Ue3~cW;+X}-Z1aLv z1+3h?c=PN{3(DDw^*_{XURK6dxO&IB_50QucWh$5A$#HG!Pk7x-W(`pFDkq6i|MxP zhIa|2?5nIhHZxmTd)z%ZTXw-dhcfo%`aAX>KF!cI>%QcUvk%^~RzHbrcy3^_VZ9-H zURlDf=1Z~@-Yq!GcjHaMSw6RNg<Z_1)*N>kU+`Ue^Prf0p7o16jL-SZ$`|ZpHnFzY z+<ZoM#!bd&e0t>xJDZKHSKMKI%BNPYu(SDu?1Y<)Pxy|#aX7<w=*@#d_CD*3JB*L{ z#L5@!Xg(sV@a{nYdz-b!9ma=zY~>0&m<_CdY+}~8ezA#J&-%uu<^!?}?;hl{*IB=~ z-8fD5!`p;>_Q<k^RQB+)1>2kV$Ub;`;1u7gHw~xw-jx}ovX@z}xZOBK_QG3*Q+x~F zB;>IdSyyao-XUvolkpDUy|M>o&G)5$+*|OStvc^OHM6bxjlGTUct71?IL}*@U+|o* zEU%%u`L^_pdkem?Z84v*xA6_{t2+wc*fy9iC~m$keP(ClYu+b!7JOw}V;-@$@fGi* zI}GP|gYrK-V_RWvQQQ5dB}_tKDqCJ&LRIr6=?V81oaMc7C*drwTfRaSv#B}9Ud9)^ zm+n0H%r?*b#U94zyk_|eDw$2pEsC4ZNYB{G_>5OCKcTYO$b7{f#;3e$`3jZIC!{Cr zWPHMV?2f}3-a~gDd}Ql0&)CEGm{%-+K}GWsX@z?aKCrc!YwTfs$jg?mP{C|q{-cOl z-~2@pv!3~lqUHnA4EG+qXR9-RvAc1a^oP3%@7W^r8lJL+=Pf92-Xs0s?txRhtL`+M z;(eEA@RY60e8uj@Dbg42DxBh7a3|p%TakH1QS%OIi=B*jc<<#sD7*CDbD#Rxd0U>V z+?)Jm&X(#)?>sC04iyKz^DOt<R4nw)bG!P@c_Gz8Z#_4wpPU!+e94W;3I2<UFTM6G z_A9dWJm<MqedD|>Rg+$M7Wy?62fgyl_j9s+`9;NCedfH7DxsI2xqeB-mu5|N^B1!9 zJnOkoy>Z@@XG_jcw();u)A@PH*~upUPQ{mIPB!v4Di(U?IbA(+UdXd0rzUIo&$99S zwB*F(6LVN9FU^>IWRA$QCC4Yr_-EO4eq3^NvWUNz4d=%thbQy+YuR*uSaNVOi$7EG zrRkIR%(?PZMNd7~QuBk#fyw{;K3T7PucE8|aqgD#plOpg%qe-QvUl<)zeCn1PkDy= zEh@Tn*E85}ll97XDw^sS=ZZX4(NI4-SEc;Y<jIfxii(8pc;>6`Jo})WZJ+Urxd-P- zemLiFo~JB*fpxQu@d4xJJCb*1HqMoNaPC1F+cx7Ba~a?Aq@^oZH(MEZ%w>GT^Ww~d zQnuo>2Ug6M#u;-NU-N{eFR*I1Fm5nzz9PA2CgUp}zw`%bY|D%_<}$wIxpk)DEYGzw z2_<Zcj023DFGx0=Q#i|Gm;S)A`J80IxrAc2S;h{=&Bn$j<}}WfOgQ(Th;5p&hH>*L z$$)bSMQoFdJLWLXlyo?kaE9l|8HF=Ea_J8&nvY2uoJ%NV>oWG3!}y4YFFnDc`H-Z* zxr73?CSwNUW_{xiM$LN04~&|1jXCBpKH&M6_Q1S(pJc{N#``?q(k7&`MWhv^vQ-(M zn9X>PXU&-fr+8MJd635zoR(nTyi3w!CgWY6MQ0dJ@jOdYFmF~j?wH*;S@OWy2f1u{ z`afzL-*Z>RZ+OnSNB_d-rn}-V_9>j_{;)^kJ8OAd!gJOg`a5bH-*RWgJN$0CDSl$# zf^*zS@eIG2tn@2t56%(avCrWgcU1g=UrkrVSL{<b$NgZB!dKS(xQ1U%m&JEfHooNc zig)<cWTv0+xye*tqPFn`_mw>gUsxCDdwgy>FJ7^4!DoXl8`leGXly&-w*XYt1h}L~ zZfp3=I$Qrj4dX2FIhBsjxX<ii_{=(8e?yJqO!1I?4WC#i>rbe0e8PQV55p(c<T!<A ztch_SelQ&uH`&+lk+oYtpvLhLw?KTs52nN7BKsOXur})p)G*Evuc>UDE?!c}I9)ua z((wT|L;Q#DO#8*Z>~%QJ{UdI}_a+_v1D~3-^)FOA-sAodm++J|G|u5EYq|c6PfWYT zuk3X=#r+~q;3;cBoWXado#Hl?j(51{>|uDvny+6FeegY3P56b~O}5$(VjJg)zFFr` z&RQAPkj}bOd&g!bYwZKE2j6n#ga_<qx+QvMokJOGX_&xnrW>LcA`iaidbZ|3DQi*K zg<VY7MAxiyC}k}SV@PLRsa>&|>5Ax_bqCIJ`Gg1TV!9-{C6aNr=$gobFSuO77o@Q+ z)MkivoF!Vb?m#i?T<r%j2cL17gg2zIriV?~$z-g3Am-pxE}igzG}fuw3t|pF;Zg|~ z*vVw1-4JtdhG<8m;|x)obq5Mrd$ki{4nE=%37@co>4>Pzx&sBQt=bAP2On^;gfpbE z#)myfWsMCxkjfezCa{C)fassK2l82KwJ&U9+9&#Et-@)p4Qn2p;`$OcVLQ_v(TGUL zsiFaq2k&w%yVLs2RdU&ZJl0a}37eR9iMm8GP7yt`)*+A8KTKddle%_8^ugO)cl<t7 zGu;>dGB4pgXNCWQ=PbL`FW5TH6MkUn_>S}4oCD`L3;YYJnQjYTnb+`*WwZJOTgSP= zN9HknV=3|bP}O9qUSQieM|jJ;hOaEE)dOrD=LjFLbbQ5mZw|v(mgVXN#Y~rlr_5{k z!jkKE;2BG{pFtJVMd1~ejxRVJ{3kqPnXmrA#_>6)h5v?1rnABeEDz2UPMLS$45xvA zK_$~^;VG7mPdPRG4Jw&V3cJi}IK!#nZ}5yI$xq-JOTT)7jpJiZ3I7chOh<)P<}rL^ zX;(L}aeT<h;crmEbWpg#^5Asg3QNc7!UdKGrwOynWB9;Qul~W>@jmC4ISubws?|>v zG3lu9C}P?x{A4b}dzLW2fTt`Weg)-Cn(7;@9q)23nbYu&CD4!IDNC{X1nYy7g^$cV zaFX+Z--j}$?Sg;$4wSR(RlZ@&bWiYw<iU3wCGHc_St{HnST|WKZ!l)MBY3CpKpD$c z<pr__-*BY32c)xXRBn)EoGZAeFX0?VjJrZQ%Ub0G*@Le*Lfi$?Sqj`HST&iKFDxyc z`{Iz078gSYLn+I0<pN`-%Yr_VjxRYp+%KfD<hX4}V_B>$Alo=gu%(ZogeB9i!Mf?Z zU`5}8VwO3|H)I;0aa`zmaE8OkJt2){hVl-X#-|*odKMJ1Oi`X8)A)o#$=xB1WrDJV zF_WP(hjG(!L5scxg)BYF5i*UBIE36AESe4rO7tx#U};g7kZF9taiHhHX^uTT3r=(F z=t(%u!Q{@+@!<VawT5(-x-7SwJ_pK~R&5SmQQ>&OoJm*sgv$YQ#=C-V6i*m28mv=3 zAf#>0c<|u9V|4|BF_Mk<I6k>Gm@{cBuP|cLQr;nbaEjoK-UWFqL2eG_O&ZD#Mol{f zPxL-G$??eTK?+NOa)or`ZT33*2frKd@xS@W@SgokO~QBPUGgVBGup_X_<LY3|Basv z@7VL~6Mi?|;=fRr@Qrzs{EE+wH~0_KHJoFQvp0CoyiR_?=f-RN8|o6iGOv>F_{?Y_ z@A3D*Z2kpx556$x*(LmHyu?4DZoygh8#M`M+1>0FeleQLbNpp^!G5Xc!Dr@q@-O}{ zJZCqvU+|OBMBd_a;~D-LKN+5}>)9v#Y&4Qz@rU6lyPCbi&&Ct{6Miy0VLw*maEAR* z&4Z83eexN97#_2W*)RCfc!Xb}?!gD<HhGOd3=i4a>=k}68p!|n#HcU-;uE8u{EbhI z2lyH49=vC+lYjBMVH*F3+JyJak#-GFnZxZCd~e*t|Dg83DfU%04X4=O*%>@#E|XvJ zyI~6dg<6GE><elV-Z2-+SA1&R!Ef=C;ST#fy9e7E@ALl1TTsqiZFXQcqpkFfyAAKy zKIJf+XDc!<NM|lHYuMd*oA*ZEf->eU(lhQhykUElqfo}YL3+XF#_POiZZ^DTdy=!D zlzEMG#NCEhY>#pn&anlVe@J6qA#HKDVK(oKyagr9OQbV4Gnz?9Y;L^B+mYvRmd(kW zVOOJx^p86Y&)KZZ5A19_%bStMaF*>{jzTeWnpwln#?!nJc?-_4X_-6hY&^;9k*83^ zoMOhXv(ZpmU~{8^bj6*9$81vO2X-_b<<-bjC}i%Cwz$*qkd4dSVMpUZUXDD5Gi>{E z4xDD&mD6yVZCj2)0ds@&kJ}CR*?yTVNM){(zH!@O8gIhQ15<fl<SOJdS4eNz)TkxB zU{m96UZ0x{ciCQ<DWo!&NOx>v)R3NWyWtMoyc~r*W<N8A?Ty=ccf>yU&a_Yb#ohz+ zxIe@>oM$c5Ur^m>BYvQ`@ecQ$oegujAH+TQ#<Wd*#a@QDtZDiR)s0r-9eWwxu)c_S z@Rg}p??Dx#rFh0(hS#iN`U|QWEyNp&8?SKh*~##V)ldJyGp1$Y8haUDvfhemILmr1 zCgBUyBJqIY#tYmHaSCTy?erg1HlE`yh)ej)G)vr}xY1br#GZzk+zD|HJ~2%b*C=j0 z#T^ir@QG=Xc*h=wncNO>31?W3#3-C$mD7Jv(Rhs8ATHq}Q<u2M9)?G(eEJC$jfc1e z;u1bEHHkA6H|mRjC~DLbe^At@E6%Zp;Q{MEy$9uu`?xc9GTdkVrZ?d!Q-of@Q>H5M z6T2Div95_(aEf(B%!7AK!Fmbhjk~x#b~4;$T@=G`iuIYELV2UQc*pLB$=nBGAG~GC z`~Q32{omE|zJLAm?seU~<DYldKYwfU?*6{F*X`b(-}mPF&l~NvR{wt$-~0Ns=Jn=( zuU6O1Y5%<P|FgjVU+(UCIo<B%_DZw;&)nm`T&;Q0TsP}~&H48==kC{>b^n}h_xY^N zv-o{ar~f>){Ljhhe@>`>o?!p%Xw8iJn&bI3$Kq>_`qvz>uQ{w=b4b4CAp7U|d#B4Q z_4YqymjCeo-uvHir$7I_mtLt;|MaWf`;Yfdg;#3Te-FO*?seR$%e7P5KNahL_qTgD z*XGXty|-EF)E`(k-s5~Tli@wf7oUW3rd`4(j2Uf&Ps}|qm-EI<hIcG^>Iv43w>U5O zC6qC35?*24c!TqRU&A?;ICX<`rgg#-j2o|UZtzPeWm+ZNVa#YD>@oMiY|aIK4@#Kw z)Do;3FL6%rTX2@;hEKv-7B_VTD@Idcj=2mkST6ZIC}x@`{9+Ena~3o81(u8^!WPDj zXE<lfWO&A+r=DQhXe7L14#QIxHFX8c#uJ<qW->fsIp*VVhUJjYgF>c0;fy&9k6Faj z7g#hN;Z*Q@P{7nCtTBh-Aq$(jf(4_2@DC$Kec=~IjC#U1j2aJcGWb2nXQ~r^F}q<J z=Lg?}e5OdXhE%3-wFTyldpIBX9yrCa%BSHJ%R4oLRHicF6|)<ra9;3LIK{HSCn1li zNVvkNaR;ZxOolrw_tYMIYq-z;!*0QM#%j3()ne1d87-N=*d%;s+{J(5GlLEPiP{5m z*>6-bykpLjPx#$%i~WLK!Z*fE{3|{;++aUo*Km$GPTt@-<2wEcpBt{RZ?H@F%D9TZ z<MWN{oLdam8j3|lWN2*Lz$S86L(9rZOUZ!Y9CMKThi8l{_$_K1X0y+*TkwT(34g|C z1~dMM&kYyZJM0|JGCRpL{Aw`a|54NMoY_kLz|V%W>=||pXPM90D12s2lS@8mn#}Nw zSx-LUXM+*{iW-Ke%xdxqKO0W4PpD*g!h9^ptZk9j8g5=6oz*K|WSq%9+Nrl@;i5$b zYYk19Tv_+{w9Iwz-l+73C2*qPq!|;P+=Gm*E<N(-Rc@8!EK)gYsvO#v;%PDIvBym1 zqkU7Xgyv4PaJMoRnl;hnct@W|y2{mwVeUn;p65K)rnqGp3%&GMsywsrO6n4G<%M#6 zQ_@xLPCU?KVm;}NN1od%<4X@b3f!z@R~D$;ns}+_Na~W)6Ybn5$-FF7akEk8>`Sq@ zG=1W`o-L_MZcR*Ze<gGBoW~C3n|)6#FTM3xqx`hb#WHB-L?LDOE~86R`;+Wn{q;ES zzDE9G-;?hX-??v+zgw5`e6fxE)SoN9ExtSPpWUXv9py{*_G{QXeGYu>UgS2(Na(Id zt@6=c7K=-FJPMRUB|G^~c|_S&ojohfdD^2<{;s6wd*xdbH`Q!;zSvTJ;?EaXz9?Vm z53x7;eDRrkf3JM!&yEkuClB`v*n9oS__p|Hzl43!AB{8a&GLV1O`a*A=vT7W`g5XG zWoN&WJ=5P8pOw$|TiHMQ<MH{7`%L+ZKTo`0e7ax9e$^k1bM7mZZ6!NTd6X;rN}hbT z_(1=znlDe44dfH+OnzOQ)qktT<yYWK_dL6x&lhL+yVytl<tS58m%l3c^6ldL{U2(c zoc=!XfqSid<<E}O?$HePL4Q2*l&_e}C;ohK(!E^%VXesaiErEr%(^}YPU{UazjSv+ z`Qp3%Yie9lmCdAg*0H22+e&||D@k2!E4{ML<om@by?*A0K2N;uR%F+;>Ehh}Pj;7X zzxb}KE`2r6Bz^IX-W>C)yB+7;tECU-JxN=9r#J1P*{-`MzAx62URxKkUGTMgne@ZF zl=Q{d`tO-7y6aJ*Y$`qV=859P=Xx*Xl%y>_(|abTW#`1FZqubR^GtRLK6lGBW4fzR zviMT3kNK}V9z}~!_9~e#y2DYVY$%<ZcO`AHq4e6D9cSF8N<Y2XQL^ZE=LH*)iivZ& zpT)SOE1m5$Q-3sP#b>4K-S1+)R0Y0vT_aw(bH#fl198r{5|4_&hpuhHm9utod|q_A z^Hxqt>f&9!pVX3=s{-eBzwz0Uw&>!W?pHBWsxH29nJ>I_rbo%53%9y+^mpy$C|BOo zdBvyX*`l4@Pt1x`Zt1=%3cTxDRxTd6bHypwFukVT9;aNZ#AA1IoOX@WyS2N6|NSB# z8}XNMTdF6{>;9+rY4?lMu2aN)<5VgIr*tohaoHX?qx+Md*B+0Li;i|H>1XXZ@o~}d z?n5yx6&Gi8E9ff~3qE#PF0Gh1Wyi!vZf(+rc_QW$XLtIjYu#N@xcGRln7P&*jdL#j z(l>pl9M3rA7H9TqHb=SA-Ogt|Ppl@+>AhoCb(<qkSzUN<UP<}Ie8Kl_{%THlGxC%* zgjfEGyHb5|UhkqDm+cepxz$O_`mv-hy3%<sN5y>NQ<qe=ReL(VEHV?{xHIFd>tf+R zzb88;PVIKl4=N6P;W}0LqTwN<%8N6*FG-Z%>^SWfZN@c+W7{dW5IwEEC%!0|i_eWy z*&R5o(?R{voD*kUW{NM2`;w-tDg8H>#p2>q=LY`2x^_>#Pk8Ly+r`i8cg1|-w016e zqnZ~V7Vc^PA(tfct9Hewg(uo=<ZpetFuVQE6u&7?6%VyvvoZM<FumPQeo{@wr$y(x zZR9uAy!f>6T)Tz*tvMa<7vAlBB)996!0h&0HY&d-JaeAGzp&Edyi0@MDVrs~1Lk#d z$X{yuK4E&hkNl|`kFSdN+K<?XJX`2~abfuO&If9{W_uJaI?|pazo_=bw}n^RqvRib z3V7k1thUQYaN3b}4|%Ivj#8x{Q~tAdA=VS+9=Ws&OZt5=n>gKy|EL|yZ-Kd;T=IuL z2h3^@lArYH!ko@;YKy8nzAiN8pIE7J&Up&|!NS@X-Y=ap#j-XF%x->W<MMQY1^Y(1 zkm?C<9n1I@6<>Jmlr7g(ed45ZA-`><$Ge3)HTW;;mZUA*+5AQ3$nD(|CbvG}>$3Iu zy5MU25*?9U6K1u#h)dOGoN_Mb{;0Plec`?P?f1mGHt!O6?X;HrWaNp`g;v}r^-OjP zymea79jX_Srg*wlPuys8z%!@G+zTT;iWSebT8T5ozW7|Gc&GKv6TK<v3vacS-4**( zbK>1XD_w40J(V2-Gg=>H@XxaKC{sMt`a{ep_QYAIDcp&ATXs%(>r^3jX>-6mr!vPC z{Gl_2ThbS9Z@t6!%XY;%r}zZ%s-g++94q;5#cI4$xZnJMWv84<`a(@^N6QtZ>w`MF z1!VmFF13~%wuq8rdA2~GJ=Jo>dj)g$zp`7ND(JDR%Be`Sw0>N0POmPU?^BV$L&sKj zNhLWGj*1D7n9BGMS!?7o?&JPp`y!WdR}uSJS(c{@H2nFRtWUgEQ0IQZyD9p>yyj&x zCFKHBn^(P%b@|R<$dtm~V%ad0-Nx>~N5)?Mgqj17m__6#{9ri3E@OA#17j<{Ld}5( z%q;Q@&luz79z11?l{@g1F<MUG2g3pOKeh+*9qu#eGXId<@V!BY|G=jPZT<_@4)>Tp z$R#{w43%?8J#d$KnazQBjHUb&J~8ZKcd2BU!hXiq;T@yDoPha(lZ*!Z1wR>fu<x-w zkh|pm#2WWkvYF>S_9(xU%>2%f^rYcb#75~8MGH<h8n7D~2h3`Gz*b}waK~XQ^Il$+ zRE6CPwah2~PX6sbFZSNO`kMbgWl!WT*u^X&cOZX(<u!(mElgK<p8RHClD^=I*=A-& zK9`O(g|m%j?2lwt6f2x>v|~Rd!%?!}LgN*l5X%elPaIO%x@2AyDx7MRVxLrFbYaP3 zhZwe3MiZtt?&D!eQ#jbj#?B?PqCnwr{Rf^Fi-1QCUCg?CM=C5XOxfMIiN_^XL7O>I za>Xh8+<%EGYyU3)Dj$3y_{x=fFUIX9Kb1Uw_%E1QulL__@yVb5>2vBY1$;F5!~Rj; z{Qr)9hpx@s`}a6s-kZcn^B>!%ym+;2&(XWzUM<?xruY)n7_d=(+E<dUVy*nJuf%%N zTaT^E7yH1CgHpFHS<W&QE9Jd?Ch02ICqC)9VkPw2W3}>1P#eQSd1>F5G?gn8{oIR; zCxMz66Z@{DshBCRmCP(rxj6AkkBF7f3y(~<Uot0)mz<ku;eJcTvslGMd9I{pv5K*B zVqb~nq^BOKZmVQEi<X?6sN&uw(|N{Yf^x5<=NXSgw<?*Fg-ec2lyHxd@hn_&WTJq( zmCVV4C5I+*xJ$`+7N{5~*Gir|?Gfwt$mr6viA?THGB5L0_D}rfcF9QSzDKokswC%W zk8rn3(wg}ydnT^w2}xDaQoh@3lB%+M;wwnABhanM=+YgJB4t}i%{&!#<%7Ln%qHD- z-!K2O?#l0r^ZG07FMYoF&V85s)1MsY-FM30{dwY?`wmc(=6B#*_bu{g>r{RR&h1aK zZ~EJD&V9Z7*`FuADqG5LtvmAT;w$%6@<)GioO53(zxOA{*Tt9n@6@D#+7C<QxBl!n z>z-{V_1EKzvZ;J)9m}u4S^akQpZ=`)tbDHD%>L3Jj<fFRcBlTFIO9G;KDI99XW-0! zE&D}(GCnDv>{qf^`YiawJ=sp^bKs1AIs2kND?Tb8>ld>R`lInt`A9#Xz0sc)ACwRE zv)K#%;W*<SZ};g_;Pn0-HCvu8KG4r#|LgaO_lx)SZ>c%*{o+0MNV`LyE>7+LVt48{ z$9rXM`Ou#or`*fseSe;Kw|H0ok{Xxqfm8Yy)R=sqc*ot}uIu-SlkNrbduyL;yZGL1 zpY+$fE$PbldcWjs**)={Tcz2d&4KUS%FQ-y7JTQnUHWET$Zo;6Zkwe~=7oS7ED7d| zHeY=0R%}*u*W;YqTIr2>TXs!+<yL6cv^nsVTfUjo-4`Xw=F&6sLUswhbjvkM+I(?V zuba8hU5~SF3#A+Lrlc)C-)m$3>P|=T;<LRb=1!Y0&g?ZZH`*-t%x$`KWL`+x;#0jE z=CeR;mlM4wa#(g=oY8wEM<i|W@m?A8tUDcri;wn-n0wvfC|rEFm&aV|PDjDwgS{-~ zOq(xG@7<GgB~@8ZI`^hVf%1Xge`cR<ugF){mHwE!WqaVX-VHe=smgnMKbal6ed3f` zsM(@T7w@_Sn{B$iB2QUU`eLp~s<MXk*<6+F7bo{VGAr67c*iYYdS~pH>WS}N_lm#W z+3{V;R{U+;lIp;D-5+A6R9~Fi{U)ZR`r=#HZQ@tsT&gd=aor?-b*IKRr5oKZV!l*e zoYNhnKdBhpj#?YHq$+Su_XAM#Xm)pizSZ6nXI+<xPmOb_3Y^`2BWBC9MHgYMgazVD zcfR<nbiUh4|Iwa|&q`;zFT|8QTXd%TOiWAV#iy>*#Ha3D@k!}ax0?Q>JsO{sjKm$| zOr9wjins23@lok`x0HU;o{W!5N4tgeo%X!=pmeyKOJ8YE#s{T?-3Ma6JXO*cFWuR3 z+BI5_YY)eVMf<z|=)KzQaoV*;{B7)%r%F2Fu{%B9FWS@nL2px0;MDFFF(u^}r*yy3 zTLo&Qm596U<T&M8EPgWf%acVry642OlwZ8<nkW3%?}>HbdzWgpM{_;SyX+Qz={F@^ z$wv68UrD->weUl~66=X?UA78e^fR%Z_{ODFt!pkvnUa<8UO$s`rR$wfe6CmtzIItH zywXo3UCBatso$40r7N9&>P5yAU%D(6p6GWaP037n?aYi4rHh?cd_=4SU$|td{hD*4 zc+t5|3-w!bJc^Y}gy+uGC{{8SPV_6WgtW!xbQCQ**{P!5HK*f@%LL)xnI30c64k2a zoG4s$tW!cgY7VIB(kY;BHRnXZqC=e=>QZw&3X}|lYiFJ~?Gmf@$mrs<P9}AxIWO{+ z_ILhLyJRGI-=$hObtcDYmvFU9vo-RS_H?fC2}xDb629welB%@3^Of2pBf+~afoe@g z7w@<f3ER%p$Wu}mKIr?!Y~pR_{ro@euKd0*uf0P4(&r2BoOkg*t>ifGyp#WK<%x67 zJNWO~rTh+f>%4{ktewj5fVu5S@=diJ=bYE`pRGLcRnd}vtKE@b7hXB9;y+r+an5-q z|K3WDuM01?-?2&g74Xt|3IEp0j<e3$a#FP(UldLGTkTkW1<Y!<lmAq+;<Mtpb~E`) zH5_N1)8$UpoH*k=gFn_T<!8Xmb}jiuH5s22Pqr(`D}5Gt;+!le^f_QgyPSMc&5DnT z$J)i@gK9KBDjsR)lQ*ha@j>xWJDa>v4aXVhc)3rX0;ad`u-Wo-;emDr`Crv1-Y?wO zzQyLq_Y3!&BjpZ#x-hl<i`=Pdj`xb%{GpW{r<}|AeJfAATez!ziH*zmfGO<@Y)rmS zxZ~_E*9B_874Yx1eX{Msd#8QeU-h=6E8c7UqO)c9gm+GrVuv;dymKlS+q7BWozr&i zn|dLj=GkWMlX@ZP3vaY0h%efF;k8q-SW&FUIj6PU8}+vAn()f0P^@Wlz$>SGF{juU zC5q<UGxb7t3A}X56-(NDVOFb~xKOOeS*L~Eje1kk7M^dl5q}laQM~YMtBJVN<_j}h zjl_*M3p{h0&K;>2lD6<vtA_Zj7>}ZbCt6SFu<X1rqxFc6NZP{Vtuo?SF&%{qkG6`4 zd&O`RE<D`IBd!(GQLyk}D~mYO<_ptX_vl<nRn+6ojnpVmJka`2>{IlLd_`UEkGfm7 z2TW_-pi`2nxVQC_*rDhXr<_8?7Hzt4*C|+RQ}l{FMNRIDx+1BH8r)}fRkmN4-1<nY zXp_Jlr+n_6vR|qvym#Eo{?@YNyMitITe&6G0rQ$a$V{oeFt_=QOiT5Jw~pJ`ugbYp zUwGrViT$dj#y5o<%`arWR9%?U9K%1UIN-J88uqnvOR56qG(V7;QgvZ=a{#}U?TNFF z%h;#Nxl{$rZoVP2<=KLZ%`W^w#Q`rI7qBn2eDPV~e6tn*Bb$uR3TK-y$do)=aHjc; zOiSg3r;gLur&_M~q;RTPjenAj#wP_Mc1JmrX9|Ywt(Gr7DjaW?;!m>4_^5ESS%}}s z=EVnv!_8d$N;VlE6b?2YkooddL7%<Uvg5R4G#{4@$A<;`oB!~=vi3ObSi}BScFI!) z9rjpDkM|4qG=JdRR1`3^d4)_#`GqOXZ}?VOdz^ACVRyCUIOSN(ep2?!lLb4P=g6>> zU%2g<$NeL=;XPB8_=a@GJ=_;IH{4}?p{H=3>4T0!Ib*q4LOSCP?j5lWZ<(^h9d<X| zWIds`;2cwuIKyrREAEQe19Mn+=sBEYiV{DttKll^3O$8$Ob>JvN*VLT8g?~YX5A6l z@RG?(++kOP8F#|w22*Z{*oGHOS9BCg7#DDRY;HKuTA{a~m~jsGjhKdKOc!(>oMAE& zPe@~&!M!7<;VIK8odrdVQ@CfuG(2Ha5_d>roWL!ynZb~oV{^lCRtvoag^WGi5it#q zn1sX|b~GGjmC##Iz}Uhq5!3L1>446I(@cAG7My0<p_6c$iAkJcN5g*BAG!<j8KcAw zY;V}hni9z{jWs5+;U3c`v4-so+T1HPF=%n`h(0id^@i?(JjNg~hwTj-+zp!=cCwz( zeQ=WLk=TP2#scn&=!V;jb^H&i8}2c`v1EA9_(dk+JHsya6U7WR>?dpw%w@h|$?%Rb zk3XTh;TH1+xrA>Fo7h(rH{4)8AlGn?F^=EhIm0^k3B?W9m^a8Jd}Ub0-cih8!R}#u zU^eptxd&eu^7s;}8ZI$UkXvw;@rF#oSw=U0g(?P9b`D#H7mSx=9(-n)$Ns{G;W?uj z|AI;e6LyQ@hBM4FEE%3L>hUL3HW;z5uwi)0sK&2Q*>Hk+f+fQf#$z%LXBZF3Jow1a z$DU!s@R(7Ie?djV5oQIs2Ok*P*fnez9x}4=D^xHTu>UAx&}V;9#GuE1qp0BkGlSfN z_Y8IHFRUA;F@KOvc+U{Y*YK1foNqyS!ye`bvIkBvu99gu#rTfT;3-2H`wHuZDa;pS z6;3fOkV$yQP{dwQ)Ubou!jj<*<2}9yW*6Q&>|_4Qw<TTSUgH;@E!Gp>IaIP8G7fm> zP|mi=Sm2$*cIKOWA=UzK9X2zc<O@k(aHBDSeUb5n*AB&OMY0~}9M&>#<lAC3;gv%n zTa$6XD~EhGC)pPz3g*l+`9iD&UOMEmB^h6s)#%19B<pe3VIgxP-;}fk=NoO<U&(Y7 zFF4z1!tP{zVP>NdyOFWLGl%KSk$fR(3r;m^u+Nh5C|Yo$@dOWx<%JoIM|eci794Ms zVb7B3C|q!~QH0$~hNE!7;YJ>IEt!sj1qT~h*qMwkOmE!7b0t+lk2zOTqd?(6<3F}f z(kt>6beTW$ZZQv-*0_PEBvoN=<0rO5(kD(igt9F%x^UMam~E5viaZ5P=8L={sR|m* zXL(i3FHCNH#8zY^aK|B^dFS8Hzx&_Y@BRPgXZv?~+y8I=E&lC4ul~cI$-mFft$*{U z`S<y^_S^nn`RDxm{2TjC|F8U1|0aK<{>7iqzs}F8kNH3Gv;S-RHUHQATl~v^PW^*F zlYgC`T_5n@^6&Ap_RIcH`RDx0e|G(iKbxP;zgX|`Kk&2v3;PBCm;8MGS^j*z)&GZo z(m%_et-tW6_}Tn3^=JMx|2+THe%k*jKbL=!KUJ^xf8rnYPx40p9sU_VlQ;a|^7Hve z`Q!Cc{}cbDf0RF3FZAE>&+`xRhwHiiEB;CUAb+s_z@N`g<@NuU{A@pMAN`N>5BrDt z`|JPwd->b_w0+J0H-9HTmDl+n^V9wP{5|y_{%!o^Kec|vpW^T5r_{gsxAM39Df^QD zE<f2%*%$vm@%QtS`8(?8{9*on{<eMI??3Mz@AiLhSN-kbUH9{LyMMoUH#uG2=J%6# z#p&|azaP9S-rfJ!ZtL$0?~Hf%zp*R*)_Iq`Oy27Eo_EIS^4Dvhyt%xK|Fzxf-z(k; zr^{RXUh?j9n*5bozwd>c`(N5E{XOB`<urM--)nBBe_6L+5!YV3MZZ_vR4<XgP<!dk z=bin}?dJY2c(-|H|1-OcZ<d?=pV_5-J9y`Lk^Je}Q*VNI@;|kk{M+N5@J{}jwF=)Q zH}}t|J@n@DkLz}Q)o(BF;D2n_{afSR<Q@Ev?Am`zybHFtX4mqY=biEn{u#CV-aJm7 zulKv)X8LKn#@`I@K5y^8Z&&*}<7RvQ{JpiC-ZZDq*Z%$D?d0wJ_w2&Ht=z;vwf5b& znU9jU``@(-`nIq-bH2uJhnwkn@;htiy%FBtKe_h7x60ec->Ml`p7ioFn$(~3WZTWS z-6yhyD^ED_8BI7`r^Ec?xZOL${_-`CWgh=HX130-_p)2{F{3`ip7@$=H}&*pzu9<{ zZ}-uJ`m;&vcgJn)+UaN5wf&k^_8R}3M?#Y;jwtaNcG$mp$X8r(Sn8ZXn|{v2)oa(y zdbM(CpVX`6bMt1s<O;4hB-CfnGCl0qLB70#OFVHKnuL2EFiY<^;QxS8xpvD%S7F;N z7aX}Q4mkY3)4HrRHA&kiyN6Z$mISw+iN0{6x`4>f3%@KRnVe3^ZvJj5qSD}al~*Rm zrC`NF1tCY>L;dn<N)bB>N)??Z%34(jSSqP-gtchOWSL}L&~Q@LW)hTfz1Gy?YQWTT zoL??Yfk$EHu3AUgOI+O=F)R<2*u)iga2Ry|*u<gV{bCb`UiXbn9S2+)^d994)^)## zZkgu#K{qL1FjA~BRWMv^;r5O_t`Bq%ol;t*({xJdotR;&U|IKy=$0w27j%_QDJ{@R z$`dT=uGrME!_^{^<&M%lv4>?H_nm*pE&47{&3CYx!?yi~ZOc2wPclsB6^r-_p9_@n zHCA`rcD^CE=$pWn_8GP<Zxmn2D18&y(7vF!<GS-1%a+%QPh=K-6<E_AVcYUb@sSME zImICUkIw{Fv|HG=%yyn3x9E$&lJ<;Z4zu=%;*N{X9db@*6`lAQt2#{Df7rA<SG3|k z2x|9c$T6K&JSU^{Ss;zCv9jZ|bA;TYGm2XLj+GrJojv4~J_)4oF;;dMwhI(@7_?W| zv^-Xn;y+l?anxBuPU)jSN4teh%R@yje#eTAgU%dsOlK7L$s9VZxJ#z#wBj}yr4IrP z?LVwr?koP{TliF<ru~Ms%QWW%%Og{rU&t!G7pQ38P}HH-zM!aMx3iCB%U#7+e2Py6 zO4>V$I5gU4Shw6!oF}97PQZ_kvAkou^A6re<pTSfU&tPr=lFro>AXT2`$Fpuo8|+? z9d{h>NVd#%e8BgpOki8{3R#x73Tf<$)*V*O9kMKM6khN=DitVZduYXB*_<KE@>(H` zeW6u{MRS93#}&stk}R(j{Ma9+2`p>YkY#zPaEqtutim;(q!NKe%>l+87aSY-l+G&H zu|KrzIOkZvmsBh;tJ%T0!?^i`Ov_Bi1inW_0@Io`j5|&_2Jj^n2~2A4kYSnW=)jkB zM&SsL(isIg_J<Z7#~cm#k_rX7nmuG#9x3p#Ct7qIaunc8DiCODW-#v1Z~kD^q1XJt zs6)4zLx$yn!auf$<{kSSGbCB=D|};{m?{v#R+uVK)qFyl<(|SCo<*k=R`5K^69{HY zH1F8u=po5+S78wk(<y~#Y>MU`>dhU}Et4G&@IJ~F$gBVHxB0z%)&C98`S;Xc_}qTi z{>4AV^YS15D1PTJ|CjKbe@Fd}zs+yuv;I5$Zog@N;@`q^@=5<0ezRNESNuIZ$9~5@ z$8+*g{}23XziPkYpW-?B2Y(d5^5_3+_|<;de#g(|m-1f!9e%Z&)hB#zH?5cW+x$ZQ z${)oq{0r(mKDVE@ulTp{Gyk0W8-JRg$zS;M@Ql3C|Ac4!GwOHzX?`ky>d(SY{8Q>@ z{Aqq7uk_#H8UKWOiO=kY^&FqukK0@PTlkT`r#|9O^CNko{|!Id58F%pTlj&$rC#Ds z^8@(<e;%He-}7hTY55(05>LxB{b%^mzTf`G--Yk_qy8QE-oDp9<tOtr`<S22_vAnQ zYxvHtUBBWJyH@><--oBz-}t-m9e>b2hwtqg^$nleciNx$`|zavqkj*c@E6oq{BFK2 zSNHwF?)H1OZ*DTbm;3T2v7CQb^@+{wHq|HY9-eD^<0kVvxxDWQyW4NsUU-*S#=oh0 z#pd=Kwg=udo|B9FZjjEuu6n}e_G`8q-X)guud43Y%x+Qbarf|S+Xe3)mhk6&OW4(Z z$#%lKg=gh%yh%JO=k{G;7rSXS$6e+Za+lsbEasnA{o)Sub2+o`3wE-bR9kFrKVv)N zCi62nz3&M-+l{JM++ltyr}kZ8XZs1;2{)Oa$Q^s*ct-Bfn}>z`ebpIvm><iDeP6Jn z{fMo?yN3n*ZPglgm><fqeOK7QZczPW6T5!(i%sl$)i*Y^AFyS3_b{KouKLC8=4rMc z-X`YrM}BKa<q!Y1V0-%>+XrtCo|0Sjrty^AyKe@m{AJZEZZ}V{z3^7?l-z<hiFy1* z)fJoCci39oWWFPJ@7sg2_WRa9?k)VzSN-llHM?#3jlIq9WIx?uJTF`HzTi1u*}I17 z_S@Ds?k)Vrx21f>-sU&5ukI**<J(Zapt$|I^_iW`uVtUyS@@N2O?kxL=2x<h?l7K{ z4SN6K8Q+R>i@nXWt!La@_=Rstc}6k2S$RZp`$g-HdyZ#io!&E4wVRax*wg%6*6RI% z%J#F?8TS~^%AUKU_?a*5T|;I2Y3qo43(v@Ey?3Z=KWXi8Pw^99$~%V2cEfUk;&y}b ziapJbWu@L9sAxZGt#MEBBVR|k#h&JevRv;SD%uZPbKGM*BfIa;!PBz4?lhj3-F8Ru z17Ab=kKN7pWq-X}@RYBn{KjtQY1Rol4^OpzaaZv@Uq$(bqIRwF1x4+<t$lVj-<5s! zPT?tENqI*RyGHqp-OYDo=iO0!$LIHsp}c*&^^UU-%lY;dznFV?p5=#gj^|~{o-eR& zw<$hg+<wRM&dlbymJiN7EaTf&ykai%TbZ=y3fApb#T|2*-^jc;^RSe!_}K$1cFW?7 zxy-L+!k#a%YPTqEFmAtMxo0NxD;dA%57PLS6>H38ekpV7OygOZYiANm_!boh7`I=r zY&fTQR>to61IzYvmIdb$i}_|1I~cbc7oV8ZJkv7a+`}TiX~i1G?WZgQ&LtM{O)Bn~ z!#vZ{;auVwnImTu&&bF<e_+vm%+laoVj*8wvBw<dM>2fR6D-;fSqhv>EZ}P@W-xBo zFaBWEu2=lPs9m?1V-E8JnSak7n78k<%$Ui1U*_Ah38{P$&k9ocs)|p{X1*u0=FGxV zGAqtJ%;O7wmSEn#%hF>e^Ie%mXBbb(JbR{K-mYHUF}r!P<$<#gbNTY}f7CX=m#(_M z;W_V~{0pDk?wY^Yr+8la!yd)&yyf>2p7ZX=-%;EARyymx!|%46<|p<oJSUxWpW!!~ zRenY7;W_3z_Bo!Dj=F!~SKC$d75fy=Nk7=5_?0*RUc;}p%jP>On_o(M-FNuaW|p7u zxy>|RqPF>k^p!n|Uw9Yfdwgy?Z(gx);b-1C`8R5spGjZX^YDzc(fx#HyfgB5)HFYp zKDB4zC*CRfGisWjNGsiUc*Z*+U*a>HVLr#_w&Ug&`xbuW?a7a*X?`Rvbid(8+hKEw zeG5PEw&Y9HG(V6&u;<}v={<WEo|fLRC-Jm2(|v{?ZTroC>|OYtH|pMj?`?a{Q!1IK zna5N%-;@4yui-nJcK(V_Y+Cs{st->wzp;1WJKmss4&U1}@*6(2?KD5J_u)zDNB15) z;VsCosBXS3Rd@Zt?zVfTZz7rBOMO|BSkAjE`^088o9q*@hv%B!h-7{zm3KX1ciSz~ z3+ocgcsFIQ*xYu*^uW5tb5e2F4bpknWlz}LcFlCdy2Mi6RoNYz*(|a>Vh_(YU9j$9 z32)xDgk5cyOed^ccvkAhn#8kGZr2rdv6*Ib#4^8-y0qqDG4H(W7ctDwrOd7`*vV#+ zZLzuSjOmO>=4VoR*AsTO8D+1CVSXy5c3oj-+X>SNk<3q|j;(P#BXwxa!$RJ^?2H)Z z$5LX~7wl*|Vydw2VF7Pjwnhx|Ln*fF3Om>gvVUx1)6agfiA^v2#-_FdrVQ&I=JVEN zzld(0X8K`mVm@!=wT4vQ@M{aUx9u@~u=e07sa0zlPf5MIW{}ETmc1gnd5Y<UwTh>t z7OY9k<1Nar*wnVe)FP7kj?}$t56ar^8~>QM@H<cSxdYW~w&^!)o8L)(n!|Wrvgmxl zbDpwu4b^S8jc?3b_>E^v`V8CVH<GXBD1PJFkiMX}?Yi+9%jVaTPv$KA%Cjat!nXO9 z<fA!^=Oly9e|W~TBHhBadA9M4c?-YrEJ@EOW;08VC~muG+%eDbtfbR<hN?D`^dC0O z&n2zSAE<0QYn(BU@vP*zIf|co(#|zhww*SPn78nZq}F+d%C?in9`h7G@uZw%sBAM# z7btEsNUyMIek>_<{y;_BQDcpHiXVA8(k*P7A4+nacc^GPXv{H>@r>lYIR{Tm?wZqh zT5{VQ#Sc6U=|8NS?@RtVx8NyHP5KRM=V`_XmWQVrznH7|o~I&xLs6Sn`hudi-Nrta z&37eVol|(qQ<C0M#HNux!@Bv7<h(hG?|A&qF_gD$H{Q|vu$*UK@(bC+^9(=qIi8m& zJHEiW%_jMPaoZikJCe<F4IlJ9EaTahyh4`wtwh>!1?x7e<PKTpHxe&;9+vVHAA4ZM zW|^EJ%luj*?Dzt!HjCs2<F+e?dnB1(N%$RqkjAqtSwoijrNphC#<LRFdJ;=`79|H5 zw_Px7=u<o^VR!t2W!pK!g1*FJo>|Eb#%;#QCuEvu8Yc8TEaI7#tYO@C$}pfWv503< za)%7_Ohbph#4{2{dKAw{$Q^%R(RR$xpf9nIrz_b*hWU{M-|+;CwnK&jeTfA;P00+# zZTiU{jN0^)9~iaiCUeLzKalu$?16dPKEn)2=KB)gj!j7Ai8xk}%2SnmLYn!W#G0Ok zrzBSNJj~+>K9*qKw#(2%lKHO0q8`Rm63>n)n764XcStu+HayV#FqbDU{>R^@_u^Ii zH$3Ow6Mx}z>s|d9b&BW3Kh!9G=Puuu@SJ-`{Eoj(Z^g6rJN$0Fsehtw;W_c7{S3cZ zt>P>G9-5=Sqt5Z1c+~y_zgn;Auc%WzC;p&D@hf-!zJ_0|m-Tl<Is~#D<gTw}{+IIX z)v4h5;W{rg_X?!0zQJ7_zvA|)uiQam`vtyFR+ysuqwe5a?t=IV<2?^jR-fWt7O(M_ z>804aoT-}Z+a?B<aj%Ll$aUVudO`Qd!n;m$Z|I)6>Ga~Un9lZsPPfTt=d@by(+J-j zfAc2O^n==$&DO+E%yT@IDDxnEUHs9!MD8geR?!=NbCq$IY!X|RF>!YBuGW3)?;W|g zH_MfGuhSkazmHnQY8SQ7Xd4G~#AkeFHH(k<+<H;Jqt5ZHxYK@yU#%v_y>$;ibI%jE zIvVp}&xW6@XZ07%)bx8CP}1E~+Fsq1up{KIYSX<bKc+soI(<Fc;T3wzwLVY1eLK7$ zdhbuoFY2cL3;(J7x}5PQtaP44{ja2FD=)7N*zQ&9^>yXd`E2z{CN*89tHZ9HSikO# zZ{5<Pr$Z*k=l<mSuzKHoqxqZebe#*E9UW+Q^~aT@hpDsHf0%d6rTOROXW>=xAAKj@ zvkm<<^=?>Y$b5mdcLG{xdhtA&I{ET^q57aXcUDb0^+EIe{5=-`zWliIJZyK_wq41g z)vnv8z76*;*e-Q9tM{3Iga6G-c~{f4FRgsE?bn^I%bzuGEZ1;dzs%<5s*+VF)`mr{ zT;>z{-0Et~qmYicWsh#3Iun+j@O0UtB+*}2?}S9|vvEyZeP^Yi|I~-IuL3`>JhHZ` zUaNSvrD)95`|F>~`}CLd^u6#>|Brqz%Qnv1x@hmDNx!#?&RhTIn2VE{QIzJLtO9Lk zm!q0it6jJI7%vQ~2uxk|CZ=_FXng2<aczyF?Sa$XJ>`~0n`&E0ZuQ<7lDgu_%*Br` zOk5Q0RlL&Nd#N6)^p9O4S*xc$2;ETfBqx!%DvN7s)s<-rt@@ozY_;C4P%n13yu{nO z+-vp$&G3Vkt3Ir{vY4$s%eD2@UazT>3(8l7->};3d~p-sQ>!WS7PG95TVGT>^=0Vt z!kDK#rMp(ne74H}{OVI7jd7-KLSE-W)6U7XmWSL6%~*9UB+@Omlj$jUse1qH#bWY* z-}P-;J<I0QTdm{zb8Z%0l@mX>dQXkwX6|_9x2(qT2lf>FWIfHDx-US}UDTX^UQqDG z4I8+p#LxKC^u&Ly{n4MC=loaNPy841>+&oARYi44dk<y)5}q%;Xa2XkON+h-%g>$v zX5N#sU7IJ%#@stU_sN?hY3kRn&-Do`_v+m|`IX;l>y7Weq^X-PwXS@3Wm)NRlfC<` zck;dyNn38*Zyji5mUZ&=<vW?h>V5{kC1r<pH_yJ!96e*V@XWbJ`H$|NT+jF3cdhZx z%3@&!nX9vz(w#yNx2WeEP2^FUVH}<J>+)jdIWM>8ExV!bQrCD!Tw%Y#Gw!5)0?)Yn z;|u0^o)MSYf8a;!QGJa%#gE(_DaUFaUijYhu=S9>KwaVo?k3}}b&O|xs?!efZsv@P zOe<J+{9=srxs&=eKbxlOm;7X!zJWV>pTG~+1Nwhz558A7j(_pHY1(|b{Rh5>JPDp3 zf8)2)H2s91ho<Vks8xK=U17iRpUQLYuzgj(ou=vs{5*6wJbcZqn#5DVTkJD$uA1_y zK<kM9joO9pwD+$6v2E3#rYUQq_B(tRdF|g8-|>l6BYwv3raR*EY82mb`|V@+-nw0X zM{et`*89u->V@t!y${=HubVe@XX`!PH#eEyhi#3%u(|cF?u$Id^I{)z6wA5Gw<SDZ zc~191-orBPZP6?4GQAZ`+pe&?)hfE<F4G&a7da10xr?_w*u`oYopG1xwOH8p1-n`; zq8m21UeVoilj)V1-}VP-+{>ah?lQd;yOq;;R_t0%VhQ)6=zz_w7jzr)6wiv;ZGW({ z^_*@&UScu#tZ0YLt;W$O?ljHRO~`v##62xqV{_{%-GIErBJN4i9e0>!>N?~lo)J5e zqj*M4Zu^5Bt;ci?@)8TVyP`erFg+6E+n%ta^^mSWUSa`vQ#8ZoR{iJ?n_BgvA8cyX zjpn$+^g!(2wg=l=_vvQbWV$c*ZQF!Y?ucy#soYi3CvG#{6I+wB@RZn!oQHYb!P^qH zx9-yQxXE-^Y*7y5DY0kU6t=gjM|a$Anyh;u_hBw~Ui{y>r@uqrhga`=^w;Zr`0n_Z zbyJ^f+r&SuD}AnQ9sjVd^!L=a;alS`)|vjE`X;<|U)Nu*Z`xMzd+SV}YhPdgq~_`` z(bwUt<5$*+KG(L0Ut0I|nf8_Se*23)PkkA_G=5^;)o0pf@oRr(ebK(S{z{Fg)s?$G zE#V9H$1nZ)>a+Iw^;Y{I{mJ^QeRlnYn$k42qw9^@UkRsvTGRDu>de&(cv`=UKJ(e= zvnzah__X+`KUaOyKDAzL|D-=!pR|pPC)Tn4ygFn3ks8rwtB<djVOL%+wY=!F=;MHr zc-?y;<x77|e(M{$&+E_2Z`w!pX?KRVC+{=*v+9HPA+<BB->qZYFSLiLSolSFyvt=9 zx0b4>Pc=_1e`8bneC3_>yK0U;)x10Zh|Se!t99d9>sWt;%v=9&->2WJ-fQdn``W4g z6ulo_9iRG>>vVYdzDw0wpS0d<?^(a5CiJPcR{ULG*6$%N{bvT-R-XF0(lXw6=cd_O z@0OolUNL{upH+FUK51TBe#WNtndbfVOKe(yTzMZnJ9w{c>rV5~Y1+HiFREdEx_amO zC;O^CO_{a+k<<R7Poj6i^Mga{o|=WuT&cHw(Wj7ED_8lXrfTh3`DxjuYOa#i_t(}e zpLBcG_mwx-ezTeSV~X0$wH4bhZNB<0ICY-X=Be+(D(g1g;W{7OAN|zs=#Hy%*S_&# zP1C+J|CG<w)Kv$TyKUcf_f*;HTl2NHFS?ucP4nv7l=)t@T<5|{w{`vPEY!ZSa!HPB z`l?;?5BQiqUGAV~UwiXa>1xYhSvyth&_^NV!Dnr^?wa~KtY}+SZP(SVQZ2*iwSJ<O zqEADTm(7~PRiu4&?V}vl=gTjw4ccC{S@e~ElmFa*OVU=E1lRg)-EsA0NKdfoq?@PC z`Y*Qcv}@fp^<_xE{YF30XUk2ar{=lt3YEUKoa^trTc4-A^=}AP%sZO4+BCY?ZtD+` zcfno$d+l7)wJ)x{k|X*{<W1Ou=%qJbT|V_$T{~DZ@9NLV&%)-|=gQe#{U!X!zcV^F zZ|P3aS!>Vz+43XgdDzV8nKQfcwe+JC{g$S#+PCr#!~Co}uZpx!uRWC$x>NLN*yP~C zn_i#PkFHhPuC!V7Nm%kWq0ON)*2--!y0fZK``B8s?Ll|63bl`{<=bv_XH|jrp|x!N zR>dLDf(qw#73~&z7gRRw(p|3t&3nu5%&VH56m#lyaEZI;GRI`MFDv(G`=?fB@t+P3 zn6_&3lsCZz-nEfjXM&o%dG%a(gggvt^On_X-4XIAXmi!HuDh{X-!=E_TK;C<tWQ^B zr!HTkQ<|=A5M6uo)akI;ZI3oxowk-~JJX$4?^m2&`)Av$+g_)`YNFrfPEFO;iH^PL zmA`t=+7H_{Z3>;bc12F<_N!CYzS*|ww%4h!l4#ePT&Kc{qfh33O<BET?VKFe?N@Jy z<%R!^ds-d(KD2t>qrG0|LwAS2jGOvg%O?D3Txt5s<E!83T>Vi!<!Mk~_`|r;>Zxx- zw}xN57J4>Lb@tO$R^BglO?O?Hd}{F*nWNQL<}I%3|782BOmq9vdwsWJSDkqpG{bwQ z<z|stOI<9dzlu3!d^Tu-cdOpg9aEkJu5w>1ceE;G_3OamzN%QRcN#YqC-tu?p7JJe zv-?H4(CR5~152hAZ3?*)IK%s-uIYA>DN7gWxR!^^S^PvMv}(%Bz`Q;yTduDw%-xsD zv8HQkf|?rXD@|8>Z`8YbYP-mT(2d~-cj=j?ue`o=i;n1z?IP2cUMY!u<vV++$8@dI z%~xIqt?<^3b4}O0vD8HKC13ldVv(t<<Jz4{Ta4c3Ha}mX<<9y^ZmPwVd5cB*l}v0- zoeWqXes<@nuUeMjTjP#aU40eU<8B(av?_GY<;9136pKTigchvx+WYEk=!y<^UcRSV z>R(q~UKp{ysCdeQz*gs%J5QBpoI8JYwby#3y{pcK=B$e<zWO3`QTWQ8T3@s-EOc^R z?^S&Dc|hK}Pd2aeR$N?d)y}lH>+`C!t4-EB6<?jX+GxE|vFNkV>EV&D5^q{}y<cS% z9vbIbDRNKd?&399;!HcMuOwelTDksh=%jGhIM-)dCsrSeQGK?`z&*3GXv+Q2{%}h< z)u$`04lI7NE^ANM$5lsHi>&wB!}W31;nh6rwf1y<SaonU%X+5btJ7ESiMjezOD{Zk zr`89p1FQe7`?P!2doA7YkFi_ZmwjG#Y4xTYr`(-fr$fWrl=gVNU$tlThqO<7JkN%P zty*Q#Cb{bUGQ%mUd7Jihol3cS_sN@tt5asy%=GyjlUg2nH#BJ7qS-6IuhIy2yclF^ z9;|X@?!(ZcIpMZ5JwLA6p`{*vF!pQN)Y~EZgMa#6wZ1xUWyMyNdtaUwXxRq8^;>Ek zI&b9%pQ+YY=dOI?(`tS7ZOFFZtA4K5SKowe3cfm1t4!<0$`?Lgt**{l8MAznap>!i zHNk8BmR1Sh3n{GIwb%2#nqEfUtv#Kem)V?O`QT5>Z5FH0S0VXdw)|Y;wD;v1-@v+G zvR!%0?$3U;%xdq+uD2n1Mfsb4XMSI1ZBw?)Xzr>KtxGF!`5aAKWg6V;r)o8I)=H=4 zOsvex(HlIbrmZ@^(q{RqIbFr8&aO0B?qqy*=1QaGM#iGgLZ$~t`h}*gI<-<``K&o! zMXOG%Jn=^*UF*ckV?L^Bs|<o|{hnHcJ`U*#*7aLz5&9^kBUsii)gts^NJ}uUpQ?rE zjFtO*o~EwS3oe|QbvmRmn9=X6`PBO%wZWM)yYg4<UAf7pHFcGC@JrvR=A!pP!j`Qv z5}ms8UEQru!4E=$mu;H8Do;x@_@b|9s+LCZSzlH2tCLqgT2^EvdM6}5c&F{x-&5WP z@AZHCGxNRXz2#qQw*H>-F1T{uq0b@jg3IS^`W!rMdBOZ$e>p#B-k$%j?$hs;?^oVh zo-uz`t=G4eR`yT-F8MBeFL<N>K|9yyE3YqqX7lvdlsWT-=4btV`9bsAe4cu(Kb;?z zA6y<bKd4sgoPU#j<Ik6;{d@cmR%(4+X>PyqXXe@974|DDUwzRu_n&DO`b*?xaPGXM z&sSzGcbhNtSMyA8R$Wky))&nS%P-k{{dxJZf1kbSzb8*M&o8&CkE-eVyz=aPo%xq) zxXuQr&pTCf>P+wq|5&@!pCL1sYt3I&ll4jS<Z`8YqtC+6f>Y)-{aN{G`RV1yY*e2u zH}Y?-eDzWD_;RWFNi|s?HIFVAn(tKe>VxLt`6lzV{$zgEJhYr`zEBO<nc(<&pFV|5 zU%ta;>(iA7mNU%%RekFH%6-eX*c|<S<z8^)yhER^OkMtE-l=M?_nO-Np_N^yg3JAV zD^I;!xoi0n8`tk4Q<g8VG5tQ}PO$&HuIf`KgA4rk+CJTO<$cgT@2`4W(>3oc{i3sV z_mp=*mD3Jw4tW<;K5f%xk#|Acy>IG;?iP6)wAuTlUTFHt8%q<WFWP+Nbx`rNqFAqU zL2JD?>TTUM<yBDOw5H7=uY&TYImNyz(KPp-sTaCS<YiFqw4}{fW-WD_E)?r^HfW)D zqu$iCmFJh*On(*ARlM@-Qj_UUo3G4VYBb$wv&gfc>E4lgp=m2mE!CJlE5@s6<%y*y zbXa#@nX&YUj%eD-<4a|xXT@|Ct~|O_WV%-jSK-RTOL?Yi#dH;{Jh+r)I@9JW)0ghi zxtgk}=bam=RiJrb>Az{8qF3c>>Uw|F-MT$w+R_a=rKy^GmwuXdDEic?pwMZHHeI<J z6g+KH^r}2fP4A1kqN$o1-e+}Hw_lmO^wG4UO(J)K^1XM;eyyJJK5(!5Tg$HR8n*6l z<(5{5%v=0HW@`17xr^V(v{qkv8@SE=s+?=}l{bN#+^<?{ebczH_=U{Zsw;CA$MjDs z4tX88#(k~a(yEX-iyz2Lt-3OMaX`P7?WwbY%iO2RxmJbDUVKAl>$4RX7rXQa6^FbC zT;RUc^3`XJ^NX$eAK7Gm);PQPf=ubN6=xQok!h{G@-%Ro`&7$SpEOP_R_mW+qxDI{ z$lX!S^qGdCd#mNEj~d4pOZ6w&WPQ{)x>%^+$>!Aujl+w%`ju?5K4=_Vd_d;wQw@Fh zQp>K>fzf?jHe4T8>|gw+@0GRJ>A)KIx3W{8YUsGfT6(=-v1jpzzD-3TQx~t0DJ{P; zW$~N7Rn}go0!!RoExAqw7Q3I6{rY6Zj>U6iSj(^64$O1@%lFhe<b6PO+ap=8^8ve^ zU-C^&*RXMZ%2%4MVeR~ouhe?V+kmak7x_%Br@RR$ZR?WdD$}rX-pgm2u5o?g6P~M9 zBCi8hJFnyuP1mq+Uds11P2<W!zxE>IDK7(-I#1-gnx<jqyjC)+MC0PZD?FlBA}<0m z+kVNMDqeAJp+);G8Lwgu6X&^-TE!a1&WU`bmQ$Vvq_(Y+=_*=ra-m9lmrU20fC<jM zl3r&565Fa|P8F^=wosxyO2(^D?d(FKcBelwuL?8{FXYP4lIbc~ad06^JCpI1=?nMp zTus%`bI#p)^5e4O3z^!PrpUZ{zszWp^H1KZ=95*^p7~DSxp?DV=ggU!d@p^LI)CKd zY92Ce;f6C$tglR6_@(WXG*`abMN{X<nVFvoR%kf~7EY0Q^=_Ht3QhA=$y0eNcFkVI zqnfI*YxbLOZCyqpQx-mJn<V|}WI&;_r6kuowL=T<<ps^n{HnHn_7aDkcfb6+{N8_` z{nvk6)IY1=oB!p`lgi5)(&k+~=AHkx{GR;Izq0Po=iqn#<#n4r3%~OXt=nb0xH9;; z|2F%p|6G1we&fH%{_0Q7Z|XPZzpx2Q`1tbca!dQ2|5TnYzcxRjKI*UM*X0)W2Y+gQ zU2bl_@L$QV$+PGC)NB3i{Ic8(Tug$R%>R}=TYh1_L%rAM%g_Di*_Zx1^7HaD|5^46 zf4)59Khu8ZPtMQFPtVt>*ZLg%)PIV-??08F!B6~C>YDy!p7Bqr<N6FOKac#l{K&t{ zUiDwgkIN7J+w4XEx%{~N09HE3)xG*8JbnJQKO#SbANbeX|NML9`{Zf!f7IRjt$Er% zqHfox$@ly#?H~S4dAeN7KJe$ucgr>HZ~hJWK6%Rg7j<2qgs04ZRyXPQ%ai_v_Le_6 z-!0!h|4!MjyC=(+-<$jG&5_-c=gloEzjRmgykAAxsk<-F`IVI&y8E(B{r23f@<n$u z%hYeqef36Ux9}UklCrA1ndki0S)aVQvQ+)r+_3VXyPD_x3d+3hzC7!<!g}tzCu!=J z=X#YZ-CcRsFQ+VO^W_(Qi>z1P)GSfIF!$1%FFPkc_nT{7_-@P2$<O>U%B(gAKl4i~ zJ9Ot|k^1Smr{09@6n^SA+1m4+$WGyza}~;^Hcy^0_t2X!J0?H&>$Ns~w`IrVM}D2w zitkExOn&IsYAyKAWXI$OehFp2Hcg&Bch{REsmpb(S>LhjxP0HQ&id=yC8_HB=6)+X zwMlr|+;wl3Y!ANYS79A_bLA<&kg{F3Gf(-ISzmptlB&LI?xHs=smpiHeNtAnDfo_` zUs==bm$~ZOXV>L_+Pm`mGF$VH_m(_gc6WA3{;6W&d9#c1cNI^bJG&r%*WQ!gmff12 zkw0s%=eK26=11?bR143Y9hX0=c=BuCqP(oVonMz-ogI?jRV+Mbc2Is*vG6P3<>otg zp8T@x(rl0XtYYEWv#;GTsha%4H!F{8FXxwKCg!#Go>T@u_nl*&dv8gl@T}SA?o6qi zJae`|{;oYIKP@vd554D7dHIR&By-n$F3;3X%szHU<=HX=bK84QDuN&T_L%G5TT&7H z$hX5>_FhUw@I&7gbKZL@6~Z%S@4NHl=`ua@!kw9?eH+ag?|mtseBZa$JacE~`(=A) zZ@Sa+beXpK%ezy`h41-><*h0bo;v$o-mId@cYRCEJ$H7#Tc%;|xHI#e+RoYY?ue96 zo;>?OUe)fCxy$a)tVw@0H}kyD9^;oYGt1TP&ir(yC4JeQneWb|q^sSTnU}t4?#eQ? zTQk$rC(T`X&S#_X!E-L@%dXFScIJuI<T*2=(v#+9ma1Ky`REKw`m!rC@11F}y8O~7 zFU@G~$`Z9pGjE+alD5p$xc8ij)#O<-ozj`+YM%9(Z(Moqily*#pV`Kl=UUR185^gb zOG#5ZGgB{p)0~xOe5M))o-?tW{KRLX@x+;&MavA0J7;R1@##0VJa@%H__0s7vF5oc z7Q&Bw+Kna8g;)qb^l3KcJjar@Oy9WjCri|fY2EAfwyZPMU&G|;TDa>%M_13OJqIov z+q0pitK-m&U1yG@F4Hw;J;!2k`Myt`@z=9UQq}g&{FZjgNO;=Jb!V2C2jBClFpiwL z@{~_V+OFA|r+mtcubx#&RogXl(HWN1Wjkj+NvkpnzT@MU)-?NNuG)6(KXC`YbL|bk zQOtTz`^C;f??g-1Pk7E%v2H?jt9AH>V%9s_cj69y<Jua&VDF(fqABYGo^x#sZ`jK; zS9?!f;yKZn^$O3q)`lnSJ@iU6WWB(1u7Y(Fs#?v%9rhl2DS9X7;1{l?;R<`5W@~TQ zd1#h)Pn_cyuB>$eRje1Zm+U<BT-0X$g-X_Q+BtEKpSd#D6+Gjb8E#O_dPX}Y?%*e` z)O7)stf#bn;v7G5O$=8kW<8<Zv$JW2cFWE~k40tHU#MU`rmYj__>rqK++ok5hoU^| z11eY#Y4gN6e&9-2_u(m5+`0=-xnkCBc*@ln&amguebGPbE|jzChCkSS=$`1N7{=40 z>tY<=b5({P*xfW$J7g!*RP8&liKj&0tP3b-)eK*-+i8ln!_Gr@M4znt@Pw-{yr776 zhxVS>gSlM$gFno5dN1<Lr?H%?YS{*B*1MWdW-`sweB$@;oX8s=#&WLh!5ii}y%ot= zZeY!NQ}fD9r#B)A%N^3W)(6ipX1%U?Vy4q;k%;9RtXQvV9+}BBM{|ka!?Pj*%L}Yn z&4Uf*I=vL}SbiamD`(k;G_J+L0&|;YX}0(=mT+Y*`(Vj>UbDonv6yRi@P#={voz<- zbb2Oo#)q+(YkKg8IZiV*L;M<xxF!crnB(+B<b)4n5m)jug*2|jWgje9k87IvH5PJp z2M5e?dL$ySyugC>u%?J#V*yulu)rLq8JaaSo2F})%w(FbnKRSrfe6F$59X}<HNW^e zo)-DBY=e2LPVfPvR_)*mvz_jVd{~x{$`!iIA(g8<c!m+{Zp|ycj;BOkEE7oO3Rq@f z&bm|6W~S2}kvTq$d0hFy1=WY%3)jrQ@Vmv<|3PijJoPtrj^8;e=QTX%-08pLGmEwV zf!afFg>&Wy{ARhOe#Xx68)xY}f!{1Q)Gt&XdM*6S=HOS(qInm7v0PJMW9Rslvv3~6 zbIz6i6`xtIsL!!GcvjeFe!wr5OX^!HnP#i6sXX*T*k%5LXPgWD8ETzosn^&Y{LDGm z|3S^6XTm1)8=i5d&zta*#n}Hq&7r5lI`adbaZdGLP;=;su*!UapDafH4K;^msCQI4 z%}}?oJNS{a*FT}=&?8}y`4fJy98s6CJNSXK)nB3J&;wzX`3%oE<L5ni${9QFz*ElX zc>+IJ4ygaJJ@}rp*8jpMmVN4PY!y!nZ?JiIO8CpX3Ex@vs7F*fO;r!5Jakuhna#m> zoTdH~KC$dlcd2BWqJGBK@g1lCJb~{l>i!MYhi(hsnf75f%YD@^dWq+SDyA<;=iKdm zA=YW0>Vrt9cS7%U4xSS#m|n1(<+kb-y~Z-m&E6AYo#v_@(PJ#*ESdIUSBs^0L2T0; z)h&9BrJSq117e-#s2+%PdL?vEhq07%xp%>4mdmPB^cqVzbEh3h<IJ9Bu#4rQ>WWCG z7eWrxC!}%C_x=#$^jyed`i7k>XH^$O9-66|qId9&kiqnVoh+wSr$jnE71Ee)u#@Ga zs*7IZ86kz~25Fp0(*)8u`@IWdoE{5FOy97B<*2HP9%CVAySG7%(?cPS=>|Jk4yrap z9-6LN5$QBtwIK4)G*uQo#sbcI?+?*V_l36TH0E<wd!N|EqT{_|6U$!JC%TOJoMF=f zQaMAW6>M+O^xhEdbXRDJPGcTt;53F*&SLKg(T66h9??B`Qs}|758GI_EB}!@_?=^~ z`;B6jd&(~?54{sC>7VeNqoQv@b&Iw8hGLdG%6H@re&g8czQFd-8^M(Rfae?=-5YF~ z<|^-zOFSnS)35NHW379F?V(qKA^if+ISTqFRJEA9JJ=q2DR@Wb;1`ai?h3X}vz0ek z9-5`xBj@;qBdbrKisgdx63au+V>9BTW1=&X<04#LnLq70wB?vmh1|l=9CO@n*fc#8 zydd-NjG$3}!ZVH;?mKLn?y2wF(bcu?*r_8I^khU!A0$<_7`d;oVR|a4)~`_6;-Q@y zmmsM$!IJ5T;4vA;GlGX?9)9HLbI-70dMqf`zo4S!h_Zs*!w(#7?iw~s4+Yu!6)IQ^ zrdKwC4lxzdy43aIDMwu2g{K@beH)%~G`cg`9J(*~r|&{Ji>~_v>qGYhH_0%b7F;Le z_@1NE{eX4TROJv$rm4zzWD`$`Z}aP^1R0~GCDiOt$+BDdimc-)!54i3PdNgV&d55x z<M3|_sBGDxY+=cCNAO<X1GAQMihuYN^Eu{JID5!6y%(rz-;mC+$9acL(>z5FzQ#h1 z-Od+eo#rV%kaT({@Q&x;Ie~)q0&AArihp<y`kxVy+0AqCl)$&P38@@2oL4MujXorM z=(fO}cYCJ%7MeHx)0=%uo~z!Q{_V%UFV-wt&J&_neV+8jd-GQPoBKq5UwZ4kC_66c z^QG6`tMxbTyYlMSr8#E$D=Sa(X1(;zk29*(G(G3NO22hq$}`o2)0Ir)Z`E{uUTS@Q z`lUTvKnD{W#V@M%?7Ho>PygoCy(Q07Pfo3hU!?PS(o^s0`iWY9*KK*e^yGAfc%{#m zo_iPSXG(q+n!0Z~TfER`)iYkRwF}o>**WRC*L>~FbyLz*&9zUiGubWl)@!Tw&2=Ta zg`RoM)Ses3dDbf}j44*LMAcNgbDhbqOHy~HhJ;7OzARC-T%&zAQnOsuM*C@`XZg~* zQ=`J2K&KQ}g>Bj_^q#-y^PdIZ*mv)-fAKf=<lDCg<y3d?*3yprc~I7(tZu?Cp~qgu z+M@p)t{WIm>bci!%Ac3``pmk0{}jFyt6Hi1hfVs;_`<8AA;-hlKip}~;jSGPYKE72 zmMu|hxv6-9Z{azCq;>{t7Axlp*+X*_cknr$6NqX*VAXO}aRr~^Ie`Z}ilrR+Z4Fi} zmlbzNHoX+^YIm?|F>_8ZZZUP1kZpP)aD_*)gkyogC7y@H9P^xC$S^$@Fl%36$ztMc zVcc>?afT$*GXcH!1j`m9=M^$cPX*N46)am$C{B=MdLnR)$MKB7A)beY9DU9iGE9#J z#M&2Fv>Z`X;CooW(dMin!}L&qtzE%_#lZQ85sSX_3nLai=Nm>X2NW6j9_Dk@Ilqu@ znx^=HH!+_hvaKPNBfM>adCMNf2fPPQ39RC2JSFh1%^;Pd%z1@$(-g%Eyo#p;7VsqI zaTGaM7`5zBw2)-FBXF<n!M98Az4z&V-M0mlzP{|)@_W)d@5;DCpM&0cm&a}TEcDKM zyZ+66A-{#*dT-W0xi94T(i_tg;unDuTX9@bt!8Ck<8z6f$9EL-SRX%Nd+e=YPJduE z&#mM$a?al*O8W$>d2S?MusrtK@R`ivuM$Ol7pr)#C9jcl{wh(}$M{@g<?)JQo-4_7 z<PM)T^yv?*;<=Q(#gc7y@*2xyFAQD!7e13%c$~r3ZB}xP+~Lm>bB{l;IrhxZq`&c* zM0(%EN*?3m2W*Z#HPq=3d?qpV_yU__PYhN11uJ=sjyKpGn~~gM={6(TM(*%OiQeN0 zHpd<riu6yc;5m{kBX{_NMC)+{n_~|QS^62DNyPU(d@2#!ckro1be~`a&w=DWvWMSG z)E>W3#IrB?jjZx%!woWzPZ@scn^?}XCpp5>ZEA9W<*~bl%VZ9}lPEntp@?T!vWq3# zl;ktA&hI4r`vl8*)Q>k<AG>XMr|qLP&;7(Ne97kxD%uyOOYA;;LDp?v;sZ&ycLwix z4xck9XfL$pxt(~0uenTO^Wh1yZgUfl@G+N3l(c=c>ajdrAlo)4aSLB_sl@8T0kUp$ z5)Vkay)wAR!(1w{{BVIW&*j7^e9a{ixorp2B(mEKt#~dbu8?$lVc^g{F->Cr;SVxy z&kZcvH(K(XO<W*(Y-VB#-{CU`2JMBGJf{<<NV+{W&}cWb<T;t>!q<GpK%w0*O(LmH zFioQWaDj~5V*`oyjTSsd6IJ+_3nkhQ8_2jlG~j4AwBR|I*dTdqdSZp7+w{Z&$z#(J zS@@U>B<c@;kaoLou!W~NU!wZ(2_qhz!#j+4_9i~zWzLrfYYR-32x%)c@6kNGLE7!E z!4jV4Jc+<I##D*o!xN;BO-?+*d-$ZmgSL-mJlo^{{5$kreDD4npSkbFzxa9doqkFE z#OLA_brXMgTkqfSnfp%soqvbEiErJ%;P25l`YH8+&&4<HZ}`hPH-68*q;vW)^@`8M z*X~dFd-RolNWI{5@q)UEzq-x$JN!NRQvc4MLtn&~?pOHhIy-*D&!e;Ad;U3n5znd< z{Kb7Ce#y_H&-HEUFaG2{7oYRb>9cr7UEwqFnfnbsbDxQi`FH4(cxqkXPwrFkKL4CP ziBH_G@R|EWe9zC;8SyPYk3QCyslWJx`&hirKc|o4o%<dB9DS(IQy=()`%pa3Kc^4k z33VTzipSMmd@3GOxACcX<9>!eNAK(Zsk``{TX+A1-$(E1Z~DV@T7TUir}yHO`w#qX zof;qVlXYtRoxe$^^xxD4e&^QQzu>p)lz4}qNAKuAsr&duys*D^uEXU=hnS?boQf}9 zbv>r!5O^W-efs`_s&@^wy`FmuK5_4e-}Cp-+s*gS*Vw=Qn{z&W&-|A^bG{qjJ^!hu z?fK?A=il*sGgW)E=FHagGcRJUaY;w3cc12dedb*Hj`?@%(tbz2P2V#AY@OQg$hqf} z?3@4goJ-rdW%kXyw$;0D&O7@hC+xY7p8lrI*UvwzS@!bR%sJ<M?2DOy-h7olb^cPt z&ylaw`{%dbeDl@#+Ib`U?ms@Cj6)6QXa3aruvvG0;m1#sGtTqaTi>~}rrbFG()n98 z(|*i+kRJKKF8B}6m(7|XcB?<loO=F)-R0kJPNzrMasTx>o4#m%Z{4<M#%A-U)|EXq zwmWp5%Rc%~&&9XKyU*XVEB+KY=T3U@{HwLkc1XTS+c^8`#=Sh#&ZX^~{Wfpe^G(;z z)|v0#9GUezect@qx^3HU&bF9en73`0<m<Fmvk%_P>5`W?yz{Dgfy2j`6E|~;jnADs zYY^>g-n^;%@~+qyuWmk0+kC-n_Qs#qn{Q4#D`xJ!dFHIx2YG6{ZmxKmmSV=eN9K>q z(oNmB&)!+HA+Ie>eBJsDvpsLR&b@ioq<rG6+3DrRJ1l13e5+KpS);Ii_l`~6XN}8> zi!#a>t!AYP^PNd}sK@hqNzAwEneQL!sg+OM!F}9SW4D6{v*gVk-FspK!lK?iGWt*` z9<6t~_s*MdMt4tth*7I<KbN*=Ygv)HqxtF0lJic-*naMjnihD^>6qnV)i3>L#CL>D z$XzI^p*6`S`mfK|%@*?y{?z%p*?j)Oy0Tw0XP@`6*Z$k{WwY7*jX!hFrZ1k~S-0%j z<_qT??7ctV1O-!R-LaoHpQX>5zwqaqGwCzu&-}^rdGqP>I`-P1BcG;EneSVt_A~NH zdWv21pPV!4Np{?yZ$3`%n{Qfo?8nVV>0R?x>)L+Ye3;%gU$oBc$IS=nP4gRnzB!#9 zXZQM(<n;5~YQ%m>K1i>h|Fibm_nFhq|FFCLTL%=?yFbmmmtHylVQt#e&06yVf4+IQ zS#$o)+OY34r<{Lb*ZoOy%K2w@lYhTCnO->G@+Z%`&D+o4G5dY@O!?+}XTRkf+dXsM z*)sFXcXiIERhXT=`{rC)nc3mHZ_12spUpB~d^e}e_~zMHIbypd-=vk8Ro~4ym$q*9 z$(w6Rjjx>zGY`J2b1tpG%=_+}vuP`4&&_+5W_<aqm$~xYHD}Xu%%V5ne37<j_R5<& zCB_%dUds8lbLR83xw8xNw(XqxEG@&#dUNEnv^2BBcit2kpFVpkCv2zW)3nL6J@dqN zO3pm1U@pCR=8Ut4a=z`D`8ch2wqf439Wx)Lb<S4IE88*iVOr~K!924aGasZSnEl=~ zbNbm`Imc2r>&|A)W7~1_ep=n^uer-ojrX1XW_Eg$<g~Nva+Yn6yq8unJM!k5Q)wY) zyKm>5N-LXvHCHXwc-PrQIc%w$cb<J>R=p|mPMV)t^X)ge#@l26ygO7bzIXSH&D{55 zU)((UPOqeVV!C)m*~H!5*1I=s=Drhq=iQ+)@vXZT+&%h6FQq&%U3}y2hP$kDWB0sE zI;R&?u9z;qc6Y+vqp$Qr$_3NK3(6+$>Nel)aQEm-y*qCXm549ht#H?McI<|mM`y+M zymKlM&ngq##eE@m$<3qB^=!&7?&LlfoAb`8SUjVwFim{sZiCI-XJTXC9V!w}EeqVq zeJa-Hol}wc#N7&;xlhFQ+-#i@+j8^hV?CMji#xcF#p=9sDirVB?QrMlLp`4Iz#ZI& zVtL*<6^JL4eM}XPE4!E~9#gh4RlIRG!=0n|_5PGy+|I4L`@!v__w+WsVLGk1?u}Ev zc;)T`w_B&ihTLSG8hht$(kZ<+Wr5qdHFqz#?K&ma;pWjhdQZwerid5rF4)AqBX-Z* zLvJ_TKV75$dT-A8)IHN*?#%gabocb9n6~Ge?wo!XllI)`⪈&3o5;GrDy;O@H#< zHRn<{PCppu_I%Uz)6Zg_Rn44pI!ZrzZ_ZbvtEV5uusz>&<@CLnwyK*iQ}gtU_pbS3 zbm{c1m}Ad2nNIJGQ>&Ue>$H<T^In~^sq?2-#$BtFe4aXcdS+bPvrWd+Q{&Q}8J#(; zr@wj6nlq_Wrw7KFRnB~pI&u2Mojjj58BXuqsdFZ^f4XJdwF=3{som2x<EB+eK1yw$ zE*TeAA^9-1c{*nt+p|sj(<^tbIh`7#ce^O^L2ASFzkf}S9es5A^okWHe8U4TUYvFE zMvR%cWadigO=i0$zh%6;CfH4!{nOX)UwCDXpK!BjZ;AQC^|tQhvr8ZR)UN-UG3WT3 zUwZP|COj@RKHggM=V=t@C+**tTv*h7Rxi!{TgleGbj6e>DN9w;(q{PC*snKOYslp4 zye+kK`psCi@|%-So`_LPH8RW9E8czPWNP8`v$1aGH)q*Se%W+s;@;2Jzp5nfr0kpS zxY1ZL^W{rvlgV>4Q|9;t7JU>jJTt?|U~=hAqnqM-;cACBu^-Lbq%rxVuUYx;)84Cp zNTg)EN#2ldbk^qC&l#_i^Q~S_m|6Sh(~MWitNS<FrG1|vb1>}i@dH~b-v~_Pewn<Y zU(oK@vyB$fa+iZx-*4PwWgGEL?s9cc{`uR<CEY*YeX>(a&D&_+zw>V4rW@~*{l#9_ z>f{-QTQA>IR3zRU%VT=(Rnq#cbLTGromi~qaVm3ZS9+qxJ^8R1Gp^mZ*=e|i(baiJ zN`~6&IXs&`ecq%o`KRAEY4gbUDYGUw#(gupx#VujhRIiF=9F!UvYI?`W>1Nc`Q(Lu zW>%5!QYzKDH(N!%PFc5mva4U3#mvVkNowA6dOmL2ck+<WHr4{8Lnn9nJbP-SJ2`P@ z&-+b#PjB*>_S8scdhAZ0_nY>d{-C$HD01rQ6)|PyH>VtTk`Mko<3;k~{<W2FzHPMa z|7z#<d&b-3V!6Y$JYNj0`|nojeBXHMxR1PbEzh~+P5o!>%Dzj?JpRGv*oz-G9w*Q3 zPqh2?GeXL+zqgX-lcB3_zoMI*pPk#!h^NV^a+_=3Y&xAhX;=SSyJgQe+Vn5A6H6;M zymvH2zWURQDaW5JvT+mtK0Dp;*0rNq^3~C2wixxO&%YlR_h`Yhq+qet(yZKih9*2Q z@iDQku8hZ~+>8w?pE>393%%|l$tkCw=}q4K29!c9ck;a3wEgrQwcm5klyACs@|({w z>zVUTmZ@K!t8+f3Lhbb2H|J8y)DF*mQ)YDgWS08kxjAJ<H&4Fu5wn(jlTxBqJvZlE z%DTxXXRawVx^^;5J$SCpxs(Dm@40WzrmUDe*Y8=H(dCm~>dJH1oK4A5i#ERbB4yF! zl{0lpj4qtK<nzsP=JS-flMDT}S<ZZxlA&g89QiCIP3`cUH$_ILPoDA#vy^<AGI_G6 zpO~fO%##Z0(#A7qoIK?74HR#^lMVg0S<HNt(m7etugqfR!<5#^f_`QeGasZRsQosY zIsN1=pJS<;bSJa=v02=_pHesZtM9T@qkSj8shu{GoOW`Z&ocAKdnpx@BWJETl@g-1 zdv?yLl(NZJebrKpcAZ@0!<M>f=gB8()kcwbQvB4KXTQlc+J3xF{&Ve`?;CCVKY}9V z?(q`&)1M{g9WRpK{dvaR;|21&YtMY!c<XqE{OnqvZyT-pkJ_>QmY91yPJZ_18LyLz z<g#mfzHYpFJVd_xv&5X^LGsm~C0->j@84N@=F7%Q$35h;KTFI$e$B@0*Nhj*S#sR9 zJYP1N^w-)w`x)^(c}{<>-Ljt&vyPv$nf7zW%;N^~yKByT+Gx}tYUlRz#*^ep{jPRy z&kRo-KW3x$Y@<QHt=+R95s#C5`gQG={fKy!+|e&<m-Zv#VRB19ubtWti5bWD**tr? zQLn$SGUs%1V?U$ax9>CVC)f68R`$H#xcB%bo3^JLwfkS%PWvu#FF8zZ^(Tp`$KT1# z{xsuma%sP3WzV~f8vTxyIqwX29-n6;_I<|W;}7JjtIy<aynnPt{B>;3`J_GFFC%lx z4euWPq|=tZ@y^kAI%(;KcaG+XZ;oA4W_aspn)u|{HRqBxb|2JpOW%0?=rf&XyJpNe z8YP|_n^S6d_2?rVw)Blxj^5L0+jZk*Ql6M`?3xn8OGj_%98241+TE+Cwrj?$qfX+? zu{vjy=66@>UE3+~JZW}!re0gxM&s^Oy|gsLGe`BrH^;0wlQgwEP|s}Vj3-GGyC+8S z6m2x@?u^tqlhogBsdsIM#N(vyZcV*uJ0u<@wRcPEh3$}dnAF_OsmGSKQNOz~a?R<a z7_r-%A|50)bpO?Twmss0Qk2-^=$!nGI^BtpZ%!pubwAZ@+dgCJ(N#KbsT+46U8a+^ zJ>ss!j(t0Jv4{xXY<=og_;~5wqq5*!xp<LKQjpl<Xq{6@0b;YGeeyP{cOTV#mSVW$ z=smGw>o?yG?jNq=zg;ZxKCz1Lwyn?i4L0o$Ep@(cux`I;$@6`~?ZY|zt8II}ZMb<j zg@1K%#GAwo?H47P+l1p|VjW#wwJz@4!6Wkb%hm<9Z@zA@Y~LxT_FQaZ`&PMQRovI3 zx7=&`y5Z{Kko<{N5pxbdkeOC>V|MhMdymfQ1{@C9Z*x!Sj4oTg<BAGy{n)&NJ7$z~ z@7)`nv6D4^YV;Y;gR6J%ZoR9!;*OHun=c0D?K9=Vsw7?}=JF*M-<WmSjbGT-=WOD_ z_C~pB&o-PtY{UQBrswm9vxiOior`bGJZ!{%+IYshgze3H<<inNm^aVm6H7M;xqk53 z0ZE@ygWziiqu8Zod(I|gU*S=!l6aOly**Mc?AeA>hc)<T^GvqU`D9?!?kH#W%)qd{ z)$&c@29xGixn~v;&k}o^73Gdu%y^pE-L5G&twQ2a!h+_xmTx{796rp&uPmceWN_** z8^5p&&)LKTzTZO6o^H@<FO)o!zhVF3Z8BmN5)Tr}>%ab6YCS{5K&xc;o_&?|Px-#3 zrRHtWs%W;9d~-4(@ZYbcwalHr!k;9Rw*QrVRvvLbF^cc8b<X<@I_-&;Z%!pvwLg_@ zE1xm-@G2R%ryF)3UdADpRvvLTF^F%mwa%%;0KVDQKJPZDw;z>#_QYVv;d^|=yN|xt zt;xSw-EF)5!QR$+(QnQ<ZEt<2`{@qTdEKJ?!slXTd5zWGx1(>|Tl8&%*p}@x_O`y! zeRW6ao7jf!3yQn1N1xf*`datNokd^8)@+a1+xklP(H*99x<UCLpNXy5Zn3v@cJz#U zi@u00*`86%ZMHq4xcg#s$33UBx=#6wRoy1rf9z>}u4|Qlu(JDXbjCfVv%2T*D18=7 z%WJIcJ{=u#Z_ycDt$fGI?vv3T_mn<~rQ|VIb{lRNDDF1cUa_b3v9479!HVvq(Hi%Z zK8kg0x7gGAP?sy;v7-B6G{-%rGrIfk96GJL>rT^Y-EDW2K8Q7J|FOIEzV5HQg-^w5 zw%^$8IxRY3=h3OrFYYS67pvI5p{QGH`+}nG-O)ZfTkq<=%2RwQR<gaLh+AX(jNPqw zbm!esdMD<W$5`IIJ$eW4H|rVi6ZSU0mFy`uux)<Jx6C?X-oXz%)2wgIJ@|&F&HBdM zgl)}N`P{5;yh+&9d{t7X%;3hs7d+prZp=9t!#>$K;&sBB=CypwtRm(de84l!>c;GY z0qoYYXU--pYo5yIW)(5};0>N_X&WvcbYTxRj(Cx<pn0j}n_`3W2d&s2%j6UroIQAf zrz~y5nS*C|+AME8O_<g^RdP*{!Ks64?2|#M&8XRt&n(Tru(?(8O`*Z@gHr6tGC73? zM-K|IJIlN&FgSdWi(OeJr@-Lg!2>+sQVsN*OC@_wCq%Px%kUIz*njX3+iPi`(+M@r zZ+WMs8t62~O8Vq)*mLj$+h(JPsRvi^l$qa{a_|k?YH6QS2_?<0l02spiknaJeoNV~ z<KP?~HuD>|6Y}c+{(Jg6{C#}&zej()&&ThsfBA1JXjJCuztZRW*7XnnmHwXoHhydU z#eb&1r@x6W{nz!E`<uR1{oa43&-JhGfAZ(*FY(v$tLs<(6Me34QNQ%x*Jt`y_WS)W z`aJz*{L=b~|E@mMH>+R!Gy9AF#r;?Qi2f3P5uf?**Pqj$*Pq*O@&DEz@6Y-s^>cq} zf7UmyPyAQ<bNbWx)PJk~bbnfZa=*&|u0P#p;wRMi{`5W*pZKrp&*_irkL{QEAN9xk z<N71}1^!$8IsIY%q5T~HrT%z-&^M^B{dxLyeC)qRpRP~a&-9<^&+GU4`}hC)cj=S( z{rKwo)Suj^<HP@5`mOz5f6x9ke?p(?Yt`TVYx-1w_x@M^CVdjW8z1<u>C^Q)@kRBv zKegZKtJfd=`}N!O+d6gW53RfJMZTHI`d;VDnWS>DUFDn_Qj`APQa>YpFmeswFNa?? zH`Uj@RWs(CoH8+8tRiiqb+`5A4aVGeBJZ3#R3^4{^MbiY-{_>I2d0Z{+}tpib#COI zb4llPV$v1U*B|Bk{aP$|2UGX8$PIlnj;N+>{MBjtX3Mqc#TG|nR#zO2-F)MSPDr|7 zx>!NlM5}J|%?@*qzSOyM=1_^)(#;BUU1vvbn0a(oWY0OL60xi_K`ZVHkxOPCeXe68 zKil=Wjz#*$Op}%eEZRR_%x~n|(9kTli@Vq1;`w{6hGH?yUDrMt32qDBru4>T=1mDM zlO;+_afwmMZZlI<6ptF{*==$N;ki7Abynn@nXb=t&YWQ?7Ms3#!yMO{ks;@rio_;w zo-oJtiOz{LOhsbJX^LrLiD@4#xQ|DgoNFo+>)sqN$MunpKzgAC_u)v9b4>+e&6@@0 zu+E6Anb|u1aKsNrxf{z>``2^Ln=2!-%V3kC5%|&~@xKWdQ^jJ^Hl~U-Zf2Ns^uErY zw2S84x|<)&K6+1Q(;24II_u6j<%?BrJ}|p=YGlYv)~S(q&L*AGd6O1s&aJt5!EDzl zkq$GD-qCrI_Ay1QaC3nX_m0RtXAiwyw_uS{-2UpH@2>8?K5uWu_e-0vzl+;d{q!dH z`M90ccW<6P7q_GO?z`08;cw%%RG)pPx;uRC-lXqMce~HUt*<_N^K_}cW%br~M|WL+ z6}PJT=uPf(aVx9$-sCP_e|hhnH>tbAU&bw|-g>k9Y+Uv?sk`1K`li*b?^t(*&)RGE z{nMS*#ro&=nti`?hx=??`nOYePM?XJQ62j(b!Yg@y;|QF-N`P}Ke<=wyV7RyCvnN& zgf@rI*emzF=+5dw{bPH@z6agWF4RA=m+!mLoz(^UhxW347rMiJCNBQlr%mD0_wIPJ zHFf=gy$s)f-9DYae&60LZ;o!ielITa+o4U@r|$jo?bL1Ve0}ZeP)X+N;@A6McIxXf zyK<a479b(27`vgtO>A0{j<<Jqw?km#2Ich{)fa8Ii;Jiilz)A;^K|HUKG}%KYX|o3 z{_*Qd&n8aS&7G0YjCfKOCoB{fy<}E>_pRwuJ&RS<H}AHFg?w>7zB*4|v-;v&(Nukn z>a%ZEw_l&U_tCeaP2zXr@~d~={aQW!eeB-yw>!JP>)DpSy|=VFeBSO4ccxZfpS%0b zo!08>Z)3NWU%lsAef>@Brt+&hwZG}z*!|+p*Q)DtcE`M*R2=>~c1`)(drPar=j?uP zXKK~;*}DVYTkSo4Hg;M0)O)T~;j?$&xU==yx{JGA-Uk(jzldE>zI5m7&wA%~TfKj@ zC;PMB+1(fJls;Q`X7`ypt(DiG#!f4rx^wj>y;Hl@-cQ=2{YlTL-0`02Gd;ud)}60E z>K)%L^*(7&_D8*=yM^96?Rot{@9=J}_ey)RKj<CYec;a5r+WJ3r8~P%$40;7+Qa=} z-TvKw-o4uGeLA+L{H^V?ug1?^#IFSe28K`Dz2Q#jQ@y>rKfOD&`}C<ewL{>0xx5@h zL=+ElZZh1cqo>cB$kruL61ubdRBU;<@6OZj*6rH8<c@23_>|oX?wFQOzZ2{Ku50({ zld%Qmd+$E|)^$Jp$G%11MXTcu{^qj%-BWo~?}>Iv{KV&?6>$@PcUiCB@R{pQ_?>-+ zzKL#Kzo7Q$8|{?%z~`bH*EiI%&JEwQFX^0iOuXWA(Y5OnYLC9s4v80hE?N*b@mH7m zdWYJhFSYONIrK$z>3W4)*V*A4Dv!<z@7d?{MKmi;@E6yG@FkT;pKII1U;N2+E<9(S z(`V6)xWZ?mGuIn@=JGkXZ@+_Htc<Fqq58oJ!<wT{wRPeHpNURgzo6#m6K$1v!Jk}4 z>l<p0&Is?Qbe$1yv+vMH(cbk5HAf$5i^Na-!F42DX5XO?qOI!{YK}h8W{GEfCK@02 z@Tq8Q+`*@!(Q$%5xDJH>*?Z`{Xzlt7pSbpgzuBvFT6@EuN2j#E#7+FpwI@8H(sgQh zK;_Z9+ROGFdM8@Ce!?fNUEwa3tW(0z>~(r4>K`ZgolAXvL-o<y+IPY}?&i85`ej|x zd98}@h0iy{itb*0A=Y(X=z~btcUte(96F~}AYT1Oto`hvOkU|{1?{$f5(V0S98n4@ z+|6}6^vb%XGSSVeC&aqW4L!1ssZ6va?BlL3%hd(3t#d-RtZOP2UA;OW)^$$kfk@X^ zTKCp4m5MH3U9g$!a_E$GO(mkaVF%Mhv%?H`aa{~u5$XCu%OQMXn&|x1A7WgeYgvSE z+{tw|bV20NnV~7`4xQ05cwQyKENK~OIeoEen%b<hJAYWPN{1JMc1cW$bbYF&5pKAX z>tv|Qx~4N)3gL!nqDf(bX`=nB3u0U!Ye|G}+`)A;RAn7gp=kSRgBaI`S{&hqJGc&p zHbfqs9$FFUIz6-?^60csmUT=8qV=mkM7!SC+Ono8U$lDliA`KOt9NYT+8g?0EmOW| zSXf}HXh>M$_AbrU8=_tBYAspQlqVV(#+WKvym~_P(aE7l)*d>k^&sryHm>bE>z;p_ zySjXxZSlu*OP}j)VOVL`{9EAN<?ZLE9Ih1*m6ZK1$ZUDdyQ%uG=&^ixgWnAQ&Th4y z{w}8S*&*ZbcQNJ9HW`b*i`ia$^IWL4_}iGx#V5~&rmwrPGvWCn<Lj?uik}tD^*$G~ zws_;Ytya@t#S}hkG7f(glmE<V?&}gg^WvH3LaoGK#^gRrGQK`*r`vO(x!z}E78W<2 zo0_)n{7#$aujX_YuRFWb<hhga^_e@3o*Nm9KZ}`O9C<D@ZQZGz8qa6V@h)0-V&{o7 ztd`ej>^yQtG;Q7Soifj}=5!aXJGxWkxz`--!gYst@;uj?(_OIc;7*q3Ovcxz@7#0d zYO0=Iaqdj*0=)w}|2_LOdv(5^Zt=&nTg}6#?c8vtG*xf!&QH${%|3l9CiK}Nqw9BL zf}d@gy*f`%v-sj!(NsN+;<IN}&96`1`RG}Zk@%gM{NkN+AAJ|uxBP|e(Rsli<~g0$ zEc0Jj-DR`<KylZd;5(MBbAunud-P3Y+wv8*tZy~b{1vOatd@7oJ?Wcw`}j$_!rwNU zMz^zn?|A!p8~+_QwxS8GHYrN0V;5*RXuZ*VvBq<AhtCby1v^cQE4z$m&Ee?kSeLPI zSLNygU4xCw3sRh)tvwmslUWn<`h!T~HKQ1Ap^rL;H*ZThdvVpGspVbQgU?uAd17<) zzUD7K#xxzTHU4^<yY@W&5q39fZ@%q3)#o}lHy3S=@qcK3ZQf?4^Pi-<zpv3*exZo# zz~%*W4t*6V^1E2YbuD<!Jg2WBg?^0BMOH4aDCW8nJZIjavzk8c3s<L$RM)tFtmfJt zyl3jXCK1+WnxFg}%el0>`;!!u-4!dlG!`$gVZEohYQc;e<>ObySl?<$c)Ew{${Jq` zDXVMxl=o`U1!qOp>t!N^`FA@%O`Eq%d-viEPS063eCT{Ho%nv9yF;JC<yni)D&=fX z3-v$vOfdY>o}<66&32!0)2o)dM6g)y<CC@5+)wP?YV}+s+t09y>tgVV%Ip%sUEMco zTb^k;`7>5^nJoWNvQ$~?=d?FUYTEaI)oAm+xi-r+W!|CBBGGe~Kd?FaOw+`_@tH`v z-^5BT<K+izjy~1Y@eh0^GIjX^o1;$}m6U9C&6iJzd0nD=WowYX;7+mG!4oW5pG0lU zZjIzV6P0}}sJP28Uv!?+8O=j;9(@$)Tb^OV`dCxUf8md7Pa@;|CRT7A36^nNH+8$% zy{NErlVZD1MMYk_72Tb$tGnFb%BrGk?;>}lKeg;Wr^$8xkj?7PI_EZ<oxfEiHal2g z-qmM1mo|Hy_p;&sxaRO?p7WPvx<9Smwf)V#s!w85gL&3nt>!wowa%aMnMl0f!>78( zw#(eFidkKtdw46K|HY>wG1r`AxX(sRU4FwT?3pIh`B~Pi4<aMaH5G-u)BJbrmrVC} zk-FtCtWUoei8{w6lYL(Ehu_BXE}i8Ein_FyU$Az)r}@Dz@u^6tpW{=J^5rv%xON9$ znd@{)^M#+_Q;`5a!*Z^j!8VqzcQohBVR|Q$zq~;D=zEPC_lwqDwu>Lgw$2ND)8|w! zQt8&1F0ym+4r4Cs#Rp`MzSYQa547gG6?mr4sZ6BQP0*U_M&Jd>qpvld^&Bb{DRR4L z#dR%kO`lV#NTC~Jy2#4K6~<gw0_XG{I;-L19%#jNDR7G<>+HZal1E=?xVSG&6Ir;J zLDqFvU`^klVv)IvAIKbireWgVm?o0$HqnyHc<}+5qoC~-foURB7cY=G`b0y;UC@%t zXmNwg(HVgqlCCoXZTb!siu5i{kU9EDL&SZe1=o>4nZ82>BCU%RWR5=2U~y+m6Nz_w zm?{$Mb}&^W+D*`c>p<Y2-b48!wTmwpaqSCy)2nn^V?)oQQyO2~CYp2Y35<|*of;S* zdGxNvvYtbEBBhHb7;)_ibdhA85_qQ9DNn@TP0*Z6eQ|^I(c2n#?)|Dg{eA7d?ceqs z{XK2o_OknzYPHWtSKK>Q`}$mT*}X%xufOTu-kx=TQEm1&-J9EA**N71?#e%E=TszE zd9SIq`&{(;{Iiuurf!e9Kk0MW>*zIU_o8}hyuYrs$Uj)AT`IUEe{bZGchM`lH`KoV zqHEsYkW+JH$<jIQXCjZhj9!*Mb)W06u-V&h?AiKk?Zxdb_k%u%y-<F1kLj-0=WEZE zwA8oTXx@KS(_JhU9~T$z>gwDsVP<+UXKrMRejE49v~33N2XbTe7JYAO)jhq|D0*u2 zl<pg!In22=0}5JoHHsK4wk^HdI_>Dw)@2J$9K3(+N%W+A*L|+fbWdzQwnz2ZT7!Js zeNTUcJ&x|l*WI`DN7$q2j(pjDsXxLVMz`ei?o<6CHe>s~Jx`ym)ypre%sw66n9sQH z>-TB*qigdsE4$yX-Mf9$p4O*pwew%@o%&tuUUb;KRiDJBZhv=g)~9KAqf7HWE4$yV z)yQ|O%zmf4bNjqKqTi=Y-u~cTRrTrIwfDEyTz?gteLiYW_RGlZa^1UIKdos^Uwdck zyEUolx_7qbUEdVDx=i=h*0k%BVppGw+L(QCooo8q>sz0#dAe)boUKvUlVY<=b+2xH zw1zc(?Uk+f*0k=r_A)B(no;cP65UH%Z>>3+w$?Pecb)34X|uLEU1y5bJ{vVZyK>#t zonp_UW@l%vYfW2goSnKZHBI--R=w++VpgAtnwlNB&UELrCs7l#Cq{A?tu@T<jMP38 z)t_y-?&=P)$5Gwcn(L<S5PKBWo-Mg9bcfi(sOD_Wb*yP?^|LD@SD%iGxpr$)*n_Bs z?7wTDZV$U36?N@Vbawt)o$SQO*QcVYvY)PP-9ByV)>Ug<Q`hd^x@=AA_OQEALDv>V zYoCe=xHc==J8!Le_R+OZQ*?K1y?3q1`t^66`<tuI-zpY+A6a$omaX^qH8$xFEw#U| zu};5f$^CuJ?aevoSJ`%dTXS=B%K25rVQ(Tgq+hgr{dJ9H`p$W(&(~br9C1F%*8A%k zi}Zt*+F#e0r!SmWS~YF<W}ov~w%uRWn5A#D%sv~rIK6Y;(r0TfY<4*BRebGv<h=CK zc}FX+J&T-`zR>dZnaG*xGcCD4uQ|P0=e$;N*we@<>Av$+E5n{drkrcC$vzXAbdIa| z+T+N+bkli9E3Q3?>`GUi*IIGyVPspn=seeoYY!ru(i<&bpN@<>_o_&2`sQtOL@UG| zMAoPOoO`u=+O*9-&fT)sJ{=ixZdcK?dy$pt59g*nU89vAX!-ix8qM^Zb3@CgP1*e7 zTvw6Ul+Dl1O|pJ{GO{q;(vth#n(dqK9Q!4Ex_r&Ojo*5XT2Gs|vF!LIS?%)?6~|7= zzCIUGcI=Ss>oT3&8?%ltlFcsDxw-LGkEpfSn~0KQRkGRVBGx6Jlw4h^b8TbT@gQ04 za}fo{ykuXWjaZR9x9@41&gG3>$CYGPpN+^l7G-?xMZ}`ym6F;eIu|xx>iKFp?Rmu9 z<iftKmeZa^WE`_H4to}ncI=SM>mr@g8&CCwT8cf5n4Ij{Cu%7+bECp>DdTA~HXiEv zYBB9`L~pWT-&Tuhk0Lsg75hpprag>kO&07kwV3uGBH`FCqiNGO?&>+3x<)sdwU5=} z+Wm;S<gdL;Q+4)j{C4b=k=V42>w1=&huw>)NRE_TeJUd4*e>bpQxRp!S9?`cb#`rB z)We#(X6MEy$Eu9N?nL+<Ym$DQtFztzPu-#K!h7f6_{@3F|HaQE@6=1|Cq5Uhu$%b1 z(|Z1f&zyJs@6;XoCcJh2g1<-JsHfNmJ{R6Nzu_;-T>m|FN$1pK>=mC2ubrRp_sA>t z5PQMr!Uc8{e|4JAcldkcrTU$kLtliK&R6*BGTVQ{&m*(^d+MCN2xr*|{^GpgzvSnU z=jt~07eU9l<kUHR7S6CMd?q||zQJeCGyXAkhdv3X+6DgPJmv3G=k!T<;(UeAoG1Ky zezwf;Z~1xTvAT@?#UGr<{B`P_J_>ivcldMUp*oL!;1AA2{ycR~AA}R^K0XzWv%C0I zIL2<{Q{l$>41bQ?SN~&o@jIvP{0F~}+*99F!*p7GU5(Rw;mY|3ez#2Z5BbS5)&EXy z(kb;fc7fkHHRmt*?J~vR;pdS%>QC%GJ`paQU+{@@hyR}1L%G8HXMecs@?Py*PE)yX zmD$GKoOgYn++>;O`y}ttIkh)AOy$DcXK%Rc@>VUw+;BJNP2VdwUEZiAm^-Emub(|* zGv{^R6E|I6t3{Y^+{Jm-_sC6_IlfEs9-UPSFfZK2X+GQFuFFd`5A%y@!Z~Ie(}Wk# z7P#9o%eN(usYE!_?Bh<(^S&i{O~t~qXJ5F(GRt?)O_yhCXL6W|g{RNnaK~k)Z%AHK zk?`c%6YjV?Q9F^tR3w~irkEz2X!daj=W$<?yrx3o?%4r%Tpp<jm>2HgJnSoy*Hj?f zJX_!n%M9O|n=R9QOK!4E_szNK@<5Hj{Nr}c{k~svoldL$Fx$AjQ)l*pO`Y1aFWh#y zr}n`tF;zI!%rRBCeD;h@oV$Im<T{;FdtoM+DjZ;DxSeySugy)DJ8E-snDT`4XBX@~ z@?Nz@|6+Bg?eqtGTjqJciF5icRH@haTxjR?9mSm1(+})D@>VrRKd_qfmiL)Br*A@~ zdV<xQH@q+GJn~xgS<IoYLPdHPt2nQDuZeT|DpaV)_*`h^^onB6E8cVB4xLr?(GRTR zyyU%QC(CT_H9L>IP<7E?_)KWwbcVeyv%G8K4t*AyJN?0)BhOS#^c$ZErRz<s<TRds zV9$}Ksyh0C&xEE<U$E!M6IB&`!Aee}=?#01%<%5m=`zFHChpKjq2B2UdyYI(715tq z!Fj}6ChpJ&q1NdNdyYI%WzlDRCKRvt@TpL&-od9r(RzXvoCm!B#2$JtR6G4b5$8Vd zH?c~mRX4;uI;HwWZ(=#;9`A^qE>pb&b{@H_x-90<JE79)6N)%@dAsannc{sW*6E#) zzn)+@r~34U-A8V#-ckE#&3WJRi(k@tl?wHR=|a0FUzqDM&-1}dmv<`fd=8ycDNrx8 z=Dh8B#jmMMX!GO=b6w_o9`R!;6Dm>rXw_*sxnOR~9M3I&O{GGsCkM=Rnd5n2rpqgp zdp=C1Ldz!?7;|3soZ{D1B9yCkFij|1&CrVTqUVa4E-zFZ)F-A1&7b^Xj>~fu3-yhb zoM$~3%sevFGsW-F85INdLQBrmo>OMJJXO(9H?-tD>FMIvbVfx%-7rlkNlh?KsDE<7 z9GAx`66zZ*IFEX&_%Rg<wNEyf<ML32L*3AV^Pp$L%p=o1D`vV(_bixsWSS?7A5(!) z{p1g`UGA%F@oCBzs-Aqph*M|s4kOOJo=<$4@`b|G0#k)T)C$czH79SF?Q&OTiBD6W zP@o!Ps!;Ld3A2w(_B`Ty=%mU6wU1_;+ui@z9r`Y~xBtdxj(hGeDv!KVE|H)3T(ClJ z;_nXY{tcfw?zrEvJM>L(YyX1UBX5*b<O81zZtQQUWtr=~$1drda*Vv<bHTO!3AIOF zDTl}lJ{K&IoA|54yx*br$V=rrHiy0lF6~#Sb(!tHq4LNq_Z~Z^FM?Teg1<N}xG$+Z z@?6<Q{vzn2r5rn_&w?3ph0g?M_8WZWIO85;cj%K~s$Aetj#KVFc21uJC-y6R<~ZTr zQ`s`Zy`}QVV`Ulni$6Gyx$D?DeH85Mcc?k?P?<+Q@CU~scOE;Z4}uADAD;@w$z6OZ z7$djwsbFJ2L(P%<%75f8e&^8be^7nop7JIerqjynY@FT;R`wsLZkg&HQpqya{f=$Y zDdjhEf!{ea`xjKZOmTOpJaR|*iQLC0f`$DBpE!27@3B3UE4aV=L#)etrEfY-<$_gW z8+UWub$t@aGSBsi-lKC$Z*-W-1-Ex^h;@0Zlp$`oo8zYIl}ML2N(thQ>4NLKXKd!U z?s_89<+W0T_{LovS6z=pvdnQ^qW9>mQh<2jE)Mf<gIJfBN*>}D(*$$GHl_(K?iPq` zndRD|$5bMiDfV$E$9dNhy{2Np+1(dnSZ2A-iFA3UbVi4%Sa5pxh8UNbt|59&MS_#N zC;Z`B>)p-DEv&iW!hvG}3Zj-5gatL5d?ci1L`-W~#L=XrAa0l@m?S2cCfMIy5aaS# zNkV+%4vwR)Dtb(Xg6-V~JRUof>*^ULet9mH<zh;B!LC`}lkzGcAmHMK88gLhb!`w% zb#`^tKIAurRf9`PT1(4F+PTqe!3zU<b0@p~`*kZCBewk5k@~T4v+(P?$8+DEjF5>H zzOS~w`>5DGm9lNq&iNL+e{iu?HNfSFdsw=>xs&{MM|pEcd2@rvA2oOWe7p7LG204r zw_DTAzaKfytLOKIcV6wCJBu!u{>)wUyv)$=&IU$Tms-2L7Q4JymeoIYu=csWdtCPX z`Qv5gYCHRH&+C_-Gh@}1+B-{bn10-Qa^BIbqdtYHUt2^)S$}*fRK9aO_rh`C4;!!4 z-Z@~?wyXd4^x8Xn-aNjRDsQf5mlyr5ko(SY-U9jU`)lv~`BwP)_Hp0Sc6l|wcf5W3 zcv`Bwxz6t$u{V$V=F4y2Q+wybx5CYv`lF}T-dXYHaoP6%+amJjyKC>f`c^pk(~LWI zc_sZv-#!*gl{eS;z2ofL$7<Veytm8quetN+TVe60e(5`QdHHsEdA@b|k8Usdu2wzk z!#x-O$#-YJxTkX7_ro2P?`q|FNzc`GnD5-%`PMfp->G`?&DkgJEjj0#l+RQxY?a8= z)p6`a+e@>`y(i~vSg=URoyELqhv3QCJMOuh^Nq?sv}>ZedF0)W`)<F?7Clv4W#0K& z@MyovJ(hF6LHVDasjV=#+}k;O_KbT=zNjscPTb=;d-jH%CujAp$V>UEw%A;7Z|AJr zAI^xCPrh(dD}C{t+#<7+vm4HZRZKS7%zI9)V)pagx!VixZL5@ilDlKG;JqiG)#k}p zeww)BW>9){@#J$i&z+fOK6~cuf^%%v(sOUdrOz&&eD>zLGt11QpZS{PH$78J&zn>! zY;1mT&&j90I{CZzdd{33a<Ao++GO*Idpw``p18yENi8`~>6uz$-lq!T<FiffwR}|T zHV@q6`N&rwzo<g^@NAKLEg#gH%?0;x&X`@Zvvd0FlAWB>XXotneBjPtUX-r9Ub=BF z=L2^=`=+0QCh`~moOtH@FYnR!i3fX++*|TqEh_I&`Q*K`Q+9Grn;o;W^Pcagyryzt zZS$2y!dm7#cb}Xx`^McRd1e=9d+g-A>$~U<%PHSyc}nGz)y+G1cTS#t;O>*RYI(*# z=XSpLsY>6JuC~YcqVeRrGhdujIq&n~j7qs$d0JAs+79ELb35PqWTiV<Prf<x#JMHs ze3H_ctc9(NE9ah^Gjqo|mvcT*>4&T)U!A$)oXR<$2WM1D)$-GttR`QcxnpMMOCPUv zC#%V3#)-z0O^qezcE0eraz>>@ZGo|;@#OO}E6yz`R-0pdb57?op9^Q6obfSAPfAmp zu|0RE?gz62xBsOTSqh(?Ic28jQy-0VBTM0vGhNQLobgdeH%e1WN)t*`>)(F!URbsC z+uVXY@4au&=C0U2_ujFmX1i~{O1oVweK$8LkGuHx<J`XOruWQJ)%uJx=Wssu5ldfW zG5N?$g>z2|)Y^<S=WsssVFO*}Wnlc%NLb(arIE0n@lB)22WB#ydy=nKXZ&(@=d_t0 z&Zgw6MW!{Ss)eU5GM~I>=7Y0GPWh}l({jq^UD$4;$(qI+XM5iDS#qW&Pc1NwDOIi5 zc;f7nlV=_|d*r0ggS1a(!rQMhh2P#JHT~+YHPceJUc35a&9h&V?oa=*Z^?Jn>bOI{ zg>3b2)^@)0{<MeXymwK2(R0<Zu*08(?o7Y4@5ndRt*bfLneC8znAN&ka-G^vsmEDi zaZbOb=3Y;T@2*{YE_;*s#=R%rx)$gsJy$B%J5)Jw$JJ*sOTH*ATc22|dpf&%{l~q_ zo+?%AU8)wm-~DIbvogU;SL4EG$MhC&J$Kb6{CAD-=dEY2Tg1PvS$ihCef`XR(|*i) zl-<4l;=Zuov)*QJU;pqNoB8a?*CXP!Yk3Q|ox6GI44YN-%baEUGiy8Fc)!}C@=bMv z{=(0bu1`Nx+4<W0$(|)&RoCc8)^@(~ezb?>oOe+Cr)R1wq$6uizVyDc=g1e;rTU7s zp0lTKs607qde1(WFREE_LcfGAOkYxY^0~K7{H32l=X&?#9?4a1+n!l@@>y=J{>2*3 zS@9_+y-yd<dgpy^kIHA&w7909lTOFKk!2Pylx+9bslK^q$r*30c&DF}PEPmOr}9ZP zC64LmBtw0{&yx)FE9ba9_LlL#J=bl@<p*<?Ju|s@+08%McI{Ua%jG-gnWanh=x5e& zKK2%iU-V<rk?9Kio_tVk)7PxweCW*<uk=I6K>z0_A$|RqpM>=EZ+@C|U^>ITC+}73 z^j}tYPMiK=Z_0bs$hfAbs^M<QGHX9dmWR$ud@5OS{>3LA{qsLI_1sOp63O=7@Qu#m za>;$Cf7G@;H@2HU@tNd;!g&jS^%$HNs5$n)=vz;l<*lcSW*RTvs`*!1SMZdfRR6&h zKX^{09?)w(XB4M7-_h-p<mBZ~=e0fmC^7T+2HUpBh8g{a+|J)6ijS|T?Y!sxDX!_e zkhcEHPeNMyJF8DlnSNvMl6R^>aZcYSY3Mh8nzVEJiM>xwdOwPL^hC8lzp}dXwpU&F zqurD4O??x|`QGcxi9WMv>sfCzCod127giZH^RkZrW$U-6yvqDf7u~v-*|J=8URuSi zhnXFRC3L<-cfQMv2%D6yS`jvB_atlWjhlt;Oue)2NSW$Z?S-)?-*}~j2c@fS)NYLB zoI7>Tx|DNXG2u$-s%y0qV^6;F3JDiVS1kyev}=;NwqxwcmtJ?)94S#<y8nl4oAe!n zIZt?<^HrCuUAKO+cIIXwGwsODlP*r};Cp<^>&hCH64eFTo|`9~pIWhQNwMl2?VB;3 z&%7?Id2+_f$lW=%bLP~9bx(>^r)g_$o^)zzz`B$o)k)f&F`P4}I;=}M<8@?>${8=Y z@JBl)9h+*fE~QYlOWQMs^N|-{c+!qZho%awODRxo(q`N|NniWprb&9*4>wKH)#i-h zeBkvj?9ui~`=(|@a^Cm)7B(qWH6pAiRkcd{WHjeJuQh9yobp<+=1HDva9GmzNxL%t z_%atrHD119#H+V_=iF~)Qg<@_{JN#zel3v-4pT~%>eF5r?Kx$tL*&Vr8JTa^y5y<) zhY4*LQrB*r-8Lojitph()qL$j>yz(2Yy2-&PqI~iXxlk&@|$@s-&HEveoJ`^T)*mi ztZBuG6Y3LA+r@tS_-Jv*)_&y&cD2FZ8f`e|O@1=($vMwAb6CEsY**iC>-p9*!{4Y{ z=;q`rmY#1s6a1Z?tE^X_SuAvY@(D}N*Papno2rDaPCjDEIcM^cc~8!I2KX0M37M-K z+Iqh9^zgs*OeM!}(=(OD>VmeNvnIF9WBH<z>G!Ep==|i8c`ct+W~*Pc;hZ&jj-}@_ z&ogsaKC4Vu-)Q4Gb8^VMmQN~^)hF6`KJh#;hvkz>vY*m3l|;W!6+*`+o6KwZsM4(- zXyf_FQ^3EdLg?^hk$Ej2RGQTVZ8&F4uCeT#KDoq_bNb{QOV0<M4E~?Wh4xSWGS}s_ z=MTS4<&$*O4;D?*R=;TNdC&8MU(!>RP(P=qD&^`ki-dMhzB1S4l;;aSp{FVVen#a& zJ15&%dfxGzGl%7!O1^rb^vU-gHSU+JC)p}Ll<k~1@lBsgxk{y5Q@YAd<(<Yt*2)KE zPrmiYaSyT<x;61kpG%oashg0s(2a=~Bu~Ef@Y^(Lx`GDR0<EO=`=1?pwV}=5!q#k( zrE;Nc=bVXK`dUg=Rx1a}dd``6K+^M-$GskwQkCV(g~mdcCr;^WDN)IFJCvr9?Pg>p zbaCPeNzWG^4(^lEROTyxl<|D-Vd1{XQt0f&1(GLcPE6@La>m2Jy~tAN^u#HWo=-hA z+>I=SPEK^`YdPbg;BJ(rlH?|orqZumDC7CqL&ANNh0xK7Dt#=4D(%XKGM*1TINXga zgbq$@kUTkkVuhsV^oa$MC#Owh>0>ESsaO6e?Rnp0OHWI_O11JyBOx8-okl`?CqC(A z$yW(;3rbZ9aVs*Pq^Z17+Vif*lAe}4l|VP9RFz`oiP9%0Pdw6l<fO*~w@+q5+x!32 z9r>=jSN`T_!F&BLex7*eUSdD#xpIZwq~8;*<u`s7ywiWD?#MUgt?~>1o_OP)VjuKe zd82&eUyix`d+JioxyRTmJy%{UpZNF0EB6q4q36m4c9VWhG?#b$d*Y@0oth(Gl$Y|) zI1rz}EPvw#tFZ;AZ#OqLk7HoNDdi>dnV$vC<Rd>%yx8AS=W^EF$)4%gL=*X+e>$GK zTiGA_Iq__NMjgvp_j5HWpOw?>nto0^-5*i6<czzPz0=Q$C;L6>R6Z%E*fIT_XeclE zd7^=Q<)4nn?o#%LeoQ>tuTiJ+QMp6j@=wP@cP@LU9}^GubJVe%ao<;S<h1*)nwHb< z+iFxkC^yLe{M~Wi{g>UMr^+?*H-CFf>reQ3Vru`3T9x<874jQDP1KTK_-W$qexIKm zcimsvDLqv#k?;H@s3AY|cgG#~c{M8Ul>O|OzE9lVza#fax$-{gmv>Lh>-~`Da^9`X ze9`WSHqr+-PrTE6=Vr&;-UoS4%9OWBue{6g)-BCkY4=1c>CU?xZ`@wwJSkN!HhZ*7 z&{8_{F2`%PF!M#bCR#{0Zk~9hch60ZS8jghkJ6NvNo(Hac<FX4r{%2MwVad^<weqg zn<rlAZOBtO>t<*EXy?Rpy#;wG#mcj!9XC%jmOgo>V`gtc-jgEbY0{dTC!XpJ$V(|w zo+RCQhht{1Lte@mw<9?!XWZn>AMKcUtk)nfrBJy`+Vc*_BR4+tq#Y9v^$O&r6eu@I zGj5)!Fa2@TL_O(;n<nZ?bKc>2;P%h#(e{b^dNXcv+;{tCHYrs(!mKD&xk~!vZH{|x zYjT#Ha$Aw}Bu_cmENT11UA-PRIqteG%3(R>_RLIa`$To=&f6W6dk^G3$yLtV{`cOq z>ge~m)p?Ki`kv3-z5V6AY0u4Uwm-dB_T0>R`@?%>)wAE`Zry(Io>}$mH@T&G-Ftbz znOSY$d(Z5-+4b8`?p&*qex18|`^tM_&&@2hFTMBenc0=we)+}4vtQ;e-9GW&wP$8# z+t=>Q{bF|U_LVzgRnjkVGxL7$Is19rx!V@`xA*vdHZ$2icc<=WGvn=v_sS|~Kg~_e zTfL|E)3%ehRr0&{^q$F`u)TMu@0r}hyy`t?KW;mATOvPtkMGBAM{Wz`TkkphVcVhG z9Qo3Fd_R~OY_HvU_H=G+-s7U%({3~6Gw*r(-faKvUwM~{r0?feZ%^IHdpb8f@A7Wl z_hx%;uelTU)J$vp-MePsmT%tVBI&!ifqBhEx9{W@ZMWU2`_4>#`@y^4%4Xlr*}wVc zxog(9=iRJGzifQ_UCyq}PiOL;&)K>8?##32a&~OKdoIm7`fbjZ&1cW4Sx3*knUvl< zxA$Dm`psu&o-H-A+`RSNF{|6Ja#n3VI+OQY&dSYuXY!VAyL|J`nKV#iaLMMaGkeeG zWT#2b^(`?o-Q0SP%_@4<O}q5ZbJiA{ox5q4et8b>*_`yW({s+A$(gY^_FS4}^h|gc z?WR(?va$4&oa8iN<LDVT<<g7itSvM<c2g`pc#dwN*^!%k>Be)`7MLBn$(AlWhxbfQ zeA;KD=;=3ioY|JT?Z8cj^xw13=5O0~bIX}y=C|+VM5Y}!x;^#gm$cKfdGpP*H;2yb zJ(W|w*>~pIyluN~E;-|79zEsef-`33v+v~ir*+RhdorhB^WL-1zTJACy>I>3ecPU! z-n;%~&$i#Q-ep(D9sV5kF1tK#^Jl4d+1uCO+!yv+>TUMs^(Xg*J>PocdP4l-&$nJ@ z7snOX`ku>PyME)oZNFx{$}Ws+{v7oxJ3r33_U#u_^Yt_Lh5eFxnVlP#{Q1_b>u&MF zwZ3Pw7p`yIH|^Qh^Ve<SU)S`4n&Bq#&Yy41ylxb4{8{Q*_Vo3U`@)`WJ#}3pes+!T zr>!ThpV-6p^VW>(NA`$4+j{)EOni1t@5il2uZzTc*YJMadiXj|ymn3Rhph*%v&1uh zzBT>&o;}x|n(D33t<?Qsdf@uMxX;yV-<#^L|G0PC_o!*tH|!~UYP$FOr?|t_XHR8^ z#x4GI>uz>%+~(@F?@Tq<U)(G9)Kp{r*}ZDtZ%w}bD6aUE)Sc}7^*dvqd{^2h{&Me$ zdEFo4T+X|e=`X6DXd`~Gc;cPzJ3Bk(c0Y)F@=a-*_{zN;Z(Y;$m8vINiFfYhc;osa z=E+y3V!cOIf|lZ$dpTaahUqV=nrI>3SUmAc_nw^`uU!4~A3alACa$@c<E87Zn3l7y z*J4t>C@m5XES`9wyCF{HtgD^=qsocrx(nh`J}b=<cPyT0EPirN$IR}8xF?^Krip77 zPdwEf5SQ{vX_9#79*&va4sj`GT#v-4oN<-Ye^fE?Shqo3%15OxanC&*k6iimlPV@2 z>K2Gg`JmJ!&R9HAU;JayL_P6`MH6+!IrnfpaQ&zEsC?qS?u?xr_g%l~O?s*np;z=& zsY?9hZjO7dYhsq1a$OPg<egHmUQ+qQUELl#IqteHieWkB`b<x$e4@H|=kAWl-3MZy zyj99u{de87-BItes>2?~`kv3)z53<4Y3ZgmtDmkbOE<M%{cv5`?pbfMwywUo&TRLr zH(8}&-Lbr7rdF%>t}{zFy?*t{nrpkHUT3Xdy>gvcx~awLrR%<>nO?c-7hb%1*2}D= zt0%6zmS$?UdTnHGiRs0wSJsH_l6sMq8TLEoEU24j5q>+yx7gHV_1s9^VpHSQiR;RC z&U%`a8n!y7w`l9ht198$F}-K9Cams_^gWZ67*-u~ws7mQs}kYSF}{Uck6aZ9w~jem zu=UVYj&SK1-vU#E)wPjlPiMu3J>GO{+Eu1-=9stnru(n{3cI{X>V8)B>eNWy(^=tR zm!oy_P4`?~vnDLnRBQF!wPvZNyRW_qo4iTtZdPDe^QK#OvWiyQM(XC7s;@q{_S?2u zw_WPgA6ZYl*ZF29$9tDAJ}Ko&yM#{~3)%>uoO@z!=Z%>h?_BcKldLD+>b&5WQl_*? zc%|{g8=VLITF$w|sT-v$trMPTJn>rR2EUY2rB%Y6#)1~Yo^wyk?p)yaq(muCEy-%) zrOpX{OU}C7@JTuA;-;=-C1@(lIhW&w%O#&D#Y*#pU(Vrp?qa6C$WqWm*wT37na&wA zIi9)bsV7-ZG!kAphvTV>n!1wZ#1owpW^z1nIp*VX#^sRDlR~9F;mkQ4k6pyn7g<a^ z(y8G0q(G@nSaS}?Ll-u6B@00V;h#o=`ob@b1oeb(8cjUV$>8@SU#U*`<?N1WogaKt z@|7ahno^a*)fSmg+|&8M_sA)iRX#1JT;8b}r7D#Pubka6rSpQX$|;uxJ}G%hMZ%Rv z6L)l4%;dP^a!>8iw+Z*#f7mVgu2?O1=(m6^|IONtcg~+|Sk5~a$rn9WER$>cJ>hoy z4Z9`Z6u0ottnGN?{K`h<o8kukg`X!}Z$DGn@!I)`&62N*YxpB;J6<_IvSB&r93=ng znc@n5%i50F?KA9_d{JD&pZQt9j6d@8gp2JRb}na~o#dH*O)%mAS<~^{*-HM<&k1MS zGwfK-I-j#q`K*{G*YtD3>GlY_C1;$q<eh#_IN9!Dr}9ZLMULs`1Veto&l3#zD{DF) zJ4?wQ`Z3{XyM~?0N5u|)%bJdd&Rp_NKPDV(=dfcr<Gj!2$Z6+YHZ7-}x7nzCP;B7; zS>18p`Ip?Hr;0WFH>*9SwI@`bnA-lrR^`271^>oR6SVjjewwhm-KVnSuJbE7rKgG| z{GFc!H27y$cieHFXQT2?(NB))`-JW7J9M9vEAHcd8GB-0>jyoT^G;>ri*`@2;Xb%| z!kyMTksWheALu<PQ{2YAGM3}5Q<}Ka?g>`hov|EmoL=ZWDOD^Md$dcyk~=e&<F!+m z_@Z4CEVvstPq@;$Cz9iplb`sbG{t4ynz0-&oo?y0oOQaUlTxC%h&yoegbS?=dMam~ z?8G1KoN%tSKrf|OaTd4Z<_X5!Cu2HhwkGI3DN>xqt+{!^sn!6!lp@7R+?_ETGg}?> zQqDLX(NQ_$Bq#o8$An|826`!lie22EF&vMa_{5WTOgPjkpqEmh*u>4ad4fLo$4wLT zxF2qspv%n}!|}lBpV*`A6ZW-cL~`7B`X)9hRWU-WC{?kF`(!l7J*PD~OHMhh(0P)l z7%Y~weZsC*k4TQYPK$I{PB}djQ`$a3ox3x-V{+>O-6y$<dF(%JJKj51@o##ru!sF( z@r1k0FXU9tJARN+`L0mTm-Jj=2m4Ojj<=3k{7%&qZZ@BgTXN1ZiJz%jz>2-n_Qag# z9da(`9HaOTRZY0syh2XpoZ|x-m9Gl<d`(poE;sM6?0D(u#qU%#!Hhkzc!DXrq;1Cw z$15@_UlbOwdlpYP-&`TL<g>yY_M0{x&m1qvJUQcN#Gmv`VFvq7n~tZBr(~9VQkcR% z)28EzqY}T<GldE4lEngs?3~3DjyGG#E%~U>!yakV@yJn#zo}xv;bsZBB_9-8*d=W` z9ylJ5d2-rukIa(Ojyq&hPCGL3GgVC3-~2;%$$Nz;zC+~`_BN+ja!hNEvFy0#_=&Hn zTtJ(BWs!gu`%dc<Q<`tcE_tUA#OG8#L4&=qXu{6s6S7ZEIzHli^hBY6z0$hlwnH8J zBkKwG8sA8Aym$D*lTxm*i}|FnfDQ9W*%NacZ%A^ybI4;)vYv3O@d95;nZhRKmBtfp zG#=n<Ip+|^Zj`RDj(MW-glml(_)<z0Rxx)P3s^9F%AT0rxPb3Ti9#M*lGTJujT88m zoOQUtlXBL<ja|t~z?7L&mg9xPC7vh63iFs>%5Xe)Fk@e2DPY2EX*}Uf;|xiTXAXMo zNtP3gm{-bhJatfGSF)UNqH%&G#}kKRJT7M(4)HuGROn;Ql;L>nAjZDPV#1L|1->T* z3T@1qG8_*b*w~dU1Pqve8VTq#zcdoiW4>uL;Xoq;-;;cWI_8(s9n%^=@TTM|M6xxd zDulBwGM})g@d59VQx2<mT248<V>3!sC}UnJ-7%%{0<X#`hXp(-c?w0$l|~bGG+Ib< z+;O<a_Na_uKl_7fhP~_?o-<VNJDg{HBiHbb@rfma4f}*@hH}0G=NKQz9eB%lL(btX z;{~|`Zx~O=Im~75uw}Txyr7t2J-fkkh8TW_bBtT$7+y23k!yIxc*K&yf_*|2Lp~qF zR|Y@+17{g$$T_@ZoFL~gn>nGFA)7DZ3xf;4!&$}_IffUEODr4CGk>sYILG|Jrr|8} z1setv_JU^&M*IiPFeb<yc*+<d=P;9bg$=_g<{35&Cz(5J7>w8%DjAab9L_MdST-DI zF0g4h#++c&aFjW~rr`*)gH6L>W&@jsL(B>`4F{P8Y#0pKKRjjFBjYfg`9TpwG@rr; z1_u5I?-~B^EqKqcMTX%%;~QCrY0L+T7{d7+-ZOmSV|dT7M#f<(^MWFVa(08K3~%@r zykl4*!*G|;#gajT-JqPIi2cJ8hDUq{PBI>lJ#d?G$KS`_<@f!6@%Q+=`Vaq{&)b*% zU--M<=Kq1u{del`{A{0F|KQ)_Z}QvzulURU);{gO;_rT|{~dqX-`KzS^Z2WL@xO<^ z_$~iu{AGV_ANGIYuYQaF4WIk3)bIJp{>t9(|HEhU%l>QpWq)aZ>reAp`)hxazsN88 zAMm;VLVd$O<+Jv7{~!MBKUZJyFZr|ltp5(5`;Gsf_|rbKKH=ZvPx90LYkclMRUhy# z`IG#l{~dqWXVyFXOFm<N<d5<hd%6D)fAk-#H~5$QQNHWH#~=1b_I&>nfAk-!7x<U_ zLB8of!{>he{~tc}>-~T5sbBX$#~=0w_W%Ap{NBH>KI13*efw|!CO(yq_*eK;zUu#p z-|YA7*Zf(0%6`S4$M58W|0RC!-&ODNll`v!qCd>1?4SKp{NAtrzvFlN<oW}DAHS8) z`~Bl?`+K{p?;F$Q_x!%Fx&LnMi+9TB?LNFwE|)L=mY6QT<M)oc?QiX}zB}&jzgc_Y z-Qsh0N#7ZF^IQF{xO;p~?T&ZO=j@`sAKcY{wRXij<#Toq-YA#K=YMP5)qlBm$IbSa zc3$5dclDe7PT1UU`di{|`wP1(Z<I^q7yS0v+<(5d;@#q6`8mID+-ZMicj3+BGj>Mb z6Vv2p{N8b={i)rlH;aqpr~ICAr~QeY(s#!+`3b)zHuD?)=GfeSyw>8~;zIeJ-w}7( zAK3|gZ`{#;xK`rb;sW`W-x7D)AJ`pu^Z2ygo;Qn6+wFLheA<rbJL8W2{k1>dF3y*a z`gU-8|K8e^o9xqSV{W$Jv-|X|aXY{E?-iT)wSMooeSAvojkk;Q<b%FBZtvIl-LR>D zXYGl%k5Ae?`t~qIzTkJo?e^QYb?+Zm_us32vy=V3?Uy^r-{p3FKT*tY^Zmr$<8!NT z>|}puoA*Aky8l-7g?q{0<Tia@QQUu{`oO*BbGC8s4WG-c`#zz#|628id&ytrR(<a% z=C}CnvG@4w>IL^6f04_3msr(*sd~b_#b<4A+(|xb>-Ju;ir@4*$6odqwwLZa{wz1| z`-?s7&uz`#FRbJ@`EF6%f2MlIPWETEdhZh}`;ES@*u(zRR_(oFW&erl2|L-J*dDv% ze8%?BoyQ;L`o3rEVSj8Z_I_bS|B-5idyhZJwSCvv!~W2g4b-kM`2M4aU;q1yB7VK^ zH;VcXR5RRr{9dl^`-|P})2ct*O@1#I`L6M)T==_%<^6lAAKX2B%68SA=2N!s-WfiX zEBn4;cl(s;3wM=I*)F(~{7$audqq+Ij%tgY?00PMy?bcZf4}m_xy9vj)z1!E^V@#D zF}MAl&8IWW=WU9f7pBXVJ!`b?zg>Cb+~P91EuUx1ZGU6)>Wp%k+=kB!jQg)wo|)PH z+UCib#iep<K1a-Le`WLN4D&ggpywac<W_vPnA<+Pa>lvEC2~tXXBhLFeU32hzgXFE z&iSm3({o0vev{8X=CnVzv3h>cvj1#l#yRG*Hs{VL7t5tRYqab?T^Vt1@fjPf=Z=>B zCo4V9DHqA5JY%%%H~cJM+;8x?Vov*G8>#09E&7jEYMfIpl<W9xF{k~Z4cBu=i~fU^ z9OszN*z7xV__WQgGtH-Mww+NfkZbt-V|M#}n_tfsrpncPzA@W<T4ln_<5MeNoK?=3 ztN6UZs9)>z0;B%jl|D1u@7lb2rkE;M^0~u^U*q$P+3k01=ABW_lk<DVXx_iQa>w4s z-(~l`e^GmUUipW8&gZSm?l1h^XY>BR=e|4TcPiWGmOt3{_?zsu_bY1I-&&{LSNz>) z^}eH){f+gDJ&(W27T<gLi_h|XMlJhm>#+L^fAv|sZ}{AIrF>5%`zvd|`wySVE_<(0 z%l^{()}H3G*4OqVf014EKHziTh4O}d%4e<Z?mzt5cdoo(U-D<!S??V__Zh!GQPV!N zJYnDCPqNeAYkclIRUWV}`IGFV_Z>CtGs_+JC7-cAvPb!hwcP!OKl+Z98|+K|DBJbk zqlW#FHQ)WjAAN_)1@<L>kZpR;@VQU_{fAF|dhZ{6>eGGCQN#Yg`ro~W-~0BJXH>G^ zxBhl-;#1j(dxcMBtKOfeX1`~>X3yeN)+_crekU7zFY$Zdu5yn`_Pf@L_AsBaes)js zd!PFIj_UTw<p=gYek+^z`bTX0d#kGJ8`EX?yuPrx?{4Xfb;{?hKCDqLmo2}Rm@d2H z^^Vx~w^mu#9e4NLEIqMq@j0uc>x{ektX@~d9-mXXW1aIktElS-clBK@U9nF2oYjLh z%B8aT*BW>AT`t`b+5XbX>$>BvKC{;eoBK>(OT@Onu)4BFxkPrsYmd!+=SwTrEiRUw z^ZG_i`!lNxYaXAmGP<6aCOhNxj+pkRR;Si1E|Q({dPYq96Dy_bj%l(JUQ2A|Gkndl zx$k(X#k$3XvOTXOV%i^B30-g8(Ra91V%_2b*_PK5G3^hm4y<{6+G@|5#iy-ytVup? z#dMu<N8kR^A8Qxq%SK&0xV>+0X-Xvfw9=T!_Ip;Jt~GAw(|)~T6Q9=W9nr_9l-^jo zI8Qd{n&b99jn@sE`gWF{So`>-)uU?<Q)CNXS46kpwyZn<u)6PF@f%C__m*GgB!8FL z_54IJpUv|Vw#Vld->_tVXPI|CvAXY8@r8NG-()sDUs2q5qxitQ=5v;D=MA6Btb0D8 zxbIr=hIz?fWmY}!DCV<x?qPd;cJYFFkH5&|olC6hyHq@3-r}>CH|8XtwRAhLSjA`h zoWqv=h2^C=k3Y-Id;Y?P{kf&t`Gu8yCeJO3`_2^4uw;K`sdqlHvd`%G3LEyPmTKn} zEBj6qPq1WvVtH(i^BK!Sa~^+`>3g1G!~WP(?EJ!tz9Yp7^B#YYX?w0=!~W2c?Yv?I zpTYAVMSS|tUlj4_J-<=Zcc7SI-sAT&b<bZ|w@)knFgN+VOys%7r!wK^7MAzzDSj~b z@F~kxbDB?CzB_05RHp3t3hVYM#TVu(pR!yqC;6RB(esL;z8%FDmh5*d@11*S)_1?~ zN8jRdnd)N)t@&&p-;iy8XYr|r`MgEZ@xpYOvSW?beYXp5^erxv+46XXZ2KFFS3SyQ zG8-N*Fz&lvct*1QwZ)U3#icT99!JQwzp{AL!+g#n==jGpnH7&MWZP#K&gffQBD3Ui zhB2Sn;|Sxvi-jG1&Sx#0jx$>InLPd>)BfDT>i9v+zO#iHeavSq&h;o4%cLD^wCp=w z7}2-*jD^;5N6WsGg&uv%MKUSJ7%lq@9}5`w89c6#X@6`Xb^M@3-_b&iKIKB0j>i@< z?GG)ujyqcP9W3PNV?JZCujlY-i(Ng<r!BViC>O{yJpLiwe&6EPv4yEJHIHvdyH6`j zkUT!M@I|k3zD&jA4Mu%hj~5vA?Jo3@Y`<&q>X>4xOv&R8BR-ACGo;(^Sj_8D&Xe&w z#%SKRy>LhE<L}b@?!WkZY+n9{I_LA|W&0QY?zOpp;B)Vt{5wC}=H@@Bd;Cp$+x-=P z+1{F`?N|KWYjwZlFWVdQ7d4N+N*C{Y_>0%_e#T$6*XCjS7yjzCxZm)(_e%brpKPzp z{q{e6CcW&w#$UFV=C^8^&zfJWN&X_e=zhTG-V6B+b;@VW?e;(X*?TU(pf35d^sM_1 zpL>n(pZL=@Ge4p3@h9nN_ccEEp2`oXOa3H1>3+u_wwd`3b;)PUkJKoiF_+u_@JH{l ze1p2=kJ4TDJ^rvgGUwZ$_@nnwzCc~_2kEB!44-@T?|=Bzt9Spwr(WIr9DmpznE%`N z@O$sR{EVM$_szfUoA^{ZVqf7?>8krDezV;(UsJRAl=+I9$M2+r_a%Ps-Iee0lkKkg zq8jE?=Fj#ie(zPk-|@R`a{htZ$8V+cZvVL3_TH>&`^I$XJ-07x?!BA)B2W3e*@qnE za_RDIiRsciZtu9;_SP(GyW{TOo4F_Q7N0Xq+RnI}*Xnk~-D7idcjP&rGmF}Oa98iu z+!cAs=gc1DD3?m-Z)@DudpUQ<&9;|jUfUga^_tyI*xYM+TjFlp3$rUZ$|ceZZhLI* zJ)c{Vx42k(&g~m_+MbzR$a#Fm%xHUJn)Hm@JMOeSH9M8FxJY`+?HPC4o|q|ZcTAI> za9d(Cui<Tu&ArESE%Fu@O84B3xYPE?OlW)Kj^4w$5_yXYq+4!F+-ZAYb|B~RX|p{! zi%*;F$VonJ#<ZPrNALdJAGwS3rK7eT+}^u4H{~YVwA`4RZTHMRZEM`lt9^ULCSI-E zJ8mDFl6xa}ah`P0HplI~8n+uZ_3q3)k^A_h*`sX_Q=|)SSKMy9ZCbbfVRi4l>^D2v z-kW}jN&YUi>-vdeUYqMD_8yy?ePbuvJJY=NiPgQgvM<CXf0NpDeMNEajqC$)&F4(x z)*C*TT6cXyaqqS44ROg|rB+?<DCV`e?y>jS?Cb?`kH1LetxK%xy_7v6Zt+>u8!^de zP2JWjR`Hr%=h(~k!t_$i<Ihs_uD{sB_T1EL{lZFKlj|16y=Ss#>|}dps<%F|ve)SP zial&kP1V*bR`#CAp0JbciRrNz=QE~<Vjh2#>bst?hwZVc*!qPPy+^VY;vRpHYP+tn zhwY&$+j_+cUW4mDig@*}zbN9>yMCjn_dqs7+~fCBb=P0)Zkv|<AvXEFROGtGr&8hT z7MAz!$$k)f_>}3YnC4Ta@75VUl`6ZwVt3n=><h8Vr%V^bB)^j?x?WM#yCd6TC)*v< zd+Q#W_1@3?;kUS4s(RT$YhK&SH|DmzGx_AheBPvJd11O#*|J9K-rJcs{1%r<ZMi&S zZrdA^S3b&RQX4KWFz&scd1hwYYm+BFi%X@}T#lIA_R8du5A!*bpyeOaq*h$EnA<ix zbB5pI5~(GZGmLr7E=L&mUd-(9b3SY0w4BkZ*W~h#Ic?8Ptd<|N>^+;A;m3T|<eZOk zu~gc!M$6vQnGt@A&zNW}ceLz1nd#xDTqKpUjM1{!@Unn$ufgStIc<+kq?RAF=slXL z;ip_E)p6NkPTNBhuH}vvy$3Tn{Fu*}?DIK%+GLkc^J$Z9KFS4B4VQn+Zo6;tYuUn7 zshZ0-X1h(xOqh9WYUT@H<$S4%%NvY(wJt9(>fN2`Gqdfk$*X0GsZu4EJB)ZWF3*_V zcE@C%k8+-r-!ew?-tCz?=6<W5^*(d&^0$_~-%V_nzn!<NI%?kK4|Aqf-<o^*&78LC zTW>SBEx$U?t@_rR%uUO$TIzl?xpDc$oNraP=3I{PpIjXEI&;nPweyx$Ma{YVV9vCv zTeB|*_*>haJ)5~~`P6xCRZ+7q-<Y%Q*_Ml!UHpTKqh4e#SiaQq?Prtom#zFC+vI*W zIeYoSoU&(I&Rjk-r>*kV)68khr&_N4WOC}Vn*U@Q-A^V)%N^&LJu@*}-fH>wqsj5h zQvS&{xgSlAUKa9qwt4%(<nU!Ke`TB84<-jMADHv)sfqsbQc$2r`*GXwe%P}A@*ls~ z*1o4RYnH#AJMF27&hl7G-}hVgT>jv<xhQJt<rQ<v%5P1%{Kjv!weP9SlI5<Jyr(jY zm!F*b?a7uMm*>o3E5CI+GjH+VzGv1^?=!019?SZk&)B{AW#6=P6Pv|P`^wTytQSA* zE3=;UHe>7Ji+yI+v)*Ksx^>I)mYG;B-rHxEZgTzNlb&l<Qm->sFJ9RvmTqFPcxm6a zG?ObA{oIR<XT8i=x_DyWwKNm6#cL&VOH3|aywW3PCG{dB)9ts++2SqdE?T(Xmhmk% zF<CrUQn%Q|cyVH1ndPjf8L4ioWqOOYoV=*w-YwI6CS$_lUP<3G8HsMyGG`079J?st z9xdZrxaG)20e5SevjtlYUF2|=mhmkxF<4wHdG>ThtlMLwThlHwxiibW%{SS9@t50W zBdPlt)r(Ulc~57AyIq#n%{SR|aZOKHs)^R(yS-+qCc7`ba+_=<bvGl>t=Z_-os6Qz zwvxJeChCh1_I@*)b=$aZ{=?rr_tM`~vb{I{Vw3z`a@YA2pLuM~pQt@HH~mH>+dJdD z`H8=KZlzzaOa3Og>HLb%JvY)1*fpOsj+<}zTyov{37>nerEjoH{wlfZe8*=Vi}N0} z$7ZK5uzUPPGH+huubxZk6YLhBHNIh!eAd`)zTz())AJm)Y%h#2**yL%Iq&?78n)-g zX7d;R<S{vK@ww+r`ix4pXU2N-6Myy?onKMI_S9HyzT(fG6X_Ew*`635vvEFSe8}eU zN6EhP88vK=jm73K{LynHUBT}02g$bc8Z~SWjoIca{@^h<{{ysM?8PS@z4JFd^&CiN zuzUPovhMtg>b7a=A8eD~OGeIXd@30}Z{hczJ?Rf@51%q#Wz&4h_}x6ir;=soS5&u6 zNxxvLe9Cx%P4YX*qVpA>dUm8+RI=SMzBljTwx0W`KlB!tOIA-ixSPlJ^o`iIcSfId zn9my(O)pHBESuK2yXSW54ZX!>l3PyCh;4gg^h!s$Omf5N1)F=Wr=E#ydu{YYXK|_I zn$r=nZLf?T=`f!&3Yz{gO>)I)i`cf=sWbEzmq;!-ow1q6>~zHDo{Om+dd_E!oTfAG z>M=R}Bc|=Sk=68rJA2NiX6P}WH9DuGTr8P3t#N11>C_0l#b=DPraSKJIhpFAr(7hN zGL3O(kKt*7%{>OED`MIn8%a$+xTEK2s)n9&p=8Hti<q{DMqJY!ck~=g<<Mh3W3*4_ z@M)u6I?bnzw&^GrNH(1Q5#4s*=-0G`sggCPZ$!IIOHGJ8HZ}Eyu5!L)#pw;3dbCb2 z*wnK-)hDv;uF<P$im8$%r#m+BXq=uA-FC-lo{n;!q~A2g?LFI5cgQ~eF0t?U3)^G! zl0V2fpEoS)Us&DK-n(LP&^HY|mx>M#ClSRift0qioJl3lLLLSJ5^Qblp=!^I*o7S& zqn8+Z3LMoCXr5wtO2WBEQ3(n<CozAP2=T3+Z7HsMzV7|p($E`Un1sF`xNyTPU0h#m z{s!HP36F2wnxNr#DIq~a?b<cVCwDjATIals^XQg;iacHqm&e8wYWF(aGTPa{t$x4W zw@0OVZ7s=-s*WEvw=T5VyY<MH+bKOgQ#LQ$yYH9k&yQU@=B#a<%>O9z&f87zF5OYC z<jUI`ZL#h2&1q7nswZ`<ofN1%$9F;Z)3o2pmL58@%w|7eIk!-9+cmW`XZ?z`MjVN! zukHNoJ}p=9sr=KhZU33A(|6|-)obqC7%dpIH(Xb4*OdjAdi!$SKJ1NKXkg#y)8559 zx8vPraT~pIz1@-L|HK_xw?VJ-tR=s6=5`)6HKTg=)vczXTOJ$^`?vi}qVWGWq7q`; zP9>$6)wzWJ;#$O=rhG#}>xz{Ndk!c2pEn;lXDcV4-1klJri<wdvldw&fqIRO`ia-C zPxD^IQp4NJC$GJJs*>L0wVii975;VZzUH;UHBzE?NyjS7^sU_%bFY=8Ona~)(^s|S z>Y;@Tw&t`xO)BZVzIq3*#pA<kwU@3=?PTj0EVy|6!BPfx-qqXpeE-^UUFlP@fpJG@ ztz69SPx>={F(y8#Dm#9A`KMO%A6bqZv7QMN6P09FuZ!GSrO4xbz$E*~?wJ0AzUMc5 zU)#XBU3YHAgo937P6^#T>(KmV^RI+=zm0s1J!V}MJs4)G;oaDkld&`<BXIFL&S$^( z?J(VN<5!%nnQdS9UBlf+-?e@TVR#UFr_SfomWp$a1sQEF)SuXxdGA!|<gYHa_qPi8 z{+ue5dbcn5RMFE#KWCkEslVh^y84a`1FtB@!;%la0zZ<^s;yP!h%b3~?0dLdif@4T zQ&s+*(Y{-!1`02jn5wr*z&tsHb<?7)2013Y1>Dcv0$Q_oP13xqH{;MG8^7A8VVue_ z`vNye-r;)8@>W<o%Ot8RsIz5>=KY<~lPYcrgn6^Zu8a3c?@x|tdl7VG%94c@JqMy3 z=Pli;Hfzg6sixl#qBYO0x^>D>r2a|gC8epkCw>YAZQ{`OFuTR|yDfKtN;Q+0mrQC$ zlfrdJ=b9aBAH=U+U>N$b!q?)J!m8g7WR3cs&bk)B8U2S(=R@M|wN^V8gnL8;Xsx?0 zxyI{4QO)gBH}|@)JhkaZbh*{bl~cpLw?!?Sa*bVE$TB3Z)$BLx!LW6VN0=>dZuxNR zu2tG{Cizuiu2&L059buMxc40SUzhw^;ZEbt(hBiqCpO7{DY|l&;X&h_!@rvR`;^)2 zE4JJ`G4a*}XUkc($C77SZE9GrJwGM?#Y$y=o#Q_%mb5+C^kf0sQ>m`@mdAXSQLi;r z%39c^ESI%zx9Tvx_2viL{=RE}4@t+YP_|qlqHd?QV$qh;2dqqAC#KzCD4()Z@wmZj zfn$f=TPrPh<gRtT|6uCjNxaLl)H1EFotv??DE-*Awp5>K*B7$yNpDINvI*GxIPB=7 z2q`D82=ByT1=FHXRgsD$nSwjwTQ+H$%$St;{1a2#YKF{7x8~p1Vc>3HcoF{j)zT-9 zM=v~fI{1A;?6lk^?^j0X>G*EUX)Rr+s4-3Eru+GQ-?hI-Zn7%4%Aff0{oJ(=XMEt^ zx0F+R!Di`uQGZze*C`pdOR5^%JB3b3<_wh;^)Fg^G;1}ZcCU8q?Bb$5ZohR`{`#Y{ z>V}{Bn`uj1oN}F4&8yNs_lWr}m-Lt02X0<pe<g59lHl%rVrQoA|Frp1%EX;7k`40e zm3N1EpE;n^&2{~zJ8$ySEew%QQ<>|o#HHSJN>&kM+9SM_|KeQTg+Cl_HLL#;yzL<J z=9csJo;fu-e`VeK8$H)K)V`NIxch5tsph|DMZ%McIhVd?I?~o~%*A8j)%lz!lzLdQ z7IAkqKDeUrTtst|QH@Iu|NkwAzUC&&FF!ohV&9_$Uwk^+R{YV?C|Q=#cH-#6`sNFF z+TW;6>$`YF@R~B0)Se?94c2DeEA=P6+3lR#5G1T>GRJIVPu)g~51MTy?KZ(51aGj& zW$slzwP4eOl`Y;|b~AVUG`*H=HSMzXrnd|nfA4gb{7XBs(BX;(Q_~EqUm3}^o6pYQ zS3b@2&fm5!2GtApo=>b9YbqDEit_t12K^L_@3pHEdH$N=S&b~aRlK`@s;%xX2dm%w zth-;Q|NrFEe5R}8zS^7DJg?s#dsE-Gxjf{*Wz5}*O$s)=BJ19Kk5S`(|K^zSo!d_L z()o(>Chm(or1-7xl|Fxd`J)T!tdAGgYpt`?TYtmmiiY5=-D-V0$_myCAFk;C_5abs zje2eu=jIr{T~nItaIoQ4%#CYhiYfuZ>UIXX*D5ar`@T99xmZBKmccJ1U}5sPUy?1Y z!CB^k*{^d0D!JaMotw3Td-<B&tm|>-7Ua#I6h3?9+P9}FZ@s^A!_Vi%m8myoUD~bw zaq<Z*e{<77#S0T}iS}z{f9WqU+{AZ8ZD-q#LW}fGv%OiJ=472|ty$#L=~<(fbLwr} zkL0M%DMtUNIm+x|<=L=yp~03T3-}hQx|{QMdarvt)r_m~<)#&%{KaLudU{k(u~aCy zPOwqsIk5a|!Nvd!LoSOV1-?Bm`^pz<mcP#17H$5f^mW>v%GVu*+xvRUUSDAf-d%cG zG$EQj_3YY-j13!0MYC4cJ}(Z~65POIW3DLW)p2W)uItoCoi#_;8X1J;jjLy7DZO%; z?G=#pf!%f13Qfxl<xlM@VrNwTrEmy*T>g3?lT>Ypnqo+*;1Z+nTenO-Fu!2dlL%i? z*HhB|lib+9>*cI{X8cfx$?|`fta*-Helm}8XoAp2_HIV+3p`T1M^rBbGR>EgQwp<Y z`j|MC{p*7pJUZ-a5@)>j{#4v;^fYF#hXOy}2KFHKn!_p6W;rgLxyZ-CwbwCN=!ijL z_~U!aolafjSi|7lp!-Q6&f<u_%%U4*Z#4_%8J68mZ<#FSJTW88@5`^l+z(uMjRLn^ zbqf4)w|fyo=b|;u!miO7cQsa>(x?jGui@o!NW+r#l=r1=+LB?#8Xj?d+vcXdFjJ0Q zSy2^sTO+sB<<BFIN7I*tZr^z0yyt}dGHb287T@M6iT(Jh(@*Nr^#`#}0}MU2V;jpR z6tjyIZ@H>^Z|kg$*`9{qCo1Xgw%&f*gI`f6^J?m?RczK#ueD}heUou~-7AYt-BDZ- zhnSYGtKvQK#$fsL9j`c++IcUKV+)KGWnF5Q6u7=%W%HqX<{BnjRWHAv(tr81>!#x( z>#Cjy^-EjtJh?CVuEiVIWOdiq-CHKFT&Eo_=QrJW`?~imFV`()XVH7LHt2udW$v!) z<}Ytf>-(DiE9vC{snu6HUprg)i`G7MbDv!@|Kp8&AH8cIG4B2_;hwLRyi2Wh^W&+j zm$pk-ule=o<*OzIiT_V+*k7%XTjgE5X<NFj?Ui-gB~`EO3E1nldd0m<(wQq4OPZXl z+1axDNI^Q+!@oOR)*7|F`f_V^K<v4o^PJ0^44o|-w_a48IQ6ve9#hBCYaT1judkY1 za>;a2mB|mU6suL)VjR<~__gfUnsTJdH|%1uEWg;`z!&hUaf3c<)11ju;(b@0Gi_3c z;kshPYW^~Ux%SH=-zN`^G!@Lh3I7$m`1f)1`Sv5<d8)7YG5zp=<kRqPtu}wf4Yoe> z=JzbmL*B0ON?N~r{XNI!@BKQG^z)}q=IXnwY`<LlqF=w2Th5%Hr*6L9TUC2M<A(^d zOXHbt?}|&$3x8Y@_E}Z>W)8z;KH+80YA^4JJw09BHmPp5%)Vopn<u@W!Tf*m^Rj0r zP6@Q^%6oZsOPuT6;+5g9wiXQaQOo`3tIaigcg@|gG|y=jSD?A{)t5o~?+Z^FDZGmL zk@xXMMe&gwo>l)lr@LQy8K3I(m22JXDc>)fMh5oRD&`cJG8R_dKEGW{Y1+%H_O^{u zlQv5&Xa8KhYf|y+LTTgLXInk>En=Sw*X5l5d3m{QxM;tH9N)g@i(YVEI=nyA<AYa0 zkw`3`Z;;xh<+n6LFB&(@J@Hd$tM$`K+m>$rtx%b~F4i+ip3|fCxBX$;MW1AfXC-{* ze70jnbbd~|?eB_fkAJ@WSNyf;?BOrh(x>j)lqR(*KJ999UAM4(t>umGXJ4N9$ZwyY z_vnk%3cfGb+?&@0=^yZ4u2Cwu>Uik#$#zRuSWlcAq<^f^;@lTQ9o^E3KP78d{F(AH z+;HhU#knO{Ems*E2Fk{%?sU4#J7HRtV#vhM_m#}6l!ZzczMZ_gG%?Y8@9MpIld{&! z=#}0(Tw<H$y>H$5mv6W0&0KMOidCvhZPIza_ARGhx)=6;_`3Vji*K{pubyKMxj&6{ z^}YVDo!;-vZ6tL||4CNwHGDofF7VaSQrjOlXMdUfS?8<nE2ho$tK<YC7)==)%U3q5 z1m0hJrO`IzRmOoOPAj<;U%mXkFylzD(EAJj!&<Y8ADASp{I2$@X6i4VM+}S|!q=^q z{_B7K)YH1_t0voon|lOLy#8Wed*G$#-ES|A&b<`Au$=Q==!CG0FL&nK{jrSQGPm7p zug0g!ol}`DdMak;O>pR1I&bIP6Gr|w&M8h3nDs<3Lw0NK<p~B?1C~WJY>2c>tZ)_Y zIC531^2f&9E8)sr=4Me(+_pb2UhgaL{A8ltqU5<3o!7F8_Fv?gZrhP{eUea7)?ZzZ zTSr=*91bk)&E4I!xG3cDv^Jajk9SJQY$|)e^YeXi&r0ivy{<KUyJfoPY}M_Ya{A+~ zKV0>!H~r>5I{N-q&OYH!GrZR?Sf0o{WpiiL&qWR=x+WNMYs{X?6ntDm%hk-TzTnQY zFX?G=$C9g0=R9BIwDgd#;y3k<?wqGbtd+h+Tf~I*Z0PF$d@B3uDuI_-HyXAnz0RK5 zxKj4J{c{^r3t^oJ8{hA`nf~?nwJpY4zgRSs<))Y|iJ7Ov=#$j`kvF~C@~Pb%-)${f z(IKZ|j7_t9^5ZrxaOo7Nlsi1<=;6~3I1Vs6Y43gd#?n)(`iijr+vi%l56Sp%nm=Ka z?f-_5o9EBlZ@U_FDsZQJf8vrECo8t9$UIzosy6f5gc|wNanH@B@Z4@oEG$3RZl3(^ z`-P6#i5E<+S=BxE2xoX^>?1j^aO2A(?=Kw*(vh+Kb~|c@-|A~#myS*@{d76Ra(9L4 zTpKB=BMKoYZ%-vDH!AX`oN2ukvaj&aTb(P3)%CN5bWJm+F{?LOoll?c5<W51s_<85 z*5L&S(`Ou$%)cO?a8U9<!Skkz5&PDEmD6i+cxLcke-mqlz8ljC@9-rYi#p%T<>6kn z?SNs7+^J8ur}j^MVl3FaA)+_zuoi2;t)vX?@`$-FLKf?8_BxtYZ*c$WSCQ;_=L$UU zge3@`nRf1IiL?H{r8B2)kbLpvpP_}J=EAuf?<ZP${XRD3EYF(Wx}W09J}r11sNuhQ zp4{tXhhO{b#dU7BUY!*FFR$l{gJN|38$J=A2U#g!BVMjKvR-(}s;Wr&$!Gho)riV+ znRC^9`a6l)>A22{IOb9vA8{<^$<ciw5zDtU+MGPKw%1Ck`f|zKHJLV6?!Nqi5w3nm zJ}fzOhqF?2^Gju!z)xGVByBX!N>cq)m#B$!s?Uz}@9R}x$5Pc{X0ZEuexujcI|4DM z{T^v(K0I~Z&QyMF`Lrot@*hpwXRu^-NHS0Q^Hq~mgt(n;G|cZbY)un-DIxB2-*xNM zlef-pT#=U<X7bm>qtaM#{<iz#Ay!*mOXSyFuN7bV_<&m9%Png(ggt)>=3WuYPMWn+ z!)V&k%j?PlF9$8uSeP}_HdE!uGJnyJ51U);%{GV439VRKptwxpSvO<I@3sji43eBK zY{^rZB4{TygIjHdw%MU9MoV4YE~&L^)>qt4JpZB~>4`#dXqR27<GjDZTRQA67wBAS z5t=?_){Ecu9y8e|=9uhwVRdHl9GUC=i;DgJsn29gUe_M+XyXIxlPN;07Ja`o?Xyty zCHq+>LOkk=Z+lp5af>{_uNWL?Dq658xzTB1ZlZx+RHmY!ipi7J^D<S<^`1s6Ef333 zzWKe<=)%J{PkXw0Z_Ma9$ZROFJGb_w!p!4a7~J@kyAIuD6$<F-$vegH<faRsdpVbr zEcZ+oQ|UVX%kNYd9x=N!ZRT(Dh@ynY2M^~MUlQWZSkmJ!;<(sAx-2?QDNyprytXqN z5<1)L=5Om}kp7Y4?R8>9`~QS3e@s|=-=~B{rFwkw^m)}Hkhafg(;|0^I;kYpNw#gu zJFg3VNStdVw$LCiV50NE(=03=6`D7gv?hlh%Dp6bbit*!HjGF0QytycTwZczTDDS0 zbmSqczIP5w19+5^SW;cqMhRYc)TKG$hzP4jufV<qyb%h?3ss{SOZUB;sOxQ((6hp> zWrFYP@INZ?S?;E+r5}pVw)L58e-pedLr+zyHC$G9Y3z!$U9)%$R1Gr?T;i|YIr=i_ zmA^!>(R%$4>c<Ma3#T$vthur<Vp2sjr}S>4A89KXIFEODq>0?*i5Gll%MsY)A5=DD zX7fFfS<h{PH9`%mZgegvDZQ$6bWz}eGb*bL)JrC=v5R5}@MdNaVE_RS=QU9*>-;Y+ zpUl7j!u$-J42h*FnfU=l`N?{Dc{zE-p&_gc%pFq{Gc>m9|6Rb`!N_oT4vSujhk>-O zK)`2>UPcGc7j64~xQm{-TDR!lra(tVR*?V(Hz7wBZoc)~m|~p__N0ncazE3pkd14f zxy_$L(dE*UiU|s8zW1b@E~!;}?|gc%`u$z~<uV7Q+N6?oS6b&tG`7oTG$gJGTlSbk zrD)4xcblS3;ydNe{XEbZSzzD8T*U2pr|E~<5jL)kyc>PpSi<}{s;|UcU|^0++@!Q_ z=K@By4U-<p?O(9)aQO7KVn1&*^Jr;QKG=}BMwR)EBO9Oe0WaTdgF|c*5jO%%@;;vR z{CM=`hrO;JxAq3qWb4}fP*eQqs`Pj^^P_I=N7cfQvbhC+O5JSxVfFKto54QQ!Z!U} zpGVmti|cA8XZ%p=G_C1(Q{87N*rK1O^SD|#_s6Ycs{2gsY7e<2buGCNaOnn1rb^S6 zslqRxxQMj42ye4Y%Sm>T*(&bebz!~AE_F?IAs&^9FFkq+O^i<Vx)nX*jH%!-x4V06 z+3bqLYNs~z`ei+ycuQVN{A_nv2&=<xpWvWEr`m`Ut$c=O4jg9VlRg*a61DP?xJik^ z`Wqga+X8JiR52}mEakcMTJV`qXQzHRI`PBa)(=}dKdkNjuvE9Rtfn}orZ7eP{%rAh z=~?=jO)9>HL1!C75+yvZSP8xU(edi!MXPg`2Pb;=D9^t0itqjrn{9JCQl&+%r&x%1 zJx<zsvbQ5YA}1(kbzt?wTLM!2msd@QV0F+<S}bF!D$dr<YW{56Q@5^-6Qgn#hvc@b znwXIBMC_Ku)2>4jPZ{~8`+g`jmWD9=U%^nflA&rP178rM$Py+Ak5-{hE`@1as)C|k zYFab&LpJz_Ffc|s>~lA)DK@An)UU}mt;sd1$u_RZROF9WG1%vxE_6TU$C2-4Z6CMl zeq4L;j23t552>{im{j@fvut8E^M{M<S-i$@Q%7XMLssbnUVXA=ZyMGtJ8?`l>BR*{ z=LqeiNxU*i1&nJ{&X~X4+NFO<?7p)0zMb0pcIfQeuCmWeW1q=v{&;0+@%y)g?k|zz zjb9=)`F-Hq_WX5e@iDq>@7I<cz8*IDq4(;qA52#<eq0(Vv(M9~X5y9~a+)8{p8at2 z=7+t!3+z5_ox9IeYu^^eBQ?DNE0|Vzbgg1YvaXpt<%g2)N86-Fv$G#{KYmpG`cXFb zWADwKA5Aw^eq7u8(RY>aPo=9XYWlO*eL8zJ=V|xqn|<%sKGTVhJ{z@f>#X-bE@kmO z$qwnR{kSx8LjEO%copGKwpI^kJ3s99epns+Fgy9v+NU3u9xah6eAwmG8`OK`zz>a{ z;-ntw$Fqglm(LcvKPx9PM?3iNqo^JqhAhUUaG&5?1x|ZU{_yB*4mx+zQkBnZr_nLZ z!hlnAe@-%ftor?mD*LgWrglk>W27IitI0le(6hO?nN3`s>pL5lda8_^{L@0e{=%4j zD-GvcnU`POx%2#-+22p>O#GSVY-jaEM(MH8&uyvP5AC<{|J&5LVLETi-y1w9%*5=n z9?s!@oTOh<yl_^Jkg0@9ma*HFB%P~1JFXpex0~}jQAXf?*oC$`3s^TgDhD;IwXpHF zXZqK$9NnpL%=4pfCo{jaX5oq==SR0iZVTRCbERj8+ffhCOop!v8<iMVuym+yoH%LH z8a9P(N15BjR`E$!KDfX%8I)J1|6t{n)tDm{`&jCy3n#mvNF%F+$(w@4H3n6Ob#{DU z5|81?W-YAfem`->QFHOEk4Jm$Yw|N|a!pQcS4;Z1^rB`YZ-d9N?;a(e&Zho+<R-Jv zeAUzJ;>#tiZDlH5hHp7mnY9)A`Z%BNO!hgQdsSmvb_rjfOOtf<onunlZOp9Aw3fTw zzT%=eOQOx~@ewTsjoG|jIu!{ASvQ|LqU{>Wpd@-<d1F_;-jPS$){m>JKWz=vxIZi7 z==8L<u#<th=W-7+8up#mZOeDJ%(3ozbSrSW@GH+{$_D$~7fqS7;;6ZJ+`-0=M<?!V zjjYM{Gt-Qh)(u{qQIq{hWY&+P)6-UGUXJWOA-8OzP0o^o&VqIhVKa6d`u?IP-|x!9 zZt3D5_g=`xOV>V*UL7d7xTfDtf1l;XcKyDS-_43XZvFUSZKrij=3^1@eLF>aB0d~& z5)_NM!0gPeU(gs9{3Tf;s-lrQK~*$i)}(e`nIr~h9$A}$j)kn7kDasG=NYljqok() z$&Y7memr{h<KDX;w;uhtwyoy*k82-(Tzc?B>SB^8!*gljkG@flx{n<BzM?b#T&MoJ zuJ>oV*1zq_=k3lHxIarEepdSq%dL6YHP)MR(xq?T*dg|>&{gqE@U<H&pYJIWlg}*7 z>n@C`vCf{@`{PANu}6>hquE02s!{@%7yQuZDh}$I{U};6lDA>%4G&MwFn<Hl#k1J? zbZ@-c(3LG`v4tVbDD6er2{CV%&j!vsb`1+zqjilRiI*8P^O<Q}w8;6u$fG;ORE(P? zgWdRr!^y)uhA$G>LCP3Hm`>PLfCMwyTk{r*-{-iQ)RAMH+?-;{z-@5$Fpr_ePlh(J z4Bh0WoFyqOyv_li7<qI-MuHhfv>2okW=-Nx$WUCPvVvKBO3DL)W_Et#7YEiDX&sr~ zwqylY1KY=?j+GB*2}udenkxKi<>bv`fojqx4Vw8*a4j~eDfZsCLvWwD*P-iShqk9Z zVo7zhzu}g?LyhTF<AK&4fr8tXMsbO}VmKjaGRb8PQ^T_lXLo)$y7t4~i(>oCME98r zwXVO&C1a)`c`}RPn1I=*1B@(ECM6P$JjM$?tX&$g@bK1Ki^3L_9p1jJDXl5(@bs|A zIRWwhbGCM@d6>PWiJi~*2m=$pbV<T$<ph4?BL@<jgLtH4W;C)!`x|z~U$~IydA1|l zKWE7iN6j!s1tr7PJ|RhBHX8(*L`w<|vWlJHnymXb;RwISi7bW#GG?oN!VcLrENta7 z(Aau_Y3;HzEpJ%3^%gM9tYL8GwwkbX1Ajt>BfFRG27_xs%MLNDU}0D-vzlSTS_5vm zhyq8prD8D&jw@NkPDyFIM`Sj$W_fufZB-S&uVS{(BW9m_?7p40`z-ACnY--UX132l z+NbCJSvKj%*_#i}s>wFHF;)L;*wO1J*u0-aYw!M{c6H4UrK{(D$X%URQ*5dcA9J?n z)84B-mixBNvOK;$%=qPxOIc~M@r!T$JUBfq?xU~PqwbcY-%pr4nr+<w<Iy4GecLZ} zujh3;w*A?&(~3Hts#EfVL@&w4FIlVXxX-<!sIjKFvY?@+uqtnZ{XTQ2Z9@CZW=zcv z*rqD&b6PyTxpL#d6*c*0H^ilLPF+uHZrpfqNlmud3@K@y)6>(sld?aVZW3KJ@x$7w zky%eC=Lh+E<@V?Y_4>R$^?k*&knG2^S5HlR+`W2cc1^zNW#6-R9%rwfnV*$5J%4T5 zp_MaZ_HCIZxjgOFN7Gf3i+VpSof$mo$PYD6$EHW!E>AUj-d~(>NBI66PnLA6k4Ib6 z@)V`+N3T2@Ht*xs)|E>?u043|LPA+h=2Ni<+l3~Nvt3qyZQ(Uq{l?^B_Lf8ZX$snF z9zUMF<gj>V=lhFd_wP*B_hZ^;t`k4|`|<5&hs@jb^-h0ZcKG_YpC8v&s@G)7Pt-J7 zI=ke!d1mWu6Xi^gOH)(~Jy|@8CLftvqT%U!NnQQr;fY^fdcG1;{pb-j>B&KJ%?|w_ zk^74c_U+V+zr$RUUs;nIr+7b#>%P>!9V+|Gb@y%KJGK2;?}w$DCz}>)#;S-<u#xg` znXp2k*U^jdr1Ps!Yu6S`6!>{5Y=?*Tgdzn^$6HNL8XaCW9%1ohyT3$!pND@<|A8OR zF8z2U^Pu|nr>(r7*3SK~^lnX|M@@cYP43;v`DcwEdjFmfvrzM{GVeZ5HjVo~lte$; zu6i^(`_oa$1K+nDvaNcYeY?1XLI2`Kmc9}hUCT#BDlbkP51hPM;fLDJkFH(~Y&HFR zemoO0V2YPvs>#>+u=VDF?P-Umr+q#u`EhUT$E~GlHQAjtnV~r*OJ{o@;48{1+A&A; z{vAfM2i?{V=NME@Y*qNK=-zmzPiAt=^g9dgd{=(1BHo;TX{vq@lhK3fCxsu+syeXj z+cEQfF6%xs$sgA|eq5_5bg9V?t;wA`Isfeh{k0z4rn4>`-P;h|eEQ)YZ415!YYJ2k ztZZOzT+PtOzK-)8cb@>?2`>8&zQ1n$P)a=T{X|Q?9?QNR7W=ldtT=EzjQPG4lby&u zllVCT_iu~DM_+#uz4+5o)sLo&UN$T%$+!97`|HLJCE1^HwI9xwI`qDO%b@mQ>)r#~ zzqM{S@RlLAfA-|t({InbE&imenQxz``v+I21FSXuUO%1*F)+p7k-i_z6!ai_aby14 z*$=aybbC9LANk&P^!l~AAJ^7q)?|k6b2Aak@VegCz`IQ{$HPou-%jR=1LD_@eJ^90 z&6to7owzvhb7FN8b8_`2*I0!gYLW-VGp9YNb~$9;-oXFk(X$`-1R9v)Z}Ze-8`aFL zDRiyL539+ImArqe{n&K3r`ay28`odt^7Pt%jrqQc;twTB2F`u%iZ#XY;_-K`a>mc* zj<}L_rS8gtD~GNuxbUE%IX_6SW@4K62V1F!vwt7?@#x8qdm%q=B|V7le%O6j-2BLQ zx1-muP5ijFHhA9_O_imqpJZ=gnsjOD&jaR}9Q!;xKiC>Ms2?)_UitCp+K+n|e%QM8 z!`hu6mX?~;6gt%8$BM+ymbf1kGRttw<O%tc)Q^a-JoM34>h6zckA6Hlp>coq^5fUV zj&DzU>h1ivdvjDxzT3lW<I*43-b~T&y%W5<Z;IYKnU>X!tMdyUi}tlND=#c4D3F)A z6W(gQxWJ72oO(eT-<+w3CdTeK)q4B!>??=GPfmVReI@hfGaIe=8297fmp#q?%=7aa z)6aV!j@DMz<jX3>-xfcyz3tHSZ-;#RUf$c5XO`!dSDKfSCp$ZOj`aNK`O@9D=geLp zeO>p6?$Na`uRWc;<*@k4<VV$4Qhz?P(TI<Ee(ZbN@$1hRKdoi_H1%Qk?=3a?cNODr zKYtpn{m{F3A?xyz+=?9Y9QPdAX=hJ{rA|(Mo%}huIdO5abjs^Zof}qeu-#brQ;qSX z>!$@j<VwqGii7s;(7rD%7cZ@N|CW5b^u8VZ{qNTrKgs^h@bj9-k4sSrJ2me{O<&%& zxNS+>(y(P|p|gWO2fyBvrJGfnCA;v}yjkBDK3luOab;;$&E%RNN|qmOe?6M*{J1;& zarN%U*`HZ{UfWlb`Qy<(-TTquC$4|{`*E%A&r3F9VL4vY*_w~JcsreTGIkDjUhMpN zQRjl43lcBR$f(I^&2Y*H%rL5%T=7H6^rP*oN3**>9o_hGZ|cumdmQ#{720Q9Q&?V; zZ(Wlczt7ZVpNaB5HxuR%)|!x--mn##vC6Z=51VVY>rWE8udKUor}4fWru(*A?K69S zdi%B~zYmG0AOAk>@bzoQx2K($ZuUg#;*tvqAAFUX^d||`^rzjOl>d(Vad-3MYVU{H z%@3oiA9}ye`|+sh$Gs&#ZtXJMx7GjD^lP3!r7rF>+UKTyVah(;eV$9F=ugW1WXm=8 z$FqI<`*s9$zF)iKQ8st)k87vY_nCZX*3avBfA-{cwj<lwj!zeR_rY|L;DU2@ApJ3m z8Lu!)wB!eMKJ5;9`$Mj`w5B+6pT(t9-_6uMZJnr8lbtLde{1D2@$|z#?)^D*J&o<- z+M8zkOoS$^7kt})kxSO3VnX6!ZmEzD8=84-CM>l3d22yUc3{S`tg9!tnwV)H4y(-# z-D<Q|=YG`GMKc#p+-D-96>8h;B<qlI{CWnfWXgpL2U*2!9x!oBS!t~N&=kSS;BhFm z_vp1BY8yYgW)+J(_vm|nQRcpkY<!IDed%j!j1;y_J$`-J@$JW+dK))eKklynwAb<H ztwlB283F;)0;|0iswt#B^$u2U$ZCiPKeL)al+n;;o#x69P1i*f9%OH6;?n2P&a9ox zP+;}Zb=D_at<^QfQTuij^uK>w^C<iD<7n=mKQ8%c?Av18wO;UT%ddd`^%uEJ#Vs~m zaAX#Uc)-{uS@+=S5`E=^Pkv<d6st-+zW0Nzc+*;*$5B60qJ(7bpSqEDY^(9IgO6^! z@aQ}|sZgPYJMp&Wp{;hy4*t1uqGQ>ShrX9Go-SDu=yxe1b;%Mzze^rZ7ca3=U%9DJ zZ{;!1RoOk>t4b!VlDa=>)tn_+snJ24>MK(oM+IrBuZ&5K3bIsR8IrtsNpa`oQx+ae zr%s-5&dKAsyt3ll_6g_o<rU|4Oz_ixKEcn~W4Zj}2_AMHDsuNHc-VV9nU?FZL|mxy zxT(q}exa4AQj@kiZ3-}RdSx_esnsTbKdYePl4Bn3q3&rlB`M!5jB+i?Q{Gt^WlNN& z{IW30{85(j$-*f8M%fclce#hRCI-t?p4_6m*<uriv}|jH-KMTN&K8>KyPxEUDnETQ zZO+n1i|2fuv^jXr=}0|G?V~&HSWdM*`(v8*<tOKiwmtP*b?4=isCQFN%}wz+eRX}z z)#Sx7Q;M&0hkv%<JkH*J++&CB4qf?&Cj>0APFTutGP!e0wo3~>baUMI@R;)s!wI<- zo4DNVcD5<6EO;V$xuC2dKc_|eqD1@D66L!VFU>AF?&{6$DUp6IbJxwxZdd4<J8O3p zz6*Q(An)s`9nq1`%e3$QDARs<Bk$?dT=V)Bcl{jK-4(i+*XXnRui>u3n=h^%G5@69 zdF8Q+nMY<%%5|AY6Fa6!$wlY>G#VF5Jb#pS$b9>Toh`WuSrIXt<1@Z>WtwR#OHb*| zS(xjZF3MHP@O<)QEji`h@){RTOLHcpb3OS_rsh7Dx}GI{`IMR2D#OCJEKgL7`X}a0 z=|3ZVZe~m2wXXd1oYl2irKh(?-3?w{p0hgCe(S2IQENY~ysC3KE5=k;`s%ir)6S=h zgmT|3>MiSWk^XwYO-KG>hLV)*6PaGQMS+gTF4|qlP`IBVBG}8csn<+wQEz?B;@;+r z$I~-SoK5tlFYfS>=2Y#io72L!vS;FwzBx4}Q<WdbNX1MpEXvERS)81l96k4z)b$gV zCPBTK$ubNfOg&jSDytbeKHks>V=T}rbXEM8%5QK+p_xrIrl65kRbbD8H5)%P>jx>_ zR~DF<|4!s_H}lpX_uPKmI;6bMbVG-JE~|LMRG)_R7rFEpw0U3OU<hS=C|lg2$f93x zL!p^RDym~4v%r*y1}9mEpHF9p91-t4Ehu(>vFiOfoQK83`9AJ#Rn2)gY5m(jfz6p8 z*EV`&JP?SNdef<YF6*wB$iD5L+uj~|n7z3rOT8vrwJ$Q&;p7u<?fxH97g+*$6T)x2 zU?^o2>x^9Rq^XTh%I3g^M0PKo3SURDLk1F#Jaz|~HiS*=jJyzf@yVm?6z!uw)N;Xv z!K6=Tmwr6D@Z;XhpSL#EWS7-sM(lGl)!pamRWotZ4>{G3XJ>lU6mAg>61c_{{7~Ga zL?Y0beJ5LXVwfZkD_0N$lW34bSj0{BlZKPd=`Athos=mPY3QCfIp*b5<Io4^Y<C!( zJZrY|X4~31Nt=_i5+_F8Y$<C_o6E7YwfMvhFLnE@Ho<)hTdi`IESb{qsv(H$Ekh|| zSV!-aDFOk~52TC=3>-omQh%t;t*x1O=1H}P)5o)OYibG=h40TU{&CAmWuNKe4@(;r zz|F<fn%qQz`?J!2Tym1%=e8w+wW0Kf)Y=7%YzluGTE#17FmlM4Y0Nwz+Ir#icCosd zp<>@Q^7uG}&G2c7Oki5yXC#oI>Uh|JMVq6MBTj%hVruQON;9TLPLBgkA{t8%Fv}-6 za(N_NoALKy_2-A#n{8{d)oL;mI9ac-N62oMGMC<GF1Jl$oAf@j-HXi@rxpIV=5|4c zH;N-(YDY<t>H;eU5l0qY8J+;qgqbz@X70?ZSWfZpGZ)&oO=zE~;Jz)Fj&R!)T)43C zFpsXr$`4Gc{DvPKnA;@;0;C=G{<w8abf4+3qtll;xlB=EE4n1864W-aN9N~;z=<-J zhb>nzr!O|>*&L{3F8%c3>|VZ&H#3B9=OpFC<S6sVm`m=vsABf(u$hp1?~;eJc%yhO zACkDq7QpYY`9?<?vx6$b+r(MEB}u~lH;yezJG3>BZLQfdgSBkSwjDLKYI9p0>$7<4 zin#^3J&Fxk4T49xq--`kaAaGmwBf=-R#nkG2`m~{7(4Q6dcy=5BTg2!#9z4J=#wJM ze$#D{+M%r*TOv~*Ps;St>S$PF;C;yaWZef>rzh1chpwL}J8pim51f~@Pnf447B@fq zJ?zkjt*sx{&Xljoe5x3~SW4`^$_&x?CBhx=1$pkraL3Q#x*t7#{n=|D*WUfG^kB{p zsf%1c)g(W;u4=F8_u^O}wLs|8(vu%eH{G<{=b6qMuk!n8^_4^B&ku=zKlI)0#PzTf z+ry+jEe-r=y6WJnA8KDexLVczkX!iS?9vZM|9;#XX|>NxYTs5VV>cC6FRn%vj+1&< z9hbE><!lf)(>@f&c=M3sHV3hO-f2hDw&!@qJg!QfHIcWYa9V$UI?rtfm6wglQImLX zPbk^ZcErVbQKD3k{QWeUR*OyTeQV|`>+Td;_q6Onk(SSC)tyu8YE~ycPK@?k+}7+^ z$h*9`O*?!>oAvBGb@BTtH~e(A9bIvES6*tLba!;-k+63gbvJ(9FjFbVIY%w0an_Bp zL#9GoqBKuznPvGXZQTdmiECL>qB~cV2^F5zaa=sL*=O-h6^rWBJC@JN@;;vJNi+_= zF-^5Q+d$7ibD8ATDLfx_SB3=r+Mvr>_EhIllu*uTk;{{u1h&o8n9%gbfOqAS$G2x5 zHj9|DIQYcL!&{UUwrwpu%c`4xXp0QDv|dN9M@+Tj;kgF9;w!ctH+9}JdwQn%npx7< zbL3{7J!W_|O!#hsUJY~g4F}O}t$JCBT-Rr08D?2z7-ejbS+98@LE@s#nFCFWv=}zD zy`RW)Uxp`MhX4L;p7>~<`?t8`r81soZ)ut|QHWjDdY`9y&BTBoa!X+&KpQ`7E&aIk z=AIu?H=}-B+xJ85>VY3hSDSvwU7hmdS=Ixg_!!=lN7btv18Z_kFLdUw{dQz}81up( zQdgJNWG2>3KJr7UF}bGyfZF|-Y5IQs@7G@Wv^DqVwF?D-C$ktFWX&c|$bBk%z3$1z zTvmP=GmS!nMj>vw2#$lCUl&N-SMfd~p4t2UqU`-U)AafJ->*G=ecJKu-wHqaYOSo9 zIH#un-;ZZG@)GfL&N_YEd(%sMpINlz{V0)mDcSfry^pH7U;en|rLk{oK%f5FJ&&?o zHnT1edr<tzbkoL<TQh&krT%>8V7+gLLErnclRj>p8d{S*tyAB(Z~a9sH!skr(Zdx5 zOPi!c9c;C3C}~Zx4`H~!B7iCIV9G)#Nf*}5tz1h5M191sah!OXy@W}Ez16BgsGrNN zW^&&TrGvA6$j$t4cJ7Cx3qS1L`eAFUR!z2FO|I#L3HfW;#6B&(>fpT3ZL8?MEt|XZ zg?u{oCyC!*e7PfEkLO{x^`q+FkFu>N{<!8OxX(mFIDYYjR&A~g!t2%wtY%=~-{_#p z>ZPcl?NjqOTln#hYsd8WnFxZMtjM74xfnEVeT?7YV}D^#-V?t2D{joVVYYPJ5z{Kx zF#iSq5ernBL^mj~Y@Mg3bbt2D6W7^(KZySPICN2&_LEy@kNCE{?`=6dLAPZ+@3s)@ zkmkk@YdIHvT=@6n(wU82s~FDMt<#thFB=qaP2x=Z`?u}uc{}oX#g0rbJA6H(RotW` z;L^iey2m-cDlUi?ynmZdS}<O^|CYdgsWt4pYDcDv-Ll9I>^OWqgEjiCoSsuVpU##A zOST+ja`W=i%Xo3Y@i3o7PJod^D8r7!ZlJL}evQfp1xL&@8o7FUGBi9GIZkj*HoV!) zBV(p<@D=Ank$wd>d4onFjxdI1{t2RLrxOL%FtEupXmdt`>dJ<V8$gLUTqHr$C-~Na zCyj^OHXZYE_;w(%S%}AAg8`GO$Pxw?d4tt!Hgk$jk=@8HX?Ea&BXj%4X=-P7g&$z$ zb$YSDk&RdDOv;A?&EjS&44N6)_|IrvU}6_O({Uh?nMXRqVDZ(SEMtC~ibUsjB?d({ zfe44RwLFb07D;e~A29o06ftG<SN<N&`2y$n8!W38`g{1{M2;8F?(=t-INkA1W_Bv< zKfO`tX=inzjB?<t9qh+93MzKjE7^24T16bY>b<Z&#fHi7%lVVZ0;c~L=tSB6^?q`z z^@yXaTKyc07e|)}OgQZ*FIL+S%U8DX2lJB8?<X8x7jW*N=CYD!GE<VGmLGLgpB&(% z_n&L#H;!V1&ZEi?FCSD~7QKj%$?$E{v#tHf6$N`feav8G`jvi2nOF1wk%&JEkM2wK zd&K?8{^a3y=A(YsN&WP9ibZpUp3PGXmq@zesI@NMMTDJmrp%`&4@55uH1XxlG*PUx zh&ZCctIb|N<CsL@hIUW+I6kgT94u>!3nm=3P3mcm_nBpR;;2dY{ANp=WjlVEAAU4P z^8XH*WUrFVrwrEFnlI)NHh%F;^6<JDcVv=3XP)vkFnjuD$I7E=g0~(&6P@jTLdblv zL|KQ}u9rtPtlfCZS4D67jyy3#o--3?+viLQESlWzYwVbJZ-Zs4(cLA9#V0tPFXNsb z?Q<t4G2c!6X&PsBjA5SM&&kDKgeJ!B__%3;tyWUpzmLZcdE39+cA#SA@i@V(?zH-y zsmuYJGSZr-m)_A`xHaS2!b;8K^ZSd=yq=zH6L<TD-P?-P<Z89k+LH7ABI0J(Olthd zKVyzj+TPg@jd)`}o@@6NRhd(6Q}ga;)%GVFIBW~^+q|74-Z9Uvy|$+~X-5B&=>>b3 z*G|v>v(oc`xA`%{y?ZuYZ<wCDhtW&yEX#*Uz5EU*7e%tftuy4*`ViC`ec<WRsr&ia zD<V_5_B>FXx?5Iz&zz#;OIoJ~z1#JmV&<{?3rzGc{`h_HS?Uyf^($g$^*#ul(!W#r z^NByB{&|b(kC#eT^HoG1lWBOkbh5QJ=N^^ELK1s(HgUzq^?hVd`WDoq&ConO@y^E` z2fYp6&D!zdQ@6FgkN(~t$|_$sb=xak5j&fszq5kXOYE${hobJvfagoa>bWas9(A9h zGpqH1s#v*vhVCre2Tw)I8z#AKmfy4Gkst3`*Ug-J)+7lBY|3z}_B^Wp;Zsr9et|1u zX9Ye4b?Jiq#}nsPc)j`BqMNmxN9PJhb*D+i*%f|gz4Gx==YHd0{n<abopLX}`8KID zAEcTsPVXa|m)Kd04?&&j3sNrjFzQd1=$zzgEV#$z5g*H1S7VMnHc9*en=;s1rn}wQ zx^QcTTjO-s8YVBXvswC`5^Pg+X3c%@RG?ftLwDBN2TKLYO)_+6oqUigP;Qr@JL};C zRe^H<vJaoytqrW>etp>fFqOYNaEsX40{zM#dOJl^`2D6t+o|n#vDsc7vomeS+oJ7L zA5A+wuUBGw)f>r|1+$OG$|`KHcr&r{VcBU}X|?U;Z;mc3TAkX@FPOLa&R5Ce?Bnv> zlG`KxJ(GF3t<TyqJ@4g@$4rIR$KyBzw->!xx}sS6c>H96yoWmuFMjl`cdxY3ow%Kc zxr%Ndn-_fjNm;M0W$e^w({H~@Qu9{-Ik=+G`)U6<iBj#S>sNCZ&OSD;W8K-@Df`u( zceEBwPe1lnvf9H+c6-{JM9r0Pe=V+w-l}-}$%FOz)YDUYE53FezuNV>^t9^i!1J$V zr)Teo+gS5Y@#~&zAAg#@s)$X_PCK?*YPMO*o~WB^1RrlbrN+zkc<QNVJ%a0lzjZ7P z*Q{RIRpFc5&Ct4MQDH}@^B%XGiV=I9ZiYpE{FUPEdi1sAZO!DaaN})3+xATRnAvva z)2k<&dv<)aIu^>m^<&o&HQ}`%tB$DkWj(suk-KPGg>KSxiTFK28;=_8s*p{pZvFB} z>xlZ5{_6^SnYyzk#>O@Ns1e#4SHI&CXY-z4A1c(Je7<#fO2DLWIXT9k6?YRa^<+E= zZ9lo%;CMD)fA$fJ^*-xzkF5)r(YrmtDK7s<ic{I%TOT+*N<Kv$PLY#eZ<4cEqxZU` zS$>GndZQen<nHSd+rm8b)*IB!J6ToxaF^<>%F>5b?nkO}AFfipR+;*67VDGJR(IWk z6RWw}qhr^r`mh%6on*kf<aud}<^q9}uO}V1caL+e_`}t^r|rWFR<TOfhZD4QKK(kl zc)pyv@JyY<k5!Z=`&s+R8F{)L_jFd9eMC{6eP)a@m!;;3C9{;&xo74G8_nf;63FZE zL`9*!!bM4)b7qP&ljYCj%Y{}?j_+%9^sBO5<E+cIdC}}_ouDFy%@<F3YU#O)SXmz1 z*0ykRbWW~})ybpZ*cMJs$jM}}(mZD7wrFx3Pn!VyT%Kg><~3G7kE%~!T6N;k0uI58 zC-t^jESj_C(Wk>xCx^vMI#Bef>$FgaUs9oF(=Em`$8^*;?=boJWUlP|_?kVZKXta8 zTdR28JZZvhN415=Rz<7-<GNdM_wmM8$FsTmBNz6Ei^#ogv5oVuICATA)}fLKo%P;w zYj^yZekl3!)OszQQyqo<eaYr4R1d64Dc;<l-2O#o$-_XFp6V)@&4$Nzt~{nHF7;yB z)WjUSJ4=k#Xdm&_Jp5yVTHZ24o{0-)XYgbney%npG5Ob>8lyFxd^<CbrA-Yy=c_(F zw&RXU^5s*LX8W3*H`%FqOl`{K*@-!BfjfU5eI}FjG);VVsfUR9&L4jQo;(wq9o}>2 zOp-8n|LlkysgH%1yAB)I<pmk`@Tis)cO~Y%xHIL^r_&Xs!d>1vapv<S!ct$P2_OCt z;9@>qVp)64u9Zg&oZ`(VOQcPG{!H-jo)<eak8IHYa^6>|ZKb079g(Dng%{7BRnXha zu#@x1G7%N?+8ZTaMVs5t8u{nF+wfBD)L9v`hXI=AGjon9dfqvbI73m!Joko(Q|p~I ziIcthW*g@SRTdk!dFyU@t;TcsP=JGZyu`LPk;2WbiOn{5QXanR>z=LkhWBOF9hXG^ zGo0rBGZ;McW^H(`cG_3y8(-^A%R}?IjLltt9CpmT=)-C5xWk*J^mDVlT$sM_&*-8@ zPZg_8;`W%N^mp`6Z+X)j_$g?@Uh|+hwoTWUK2=qy?pUEaYv+Tf(|5~k5j&gp;nL~3 zllb+2-(X(&?5SM6&kEgHihJK|0+qSP?DTiW?0Z?T=S=c?ukVjl<*I!ZCr>Z`v-jpF zqtkIpS>0)D6`99{7o=SDX`LSNuH?l7RoU{GyghRs`(Kzfz3`9e&X1Bmo+wt$W!t8< zZXR>H=Av~e5k1Q9uSlc>K9v<~j@`5;+epIgw6zi2(VEKZ#}q`T?@yQ<Fr!+DXPsej z{>cY(`On&lu<bnN@V;rsk;Bhqrymy1y;o}KV)*r4??ET|tJW55ynzPqm)&p?c_u45 zyK~E)-AR{MpY~7KEb#Y}&<&fCr?wkTwugzNF<abBVFgu5O*<@8Z^(T*a@MF#bx~+u z)ke?sImMg1y!G4jj0|<o_s@3Dace9JZa-@ta@<#v?`(-#-m(qmN6(xU`1d6s+JyVS zX-B`tNv@mqUa890+b-L4Wz+Wdn$LQj=hy9e8Y?{eSjA&mzGy>*j&fHSGschan!VSX zyf5036SQ|v@}~TbhIfWHmnD3D*O_Q<C9=K!&D9m^d3)aoCr`L-w=F1OeW%RoV7I+W zMLDZ|K3ARCX!B@xxb3>^PkXX<h6q0~J^ftBW_?*ql;h6nhrgYl@njxfv{lgeG6}cj zS91J^vo0)ue_(@n(!A>jL@&%yKe$2FC6D>GdGf^N2gLe%Rv%F3RePUz<6Gt@(Hp-c z56f`sRo?h1$kXhkv{SGq$?%I_>uEhh{if4$DSg5RpHEo2`hk&v>__n(A}WvO^4wNT z)@$~bU($Q}z;iyA_hmbt7;9B-KT_5|IeWubtIvKNiF#doq>}<%CzXe?#Y$ABrxv(Q zE|2BWD*SY=`=Dyzy;j2)E~l&w+2+>--|IExc>4KMU+=+{>re02XwGnRdVgWZ6RD4S z6LKeRd^uNPy1m`<@@AfWk|*~lKM^|7UEZ0qOTzQc?}TiZv(~!pN*Z&^%XyNSp3jwU z*O{fqblC3#SA!&%`Ffk6OPfE(DNdjAMQ2Xo`~Kq^*)QT{qhBB0YuR@-<D-9nvepHe zHASqGpDmc0Eg^W?QEPJh3O>7y7Oc+}2zI+2uw!qoaB+OLfakJ$(E2u+%g&eYyiUBq zW4pgGTVdjB?HydNs<_uRPpe_!V?Mf5h{yZWLs_0^1@C(^H$2_^RHtC&qr=vMdVhOj z?lDc*p0UUI;biaWyAP;#JHOA^amP7w4|8I1YX|>9RRyDywm;4|ZC%HFc*z9odk51e zTK6|520PerY;!!aese-K)7ip=89XcE4=3<cnx_h|PAm^$3%05JepEqN>-_<Vo=>@z z&r=G{9Df=s+3frH`fl~<Q4RMTci#DR;0|+Qz}ZWC1-7|F?a@w|+<MvCS+99VMfr)e z?u}=xUG!S7R8Bv>K`r~<%fbw%*LSBT`YpM))6k>i)}ongaqkNFH6l%3AMlnHV^Zx- zldqV0*keJ;#T*v>nRi+{J`1()*SjKiw&}yCw%yuM-D#>7nTPLkMs=r&RYV@vTaa>* zhgCmwN3vt~#U57u^c|}mvoGeb>8I}a?U;Sh=VdBSc|eBlti2zE+IAak5j*Q3ryu|0 z^+9j(cNZ>1nVjC6lPD9gDMPI4F54&e_7l1a^`TMkdn~RvKC4{%_;UA=&sN8m%Wpin zT4r*Ei`x1^pS(`*xQ8?LC~H;LCI_uPzFIn3LwS8T-|mz<yEc|DIiV|E9ePFVY*#_{ z({uc5U5!=l`0bS5Qqp~FUYF>0|8E5xJ9U%S^W5F#e%L_k{>*A+p1fNB?;a9IK2NM< zKe1r8{;PYO%~ku%-~Y0Rx%ebjW`69xJO4gPvOfNH+N^tGw0d=zU*5?Zvy-20J2_8Y z`A%Qq^Q$G=$Kty$9?O-e_uRqyxKCiM>t?~TZ^i4YSLn{teR!>VFTZl$?i<mCrKgX| ziwJFxeseIPaP?8SVE4yn-FsE;_C@P_+f?G5xBiClW!arull*<ZKiSr0Yjt;OwAQyh zyY#mQ|LJ^j;*QtH=Sg!4rH{&ol|K{fvR}6Rw)(#xD=NhgEuCU`#9Dfrlh*Wd(>GEz z?|v5OUU`0xKis+Q9b=)b%DbJ@4^6!=v05wVX^+RdwHxL-pWAW#P<pTW_oy3nl{=pw zO6}JF9=D^!uxTgz;iueDkK%Zyx3uj^PI`UpMC^v%$IoM>rkB|%zF)#KPh#)AnT0b= z`Kro~EuCloyz#VklN{6Z$~${*8=c{({mj>&=>BNW?Z+FAK7S@F->!9J&+4Qb?K<z* z-1uVrOvT_#%k<d?mMKR0&S;EK-x~48S+~Pf`{A7{OdHcu=gcnmnbGL`yy2+YmL}iL zpU(Q)Olw`Bdc#Ef?wvcEcvy6OXG|^6OPSfTHu`{(R7D))>8vT0evGH{E@U0zVKBeD zgJFtEBHOJUlE)QAx2m0Skg9KRUMc3@a9&hz9>aW{o)|{El`=n>YQiE5*?;&<d?fIp zvMEeBZAq8=0d=k@TkXt0uZwirHJ&Q)O>T5@V$Yuu!+6f}M9ReOsGB-v0zLi<mgK1U zS#lg&qM*foc!o^K(+BL6TU=yh5`)~QHCM-Yw?zMwG<s_IYyrn*HTictH}0R8XK&$_ z{%Y%l<d}pwW=poOPeUgp`!Ah--LbFJK5p|28|7zT`;$XcXM{WSxyn{<O}f<E@kF%y zr1|2x*R}O7b`-}g-?8iF$Ec&pvjdK6i}vTt+oNZ=(tXNjt)pMNWImlbk}f>))wPyv z$*RZK`p?R!&b%$yw|3<&-G^CjTXv~FtU7ikm*e;oi@SQ3$CjPeRy=-YOC|eJ1BH<G zg9fq-CN&>WT(G)4kcT_ytGvXv!>?p`9veQNE7ER$#fI&0)d@=hnOh4?TW7A_#r{Sv z?H#LO4r^%@`;iSIT6WE6MYb$6_&s4ybn=FyQ_G`x>NbAT>vq(SxR-0l(RR{WkF9ym zPS%Gv=J3Co$JtzIXZU^(&!(TJ_WU;DSpM>^d~<lnIcv){O~tu;W<S2nee$ua?0h%7 z)#ar!Z3@+U4u7glnNoHA&{ARX_c0bK#<MEI4_)J&U#=p<#aO?m`e6azjmLMn+Vh+C zSSKC+@o7);!w>C!+y~VKKc2Uh*{0!hXY#{M0z03?^3RWUQ;ctVE82PZK<c7$t(b3$ zpWn47YOL^0blWb&YQ}zX)}b7ok9uvnliy#kR^glcpu+r6S<i%W^*0h%mKjK2-*fq4 z5!Y7DGg;Hiy>g_lEHn6h>5g{d2Jhpx8pkJfsPX9;N~*k05bkbpQfJ>dqlv*^Cgrg~ zPsP&*Q#_rMrZ>l}(>!3yKC!}tagrs+;iM^Q>|1Bl2{_BRBpS6}J!rKo?T{0@^Nf_j zXAcB}b(1=qlYN*t`DJ?&jgC!iPVq^tuv~HE%khQvsWx1U3;)c1BruV+q`%kp`T4!3 z+hlavnP<LZI4@I^ys>LmbD_`qmK?rwhOI?bKMpOLva(s%=VX9vO5!V(QiIk^;U7;G z6uMm<EZP4@Tw#8uFg;U1i+$#deLso}`ZXsQoOaX+mP(w`T;?-<namX<RY5kZisLDr zj_e<2*eK>%-Z=i1#o}3lVz;N04*TXA=M0K3^tx(g^PMuRWtz`-X=4WKk%Pi&GY<%{ z$6D-Rnr6A;&?6B|_RT)-A+j-vQEjIk?bm7S<8!Kv34DBC?s$p9uk>S&1*~7po6^fV z%Yx&$qS*50*ku8;_+A;73O)PTe)I~z#MAqd?VZQ&C_g#ntWxYhAzQHeh5WQy^)(;; zyN;V^{cAOt>5{X{{KV7_-;(_Px1w!7jvsz3`0=Q{qT14i!hePvGoByYuco&1!H?%h zQpA_l`}<Ta{K((sZj$tlwdii+i+fYw9zUxqTpu{$j?~8OY^QPst4lZB5!(2j^;ucR z{-x($hIQ;0SMI&7@b68D|8|EzPaAgqeYpO7M(OLr^B1nVE%&c&&(5b0{k_<qm$mQL zjoxk*<JIf4-7;r(Mn|+-yWcL$?G}G(Eeop;FPvny-7F^PWMTB-g%ejsOX<xQ*)=!u z!{LrcVQq&M6(-!4;G65VDq4cCvB54c-lD~L)6UX|1@7&ow-3onnr_#LnSbrhx`I}r zn|D?f^a|a+v#h|?xU~Dw)y^oUGhXXw$4--+S>=A@nPV=OvG!NqZ+ua~GcFo^Z;@Em z^k`@J;SE|A?{0p$*?dbRP37`lX}j8hXp`Fqyv4pTs&=QzRqQ<E463nN^g}H;r|8U@ z_#l<5T<OUkmxt%Mx4Ihh>HF`9cFw+dhDG0ZhqGh$MIBas?;Y8oikwy7b4Rgb_Qf@< z`tCb6J7!<(Vbh;}hjHQ7jA_l&mF~DM+?sK$dAj1A&V^eu*q&8z9*P&)>S`>%=g$K@ z(6~_Fxm>0C<P&z=J8Jm2D({GWs!&zishT3hcQseI-pT8Z-bV4oN7o8fTV~x++qk^t zxUNujl$FwU_cwC|S?|u<Fg5U5SW9x@sb%5%$6d_VSH@iJiCCW$<JMUocX-D$$xmFz zl;srH$J^xnto)jkwc>oX_+=;WxSbUuB3hMq9~n;IcoN!uQr&KSV9YMb?|YmID~~?^ z+Uf83WL~(s9dlr`iSWWbW`-wKZ~Zu)Qq=wRGk>3N=(iuwpGpYZ{9l)2So?49#^o!% zo)P=ye*9e2=l3TU&5~d5nR789+C;i>kJd)t$zNxs>b<#>CdnP;J7a3p@<_46C-;l- z@irFxJGi04b#3~hnbn;!OBY!FNO`sN^g*Fzb^@{{i9v2No+V6qDXz`F)JM#y#Gv=2 zSRDJsiV(-O=?^dM*Or^iQN?j+F>jW=s&RGc`;N6O)8<We|HoPM=JcigI?)2%^_4Q- zj*srk&YsX!AKf=s;-mj*xhaq2q>lTn`O$vdP~=Kg*t8wjpWYDKI`1T(Qjwslt+wl* z&B^SGuC+wod~SKV<-)7T6_4UY`x91t<vH@{eAiLQ0KO<gQOC8M#*<D+KM3YpJFl_+ zU*iW0<}Fq)jwDUhC=KXcEg`~wzT${bm}SOc5w`iwPB!xvgqbmK=lit5q9aN;P3;cL zY=_Lw<FY&!NeeW7N-kND-rqFWo@>^FzNQDOb43+b%We_d*~}&}#f;}5^O{pBI(<<; zPPp>56&n^T5R}$@I88$RvDsmBDYNJkZeH6IPY7Jg61-`~BDv2i)ild_!of90GkLGK z2^^U&)~C6ONr1akkoPLfPP3*3oh=!OmpQ*C&Jcf*z$SSv%H-AuZYirD8?HX)k*%1J zxaR4LLslOY4u`L-IClNplW6V7-p0kx*SIc{il03-U-$H^ji=Xk<>%&@X>Yr^A}2*e znxQ5)eCtOq-#M%9Zf|?8cIu;VcdkhCZN6}k6;jtTl*OVLYBG~TBa<~|T$^<5!`YP| zjxPNGT97yO!`hi2mR=M#$jCU<#BFro73U&>*$%7{8V4^l@g(aWwNndFivID8>*ph$ zpZ88U?=v&lx78r>&##ghHkr6SZrS6KB5&J>40z?kU>@=Z7sZJtYrkE3-aa#Swq! zQm;%2_rq#s&YM~s@zK+zl<wb}5y9&4)k#_S=cQNTn@_!Bk6$c$f=fB^$1{%~kKBIT zI`QLL*5rxod<qQ<StS#`vfSj2uw~qG@L)5K><O;P4-MG)jY=4_BN^oOY*@&=c4@^4 zH!uB+7Xhz5`95+>SxM|<{dl%L*FHwMe}YpC!z0iNgrLt4XL&4HWB8GsM|aNx=Cx@a zPsL42GL%;{ax6{w+VbI)n?@u5ggmK~hD5dr$JVMmp3SoOarcv>-#wl@n$5lc$D=hh z`BP3^XFL4q=*@(Hedf|Uz3b1ib$?oWGoiF5(=6&~wD##AYK<RVSADV#dOUmar=yWS z?`^Bet*psblZ#(G>&DUPX=NXMlOA<{ISO9?AM>c2dvQ(v(#h-Jp4`qh`?0t7?3&&T z#mVahc}*VAe)6Q-<=FQV{rT(q_4E4Q`<}W!?bvp)r`f9=e_qQ<ugTnMw9n1dZl8%k zXZ|I@_$Au=+(T=MgKG+-!RtIjYO;fBGX3|tnQT7gAn%ph+Zm8kdZrh&eD;#;ePvzH zeCD~n_h<XpukFw8?bp|Jd)htw(_YV?w=Vs-_Ta~*dq=+gkXq{y7U6MJ#!TaCmaV7K zvki_XJvcd%wl3q5Z!Df;>?l!w>%&>TO>6f&oORZS_u5f6uhibwpqgy$mav4cEe2ib z9Sg4oUr}h`m5X?>fRV#+&exWYM|nYe*G?P`>o<v;@Q^)OH@Uv$0TZ{Eea1s}dxO<F zF^{u@A4jkLw6rzMHI(5wSG+X$eJRoVT6#H}IoW~M52bjdxo&sQ>bfO5OXODO)0SVw zUFV}j{I1^FA+~JeRYmE`P6BdWGi0juS4^$laGm*Ra?PE$mb-UcwtBx~akBU~9?Op1 z4$beh{+;QG@BjH>IqPFX^>=(%D|CAI3K<D}E0fvzrqxz$qusk*R@(Nf-#E^Ves{;_ zuKpXwPsPz6m$%-M;Hft|X5at!LwkEw<(qDM2Sd$jl@!G{jGaFVkGsD8d33I<uKn6K zo|b=IQuzI6Nw?WL)x6XGw}GLm=j45{t%fS^xC$$Iy7${(IkxW2MvhMriq&>rHq(yJ zmD90b_-5h9Pm}xhn)%u@-rViHwtUC$SG(S+|69}3D0lkdd%nA$BE;(BOI~g?{wI)X z`|Q|!L6zw%H(Xa)c6?rsw*BHau5%mTqz1kg`NlM}eZSBZv9n<nJuSPX4W-^SU5GMa zK9n4Mr+4AjjIfsDYwma+Wthn^MQ7H^2S!3+pw6?-j-IyNOYQAv|7l&gErYFje)XND z1-#|@EO$NrOqCRtot7$nOiy-M>VA*H8`E;7wVoT;>TNux-(I-$*2*fr)5*;eB{$?I zN7nK^PQJwT%D`UDmBs4Jsn83FSu$yiR%=eFtaaUdszgG1en3{=;afj?l1gt#PY*6R zn^+JN#%rb1=e*Xr{bb>e<rfl5WS#|n5^T|w*m&}|z_R2+EBlpfwn<F4IVO=T!6BCN z=FXSIiQekRmv!h&?^yAbqq_No*>qn?HrIPQ&YV=6+S7ej&42pNLeFD8yUuhZ2S1y2 zdX~jB*JGAC6B2`$^v##gS!d~gqeSS1I?rLIK<VH|{>M90IRlK?QuABFZ)iV}{^u7E zZPI-pRc#Guf=lGX4bJNtn!6MGLCp*~o3bN!rv&%ywn&(N{J;<I$NbA2-<)>aAoyG% z?YN9aq>1!jn`4K=x?enhKINoEqTK68`T|>*D^^EswEPwm(P8c2t=F8$&o$?G(2vE6 z$(z2bPCIhHZ{6whR^M(kRLMy{&S%@sQErf-JF8cL?{Kovg-eNXa=!zjO;%g+?cTIh zRPESP!Gf0t#%%2qH0yqC*!k2ZDY(1m)I!PUMsj_Xf!s-FoeZa6+;~FeO=09TSG8wz zPrr&#YnrBZ#=-h}gR^PE+~Na?q8+9D7F(1)a{nkXd?fkd=Ak2o4}{%1^A9}lKJ{4k zL*>$UKiF%c7(d#u@ZD{Q5^r=itLWRjre)=G^V303cAniNtkALkK!WJ?>}hK^M6P3= z{qk6G!fA#50w0UccO*LRx}%&lLtw*+y-IwAHRbm<8f3J*p38Mx)#~2b4Z1GXd%P37 zGtTanXUm)t@qW_{`%_l$H{7txNHA!heg1&L<tgO>ZM_QX?=UAvbg!&d$?>$g%boZ; z(d6^H3EE04%Hy^vFx<S?xoN9&?H=#s*&UB6%u}^BPVUy4Zsuk6&L^RA>O+BwO5I0# zerJ<JFGOA3!L}t#r(Pp&57#EXHImY;m2V%u^X+{kav|#E47M#{=I_#WR6I=<%h;NJ z$1pJa?hb9HT|2KnK6h$nwDY@DE_*{B^83y_EE_uWs9V6NPd$?}bSF!2`0C%?p&S_g zgLz9=qs=sdn-M-LX&-+io^aI<)^yeTWw^BI$)o8nr>@sNU?gqICR*8fL^fmUSr-ZU z>>2AOd@O9s>^5xk6jh$=DY9r*cb?C^4ZA8*FSSLKZtOiN^@zJWFGwb_dFd(xj$e10 zb48*~8-8n=;`~Q*rTH7*R?X!%nAd8av0GJL!gJ`uGl?k&pUsnLHgypEeng@t__@3M zZL!erF*jBzY`&A6C=nXier?C`!`r&w?Rb9pn!w(|{PtW0tEX~e)7{uCOB_?5d@Q(; zG%@DgR0AFMl~3ci4j1I?yne`F*`{NjE^W0l)pLwi#=c3sX(4gkP+Z4umw~NfP;8A6 zC-c#xM(b4kdL}rf^?cgcnmt*g^ka{wxT>Dj&Mt+*i9OjJg5t+_G;tIf_ISE1?&wbN zQFAJq*zc<UaAJ3a*zS%Hy-S5BM3{D399NoB`0|nXb$%~BxlJ5uDn*6;uDTX_PLVv1 zzA9QwdHm!lM}6J8J#!M@ADPr0;B)xL4~s*Kgl8W~Gc4O{op?Ish_wjY(=!!IH+^4z z@1uEB)OjaMao>tRj87MFTsG0QJ7wUy@R2glWg#CuH$ze9wOc=hA3k|h_3{c)`S=;L zK77hLaes=8_{tft6q-(JXXUX>57{Dj@Y>1Ot{;xKhN<lM<DAX4`Q2;J5A1E%KAbk` zpIDw1b8bc0o7|bIIcAlGANrEzeI(hp$_O()PMDUgkTJ15Kjzc|mwT~0doDham6>k2 z%TjN8PvtwqjlNEM-<{nc+1#^B{O|^yCs%Bx+6pfgzwcZ-N&Ee$9U)G3v5HIYy)?}6 z?kSJ8YYvfo|Ko?qp=WdDqs8xWM~fxTt~SVtpHta>!c=f;8_%~NPwuQvp3vT&THtoo z)|xHZ=k95vA51)j{-+m+%E%U$MeGzmW*~N@Ya^#e*daMixyze)rs$+E?Vjzxv$$Es zGxQPrg{ZwZP6x-|@D65W)AF9u9lb2bUoUIsqJ`5Kj5nW4t(KAA@@$dRWD71^rfIwj zx7s|33RNodO|GltJYlF4eEPIa-&RfTok5Y7>cW!GQfF1Jd^%%#<kO{c$1hCL@{=mE zT;@~wX+mPrDPeW)oh;19EG17o4DNiSqIme;gl3*%CDxrhm%mh<h@ZCWslMylP2n9! zPrIxOUNC32rHs?mwwR@7RHyr?anAOBc1Px+!K>GwCbjJq6q{hJ8@gbH?%Fr5mL<_g zu6h4>qA1)Qu&lp1u<x%yy-Z6H&xsR<MEYkuS<DrzV`ewYV6Wi69UiG4Jx@G-H07x3 z!@lkyv8f%tI!eC^KX$zoG#3|~sl)W_F;}pyqu#ZR6|GUiY4O)W6DD*l2tKe$?%BNM z2R4b%zaE%i&@K5wbVJVZ_EKR95vF%PIAta&lyF9frMxyd?KY#?j`4Kel%E_jevDsc zrdod4vu4wFl_R_Px25vQGES3SDB5yb<H|CF*Gzl4AD;I-YAwjNHDHf);sw8X<tj4A zG%J5NJFV^gboNB9Ou5IboPR%e?8!+yf5iN$*~Hz;Cw1?YFYDi}qZH?Mvs%cn^2gCd zQ#zU}-mH~i-!8+gm{s}Wc!=M$_8OnZ69Vo{HPkuM-EZa-%3;NMT%Ge*qfc*llTYpy zm1zwN!==B?tBP5Z6m{gdtM)Rtpgqw>GoC)4$JwsmcIWql32YKi=JB>0&A7+hE<b}W z%2KTP_(7AtuP+J(dXw$SWcECg=vQT5YZ0b;@}O3q??SV8UpKI_Jc;9LH|)3*T@(T8 ztg~0}@-TV@&j?lc-{^0+WtwsHlVzN<;~iA>TYo4A&HBii@%7A_!hpVH-4L0aWbspl z6MB=43S?p)OYnR?;i%W=YB*D7$)g#f!6y%D_617j+B_+`s(7q&#c>s3!PAH3mle2J z@$dGr<Lr)6Nh~})p<7iaP0-QeO49#3OA@0}8SnZeZtQ-#>*qnm$uplWl|R11M@Rp+ zg;{D`<;mlQnwL+Vw(VPLxOdNy#}YD|PoLI3es4x}T$yEAYG0-2iH+83)2GMu?KC{P zN9M_lX_C*DDjeVBW1!D$nKrHR=W#>(E3*uYcmHEJ9ra-+vre<)YEI+L=cN;Nr<}3X z;+y}Xf``w2MkTv?!z9f!cFS)cP~f<5D!jnM<)pQd+5UaYZ#%s^H%0T$(v^$09eJcY zp|bMnI+ot%Vwq&ewdv1#n+s&B4}KAB&*b~q?=GY?vAfo1`Hc9dXZ$K7PCS;~{X|hQ z*lFvT!;W%{ft4C3mPi`CPE?p2UUufN{W_IJ?eTiIb1FGbJeJ!1c%l6K1`h}R-!sl6 zx7$2PmOlFEq1<fqGMhJ>JVPA#cjm0Mu|4y6SLriFx$D-~&K%YaU%RY5&!+0?+V*h1 zol9(Dk}qkVayC^vd80BSbrbJ3XRXOOuWaTR?QC1}IpEZitDg*d-AyC;FIpT4UMuJJ zGbAX?wx#IJYNpB`Pd1$EZnv*F*6{H{yT7EN+_w*w%^{yAwEOD@$h|YT(X!;DLHl`` z`;*#z*fi&~TktqLt)11bmy<uIQseM6wh8S9JZ%e}UOp^gxAU4!O=9=rvwL;T1kJzi zxZ!>8&g&%O#^UqccU8{q)!#PR^80}sp)c-mCr7U=_V1}>eSJ?tx_ef2#2baOvwL;6 z37md5PpsPG@?N$1c?a$UKPu+ce0EQ0e(s?=-j62pPO1)<`6XH0-+5j;yOh6^_sFT8 z=|`41dEew&V<mA^>FQNqz0-C9+2>A8_SXrzWW4dre#dq0UgF=MShy`dvR_bcbxZEQ zxdx%kd{q*M9`~yMkFc<r@;pJhyEQ;&Pmu=Op3nRfT^%d<)@}6A&?){fA#=*Q<~EzY z2rG{xPx!3a%On0MKTF^ZR!ijTGK_r``7`3E>^wdBl{a=jRQ<K%h`g+z{L~%NUzYxu zaU_2F_9x0h)k^!U<@;|0e>AK$dG!9|)PC1HPgh3S32kg|K6700+k^!_HIB$lGnH?? zp{@K}S)e-X-rRosH)lWosQHq3zF+)lGJkoT+`@jFKU;r%C~V(v<t<;jL;1_fA2SZ$ zpXYzfU9DQ)&RV|kM)b#*KPnEzi>yE8F8nR2BHnJ=hUteV|FSqVPpto(yWqELH70su zpVD6(-sN%P{)GJFM;-qy2~iSf{dwEz)J};LOGEw^2~Nlr-cj<g-&ws=ugWqc=y1%J z$CFNpzetjAcRLdE<ngDI{oU0(bwB>ZyeYC^X(^m|dSS3^kzv2H#r<opHhkqF2IAZQ zOq^MCu{XJBMa-U}oO4sVvt@3dDhljPuG|*0rl^Peq^s8PGb%eRp5B<LDt_65?dTIF zspE@g#q<=d@jB_Md3>Iiy7)pF?fEe^MLxbKpFWa2zROET{H#Tr`st%aa=O#H{p41^ zncAIV6Lix+{P>P_8{_rb3b!ip$Fe-0#GCozk@)m%kM-T5KKB&=#w<w+;y!v*)hzwR zjul7bCO%FQs_uNLBoys3r`ug7>EVuyBYYp9CkfuxiWl#^5%h7F#gVeE`Q1)AORqSK zH~%n6exW2VKQ(J%w|$LOsFQf@50&O;N&MlqqUT)Y^cFADdslEad3DT{hl2e}iwxV3 zuT>MD>BDQdv*Yj<f!W>aHD-#;u_lQ@{1dxXaxRMOj5uWG+uyB}BYfph+A~j0xzsz| zMLg~^AJ3CLKA}VVpP=DNmMOo|QzxFeVs9!ocf-G>hG&(&<oCMEl>PIZnYHxi@ncEC zbLvBug(qCuFC=#K!q4_2BHlh9*Pl|ERB&{^g52ST#(%0GBb3*Ce&4ZDR^aS@ezD^% z`Tryhb00s87YsIw<Ez`b>O#?k{?(jW+y=@A&gvUCOk$ZaH7l>m@>}~$xoOGn1$RzA zo-y&$vAtG(qKx<dY);(hXZhsb<m6}<F@Dz>F-4{P6JAPX*>XSrGux=td2PDF6?-AE z!wpgFvvt@WSy>!@EYwpUVq?{5{O_ni=h7`7`}>ovz2yJzlgZxnY?=IVo?6*8NkuQu zI~uFGGg$37s=_IxK6l5Hphqdfhi}{vRi7zwEcEr9n52m5sf9tEiPanY)C_z0uY0Pr zDHauf>PXC;HOC}rhQ!Lrt~}DlrIR`mee?bJ4BxCe<*8`4`h%t9kqs_keoTcom&RHC zJiKhGn0oCEo8-qS{ImVP9rKhkTc|Z{vUQHzQHzy_m&r(~7vGqZoIWRIljreso-$^y zzgSite$KA0o_k|Y(UTP3*{<{EEJ+mRo;2AwM`~q&->D6iEK>@N+726ss3-3D613WH zpFvMg=VU#e;$;6hIS)U1UoD>0n%M3%C+4A0cgJKcp4FQ){FWKytUhJgdC0)V?C441 zwx=_TFSR6AeVU__D6#O?GZoImYdls?R*unAwcMF9Lwv<#C7$0xrA94@3G4it419d2 zOqS1aduipH5}~NMydx%UWw0Or%_)l>U76r(Wa7ttvt*O0ALmV-;FWXuHf0_=x>8|w z-ka{r4rccwB$hYi99mfX>v-CnUC+OqHgFC-xpMkh&8;U^%FlLsHR-aQ*~$>*<uy5c zD}DUF-%w#XX7RQtW7#6hr$sB5F0;Iv95G|*Wt+CO8<qV&?zj{5aZaA3*@?+TRmbnj znk|p|mR6DAxA_gPVR6>+ds9{h>r^ML^Lssmq15l?jpE7AOZsf}T$UHTk^NOHb$ou8 z)AE8pCpQ-VIws#Iv^?+6%gn;6r+OFNpKs~4moxRd`6JrtQAuxXNAap-a=bRn!@hOQ zv0S^!z4g_UQ}-mymk0YXz79EcPug~Q=9|*ePQQycoS$5^=>4?)kZ}puQ$6?TrN5r| zolJl9rDwOUp5NIU%nv_W=ssS5JpJ*Nlk$^=mZz*~EGfSA<dg5b%ZA^&D*Trxy$M}u z#hbkT<ylP$=>z9pir43_nH;SD?OTPs-|idUDUYXgs~5Z|>9&@gdu^pyx%WQd<&k#^ zD+{}h&R-z6Jp9kk9fes(<AsHnhwfqKKdmWRpY>&qpCR9?kS<&8dcVaL%<~rnYuGtl zdnr75!RsrX(GMCeav!~KE`L0wQ}e;|lFr?-cjpGHzMD}~<u`f9>rV$QTp#66=)bJ| zua3dW^wIgw@<&@b;y-MfBlK~5+v}2!;=tujZ(<osq>jk^V4KTSn0{5z>hD9LH<n)$ zMfV6SxBb)jp<vFZ0@gi#<vSiTF0;7$a2@CMOuq8Cq&Y@EmA^a|X}4Es^vkbc<v+TT z_p`(4Oy2sOh&h)&1a@4Od}miN_f!G9oy&5=J1bvu%{{b%^T(WhAGmD<m+Sp${V>BX z`UX?{a-BP00}6K?nk#IxT<cD)W6`Wb_azmUYy4pqEU7wV?opU^NP3U%a#b7W?qDG| zp+c)evK$i2y}vQ6efmbbMC(wjwDWSs8m6ezk=LJ#v?OjQ`E~GamyEpd&czr1{_Hq0 zw_jYIe`ocf$BPxq6DHN@JbABq!rkfJqFs*i>_1oi&^Te6@!4=f>w`zi3d)VwirDIY z{5T%Vp?~bSetoQ+v;5vWv8C;HzY5EfjvcovJJ``*b7$j+lA1e@*B!h5JbC(Vd4=x& zn0E_mZ0%kZinpCSZu4(JOU;qTe7-aKqmMOifAm=Pcf+1vE1oLu`7z_EipbB5<8wLu zkGbpA$Jsf_zuplYyt!t{V?Ng2{^C1ZH-y=pDtvzE_D91$Yw2pcLxt=tIUhgv?$(&! z-=BA<GxO8OQ}I*GpCn6{XWAIb-~1u{`0-<@`OR7#{aJ6WZt#;|8*@KGUw*Ys+{9@4 zl|GZg?fho8tn!y%`sU!r9d^q;vL7%0B-p!Oi~CXXl=CYb<rn5`4w09i|3>)h(c{Ku z#S%X=j)ir}eA(D@Qg8ON#gnzKPw7v&lUw-nM#}kPGy0R?NE&_$?AdJ<CV%*bGV^m~ ziSnF|nm<YUe%Iagzx}ZJdE;oTJpToEy?+rE9d>yi&Dmo<26kIJ%6Iig{wX~AljCUI z)N9Apzdfm_wzJxJo&D5twReA>{HQoAFVcU?UHV%>O{9F_4`HWsJAXWV?;ZIxN&5JU zDPek>3fJ_B7H>Q`m3KyWQq0u}EqX<TnW?2QcOHHA@9U1LsWXf{^XT$vrkxx|zn$st z_Rl$cMO1w5jV*zpF*!+_-E50Lwz)fAJ>x1Z$2_q~Z;`>t(Cao|KAlWCbJ$Go?}T8v zO@@)rR#&Y!tk_|~pLye$MB)0b!x};FEDJf6PTCsr?ag>Ob8GSY)9;+tTE5;UcWVRF zrhi`zo^oydx7Oe-)9gQ=A8we?)9$Udy5^_(k*lpQO5XRa7F7JcP3E%DlX=sUBfS*9 zZ<0A2^lwM|@r~MHuj8ivJ(_m+^QOHwtiP|wF(^B~*RD+JcBy}F@3Er0n>Q_9Gq1WX zN8|MKdsB2%S?^{iU*FjH?&HRnQxx9q-S~fp1;^18ku2XC6Ls_$=WBJ%VYFL*We4Z+ zhgW)L=gTZxc&$b9%@dK!>(nEUC7++D^ZDRc?ucd0=lgY-A3e7?-Ekr7&>4RB8%vgO zZWejRTgal3d1%d)VxEX?E~_~=`=8uv#8!CbC!3D4<8#RkXIR#%o#Fa0Q`%uA=jKi4 zOcNxz*4)<#Uie&iLr>?mqGy^vJC4S6Sjn%y;r;R9kBp=7!IzI~e(RI@sc}?x+N}P- zH<rA=P8^Zv(U;G@kzDl4<8a(06Z!NTrw=__%u}8AtfnW?|Liq)iEl5y{QPlf-t_D4 z;{RMK8tt}yc+PahUF_cmx0;xT{h3GIMgRS%F}7RwA$-LdccE`nw*1_Y@}6g2zv7## zA3qDW>|MXUU;dA7Ws{!Tr|(KfTwVUXnXsecL_D9EIPcHbpG#w}JQm>S?Jkd*pA~bZ zsC84J;c3%X$6T$~WoY$uN38Qpnb}>MlX_%_$MLN_J>A7IXRk<#Kix5}vB<D5IeSq| z%VP;?P4W8{V#}`-T|8xIo3gMwE@surDDmAtVv-7Djy$@2etvhj&m+bCdQpZuQ(I%^ zByopcjhXUj!)dmi5=XzST(;xH5j9?I@$4BjtBNMJoiu(jx7*lf-%5MEQw5!ES9VDp zUNT!xJh39@@Y6@!{W&LMN*=yCW)_?CuqxC(CgtHJ-kC2RaZL}m)m!yJ^TekeGY+LI zh>N@5NXaTJJgLSfC+>Vhl_i!Xv3n`ot{(@#ooa9ItZ}k+c`{+r(=}>U6(^ogZmr5V zA?ok?C7}PjajM+C!n2!#<gzNStSXmFv%J&w=|bOe9S#1CHn%E2ZRm5?QRRO*gU{<z zLElOR75=9)*fzP#9W!Ko@<pKUxc!xBo4uYrl<tqeGR;`%l(Y6^-z#pmArFICX0>a_ z%#v7sr}>c)OU09UqV2VAy5HyR@M(NBPh_@wMpt!6&Y}g8-)BjP9X;bLtmhtJyCyOE z@T)}bXz3?c4ohw`-lt&xQbNS>*+b6h@(bh^6?isHyL+vtq-w^YRL%)UY}MO?PDp)U z^y7--Y5$XNq>J;r4w`M5a@J`@eT&b|mQKD&2A0le4yty09MJi{DPjrZvwMoy#dZH_ zezagq`IO)8?4;CNukVv4nEhvRVvx7S<9OcwfTw-+wKBnn9?zFQK5dH2zrTe&-jPq_ z6^`%9`J0{GuG#yC`^gOP+0W-oSNDAVC4PL}ruhF)ZiG#{u-|f<tnU9U5o-(|-52Zk zTK4C0lAudZeXPwi#_fMTCtY;&cywR9-+RTM=%kNF+w0A3#CCq}Z+j`X;gr3&SYpJ_ z<%g4c1diB?$n|d7x%2o&m&C66K)KBgIsX=J+@WUkk-y`3!sRnpcKLKO7#qJfvhPz| zmF|~sVe_e^>u`c#R9Uo--+}gLU)mjo0+&1F)YpXn-ysq5>3#QU=`Ba?^|wW)@YQ{o zdHnQ#JG+fL{(OEsxo=v1oZZu!pWmNe_M2W`R&!18v;OJla}EE$`*G*ir}q=iTl)SN z_<5xHX}r?>f^~lmfBLC*=Af|MR;?M$YBg!C@_gG0ek`|=^?4Y4eqMde8=abeZ#QoJ z^7DFX{>RV#r=K-Fzd!A-%IgHq+a1>&g}w>e{^z<GxZ|JTrllo+rBnPh-~RcXEN)pW z(0W)aVNtV=OkJt%okthFD;_6`cH26c@$ark;reQG<k3X$jK>Q_yKS8G#L8R3ZTu=f z7IeCbFBI;#b6VB!X|pTi(}nh<#tSF6+v?aotn@g#cv?ZvaoNPH?y7R}ElD<?PHa}) z^EmL-)INjPiPMg21UQNFzmCWejH}Ff^i)x{J6chuTf@&LB>AGtiRTX$`qP}8#0nE^ z1wR+`z6`f`tSHrOdB|LBW`Ir0riw%7osH$@A2JrpZz;5~;+*fZ_mHJnXh*4?o?#~I z>qNQ9;Z7Q2-YtG&o-HXlZ5*?8x;Wfz?j#mwUioOykv(DKF=vH!mQH&7%XP9ki{<PL zU%E{BJfY*Hnzx)%;Zdf?4+XDFS<7)1iZVS(<hib@FV|ME)ba5`j&QYQ?cVF`S8R=x z`SMuG`Iw{rb!q20TP%JY4?Wh~ToP0L#6shU=!u@@(wIUP%Z{g~mYj67UKdp-Go>i> z(W3`bUiV0_uZ+nRs4SBE^XT&lM}u`CE57QK8T2Gi`En+w=t5_5!W@~LM-emB*=Jg) zv7I`o+SjXC^r0i!Z<&nAqZz?dnq6hG7ZpwDNKW<9WN)|l_I1KZwa?EL`EGMwI4oTz z>BygXBc085N}|1J)sI7Q$uAai&-Sf+k;uK<xATP}_wQ9-syYtk89Cb6Bp%ijwlzuI zZRl*HlX%?F-bN*He`FQMp<~XE5|pC-Jzpp&M)!6$H@#V>C!QR!$9Zi|SV>_gql4#7 z9tQEbKc-AM8?fWY5yk~ea>@?5s<|~DJ1(@$)Wv35*rO@k<vuHqXo+w3S-nQj%~D3# zD)vdzMS&~s3UZDOS$1rNH&UJ}@pOkNUOp-!*4m?H_o*OOYGKWrhgNE5K7MRIs<=qJ zJ3dLgyXHcS%j1I6eceGm?RR$EJp54H`?(r_@M>H0@)<!h3nG`E`&fSHRAIVG*G&J@ z-s1m+rrQ5e)tvu>JwC2>$L!#x60BEG8ZX$ouTcGP_QzYtEi;NwKkYk}{_NWXYon)J z^}$PpiYuyq9=qG2wqEB?=fsazN9T4$9W&hVk?VMDkI<2|Qu8z3EQr>P*458rzNv9a z$gFgVY0mOfYSm7sR!+}~d04PlC8@|i)kyOB=1-Se_B&L@P1<;UaZIwmSLD&V^Rf=j z4Lh6Izsju8eR<o)<)PPa7>9h_!Tn|8&c6@N_oP1dKW6@<?DYI=*PoP4*zJ6O@^tk- zl~c{%Ol6q4$0X@|>osrnYU>?wn>HR_vgOgb)$<CbyXIa^<X>e~_<Y&R&>masslB!i zQ%}n-cz;@#qdsb%;daG8i9hS^`2Ae`QFQ0p$N8%-ZD?PXx3PS=*G=Ikk$cm2{{D4n zkI<*|)MM^X#7^Iz>wog?^!>6=C+%Oaf7)B}pMY+q<dOM;S*H!YRg~;qvg!Jgo+N*_ z&eZkZYZLWXDQ!HytZ3u((8r~x;ufrWy8a0Jv#k^CwaizrFkZh?wsZ2QsXJYt<oTRD zG=IwWr%(CHgR<iC3<W3bY1t%{p*vlIEy~;C-Lx$3`a}o4^$vFqt_-=ebVb^ogBxPv z9`C3Q-1}#$rth4PN5Q^7A3hPfZQ%1pJVki6qlfyo+!N|*j1vQ6lD1lE9=*!Pd6X^C zYwny!8~H>hM~3=DO$@V9(>ge3Pg0Oe<TDkq{#q|9_02o}S?)YMb&`!t+C(d#O_nbY ztBb6j>{w%!Xr+1N=@n0fZKZyEg^{Vx=cqgiIK9d;^2kHE)z6m*&iB`uKiN~})k+na zTb7QS3QoE_Du`UdW*K={t;g!I3h#9112XFA7BNc65;9J!Hn=+7E7WW|c~W<F^eH9v z&o`!Mb<VkxY|Ps~xjH9pVvO0v33WMHihFak6#w7wabnuk>2fZ$L58{H@gu2VnQ5<5 zrX?1fuxgvT(#rGr28(O2OHZnE{QjzSD4k>XSE)nGIi#P9wj|biNv)UX`5ZiNr|#pA zzLKTh#}?1|^zzzC<vEvLmG!)yGwIc~lcs(vpPBV&%W5AB?OSkLU+-arf!@;_dV0?z z^z|OMIO)yX(4l(juz}dc2@!2GH+sJjT_St<RD{iX<r=-4x^~==dUpIbb?gKqb?ta> zYODQ>&{6yQW#`(YOQ)j@ZyxvCxOG!V&dMB-Xw$H++^Mxmo7-EHiraIOF1ME^O>Upk zt?aWrt!7W+E2mS3)x<7$MC-K~%oXPPbYt;pXNP6c!91TVras!>l3OTs^f|u<+r$9z z<>5IiMdzDm^j+54*5bDMvidgdX>)=KJDYFid3UVkUvXJ+o2|#H`dFUVOm?y{4=;Gl zt8dHEsFi#4u|n-na-h4!iDbTsr=9gLTZhYiGprS^+IT|g&f|$(Q`)0++ybTDB7FqU zRYn|Bo^1bcT6>V#=0h4{?k#RQ!k;#DWKW)W{IKFW=PidsDpwp9<?3rUh^e))lsGc= z^o_?0_`_8ePi(i0S=>|c<KVgAM+!=_UmtOF_%=_J{r8Qw2$?6z@<oLkPX9YDE61Rk zYAkrpT~@9C!w!q1Q%;n(o}Ze)-P-q4C?+bhIJiAALTz$lfEwT73p31ly0eNew<VS? zs4z_5p_6=S1Lv|Wg~n}XS6w>cD`9qZihJI^4W6mHHe`k}?Yw#DS=i6VYTUCcz2wcq zZ|q6ln73*}=W(f>orluIyN{VgotFF8Hzn4~<Ql`;RAKhUYe9Xs`l4;_4%xCvEEl5I z&tTmWrg6LBn%D99om?m4MQ0x~wLUH@c>8#)sHVQ-j^aZ_C%h+WXPq`V$FO#(@NtK1 z%j0s>b=Di|FbSN|7CfJ`C+Q<s#@fJXjBB^{*$bIYh+c5jYi8QZ9l}g;cYhU{t9k`< z9+nr~`c%9=*Gn_m;lgRFZ~I<YtT?t&(wJ{rVNR>&lLeELoqZJ8Pg;l_kty16(oiXF zLUXc=aFUqii=!LWmrZPrm1%Bz@<8nPl7L#t;E0suCyQh*YbDI;E|4i=T&j1h@W#@! zuG*7rUChMq&k$jZ{Nmi&;h>jg=&JPOu|Tluqlx`4K5IKH?B*GyN_o!MBvCuVN6@X- z<)Pt`9gmU)uZwBgNfpK}eDqi_T<prJw4@2$q02lA?)*u-*!}eJyRO=zcIGujzmMIW z5Y)bVWl(qbgeOlYPj|?+WZu2!UxE7a^Q+~xFGdA@^ju-`IqJ~j*{6=Ags>+2`)3}O z;}SS^TIpL{gnoJJl0701-=E+9G?k~?J7My4hc_EF4&L}2=y$U?$f<Doa=DGy+f<5$ z+7kUwi0cKeuy}b$ozLj(Nm0H23FbUWD-HZk8TfQho*ZSibBeLwqm7oK-z;vX2Cu$T zIH}*)y1?(-#!lC|n`eX`m35q7xinhI?sCr#-ba(Yj;s}!A5@%IzoYKtPS!`SLapw8 z`*32pO7ZK%kLP_l{g!XCqL==58=s~PmNrV8tZd{qS@?uSs!a|l&C8v!#rgTSHqSLi zXLWh|OT0|Bo7$Yyym{wT!BMU2cg_{8O-|ggCOLV>lH}MM@^853cj|CYuFv6~93R%I zJNwhAw_LYP#aew8%v#UNUu$`LXx@hC8!p>bat=ngY*)?MKV?Vkr%cyJWi98mEVe7f z{N7RN`ec{-xz`He_W5!9V}ABL<oeuoJbB)-aBI2UNA{fhxMF$SOtn>E%i34yUY_wM z;QS1wRlaiEysM&Yq*jI62(1e6S>&h`+B;*;Do3AXD{XvEt+epDw9?q;+Dbj2bD9g| zf-8C^AATsieES1|Q&(d@oOC~9YLT+&sr8293sL8MmQRoG`)YV{&zGW}{+nMu^(9x| zn{y>;hS<)d23ppole!aw!(OF`A6~L%_T)I8(@Ohq<R~57QKNMDhRorTpq{hZMLlQD zQYQPFm7Vf5GP^#-+0W|conS7@$YW{Yl24XM&W?#xKY!zjv)xS*XQ!JjPHs1OoEL5U z`Q*e&6SKJuC4OF(F3x^CZJdK7r!8wK+;qyo`f2y%Fuk)=4E@#_zVTc6SVj6U%Ty!3 zRfU|T&r_riGflPhi<4wKku|p@`G)-M=TD^Pt9q$TYrJOEXKSDl*Ls6-*?i*zn<V4n z8*~?~KkJ!r;n;lj14c3r<~AQloV|9olT2Ky?a7By1}D8AY-nHTd|;E(mSqJ;rsV8u zKQg83mdK<TVS%%ogt}uLl)q22NO3CZ?>VS({-i?4LgV`js?&I;eLN@Ov#Ip`$p;hH zpSRU$4&P_{eGyOC&mHd;8d^O4U98`GP<P%GNA39Qdo`Ow>}<cU;PLv&b9c4T50w}5 zq?-NW7gT5SO!~=jce7E(vE<|n{@vBNJl`0@@9Z|(!9K;S<bCf!6NT>T0-jGlU)<?V zzTiH!Ixa?u(f`ixBmwPHdv)|WFX((<vm?dn`<>ZIpO0SKtG3PELHPSIi8aRWcCsJ+ z#wqbQZt_8Ug`R3{p1MZ;Jq}V$2PEHfN%E*Xj^k}^SmE2)?JT$T_+DMRgIA=!ulwN> zRC{OgBV(Q&Pv;4@d)tWeC2~}{pLob4^S$w)i#cDjqsx{egB7fMiWDqtK4sc+uyybG z$tyFhvPiYvF2gr5?Cu=a`L*l({(X=<S~l0_kwO32VxdlFJC(_&*GH9R9SJ+GUg~vZ z0q3gA%G+#~_)Wa=?#Cj($r3Uj9p`Ep?l|(*p!>L9sGQ%%8^=x^*6j|Dm-E`#v3%7> z@#W7xriaGuRV#YSRkZuq{a%&r<$s<sKD@(K)ZVcrvG}ETanzB7PNU^^IWt$yyezlP zGQ?uZr?2ZzF6+tfIcOR3dcMSLg;$;Ri8Z$t`20U`gKt&jzs#RK#m^sbNPF%qEja1t zbXuV2sHnG`+QtaAlurSjuEvTp+C#)XcSQ4Fo*`50?(^d7gkE<|!`BO?Cnp?Q-)>{$ z7x-H8%>s$T8ZU2lJb55|UCWQpsqpTkCkZ0q+FpEKg?kS@dBA^NXK{bCL(JqUjdJHU zoLyjHapKwJM+pkM&tGvhR*MhNp3V9oNs7B+(x%88C501Q^#favIzO7--R2{8@uOk8 ztJ0&ZM_tr9&+OEhkleT-CMNM??}<Z6b8N+<EB+|$+Q89%E;Xi)Q|suB%Z_Vv#ME|X z9Dg)jb3b460}H-4l3S()-TA2b_Qq|Y$6=jon=ZT+ysg)kH}OWEVbR9ZO5(qd&+pTC ze@;Tp>Bu8NH;vMX6Wqm;6#I>$WbPy@8@Wn+Gr45o%UD;-)?U)`K&WeiP8O#D^K!l4 zMK6{fJuA?5HnLE-<@7S4=?4{L4Zb%>gdH*37~InFPG0VFhu`-}no1XsoVS<b?X0i! znz`ij*U3F6Ew7Xn=CrNQyI1&=#VBs??PPA|)3zdQH$S-C=#yA{PjwUT!@C<|xg7T} zKMuIi@$ByOwH*dWb{p`qUzDgw*z-Gix9j7%3ekF2k7cEzZKoc!)|~HSb1(3wT-B#@ zr=Id@f8Vvkr|F5T^mNm{J<*RRwM{P1jd}H=%Ki8<PTThzaweT9Uf*?A@Jd;fo^as0 z^75Q%62(ts#oI0K+`01jgU6iNF>Kud)(w)3d1aQ2MS1@WJszF&b=YRVC68;<*NLUY z6LR^p*aJ=^aAvV5oCs^5JG+Hvmc+(9xlK<UpQ|a|mMzUwGqM2fx?goQQJzOH(7HiC zS}lv+K>FdGFPqK=cHX)CXfx-QkMFt<I;Tu6_ivl3uyT)avIM7php%JG<Z9;}wn?AP zo!qcALZR9|#q>?1<=i(*KYZ?$bvXGeB|l(cwDPu?Eq_?sJvPi?H|x{ApYVD?)%8Q$ zShljv5Ph&oPaib*I5T2;rKQ`6bE)+*+9}L)dmb0Jd@T;@^A|R>Q`nyS=jM;TJC8oL zKTj_5J~3BOt6@RhqYds%C7(WZ>=i4Eo3@dCNlr4qSLIRJ>6f*+%FAByRR?|T+`HQ9 znB2Tu$K)2?disvP<HZImzMnm*e7}qSG8Y$hF4||&)8j9*!BB5zLXckfflCrcjGk}M zoF2bHW43|Dl*0>R_#BIGNFLsD;Lr`Fg{gJl3N+iceEi&gR&&!ae+9Fp9#ZzrH++hP zHqLZC_e1lDn$)G^{>o+>{Z!1R&hgAivz&UvM``gRD^tInIbGSaKAuVqidnrThVAIo zQ$EF#0>^L7NY~?jBr$7pS7O|Ak;D5o)Oi{D@Xeeb5YsgC^XJaQ{1unatLYt{P*V5K zfQK*hYjAsFs)wn4u|!(in$N=RiG?1{_FI3%C~^Kh^2qo|=Ftsy>7s|79Qs>7{7~ch zBEivLa7@=+>AI!z^xG4(=bD)-PA|A~r#0qOWifY=<?^(<4K-q`%HKKI@n_zxuaOes zzZ<9ax1%G^PHnG?bGiKF+7}(w{ko#2g|9b;Cfk@*|LF6Tzqje+;kq50--wDG=}q0d zqBGBWTbE<G>}_4;h1Gq!)3u(qJuY75wDa)~pWwxJ@@u?${mP}U>kEFD>aUrXr#)Hm z+?_0+CWpe{N|z(grx`_VeLl~~Q}l&jvXHh)iF@T7<EowKcO>=3zEi($Rgh<__wtI3 zx#aJ|KOV{@f8y`5?$MR5dL)*-`&fJqlVD-_#@HJI+r@Vut$6V)>G#s0om+pbkt$9u zd@KBH-lJXVBE{;3Pd`6Ydo+>z$lAln;WMq<GL?+Xxi34Xy%ScmpYmhoiR6WX_MDY6 zE|1jZ`&)L@rOll3>X+s5CsXFl&+}Qv_~rA-6xoZfmrG49V)@JR*pTn!qfHvK<2+=h z&)gWP`ozf4?EQ&7H&UE7?d0iB^pBh#E9thpZpWEZQ@AdshRPVt44MA3;7zY(=}dv+ zcXsUL=}fLksOPyn$!g7n)9RCsCwAm)Q|$c{vuR~}&Bx6xXAPcQJ1^RHQ<3#=P2!9N zliU1-!u!{L5LYfcF^_L1Uv>1SJAr?EJ0EUTeYfSvt~ZU7T#cFkY<bKcuqk8N!;Mn! zCR~up-jx36O)`Jm@va@a7k#}URqwt+cNXshbs6P`Nyi?ZXF2iQP`zGY$D0;o&<Qs0 z^b#clHf2m}I36&=?c^fKrByu>_KU}~9iH3Cd&hT8vxGo-1ZbV|gN;`27PQRIzO%RU zWI=e!`Q*euo)4Bw)Pv5}SuEX>4l=9#_>vvU&e<2=u=M{e6g;O_u9cxXEAfF{lB$>3 zSr@1NqlP<|->S^%_jhW~Q~0-LkKF5r`u%5GZ8c-+f^IJE+WSF$@#*BaKb3*iRfW?_ z<u;|Oe>*aFp-lh9jn^L?Nq&FI`Oe>oA7@BbdtW&fbfeg5ZQu>zFV74`|D_$_x%v2_ z<4&FSy?Rx78vj-VM4MDU+^G0&$%QDB*9Vd#+Y&kL*WWp~v1o?u{45^Xvg2tJE?h{A zm3!Tx$@luPq2Q0_&&AryUiBWn^P?oFP{!=|bKwgY5+nG&PVj4=YWRk8&B>>nHth>H z?qsPh+TpV5$H~KL(-rL7Z(OnJzOkiPZ)0c6sno!jr9P`qPqS!qJ^QEUQ4vq%*UO!W zeoto2_i3A}$o}`tBViYd7aRHIp7un(b6HpT;;QY5)H%CK>wmhqc;AWL7B=O`+0^Jz z!MjmqT$eY#4O)IDuO_HB<(+o8{>LM+Pd`q$IAe?ObG^r#{Eik||MW>QFstwBc&EE9 zVOE~{x;j5KzWFDd?AQMgS@_iMiI%%g$#27x$18K*N$}n+%GukYm}e&Uy`?ozqrc>c zOZnuJ>!Y5YdvsaLr{wmB73$~D+o)X>u<AMWaIWI}J3Cq&H{ZLu@#n$5)6N0kQzY7+ z_Dr>&9xB=P^qG<7;R`Xb(~fP>2zqT~(sp%W;B?!aI*%W1GB!K-WXGBwUsIpy6E|j> zKG~!;JK9xGI@y?i;^{*-j%<FkN#AVumK|%l&+1<}we3cX(&a}6T~4vnjxH6>e7;G$ z?d-yIz0Hp{ojjkKt5fxJS5L32UflGxg+E-E9lO%yQzAJfQ?&Ahk%8Fm1v}q#B!>h{ z7cKO8_0mYGZ|TZiZ`zX!mgq@8x)D12^gN5Q_Oq#eGM9sjC54Z_Ik3~GGr8`J`E=F7 zHT{*Pk^INMov`GYkQh?HC!8`vBxgcmq!FKT%4W@DiETN3FAHxd&JMTc^G=z}JM)P; z$Lwkk6?^9!Z>&BAxA^Kw*t_2lDW0@}^QlSVXHLsU>Rjy^8MEeV#>`W^|0g6dLaOVT zI`{N6Kk>G^Gk@JYq$<MsBAw?j!^HZ!QwDF&TOLm56tK_!VUzpAMDc~8?7vN0Y?d9J zFQ1j{|IM!?qJQs4cb9F4?g?cj$GrP7C*zIn+@?2?t$Xd?2_`>W&$iWdv#iaw!?8k2 zHrtNMip)Fy;77326GNA8O##};iTYhR?RyRGPMe?k#&_yZ%M)>OzduZ0bYAypycnBJ z*dcSie!;@&sT}=*h2O8-t@P=&SE<fZ{kQIj&y8z`lFjZ&&V0C0>)n|jl|4QCU2^1v zpZK%%-z^ka6q9y%9&6pSj~sdSe`m0Y@9@0A7V}-GJ~YYvjv(mpvF77FJ61boU-W4^ zzGg@9rq2@6^{%Vrq@TR+y0u7>L+*AF&vMD`2R)BxzFj^&JfPJ0r}DwZ*`3h~QZD+u zG?aPQav{ou`%rTD9n;3x{;40`w|<R~uJ@EHkmO2MXghAd;7R2%MT`Awn7qW!PRbKr z$EZ5@uzc4H4(Y&68P5(S8{Uy!xHUtq<@kaf*3B))m)!|Hx~GQ4OYE#n!Ay>L2eHGk zoyI+m1+NOZbPnI;0iEKtqk9JEsIYg*-tT5)=+652V57pjH5Z~xK7WW1DNoJNohAFw zP^&y-i`ZGIf}4{jCq=L^sdlI7?eJ-{7TPi|=gwKfUnf&Vt~{9c(9bQW#ad>I*x8~F zH+agOGjwO=R`_&SYsK_;el!<dU1Y8*{ZKVvQ^vKX(`h@TFEakJJT`yE=3Z;dD`IC0 z$~lfVOma1rx?^(ZXvL=p?)e$779O8*LnA3B=hXrwx$aGKyHq!+vz$)VjX7*@zq6vG zIBRB)75B}{%4T<U{~VP(cqb%gcaq+pyB!}Rgv&dUGGu1itWZ%pbNn!mK<XPG1_lP_ z1~vv|=@5nP#50ylW$Z;7443%0b-FY+TBz{3DrvGeO?fPG_J%IQlt$;n)AQn||5?M( zVR$xgO2nL`SB$m3Vy8Ekw{c&;YkKXxLSv|zB)`4&t*j<S?Odb%#V0;Q&Ut^m&(myy z*oh<mKH7!NZ43TC-!H|m)GBe`sT&3DlG85xbAMiBV&WzKi1%T_s)ye9%nZviUr2oU zy8gxDgN5Py>e%8cPksNL<SyP?ufIq~GW5lxwMQ;@?4F$_CfuKCwj@A5j`4u%cBT5) zk9V|wmie``xm#>&#;U7{>fYRGFAZDH#~6j(<i5Coe}=?k<6~c?XGKIz(DitGxvOxO z$I?9Bk~23ShMZD<xOd~@LjsKIOTJtP3h5V?m3jXBP6nqU<FoH^6?fS8yJ|JXa&w&9 z-Fd>N``>lV$vcxdL)RAj9Z4~J7t6eB(LPVNxiYWXl}$pV=SWE$cFTRFTjMIRyYs^V ziKJC(M-(G^{vVmqZ6>2NgPU>If)yq{dUDTP+}I@A<B!UjM10orQd_foEu%&<|IOEr z_J!NYgjDVJsXhMmb%%>PllsByt3(=aa3xGISgv_A_G;v@BPXm9moAdX-!gy63pMSF zS5EWa+_K=z%8%afdQOE_vjx8Ne7sI1PbTmHZ@9tk&Ig-yTfZMXlD($$MB?U14c@j} zRV=LsrfL|e9M06tbnj7@+htf%AvUw--X+-y!d@cb>jPL_CowcNMs_Ry6>NH?^1I@8 z?Y!wbroMcgEw|a=#cwfH*+qHR_+uYh>K^KjTGIM*C3DONhx>buRPEe*`R-OR4k2!d z+m2IShhKVNx8g5%zD>LOGyC|<zn>kFo-{K}#YNY%Bf4K;`}&1hr=2%X{uyD!J@IG; zk8qN}q&*I7-r9_Pw=d0jCuuBV_N#KG2;=KcouzEd2R2*sE&nPu)q}6qs*$lMP2KC2 z-!(mjLyJqz-%padwNSBdjl>1>f~X149?ZE{Z}>%ZPV2o>=gv0&{;lsM9;?aB)yTYJ zH>X|G)32vHPJ68NS#!W<9!KblmBEa^!n1nrFWZzB^*LhAZ-4*ki&y{sv+4KF_it5S zM=xx9?3-^gaoJbbS4|(SpLO(ZkQVDu)0(fE;a}}>W7j*OYem;|oU_d;-s>o}g*6Mm zRZO{YJbOz-WBQxj?Vd&J?>wETawW-W&DNfW-4=qPt{aa{e{tof(anxSZL60Zc0I=b zy<UjjMqtiO@pVtQVjbdtY%r~Ple**7w6_2EnYvFba`2toCpTT=1!uvATe^<^ueG<h zb%q}}@#sKs<J32k-!z*wB|hL-n6)EX;_l6z-=<Gi{<t|}&#U>b*i3)D5s*F-?JgAJ zwdK!~BoXybD_<mjHSc36oa1*<{iLApj0Euq%3jxN^W8io*;Ia6t3{adr0>0C$30b1 z>{afTTWrZ!=C9&0*<PO&b9jGNnCXJpum7?=Di>Lwb1|3c-gjG6eub?5kKUP)nk$pI zjn!;dz0jO_&o$%0`o1Fxwsp<(?l#Ep4f(SBvYLfW_cmSoT&1b6n%8~)=@Kf_m1!w5 zZ|QvgdG!abd-?t74?9_OTCllQMd6-b6H9G#$cZl}&apd}J$-n0Qhi4Dn!a|<`~<Ez zX5l0umA~s+*ZxsFyzYskY-iXJUjePK(7Cf0oHm`H_15~c$pR@w)f(kfOW#dm3A{Oz z^ZmBC?SH@AnRHX>=a<0d)uKE0Rdf3lFS%v4$K|o>&X>`jB_mQ+CI;k9T5R3nCaI~i z^+d;!TkFhgKj>du7#jWO#AegHlS1W7j>vQGiGICj)5H|%ZM)ieo%h`iPwiDWRHnOs z^+Cak?}c$UlytqH?s47!S$*oRg7|6AnJ)hJI>*-ktFD*z=-jVrZ#eex{!Xb#ePQ@j zcI(0IYB_0(E=EaC`ulm?r=Fuzf@WCn7l?na?&;(A+ibe}wTqK1L^#(za(F-CXBG3w zbH8_POh4D!`gL;m6b6q!tqZ3t-X~J+7bbBvt)+8e<Npc0yptl29xP@KiOHN*nCtyi zsaoB7#d5#4s3{s7&q^1oEBtVH=rHxhsuj;OSZC=ZwIqN3^5DUZ3CiVHd=_d~XW#jE zfN}RWYr}`iRa;Z$@!t7<VB?RJZR}c;>%1eXUm0(5S)OzG;OqNGuN^!2DNOO!UfauS z`S1M9x^nz_-fu@!=D(LdU)+0mi6!gzSRs+3cisP$ol0)hU4GZmG37(9w)Hp9C;6xE zNIY1TR^sE%{WIxl!JAVb#od=b5#osDjb)uuI6v`Jq1n+$!TUFz{MNV3$@(doXF2EG zV?o>FeLvpbQ#F78D<C6azS|O}hYzfHKK@jk-MT9!Z`m)tsU~|S9MW9JyM*UlzxwIq zWpCmgS52(r=dnB{xbXYe?vIiwdmaB?J7mBey0~kJ*cOlXVYh|i-zr3G*`D+u{aN+7 z2Y#F0d@3pncKG<e@W1CZ>4$swdtOr8+mOkm74Bu$d2OYl+YG5GCpdzCowQot5V}~g z;obbUFDf6HEh{uw=V<<1zT=D9Z<pgoggdK~g4p+7-Ro8Ro7euOMOBhl>r!hk=B~Ee z_YyZ>GG5@Z{f)SdLI6wjbL(j=T8X!8<!@wOHlJJfj;%ptcJKTL-ko9I2J*+Nxh8we z_P?zwmw3b=@@3|>h&NyR)-j!X8vOj{w*2QI%%?=OTK|1!a+05vH%)r!w>N*%44(S9 zX|CMk)b=wx(l@=3Gp9~`{VL@p4u{*$Pm8Y-_hTvDWVGnQ0#9qjHlYl|^y>e$uKklm z`?Xxo7jOioNHDvvoAFp)xlrw%jDpv}f(1Hr_ci4-=}&c(R4cpqTBc+|q!81A*Rpdj zN~>u4dpAW*dg&y3&-3-6eSaS;+0DiB`e`TUiQ~Vgtd^dhzEG-4y!B3X<{A4+<$pRp z$^WLmEEB4m?*20NNAi2^vx|E27xh2UPh-q_S||Sc+gsIjvkYEJ_TA7w^>OE~a`g#H z()Ta)-*Bt^Z_YgL%dKp?c^a>q)StPZXvtU@bZPNfYr|PfIv&0@&t4ku`qg>H>^Ocm zs|$-Ij!%AZ@WjnI`F~>~?%8#|m5>ZD-sQDqjTMKN*h1Ixj=oqCkKKDjqOt^e->0v; z;>ucL@v;7W+UxVNJi^^ac6GgeQGVx^G;eYD?4pS}zh!>0p0yA=Fzqg%uwUTfyp2WE zgS;wpY{hrv=vA49MOOy1Ul1(rnsn%D@rQfsGdW`Pb@$zpl{;lu;q&Wf?9`S;5rsO3 z$|6JKUALPm-n!qcYs`P5_emRHOkrY0;ptEBH6Ff|%{e-|bThl_sV6Oq`WKyN*j3*D zVRhf83EU6+k`^uJ`2F;edAQ^Mee?4g=NGmAlQU?4xZA?#<gsv@Q?8+39!)UrY<hEQ zv7ElfrnyH>g-;YqnfFB?z(Q-b@*%auOTy&TxgLdIV&L4qcGEX2{`!(Ljhg;>Kc^Jz z+-ELf^tftnkn`by9FLA^CuC}_d)Rv(J<o3(duIMYZtv#)^v^0B$8Ii^42$Vcyiwa4 z@NieP<kV0r14s5WuP+-Tjy+wxkv(Modz-tgQcO2vOU`7zFnGB>fHfgNz@qKB{T1UA z0<&vmo>;a{?ce>|V`1XA<F{INw-&LRbzI!YlBoGT+(m*}c-_urCJg)ae)Go8x&ONJ z{qr+tX53A1_@O*s`MSf6;zC|VlU-7e!XlQPuU4s>qnj@oV;8dj(N4~rt)KmayzBbb zmC9>dslSVvldqcgCOLU^c17gpH}Y!dC(RZ1YJYd?y>-oogGw9!Y`%4=ZEk<rwKR=g zTrSd%&!TmVY76!T>umZrqwbYq%Yx;)FB{e=W<J~dqvz=H`l~Z5_&S5s#jj4S&ue}t z`sw8d!;_|a0(MO}X__*Lhv&%r)!~zKHmK~K_2l^u?JhNuaMeExj~n?g-&i8pdArv4 zae2*34KtsESuSE}p?kabzy7j2fcM2Q>lJ_Z9}`k>KF{BNq|te^oY!fir^mLi)<kSy zGNro0%yxMk)8*>|RvTWMpStuhlX+U5(hH}iSu#oCUoMqzDSPp=Xj7y}>VklxOB3%T z=$$zeeaS&@@tixI+XUog!nLa!o^RXzM9BNusmWXK#JS8XK5p<JMtrSCRi0KCd#h(( z^u^-Hy4&_5?=QZyl9jCX6ra?eC^*%&%5r_i{6ej*xA?ymM?2pC7WaxVgW<eH&!v#O z!*v<1T8^d`r?}o$3Vmm0|EsAz&5c#}=YrOM-un~&ew!fpz~<ba+25ZuESBGTvElIl zPaj2=+><;1W^&epikT_gv*UK>ZLmxHSIh3e9c!-XlbQKWTX5C$>xCsh-YS|bJ>Y6& zyS?F5MxTY;`pVutU;j5=X!Tp0VlG)evAb7fn}%;y>6MTEBCl8Y*Kf$WIoEJ|{&A!A zmY*wY4D`Zg9xG0{Uv+4%jD1m<V8hY$2NSuCU(A;-@!2zNGRw;^OIzJ+)2HmYyES)> z@KXES^4?jTwH>EdO|vZjuN<&x-L6@x*Mtu3G+SE3QT_4Wuk=-Vk1XZ~lq$C7AIkd} zd$RqfNM?#$|Eyf&P_-3K>sPAQF7jqG{qNE8r%~2GLSj4fqGZ>&?{-_-=9i@=`Zh%8 zvTwP_7FEJA<Dba#NpbHzyv3Fql<bj?JJlzeYZSTWM+fu${2gyZyffEwZrbVUm9eB$ zGI4T^)og|qo|)n&l+QglkohMvcZb*`*)PeDvkZ>(lxfBbyDyrfBkL^l`GM!g?NiqF z?b)om?VhgVxqAI~MQr&|^BcJj-V!W0FmcyidFf>hop$xBj_6gi#3p8miP!2bQhp)1 z-+JX^x7nXwrG!XKd1t%z(w}nv&X-?2#r`T^$W}|(ab76PP%%?_>gT5w`I8_2T;TYi zRCV5_TUAH2ZH&G?ReSL-QciQ8FlR`tGV7^=vQ!H-{h;?}pUz8ZJ^F9{YUOPIeUr+L z&$WB8{P|?#gO<-~HvE*YeX@5i*Uw+_PD`UA7VVE^+p&W41M9nr^nlA8{sK#k0_PhQ zNZJV6wS8K`s>Hk{wLRv{x(&>Ks?Xh->|3qZ<~aBB{%jZS4P`|a<{X}-?*5!(hQtph zrUp58olSpEe%?RLUU^&bTi*jlA-mqPO?!6!V8Z&ye%1?*d-gM)d*oYPGgXX3`l5`Q z{MyW_D?J;7e&(K?c6CL={0^VBZ5K|~vP)SsCkWqX`r+wlxam!F#k8wS^~|<B+pPBD z*Ur2f4|h%b6J<RiCZ0zxXHR?m>Av2itbJV{zN-A(|Lpdj&l^{VuA1{;?i%wSxw}%& z-{qa|B~*6Rc&5#QXP>{$d$Ffkv}wKAy&ctW9IfQPSlVO-_<U76D`D{U-ubu<Of`zK zEpykDq<Y*u=a#i*uA+T|vU-zq-Y2O~kGO8$S%02+#>2_48Rnl<5IQY;u2wXus`I>l zb^oQc$G;k0n0Q2G@kW8Wf`vwx%s+PDS+<CMNn?)Uc2|v4eP{19efrC~UAyI|0ONWQ zfjh0{3BszMr3DTOKL04Df9pWRilD0-tX(%96leJGxX$--apL5DO@o#s?{KG<gOda2 z+Wj&)Y^*2pPUC*iw*PzU>#j0wS-h_I#Z4ytb6KjTC(>nN+)k`|diLo0OY*{YD{Z^> z%QoMwo}@WnS?8#b-@_%_o^)7-zFEe&bh(+1nWK!Q&z0ZH`E`DaTDiMa^w|04=e*`+ z;!t}#>80F@%?rOK&buMX)&1FZ`tKjB?3xz0FZq(I#;3ef;mZx_(jY$GbK;6Cxx~T( zB=ast-+3`_+pI>Z74x5+dEu?2_o2$HSZL*+Tbm84Zq5Id?X;^XY4ViHF0UJv&yFmz zdDij%`drW1RT~>OMQ*;CedYV42WOtFSy#Q`-&~*0G}e21GmP6m#KwyS_eKa`+0D9- z<M><0;8>1{&ofLdKct<X&8E9~=b-|{V%7I+mp)j#U3$mY=T7#~7O{tK2APJPJ*1R% z=tM%m{O{5aVq!%k3n#5OTOoQv?B~}P`vjX7mMJ~In<~j@lGe)mc=Bn!KO*~=1)FVL zxVo(E6szolv#Te%Z@!VG{cXPbjcB{8X}2}oWo>>*z5d|x=Z4F%kCK)ic9W0z-Zj~E zzF7X-PR&^__tvFd=bQP%?M1>p-g55@ix#QuMb8r$dh3rRe=l306d-y_DQsPVYk}sP z)r<H-SgVx(T@Nh@RxLj8e4YM>cy(!+qnlQ9{`)7TayR(p|9Z3JUdQX_7i?HO|2A)` z?yTw<n*|#d)ZJ!mT)Ae?)5*z;zukXUoE$LY{H4_^?D)0wp2b`ge{N;!)e;;ivs!fa zrn@E`QnNhU_>Kx}TBUmb>pA;RZEu-6ol_1dUDZ>#?^nI_``I;1YVXGHQhEKxV|M+! z<b^?sn>7w|#9zrNh;DgyitC4qtK~@zXQuiG|JMA}6sl3FoyRie)cJdg>%L^m^T_4d zR{VXSzQeMTYfby?O=f#7Ql?35pR`Q>t>k@|`Qb{_=cqMaS?+g~q3Dpq9ZRzvXBk+| zxk-jj3GxqNmfQW<di#PWy*v9B-#vQfvZs+A&&C%uc7}R$eD3-`(5+Gn-KzI8t%Pq1 zf3BlY?bn@*8?I-DC;XM&`c&Cqlb8a($eX3A260VCyG`qxw>wGw&;B??`~7SNpLMP0 z<L8<6fA{B~W1n~IrI6#hp4IJle=Pm=NyO!M^oBO!bF1{HRcx#?S@6{NLEwg@Ds$s` zI})NSUYC9NyfnZ;;J1sJ*V%JCThA;B6r5*q^zABkkB6;$qIt?yi`R1<$kt%o8!RT@ zm3LXQ%qLLh`}5~XTmS90UYFy3>xjt4z_jcwg)<nP9&cR3neU=CY02~`u4yr?M*^x| zF7-a{HA5=I)aG`8>@>4gwi|q+o5faXd|15UUCHKxc3%#bCIbe>NQKm|7ZuB51WR4` zm*pPqE-`)f{{Q2+(4|*H*D!^z2=Y{$l;bz)rr+hxXDr)x`}jWiduX|=srZa^Ucms4 z&@vAGK#khNI~FVMca`kclg*zrzfLtQ{K3-SatrI&TK=UUWbSKUZO+$X``CTg@iV_1 zzH@|kE(<&px8UX6JI&17GqOHxDdAhewBFrO!1{qp-pL95{)G$H3WV@+C~VeKDrYQw zaM9(L{7T`<CGCQXX4mf8S=x5^f4W~=ew&&><^Dxd_cW&X8S*rwT;z7)5b23uu`nQ* z&(bleZQhS=oyv<df9ppj9sG3q;k?r2QVY`x=QQ^?Bt*>#`o~nW{?1Mv4vC9`ybPLL zRy-XYua>VZwzOVYeTD6MNllT7oZ*Mf#k(1AmwYkcT$;P+)bWRJ78==2Z`;h<%y8;O zscIY7hOk{<KPedYKa$es@$S!De2_&_+GB2yL$cK837KvOS)`%^=Jq;dOLfcdZrgBD zAVkGvnYD(s$|{Qh{x=3EH5}jVJgz)7r*9|gGT+L+&(HlVk4r54WjM26V(P5B+k$sQ zbEk@~zPRLwjIQ$6nH5YC2{tBSEJtteVNMqc*~YIumuKEICy9ruGrf-2e2%*?w}fNX z9k(ytjwa24o+do?GWy>c=Cxemk55s#&-VQDHm_sZt5cqaE`INLEi-O*JM+r7`ENd4 z7hiLt`qtiJ9f`gA=XMGHdeXb!<eiT)kB<4a`x5iSLu<G#*wk63oDpH;c`~*6@{ZI1 z9%UAu*;l#V2>KX?eM(vArBu0TQp=H073(i^q%u_<HJ?3Lr1g)VCG>Wc=(K}^%j~$$ zo@%a=sF^7He*S#5*lStad0xxiTJfvovccm(QPFMu|92d$=`VTn<;{MX!2a1+J+r*K zSc03+tPRku@aFFpNRIV&Kky>9bpqeUNZyzojDnvyWK}qf-~U%wk=-KDAduL~<tg{H z_0PHQe@?RhJh<mY@wdp;`M*@$6y_c4QSx73RN%AI$1Htv#y+QGYcJn0dwS=qjCEh{ zhI?~XHW+P`wbnV#{%h8KIrg26DO33m=ZKkCpETwznJ;v3e(>|9&z8*)dv(ddmWP?C zrQ`e*zx)P6vtqr{wVWD~=N<`nUN~%^;K=bK=j9hRiAo-me{ZJE@h&e-nXaAWEKz^7 z^^(yAw!;o*ZaDh~EnzPG`QU(eURvC%+!R~2Sxr9rW?YBD{j{6KJp6WBNxzZ0P*$le zb4Aw9U|Y1vmhQ+uVLOhO7ruCsc<B3=84NS4JcV_e8_#pv<^PiFknC(`ll$(I-*)rp z!pZHto%{DlZ}+?|e%kM(sY!X)&Dl>=3huw3b~8;hz02g8=BGRLPi^aJ-EvjWpP8B3 z70H>pePPPG)Q%;qCmwPwa`L#p#%bZ|^aU-eclsCpsC@6FQD+sBw0@;|Q90`*{TWd! zt*$OB3@zrpyMMauBH7P1T5pXLXMU_wa(a2Y`Nf-!$6pC<YMv7DC49-@1#TTTWs2E9 z|C^$-z&s%+`9<K1{jxI>KXc8LRnQ8X`JBfq*j{|5<3z1F5B8bdJnp2-<@(@7+te04 z<0}#yWSdR77Fn3Tp4@&n;%@AtP_2gcCc)yZE5CbNtv=UU{PLJ%JJ0|9|H4b=oway; zBGEkm+6t@x-XS?G=I-6M_Dr6bz0=|G0;{v7Tt9!P^1mwaUUB4!<T?fIxl>#UmI{fq ztqTlM>UsV^`jD6)qx?H-=l$gp1>shcSeA%yl8Afc``Nf}PxjvLujWeDKhxIfuQ9HZ zpLW+(S<mno&xz@qrdJ$lH)(qle&W08_Y=|)A9jd5TIXUo*EBUqa{0ODC}WrD)+f8( zvj#Swe;D*|h0_WnnYIJ16I!!e)%WXv6D{_9&a1*$A@cpZN!)gmR}<75Q@_p&n44W4 z+FP@PLv&(-(w<lzKSm?}LhXH#5r#+Z&(hToSi}=t|4P(HN`2Os`a|cq|MRh3Eq*M* zrT^ckvB%+~Z;f)l{KhNhLTi2gYP&6{yyBGkoOQpIR(0&TAfA+ozufEBOgbfT;<Cf> z?S>`Umsk`7ANPmv>uq96J8{KALq5TATECn6v9%Wk<|!RomAq7U%jxc&8|x(}sR(v1 z7JT3?=6H7g>_s;xP5OB*S<=;+H)3<qcfXYz<14=%GoG>Z&TKEP_pguYhi=`ldfg1J zvPBmU%ZJw_Z0VG`u`_m>nh@hdorjaAc&s!?ZjPNDa_Gt=tu<+(Az_Xy-mR63dnWgc z<<jBxpTn2i);KO#IqDcutzaVfqjSRrx7|-Xe?QB#s4TQNJn8a`smx)&Y`XOfI89$) zf4j*>+vMSe0_TI}r&OC$yI=hkeDfuBYRsP6SLLjC%$DwzvAbmKFx{n~d(ow0!E%e~ ziwh^8-!kiNs`$S>)3rSx=PxPN56RhZL8I}0*PX~hgSiW=gtdI1eSB%yCgW>tdGtZY zhsXCVjvQ7g7XH|laVEo+WoD<tmKiN=&EEN<*^18ZD>A>iPCnvuDk0>H&-vf;-}zkb zY~We$^;CEwPuk7J7eBfL*S77Nba|!6Zi{C<Q*wenGa1gG>hJNqb&Io4-tsfKZ|)e1 z$FfI-f6xBZeOp50WFcFfTeG9^mu+?%Yo{mwSXemu+aLZ-F=sODK5Lt6bpJ1HICgz@ z>miFN*?hLm>-bJCUA$}8zJPEK=Aw=r*EB1SuhYnvX#4kSq2$9F^#$FQlZE;>eleDa z+>!RH)XSas@jd@D56dK&*qIK8@bNCy$U3>^Rf3f97q{-XWTs^*b%zfsa~yL$uA){H z{PRkUkzB8MAx~}6hL$<c%;SAy7h1H%ZcR`-Tl&#Uj#bz9>*@5r5^>S?=EwGyYjo^4 zxwps6`odg~+MU(+o+oOE)r!pwWwy01JbM1vKk;dADmVRcSpUy{%f9m}jBmC*x8Hxr z#xnWk+UcH8_SJ;uGsSWn+XY($26ZrQ`C6QOu|9;yA-18l{@CZ$37<D8?Dx47aO%i% z?}I0=&C2x9;tQUi#Iy03)yb*XmPT6JraM2<ERM0-BG|uO@Yx#kzSNZ|QNA;_Pb=`| z*Wl^CP_7g8`NbrO3(qF~WBz+`y3+GW29twq9j+`;$o#qTRfGPnQyvEF)(xJlUYn-K zoO*1sH?45-j^IC&XDbWG{JE(wQnzjK)7@u6Ocjfgk9F-jWd8Hg)GrhN=Df;NJ#*{$ zw;tPH^RE|hKZ#<D_}92Z>D2U}sULEy)`{4%IDYTW5s;d!W`FPL@9qCA|No6Y|4Z8O z^TH`dF6CP;4LUzffr&3=k(LNg-X7k$b}_w*%v1H|*2uoGp69!uTP*K;YKi}SR<)J8 zGrm8LPkN{~FKmZG(=n@pJ-J@0t53hs6tQ`_?2u_&_nsVwrR##U?U!=S`WJHY>Ib3O ztLCj?;+6?h_3Y5JR8x0baO_ZR{>mji3$3^FygxlvZt=2-=NgnBZ-4P$lgD`8|J93S z-sx0ai;`)2_>WJw<A9TD8`}%9Ej&_EFPS$MC8vdn&C?a$!r|F-AzVo9!lyYGw00O* zc>Rd|v;7Ef>g;>EitWd)$wyz;-oG~G_@sXZ$D$M_BpdK2SS$5#M^rGrnqOIIe&+n& z_*wg<?Mwfg|C9W{a`f(O+l<H0m^Ys4_-JX&B)r9W)w529U7H@Ajrx4^*1{_qNl|LC zvxG8=ey#C8%cZBE{dc{hU1KNfX3bqwA5JW=6I@;JYWBML&gfU`UpzR<@S;&|Ym5EF zT%Nn@_XOG&-Iys^_w!bGW1ISSAvvCP@2su=|6cR)#O<e9pR8xZXv{adB3xK;A#-P- zj%xDSb+hzuer$QRTVPV!LiJY>Z^NqG?(bZ=`C3SZIs35&GvDQ3Dx@x_uAEqBZauwo zY5viB;oA(-4K2S2e~IVzz2_wFduPp?cigiAC-pk~y|}4>zbba^g%`3nH*B7ndvKQe z*U)JXZ|zO}x_|!8fA8OXSn>Cg)kpD|D2Yw;J3@57oNKleIZ<+Fsp&7h^^cB;dVih~ zVZXS$YV-BI($&Rr{WlM&{95;SZKwZ*PM;qxzAv1Fi_|%PO8lPvXu8DyDd`G<a?AMk zvaWi!CjJtqfUx{7HJzW^L*`8Ks!mT7%@^?Yx_QBW>UNJqVwW$;S}8VNlJ~1wDu1q1 zzTwhk_I%N>4A-owF6I^P+dn6?pA}_2ZTOPuw~zCpyF$Cnqf3vLJH~UqpS<+QffinO z^PW>DcHh|LeA~{@Geo9-K~>o6H`2<J6gK^s_0`T%k<sV>yM@AHF<wgAS+3!aUmsbd zS(NCZ9J<K!&S}Q=X96=dGUmTJ9bPWSG`TN$X8wPswBSe50ckJ3DHIx9ozxll%Vy1v zj*UT;X^S1allChubYpK)yHX_7%HGMt6;vTzz;Z>Gr>#BUkIv3Y*6q<euCY$~_lh^_ zEph8QbK>i-e<!OM=U<&8xteKL>$DEfM>Th*na3>c@NU;DRS<G|Zn0z0?d1_i*yT>{ zQa}8aFKz0)uUF@s*R#xCyMO-OqH9t0_Pd{Md%nTmZT$;-Thq_?CKRrE`E;-D!=T&e z%fs(2-8TRIx|+>KOnavXpEf<NvZ_PHQ&Q?M$7@@ice`g?t-2OoOrieImn|z5qnYgm zIhl_a@2OgB8EW&|RmFG9giG9IRbMV;iGN?*GR=RYf_Rv|fjisbg0<(|r#I&QRQP!7 z?}RsbfdTrzv`aPy{Nudzb=HP;vyXF4>-Ah3-Rxg38J#BkC~28=tf887+|~fDVkdzq zev_0VuJCt0XL?y(!gM-d>oURo3@)E(QQOMw_C3|J?u*>IY-0Wkkce<lwN=&mC6?zE z#eyH+Z&|s+_-l#GBca6Vcy+HVi_T5|L?nNCro2#}cyYdxvwdgJKi@AJtE;X3#5XSL zcS{#eWMG`OymemhhM?A^SD7SEE}gV{!D<;to!6(c)%IN7%^)&=TJMGxxBIr&?KM2q zdYCg<+%E9r^rXbd@B1Dpi|7>1Re$Nl#Nx7&>zKZBiE`S-t5Fs9Yb^u$s;8d(+uN`s zUe03q=EC}C%U&2b{`H-+bSvN8W{HJU|4H%)cz@?`TcKLRq5Vb3u34~1Q~nrN;}rhn zR)<sV8`(HyH0P@@$k=Y?wn+5LY<Jjk`YD^Tr2JnQHs)(RTN~TjH)wS%oAvbI7N^v( zbe9_T(v9=&YtP;hyKj2<zjD8f<&zV(pL8blPgtDti$i6>x@RWbw}f7CO*ZfP+I3q> z=G50<^A|;vOXoWOT3kQzulDY`LqcaC@7un8*>u_Jz$exJAKlw<KZo`12NgZDH^u9X zUq=6v^1flKBggdTpmX96w&diPdq?l@>gt;PW&fY!-}AYC^xqH;I}`T3^^7dr$r&FT z;_vMeoWOGO-Ft4^J@-yEY~6IfZ?4g^t+MhrpPppaZp&3Tq_Io-ij2<Nx0O?FG9+$T zzj>`yN>=FI&NUNriUOAHFBTWI*8F;1@9>4^%XaIv@BQ^P>q^>x#_0?j?%rrwY|#+8 zb;$~|73xX5u9W?6HCeJ<OS3t#G12DouLVaoFG=Yu_$hJz(y4vztX|a|i!>(}R!?HM z@W-ib;nMSLpB@PcKGG^)qaN;2seOe1&X1{i;@6zDBt*Qbq*cFnoQhWGkT;9ETN=W# z`QWpB*{{3a$?Sgr>BYvn3)6VsNUI<I^g6+PLP~>bef4wODN7es%HIiD*uMSJzk>f~ zGJ=!1bJC5rt~81MaCT|IYvHUVH68pCwTHz@J&wDm{WYsTwDS6@66>o?y5_AL>~<W~ zZtG+7?D;pFy<a)z?Bm}r^S^0Y>CKRIYig}u_~PS*Lu@O)ZtYe7`@wTT|9;+$)A-*% zH>_@1#WYRinpFCh_fr@8omppd^yc@l|J_Ts@NQzY{4z0E@%n^$>O6^W&K@|<#kYBz zzVlvDDULURZW8%d1-7h@c1ZJD{7+R{*fV2U^4VDyD@;}`GX0kEw(`aW7M&jkNqQ~~ z3CzN?txhN1nQ&-x{Gna{l=*JW_}>3S=oxG3lduV{?>(*EPJLV7(Ug!aBGK#1#u(q5 zt`S!6-TY$OUJkK29+|iA2Xrw;uehz+>ew1Fal=7&|M$#pvu_Gsl-S~U>Y8)hqsM|q zp1NEOCJlF0Qyv-wEG_+GblKEw(TCQsIjQT~t^R%tezvk8`CwI{)8myI_Rd!>$|o^x zIdS3Fq$#VGFR9XUa232NRd@b&M_%x%1CbIlci-k0J&^I|!`8_YZaxj$tEkUxUB5!? zK!~_YM@;*FuKy0^%wO%<C44vQCx7#uTwYPp;{B6lLF0Knhe`7TQnr77x!n5im)BqV zH=AT>i%)fOHG93JXZF#cV<(Sj9ZgN1`p9Ub#nBJfG);OIUSi$6z>lF<<e@+&3%{+V z+e<0u{jbt=I*Mi5%TMhseE45GA+-0g@1Gq`3R=rHu}$`Fw#hdMtBt;<l(yLC&LoK` z8^5lsc*lHwl5xXM@%q1V$!F5aA3I5%-X8q&MEz1@``<il8!B)4exH%l-Za-EZt1Dt zi$725QZ)E=$^4^x_#yj#k)Rt57AK=OwXC{-N}yHekzC5eA6(OzF0b44;8>}R<d@y9 zmEY#<&iv58+r;9KC_jDf7yb&H#HfSqYyY?XP3wu@v_gM#SBUq&B|Cc;$Om{cvxqP- zFmNz<IIoGaKC!OWE}4-*ViOw!KLaO2Vrfcden3%vvR+DRSzd8y2rC0~#}vhkp1JHZ zWL?YN>naNBar_h7u>0PF)a#b_->rJL@A^Yqe<AC%C6Z}wEb5kt($#yvMZf>NIX>hZ z=hHdG=PVnF&T+Dw^6=njVd3)N*lTrl`{R(%^WPPJ&G~G7S9I6vUm@RT?wgu>Yg=r= zuGoiL&FlX>^Z)m_^_2O)zi0PXK5p&W|7&)A#pCU9D}GF`|9gJ^zMtR!f7mSkX3x=h zjo%;p<0rh@zxTss^M7x9=l}aHUH{wqe)i8|`|rj6&*WDB`Y8SX&oTeHw)r;mvO}M3 z$?rejI<bXsZrtH~n~B-6&#shYv3L}DR<+4!$DMDUoSeGVweMc?PWMws&fP!tR8IHv z_rL4UKbfbq`sI~{+cs&%-~A|B(oxd=MO^u=+Fqqgk}tQG{J7=UTe9ZA)6(*+6|0V{ z@49q)<*a^AAEt-*MRvr5ea@VeVtRXma_HoV$t!2ATamW&#?RS{W<CA4uij%v$Qk(y zc5`p-@3{WLVAGy>!DU=p?*kvYGyVL#?SSZ}J<B~NJ+;;3iwq2l|8M0UeNia>O^n;S z``s_sU%mGDx$@pQKlG23Ji6cah3nD%{+CDg3%{ItB);S2k^R3*^wht;>bo+r-p6;r zybUWkm(DA=^<>_P6^qm+eS5v|o0luAoZ#LN#aVxNvnJhtbbq;0R@|z0Hv&SPkLM`3 z{L<d=V#QLvKYN!K^-tE>t><5>yfl8<;ow}U_%{)q(-yk5ZWY?PdI?{iZl5!g?DOqM z)=k~iT&!}EudYdErR09?RpFXt6>(P<Uiz-D^gSfC^!K-`b5~oR68gU?Wd$#fedg@! z$I?x&{@P9rUsc}tq|GXB#ikGLA&b8JPz`jb_Mdm?`4Wxu*ETn8*Pn3Z-OW43S07ca zT$87vy?*5@pWffyQ+&UO{|o!#RqCGjCg!T(`tHfWcMWAkr!LfDclBLy%J5^*ic^Y# zqO0ay+4a0QceS-y*wylm>kEp7wXZ(p4Sbz-bJczk_LmnHE^?lFcjuZ<qF1`7UF~Y_ z`t~Pc!mV8!vfes}hP{0nFg5hG#HvkMR~Krn4ij6&x6+;~bo!N|z?o5zGxsmNWNq5D z@8J9&N}*5YAAPyN*nQuBjdjyrEcx4P@x3&4>JQ^WhmE{v>ec7GdbRq`YOehnW$Uw7 zOxkVU#l3yq!d=O(siCYd=N<_SxxR84TfN`zJyG#LSCv$|ip1T&;PGfRlgX9Ki7U;c zTckqYTt5=(Vtae%x2das{d#pu>(9y?8!x`!S1+{o)9TOHla?Rba4x^=)t;zlo<G}z z^gMpDANqe)>(=M;c_*KGeJ;2=+y3B|Yio;jKmBO?vA=bGy~*`WI{jI<A~GC5ubJx3 zzH{q^z`Wcmr*xg?-YMFnvz_nN(`&BHcd9a`+-9;mnJc*dLSpU~m8<6-hwj|^Vb+~n z6|s4e|6KAc|Apkq{+W4a*N@_zUq6T!MLVSL)P1D?asyBQg|@|_xiVYSUhLs%4-xOb zbnLMF<z)@iGlE@seZM?%dHOM2_2i-W%eJjcZ2oBq=>D+~$o*5SVDv{t!1AA}fb5@a zg<U`L8(%zJ-WYLUKWmbpz4p}X)7imZm4CiFZ29oMG2?MPi_+nG7Nz6$Y>S%zOLpk} z3s<Q6albL*z<<soO8@d##<cC%^^D<*nk4fl*0bp2ch!@J?WZhZx~Jpm`seZF5{JU4 zPdBbkRfxWE_mgI!@zf$Yccr6;E_!S%)CrR4bLTqV=}}l<6cn>ZT2t;`_Y@{SS1-Z$ z?OQi)RA*U~ZQminy<GX|v6&u;7SftB&y|iI{OM6xs2F4u(=&z1u4jspUhCGx8`7s_ zemmVf_%EQWP+^L#49`<0zSbha_UKEhF}?BPn`;HWKltA{QC4H_>B7Gg%@ns>SnBGc z>$v{Nir#gGS=_%8dyGoD1Jz#eT3(Agyj}kER-N;UtGRDQy}0<OQ1D~NWV;LEIy|3P zrJHLT6Fc+^_B`e0Yx~vIow)Bo;trd6z4MArU+~VetUA56+0MjpYWKmj9_1IqZ`ppC ze4FXl>0CkPs3$Hdp^nToE(%c+H=Rlri+r9Ta!O3CeN(UI!ZQY@Q!JOYZfbtH@J*7N zM)eFyk<{c61*0B5mp;SB7YR8EyClRr<c_f?F$yzn?C7kM+-h=1@A6WGRBri;Tc4e- zywf4VZLWOu*z<{pKDaI^xYH%VzFqm~(YYQU3(R#cADbGGwnOTajC}j1=In)U9!(DT z_90V4yufx!_m4HItVfiRj^uZ&UcE<Zzn0ppPkf?h4&_gJX!>W7#ahwIGm9=qCnlQ3 z>WePA=v{O0P*D7ajc3Fqru}9*&J|y<;gWdFnoq3!t7{iFnC=TW5*69hYk5iGS#RmS zgv7o2H*P%O=8>*#OrET7QE^*5X3t}8IkVqRjL|g<JJxPuY1?_}z^5C}xW%^pZt9iY zcVNS1af`B_P0e@rJxJ=;uekA=oAu4-R;6#Jg)E+VGM|6kpdVrJg4>MmC)44?_y-n` zxcT~iHFYQNd+>0F&AjHHCO@=}JlO0}zR}^9t<IF&OkyW<1-qjID&Fafe5z24Q2lUD zr1?+Tl1oyL$^)W;L#CK^WXq~YZ8>WzDs|*)qy9@T9bMysO|B30r*l;9nQanLp`W>- zaMjVvNwN>5kFb=zo#?Q3>BExssXki^?AP7;p|#G)X2Htsi@5fGXo+|k(rNJWuh_nn z$IX*MIxlb9v+B~EPGdWP;wLvJr97VV=H@D{Ip1rWuf;AX)Vp%*msHG`TCI-@Ie6vQ zulV%1Fy_-)*@BzmuRa8FuL?1(>-6ihII-QM)>F^%=qb+r!1q`8T-g`1KtCgEI#=nN zO<^Gwp4!Jhg<bi)#LVQ{wX2<z!nZ7Xb>Y?NLu=icZXSDkDKUlp@j2y3e1aK^n%%d3 z>sS7AsW5EYr`HPBHKE$|=|QJ9S~-bbb2*`P{1(T}2yw;TGOv}7Y*2JsBO|S>ax8U8 z$3{ubq?&C)#ytTpwVF2TCKR7tA2|K$vp}`&VyB*7b8Ee06cTiPUz_};XQh9pb*%K_ zZoDrpSfXk>J0o)DaZxGOsbcFcajp_6zVduu!b9%(4TY!0CGvhV9hZ$SD7Y>jQ}dEr z?%hu(#_zQYJJzRgn%PZbGjzB8z{(x}yQ#N*-+>EDmx@^I+O}k_#JZDnE$t>ov(LY@ zV4X<wuX4}w!q{83HEP>g?@YL@ly_-sWMo)m<ox5YyCjz6JUkt+tx$i8<hypG<KYY6 zJaE+5{o~g}^^CQ;>n^qT2bdn+w3VCn<J7A^QdfnR%GE1u7n4&zpLKEe-sqo`TJ2O% zy4|02<idKD!Y}%sd~91L9pCV=<&M!G#i^mXyOvB9ktzAiDt@>2pfi8G#)9SgA{V>$ zHYdF8=UjU<G+1-}tawHC!mByc<~`bUQ^|XsXzAVPNjh(|J<YyNS7~Eb?R=czSyXVs zzN2^Tz5^T1id$^^-PA0*??KXX{fZk;xmn+QYgPJoLit+wzP`hkzGR8Aotjt6dtZF^ zH@Tc!A_rKPetmxBTK}`CkZ#q57PlAOKE73KbH<yWOln;Bf=vEcCkafI(_SEbwolcS zXNh-z%NeKd9f2o)W**7;(jmb*XTD3%!t^5=clO8__QeT4Zn|5uBzB$eBTg2ztzwNW zGoGFP5F4*?{QHroVZqTKC(UT@yI`zPd2XI)a9F#aX)o_p{jOBYs_i0cc}r$V?H3cS z?T+eM?$#X~?JCXvHZ%FL>n(#n9cFy<miKl)cd_+8Z*rmiRb-G0uiYZEDRKo1@{g>D zx9gwLTPL`<?x#)?&tGM?zn=<^Sg^VD{CetiM24qlhVqT89XlmN48u2cf3fL{`tMt~ z^m23iH`A@_A1R)dF0Cx7Y}qEZ>ypP>VexYN_zf5Ci|6osU~OyMcfjz3xD3xXR&nQ= zgNLK{1sn*7*GQV7bI{E^Q{eKgg7^&vhs1MwzOc3h?mJ+3OI)VsBdd6F?ZLwq@frzx z^hFFEryaNuE9LUS^rE<q&nH&t$+eA%UHSz+Us>5N*Bm@r692*AusGL@m)x#rCaD&D znZPDkb@m%8cX7?ZV=?gwGoEwHe6BrskSV@k;{|aWok_=wF9hesewh@_zxV032D_qY zm-wB{9d>Hl>&(8F#6~Wb5S2Zxy;{oEpz)|lfY-K3nJ1N#3IoF(UoSqW>%FK|QDm~W zVZY(RoWvZB+Y;iZcpf{?Om@<+o*{8cPpx%R`^kkd2c`t*C1nM$O6Rkt75-b^x~cW$ z!klC`4dXLBseO?P-z1c2?4BWfO72-(5#wj4ogF_NMJ3wU(h3`OI8wQ}oo^mn6p$7n zb4teB>E^*p0c9I?rr7H6KV=GQDH7Cf)+*rPKJ$nxO7xUiSo@}4(}ia?m`$;~*1D<r z>%uol&KlJ>Bt)JjR4D9{5bTh1V@qOO%(SuN;y=X{ne9{FJr3Hop(jl={#<hHl874u znr3EhT!)u=Jgl$|lG!7ysb}Wi)vh}+=)g4(&7|lEY3b9>3hNfWotTv9zU0OYNll*J zO0CJ|ODt{(YwCS->uRr^7<AyDhvp-P2<bLaMS<y;4|;4Y&<M(r=@V&Fo_MIxBuGZ4 zTSWZ0^3lVc9-0qQmWX`Ji;(V{swfbCt$Je8!;mF6D(r(K>^emHE>C>)z-P%03u#Tc zbFHaX5u&H`!rC{rn=Xtwa4SGBY3`iaQW`okF4_}zwVk@v7i!_9$ZMN-JmG$n>-O(~ zYmc7Qs`${Sxb{e|?FAOuyR&SbUM}C`$~Z@#+o)|`?f#xcGt6E~OBqh#(`zxx$<kEw zo|Ki<lzQ{F?$N-dC*v>ey?m)$@A$JxA&t6Qyi_}{<wbix^U7Hqy{6`Y{`Wg^OtKpm zt6WV~@6}iA^y*z&u~@PH{^H)&|4!1<|EHSq)Vs{C5^=HY4J|oh({^#vv2Y!p<=>`G zu~9o>!Y!OUtJl-8H?hd)@eHBMGCsYTddrTQ@Lx`jVl+;Q5;R`ibyGr6(NH1%s0sJx z<XOFzhP{b-K96UJUzR!3pQ$Hy#Dt$aIf}76DN3+=ahG9F&jg9t-bu4smrhhZzQUu> zz$z%Fr&EMKS$Szg;l!XLKOWrJYEmlKohjCL*~sR?Ckx?l-8sFh&CVSSZTnm}^|4b- zX@TLoT|W%gRqYT7=iVU`-u*)(%w1YNDPYcF?ZVJCC68A{Ogk33@j=h(q`Q*gM?V>_ z>$;jABiemoht{=4g`qm0$)zb4lFg4w>g2+Y-m+fTC0Y?9`uf8Tt+2<1p=(Y)UKKI( zc<4sE{?$oS<-(6f*@n6Il_v-I9N>SnDq`>P(2Z^VtCLjkTP^E4njItRePf4~*rviz zo%F}6BCZ?@-MD3`<(4H@75ZUol&{@3jCa@B^>|f8<?+ysfBIG@@ydlCb+TEvOF|;t zmZxhqD^K5QSDwzR7mu!tkiBbjuj}V7v9@EO(uW^u9sY1*qRk$8YkSrjfy-SMy6pw+ ze(O1Tm5*HdH1XK4ET4skONAP@?6<S>U%f2+w9b<!*N#mtI{jhFouVxhquKpWukB8M za*g@PwuWs<apI>xmpLtEU-WZ%QNQ_~pPS94{(p|Yf8ep>q7I)Z4OKpyPcG|9OLKSb z$dNz$RBmbzd*OyjHyVyl*1s3cc_|<`HZZh#TH_7IFH=l3mOYlXKODGAe%i@szeu_N zUec%QCu==h@?UMcSe@2(vwyyMl67j^W&X$J$^O}WXV(w;qSp`YpKNRRKjpU4KXut_ z#}+T?%6w9Pa&7B>-R)-o`tKP1iQoD3M}7b0YmVYoGhEj<?*7%(p0_W+p*&t=5xXs` z=-z)4xfXKEdz;lYt{*@Db;0!~D;9rW;Pn2F(Z8m+`GK$8(>HcJfAUUAy~<BbzI2*D zXWjIeC0b%XT8}@;PWhSSHOKU4(yBEb_r6SPyVu#3TCdO*YG<o6c~OLV`=$u3_DvDG z?VBPD+BZcQw{MCtYu^-M*}f^lrhQX{efy>eC-%?{hBgMbzi{bH@P2<_iI4tDrkVGG zSdI2B<4URW7d`Q1k=BHlzM%@2SFdtdRvx-k%fN@rrSD|piv%x)T{EOQ<d(4}F<xfg z_+o#|<oC9pXGoqBOWRtZRq<4N+Kq`y({4^wns#fV(zM$Xm8RX9s5I^FM5Sr>CMr$4 zKQU;+dQ&eiLGMGiZbV2iUA%r;>FA+P9ve3*1xbXtaUEafQMkb>C}vHk2!FQn(uUHB zK}UXVFyoPSnwgxeVSPj7l-@nQRQ~rZMU1nZcXsINzWB7h!dBni&$d^^e!2H6UXzeT zjjEfqSA9&GeZ%_YTHSf4ZG}&tncVk&Yfp?$PWR4$PyHp9qQx6G+CFpQbiDNR?+ot4 zeKWXKIX$QIznHt|%*sVScD_k1S#~zN!s|@&(;thEKY9Inu1WTk=qX3k@5i0Kp7Z5f z)V?%r@#zz4&bsBVf0}JpRjnJp`Qq)@fA>B3wf_2xw_hDAEu{S~w>^}+RnTD8cjlV5 zs?aH}Yh61#N({ySE`5<XGu)$CaOv79yED|SBGM#&6<^Gs=xreWSMKV)!-}(-PjOr5 zY<HF6yeILz&_qC2W^u9BQJcQ@O|8NUa~`K?81IojCG);@Q*-dbH;?`XeEZ-SFs1i$ z<B0+_0n2l2i<*lYUp%T&sM;aW!5YJJM9J>U=@m;i)@x4DjakNhfBNSi8bLZSog&iV z%B>F{dpz7Brpag5DIz}Kt*iZY;!HC;?$kEvg=hXwR|+coP^q!|htd?=J6unh^4g08 z%QI>MpRL#<rBT0Timg_uSI%c+_ocs#Vnwc2Onbz*YuRbfddYg%+1EeJ*|ALfg{kOX z<^9_C*`q4rVy1lQirMF}DE!GMSE0R1)Ac945d9gx@4#Pi50HcdNP-nCG2w-1Wq7>C zqS-avS&yZcbW5(^&62e3^+Rs6s$Wdb`tc85yyfQm^`ohK-@XS6=j)4HxG&x%p}?K+ zL+z!~6nTwC36|!XgU1r$6C@sS%jExTYF@bSLDCHU3W;~ztUVuEmE2A-S?qJ&)p6L} zQ$gv&#eE6MJ^BVcUs?MK_dQ71qrYRu18zCBQ->|<_T}cZlrJ!E`N#1;yGmKEr=b5% zY|ZR@E$+&Hwx3j$c(~vH`K7}uRX^ea52WnWP4YUOUVMJay?K}KsCEBr-?r1N(br|d z-0Y8OPh7*hzx+CWqI*((>venAxGDd%_iC6LZ@BvG<KG=wN8_JfuD&RLB(zQ5O*P=x z291aF7Yk{u4V>n{xvBB&_g~_tE`QZsbfU&*bHY&*=`x?>gq2qsSL$9~$$a_IO6JS1 z_ibZ?nr0u#toj(d>10Whq-S&MOwZ=t$d6MP1Z$3j3f3J76>K;XD%iATNwEyi&+r#T zoxDH&N+LrZTKi9##Qp5DveL|$4GUC4lNQ<}%!t`=g8dQSwjC-rBb4+{uj11ATHE~X zwEKmnPnw?Y^H&YK&}-tO7x8kob;4BrD~YAvR<Vx%wyjB1oqI*0efQ`7Q?Im-PHI`# z^5W3?h0{VF?^fztF8tZ{*0nt?@e4Kwdbz&v*4ABlf$wjIt$4=M7xz^}6CHF!6B9IU zON3bU%<nSTF_nXbN!Q}IwKseBC&9KYi(Z{tQ}i<M>2>dU{y(Q|STZF!!^So-qGe4H zPycfD6YeppOJ$TFzvNu3bmY|EcK3b9K*XaT{QWhqEVsTa3wrisyLzLRXTGd<+_C!? zo|*npn#(e?=0nnPuS%g$6N;O+xT-Ikdd8>XrQyt(8`_>}CjL<^EYdVg)Sa8^<rDFo z+w9u!CJv=qN0$lv6b`w^3v67h-*MogxXYCn+)61^Sa1ADy>xVL;%b&h=Qf-bcQJX+ zt(5kewQp{bd|UiN-s9^p9Xq(bb7P>_rRmF8ES}-zDIWatewU$2@wZH^(vx?OuJ0Ds z+Wh_R$}i<7RA+c~U#Z~Vo$}9_are`09kwPiUO#yrzwFX_YV5<2DlP0BsgvduxhBmi zQYYOha!vY^=<-4#!?mKJiV`o^2Su+wBH|)G@Bea^OMWM>O}+Yq_t4d(PalhUk1Pp3 z6}w>h(tR%eUbUU`CjAn!Rs5=2W%bJYOG=2Gi;vX40~;=gTZsK^YCgK}K~krFMZ_y^ zR-MnSO4BZvN<Xt+llQlV@8kZO319EK^anNB*B{t-K>bHD$IIdga?@Mt1sh`<gVSf; zkGs2Z;=hPQ-o4wW&wRf=@Z%%14TsLBY}jCQW?6)2TJRda&6?L*Zi-|d&gm*mG&@@L zU%|}m2h(A<_yt!KGy|e0h-j#KaK%kH5qLl>UL$F>&)kZcpO*Yx!ey`d_^MWpzG~>7 zWfmL%%`Z3+_HSXx;p+GD9lxjj3Fce5SI=1Yo?dE{j(>X8n%uu{?|fcq|6QZ^L+IN5 zQ>)FshRc7N@IK&N=!fO<mo_c@8XUOjSlq6!Q>P?cnjCrFaoe$dClq7aPWrr1NKLMP zBA|CDrL1Ja_Ckdsj_C<T$yOfsEF>&H9C*mIH`_C<U`_VlyLZfI|Jmrd@~?L4^(FtG zxyWUCXBV!RzG~I0Rj-=6vuyYN(Og>hW`Vf##-4uXmp%NaR^<hDi>_^*|36*p(}wmH z(#?DNl&`c*5;AdoR>yu))?K-MgLJB0#e|22Iz=42KL$N<IQe0r^Nrr=%Isbf_+<~} zP02jqc<A`a6OI2=+^k|cWi%$*l`OEIG?6bnRn5a9&HQxSgnB*Yue~L`ZdLAYbS+u# zZkeyvWRUtKxy$v*lmeG`FaEdome^c~TJ!$T%mj1ZhFv!6PO=Dd&%YqsDCBMTm6iK? z?ZIh34;pQJ(x%_hI$ggbbh>_r>U8~%uhaB9j!x6>m^w}8;IY_Df$vN8YaMkuYjEI{ zO|20df9y0!I(kWWZp5SK^&QcH{_z_wo)(v=`px7nA79{gQab76qvcmRRJ-Q~DNoa> z^XiS*#G|7+?T4gk(%DDpS2|3)=LafZ)2Vaojo1tpG&&<bCrAy%n`x4C)@aj|2%}X~ zB8+xTi7;9=CBkUiln5igeKV%kGq$enx-;pcZ?U(U%T85c=7~l*FC0{!8Cp)!_2EpF z4tAcI7^P9{!=K7->~!<!nSgHw+Ech^$ewaN!@0^~rn&0o9W2jUH*sunj=W>)9C^pW zIr5IRbL1U6=g2#b&NsP(l%6PGOkJpxx71NoLwZ4q*!2^q0=6}kKQY?A<V#=7vuRWA zO+0;P(k1JnnbDi{ro^t{Pvw_(+S$;%Fy_dz1zc0I1C6@7U(5~Iw!v~rZVqQ^+uDU^ znoYQ8MsKp668nZDmEXE~k<1$|7xCT97Z1;K&`HQw5Zid(-bI)7;cw@ghZ+sm9_V`R zAMx=Ir}jMi#G{|p*Hrv#5j{VD!=s<VVK()Lppw?pj1<=`)JS!TaS1%tvZHI!6wX3P zS54tZR$W1AM_NUe`gCZ&j9F4)A+O15zwmr`*A9tmZ4VC%be#5F7XPd3>2u#-U2oMD zesLY1>hZA7YQcJoI=_YMckB?;oHu{rOKn#Bsh7jMcF0|8`~9<P-<;#U9vcgcgL3Sj zUJRGm*CEmuJ@L_lvL!oq2y4!pU#lZ}{Jh<?O|R4Cy>EWr+`lyD1Bf`neCpr&)jUou zZ<X@0g#S4%-=q7tW1Yv$noauCYLqsYrG7fu7`9;lmnrpMHOp_fHWtljESfNF!A5(Q zulc20_N;v^GG&+Yw5dfaM9<DYc)#82y{$-0P|&G|2AWT^XQfW|)a2KEYd3AmrKL|| z`qrtgWV)N-E2*-yM1Q9C#pYGQs!P8tx#6*@?x#_9q}s|aTArmZd<*lOZU6bLF+6M^ zrR$oi>muJ)ze}tCgSyf*A@2OHxi3<+?sE3IycAIK>k86c6Jgb|M_#5sb4rCk=9ez} zOD|HZmR!t!?Xg(=$V9Q~liKh39(u=B)_;iq<aPGgOpeZvwPwpAEyOS9%<0eM5l@mj zesspO2Zv`odlcmJxxjLn=%P8?mDhI&h4wtmYB>_{-(p$h4l&E1D%TYOMXoCXvRqdL zB)P5#h;m(_GFeNbTj|myPmxDQj!xD%GP7Gfup?O9f04j*y?HK*esMOPPILBF2(?<& zepKNq{I{=bb*sy*7K`Ti<F96%nI-VtV4kU>Uy@C>)10Ff*IF!kKd#{{lzSX0{K)0l zX0;<T--w3qb(z;<G5w<rXQ9#WW0UwMWePniId)m)$j_eTo*g%>=cy?^dtWiDWyZnB znL<f?@<QQvU6NX69Dm#?n55RP?$)u>W}d`BLnXGOHFiepq9jDab9?$$^CUmgI(}ov ztAv=CQbW6SRWk&_xo1d(ch8vO5js<*+3()@GaMn@!H-w<W)@COtcrO$Loi(SOwVe) zw4<T?n;&N}7C*`oEEZm=^*QOn+2p#Its4~9-HMP1mlRVC3KcuPg=yN6ElSfaHLWp> z+AcS(IG^3VG<Bv;){b4buSK1C)w{*U+H1Ap|83jbYZk4UX7^~`hm@DeOq2evyz-Qt zb;-Z<{!?qF?$4iG?Nk@-AoV}S;_9BC*G2RH{5Wn@`*f#5?NZ&noD=!qeJs(L+-mpn z(!NcLyz1sGu1$@d`e&Bc?^D0DY_wY2w4S`+w|udi_iamn^SLi;R<tcsKGnB!q2c{Q z;xqn~x%0;E)vY~vP<h{jM;n(5M;d;aD;fCq+q^$pxI9^HG;6X~u6W+k+2Z!|(Atk@ z<@YzvKm9an@2_Y6_Yb_$)7>{SJ3KD_+CpR7FT&*xx2`-XjLqC$u=wcqqVr~3pBMV` z>f8xuuHs$E!oz>1;c<5A(wsXXw<PVtZ&~gOxFvfh=vM5Vuv@lw0&j8eSiMF3<I+?2 zH2d4VtpDDexJcQm^W3|YY+o(~apsf+GPmVrIn*aDUo~aM!Kr0!j9%96^-J$M+Ap0O zw{+DTxnCM@S-*tc>V7eG!}R@8{?di1^;2KGIgt0T;L$4Xx0QRAvx)hwZ0!Ahwc#PN z=>v<kYo_!nds!b2TsrshoJ)FPU0%B*Otju6{avx8d+Cx(dSO$wcJJ79UgPbT>xaAg z7HI$OlDZ(jK#TG3-4#L??zd?%{@u7@RnV98CtB8rE0@lF+;r*PgGHC#J#t=p_ps{H zy$z?mss-f(cP-vBeSh3>tJ^cahMal8b$HYAx(UD6nf%C~d^%hDso^5c^wPHW){p*w z4Zhn>c9(mfwll(F(o>}{*GN5{_o+Jz=A6u!(0efVjGU6x*$)pSW;}eD;Lz6I?I~&c z)xh-d;)a)!a;|4CRJbG;7q_*y%FfE`@4e0%sV63uw(~~Bl&6O_Se$N6&U9<ed2{wd zLd2wp2RAAmK78;E55IV^k&VrnvmZ1P;@aE=KL^Y8ZY}NXR_T8#DJQ13WY^wKce%-% zV|08@7723C(h+Z)8L213ZY-6OoZ7~Icoh$SpQ)rA-?g-g9TJltK1_^hb7xF8w&|L& z;$ufzqqC%(lEI~t>KrkP=}(g$)=cZ}&5hLKdA&Kt!Ys|?#IcJ!{B6mSax%w_ZDi)0 z`A|@(aQN`yl|21Sb|*e)JbDv+|9q|W7Xj|uM^q;r|9ed>?_u6+@uK?|Kija`WR-0A zu=FQ~*}Ivt68ns-3v3L`oOGh(ObZL2n-}#RbbFW3F>!ar=@Yg*=AW3_Br64-r`kw8 zjo1FIF7-He%5wv=L%(Oq9yxXSO~T^UHya-={G@W+t;{@GIromC*`$TL3+wylxxHI3 zed6vHxlfW<v!AejG5@6W%T}u8r>Lp^Q|sp&?R$PNJ$Pu}lEy^;O9wVu=WRG*Sf<J= zR;I?wb9*vdU#b7rv$jvArH*z*$)seTN=o!Tb?AfNsY4&j4mnM|Ib~DCjR~6!=J-Wg z+~e22v2V>{)oJ$0w~z4j8GOn9y!X!b8t2mAQL6>yZ7a{zIr;0}srw^dWWRoK<(Zm8 z4Z3HH5~YQGXC(G4OnX#SALB3iPEzA|EpzFIsg1iT5*Enql6<8jrpKlwrhDpL#+r4% z0>5_KpGscC87;B=(9?(aHixJi9Y~tFC@VDc!_$p79CUR8<#xHaw&WZVTQ+M$ud~{j zf*S$G(%!sN68AI~=@<pDEAw7Cs=@r#;FbgTjD!VBOKSh@-kGnK;oE+z?bs^5(%$vS z2Oi%)S-V&>$N#?GyZ=A77oR^qbH=$NQ{DBC_iInk2-s&{Z&Se$>@@Xi>Xds`L7CeN zUVVJ9GWgIu_xI{k4mio1ZYcDu)o44Nb>QPfDT^J8)~xNd^|C&kw={N5&6F~B=_zI6 z@!D_Ys%#c+62B0fslO;ZxY}}V>uTSA{r!7>MqchysFwRYyUdJ{yZg$4V@ak75sTPl z4qrXsXrHQ`b0=V1)5B$#&VAf@>D>eFrFV~-r0$e^rty~bOz5rd8Q+hbe?HIq*6SlL zSDsGpXp5-uc0Bde&e`<Hp$%$JuTKk<`g-AbNLk>q<d=NYzuAPXY;2vHm5|&jWuOzz z+?Sg5AYtXEZDws6Z+V)hmi0M$?QU-L+TDH8Yj^uruWH8ZDc_XB%(qUz92v1_OZ1F; zTa-`CJKpR*^}wO)SqYC9N*R;{PCr=ay|=yj-l<z%hTm75I`?7bCB0=~OYb`JKm8b6 z5`N3}PN>}ShTC4%g5`3){ga}+5=0*_KcAeHv~YdXl+(4pGCNxT_17w2H}m@={px4Q z{;*P!?`Kx^Mf^$;R(LmqNvHnPPnky!_iFT)%vQ|XvvsbO*|WkxXPuf48L!sKOt4vJ zyUbC{&d+>fOHRzyDMvnRY%7SlEWkcLqocchmQ=-!^@^-Kl`+0uKU8XyT)tnw{`gh$ zN`n>qULEaPW%RTD%lV~xYMFY+8)CGksO;`(N?BuUFx_goo!;>UYQIfQxYnMzpHMLQ z?~=VT&LO`;_iB7!T_u>m^4sdFjWW)~E3;o*U1e1GQEQD@oAw$rH;pws%9sCs$_o9t zI<&GU=$39z*sa{2z*~~XwBE|ZPAy}PUzT5T>D{Awm)<?B`!!87>B=PyulPvSq=!yb zD_B(e*O#0)RD1Sg&YbH4ZN*ZD3d5Xb=3EpIUv7Hjuqv<SgKS>SM~+n@ojbjH7FG8j z)em@fYN=bMrPofTPSI4Z=!y?69}c*+ett34xR85q?;SUJ<@6WXPh<}F+&S2E<bzM4 zbo}h7^B*p>Snis^_wK>E%zW{?KGoGP@(!_nF?gs{B~`H8Mr_uG2u+Eqsd7`-nH6zo z_uM%arJNp-{zT@r?^W^FlEPxErG%eFWF6w0HgiMwQ?)mV`#8lnTx#i#SbAvbakeBK zfAd7{$Dw<r9@(Tx>};LsmX|zjqVXBCqCQKvcM0oOR+_2JFgWZY{A@<bAwIR)8@e^s z-XyN#6gN2A(k+pGAW7%EaU%Epm1!RYo^QN*Lide%ku-bHoQDf07Rv;koy53(<JlI; zZL<xI^9h%2xZD!^#wd}0x9^SyTeX-Y_tYO<)O~ovd7pFtQTb1;0qczUmPzjQ(p<e} z)w_tc!~QQKEc=#l>ukK`*PCiFp-(7j!{%0h`HSzO`)YsHo<6$oy1eIg%?gdk=Ec6R zq&N6QEKhj)sWX*#T4toWj=#-pp*5TK?3$>waJ!KI8IB8+%?<O{Wo&9^5erjmy2$Zl zqo=dZp0$c*_bv*wos~MY(bZYzO>p?FV_K#O5o_6GvacLC7-d?pF@)D<O?Wet*$PKN z>8TE9vgKDcwrZcUTe$PhvbKmHG0r(P3l({`o3uRM)@HF|@wzP$Ggmi8%vjwRF@1Go z#I)6o-Tqe(94<B0c(6%Iq;RpO#`NT;Tcjc^?rrf`Iq|rsEy7~8qS?7jj>DC_4|gn3 z<kMTFo%^^&%3w|ib6@$@0|%?K9z2>NRZ$Vo%k{&7w<}^|#Vi2^!!Wy$cZ$bOsiw}q z5b^T=l35WF3l+`WvN#TF@II7StH^guW#0PMBgYg>6C@U}$uM6%a4^BNz|e))X3tVK zR-Vvir8Zm1`eO=>HO2~Vrz2!H8Td6Hx%p<Dv)H@mvlbQYU$ef%W6dMej!S7JCk`D} z3zxPv6<7V5U8FqqfYbdW%KJE;Ty%BTx#MYbp`)W$w)1$upkUzqwt}3?0_@Sk{guv1 zi~Dz(^6b6Zuz0$Z#fz0}dQ~eLBc85yWW0N2LB~2Tg>z5DQkjod-dXcTcoy@ujE>f; zQc8!oc@ra6D#~b^964B2y3}>U5;mUv)r`m0Oba#y^16sDW>e}4Y-YN)(orybc2kjM z*tC_6t-QX!U7BqAGCNuqOC=>QZ!?g&Cb03-B>{H!t5dgq+$Uu)Cy2REv-5hSqQH0W zuX1|#LYev1uP$g1&I&kEs49^Bv_Y!l>bgz-8Ebs52yiEx9yun#n<%kXQAXM1$U&3R zrMYi{ncMbe9oXp3EAu9dd81euGr#+l1q~~+0*)*>;;qm5B-++6D(&@zR!b?BbI)RA zHyQXhp8C7#+3N|dtx_tdqLw&|iP_JJni3Woa%Jk(aPxihjtl8-Ht;^3bLNUsn`G26 z!`Ra@J(rBcA8$Ex_=o#reTj~f>7v4y#B*N$&E(y+#CgBa%a(P%o|b!~);gN=zHF)P z{2tqMV!7gEd0*R_74Lo=4;Ae_IBWm$$zFE?4%uE_+WJ0c`I2bSeVbz^>|<I~zU{Ga z{_G@=mTIe%<Qyv|uK4GA*96)!r4AW}I?ME2F*$iO<*c2YTBZl1u<4Qx&Q9^bNdfWw zl{)i2yFGhRn#WsZyK?#53)ZsLzw@iJFSzqsEqp(D<?+7G?*~jJ@}A5!*mrX3!~GiT z4%+a(Z29TWR=-Pd!Vl{wQX3C8sU1BQYn7Ndx%v0|nb`*6&Q^&VU(I-^{X$B|@RMJ} zGOMDi-HXn+N?qbCzVuhFX9eTS<&%4PdzS<~PG4Rww5EyewvOor1LN36p%ckXZ4ob) zE1LN&6*sZlXy_bkceup#!Hi{We9Klfc57xmNZcePV&KW!HDgAcJlBPN%ibJ))mNea zQR<%i7t8tmQ_HyDYrQq|(|XIJKBcVhF;{i&?{5~%UVMuB6>xS%Nz4moZsn^7j&YbK zNUUR%IV{AfVkfXU#65EJ;+@N;d)B7rI?K76969vxOOmBR<(mtN+_y_l95eF`G~>(U zIPA##&|-li-?!|JZeFQJiR;@$Hh4RC-I$qu>+$+))2nJ$Cv6XZz4G|>jE;!iTEF}z z+1OoH<i1{d;@I+8i;i>!J0F=8?0jTau=9~c!Ok&nE(`E)H(k;&TPo;CZ_KN--&vtE zIr8iSXX?fT&pf)xFEwq)DuZr;A6=(%9x6}0c`SA6%!h}+98vgO?{ZduRbwl6Rzh;n zug(sB3A=#z2MeQkW#$Aji>F^baM)5+U~fqruTD%5v-JGcjEBXu4itLx%G?QL7B9bg z;PCX9+B#=;M@`}Ta%j)$)|WHPPVJtx&{=HPs|mgOmjttBOxo%Y%-Qx+>X4zov&@;R z0^-FcM-J!kY9>r;6EQTF<@~J~Ip1FDPi=T<yWjeY@zZN%{~ev4zj4E2-%Cl!)u#?^ z&`o`K<CfYE-rv*wdgs2f?s{DRt>aYQ!?sI#kC$CK_hILyb01l2-y3X+zOrPs|56{Z zZCgBN=G>_;N)=jc_V#F@aZiiavIVi*<pP<ww_iPQOx84E$7(j2`6{>F>W_R6+?4wz zyrz88)@A!I{<gVk_UrV%+nM?oOHXc#OuYS0Ra=CC`}@@c$HKD?d~o31@qg|qjvI?^ zoIbhj=Ar}9C!=mJTA_bQtuS|qZ&IDtwzGV<zZpa>WQcfi$%`|mBox%?J8*ICzAKW9 zYF&G0<VXv%-t)OOYoBScO69#78c*DAbw4iKl&g~y-JjCRl<+WS(?!M&g+9CzeXALd zcRs0nyy!;u$!&#!57JLY74P~pIrs6R39mEt`*xq)_Hje5`eaeAh6wi+jI|BnoDzO3 z8IS)yxy^FXjd>@vtfowyWOnn1(M>aVks|%vM?2={%og7Ewi#^87O5K+i`jV6uQu$< zowK6fd%E`~MZLM(Q`WvLcIUsO*5-f7y6fSboY~Ub-qvh#Via(kDs^MW3brr2$*K=_ zOv#z;em!MV*F236kGGsWb~xp>P2h>ETg2U`FBf`Rm3UiEU0Z}faKfs_UhOP}u2AN_ z{>i!89Z9=YS4Rl2#(B;@vSq5wRMx-~Pq&D>Z%?_+m3Vs{IE>?0G5%%g61wnyp$6k$ z3$I0Xu7NqzQ$)KL9q`Va-23k2Hqn=lJgN)7cx+oMu`SnYlM~|xgHYZapJ3*;mstl4 zo9nzam=;}$?)2z>cgHqZOnPsSSlc~K?&C*YmWbZnn!YXQrtX=bTe)Y#Zb_<Xyp<^y zPCvcO<F(@>n;RmTu^w~SXDhA!oGE;G=N3;BZNugpflEyFFCJCgU=Yvy;(N}NhPwN1 zoG-rT34L97xm5qAnN@uH<gLquzvU&dZG71?*R#5C&-9$=l5=9}+9GqesAeT3uaq*- z31;qldU9Lfl2Y@VW?ftS)U$mjUR#-RTjP?|jBi5bXH#x3@_4;ArFD_V>giH9UaV!~ zslC#$IDb>FNakI?ZM{lsf1ZpAUh>ruBrw-+w#7M>+hVR!e<z7{DXsmNm5|&ZWxx}{ z+~)|g;%h2cmG8A#N^6TVg%9sZ;SX3+YHw(LD{zUaMdU(_OHzy2%&Jx~9u6>lATcdR z+BNF=<gLp*vcooA0|h{};TezWLK~lLx?Nk^)U`!iqm-{4IObuxAU->osqZ4lic+J< z?5-`#R6)LI&(v>QnW^8mE?4NO+r(=#K%U56BXvV!IUCR8s}0G1AlJltfn0MH<cU(t zw?_@E->QoFo!sU+G3>v~1wkfm{=@oj)Eau%Uv*gHakb&G_OH&B905zd27+9a3)ah< zDSUX>md?fDn{oq}l-h&5v1>V7($0l!JnS#R_s?S5xW)?<P}(5(Z8ZS7Z{4!J5@AdC zTG;vYZP&Q8Yx0^*k<7bc%p3QFGq=q<>8;SUrOH;Qf5MAzWtxJ<7w^7(tUg&x`g)Mq z##Nq?N9Gy}X1bPdyVsc>c(HeF|E0Xe>o1)%aL9cAVtU6<N!O_PYUh|2dt_%mvN7n| zvTpfaiD^srTC7t!C)TaBR<Bc!ud!7wOM$7-G~vZEwxnNRr(NvaEw$Jq8|<mtIp2~Z zCVqPqF;gN{r)$eMHBdOuzIx!8b=H9o+oTF|yteh~9pye5b$P3WP3|T`o!q1wGjgP3 z`{t{hk1nyz^9j?~rC`3IvG;41f|{P`gNR9>c&wcSibr2isPTGM8|rvecRJ`*-dp66 z?Yqe-aLLvv-kdc-%xzP%4s28cr9(CqPz>HlS*v;J)%<TsGbVp~Brz!`S|n4~KTM-* z%e0k^9sjO2B(9Yzknscs?mkcinyN--|BT$eW%`Z}m*ZseRY57SeKIH|I%g$3ULe)6 zdzMte8-I}cK#=;acA&_(w#+x_=M=NT9&oz)rV8?J^pyk0<V+K8tYwqgJt=pwM|J=x zt?2rJ(#ph4{kHN;aWac1<#sEroqLkYb>cNX(+wMAc_p^3WITQily{_Ntub|tG6pB! zgj?N-RkyrZicVG<b!|xlr(5Bx2ad6r3J7kJD(LYBxknJ>9#cz@d)_VCD`DqV-FaFG z96mXq@G*7c&FKka-nc1{xv#O)qdSjrzM7KmE@mFT$ecY>HyPAS?bwp>>;Bx1EsJi} zyC^wzsr`TGwR^`zt+!vSr)%w=u}>(yJ9x=f^@}g(D6O5^xuGj~$yTe}q#F~=3S<0Z zI&HePw5jZ}U|ID0$^meTdL^4o_vGBg9<MhaF+HYv$!Z$NJMNd&+74$5AMXW~3E6=l zcWn*eeKFl+YD3~ase&_LkIV+8@=^_uNBEZcKKeGvtgvPtDB{lgf+FtOipE~gtOEw# zycTI{x7`lE=}x(wF(=pO+AOwP@3wR%hTl4J(b};2L&lo39$^|%IhU^<IA&s+Fk=;) zOfWbie2Vr2F4?LJGXLAMy%O7&?LG2jHpu+DUTd_R6~x*)MT<Fdbi$Z7E(l`oTl!`9 zCBX$A*-4;;m+J|NzO$K=cO)<Om6;5VzEp7Z6`5|>=)~*NvW87&HQ1IKP;^7G_x8(b zZM83DE!DsU+iGxfGR;zOvNl~1?-9(@_Z8$}Q>#eVt}Wk|`<n4B@#UF)S*_0*REAt@ z1D83ArEc6<!lq;p&iwBGt!fsRmC8ahtxaxiQ-62N<VM*N9UkjovA&0$)@3G_%(jQ! zJX*Sb%k3Mhwsb!T%zXZ-JYw;z3kuTHG6Z`!?(MvFN#OSMO$KlLBQ4t0qC|SvXLinV zIh;M^lHdM<6`L;m%dUF!Wp?|=Sr;x?&B+k#UGuo})};eh6E_*W@r*ojOaJ1cjF4XM zD=JbUkEfOivTDEO5uRFR7^)iO!u%>@k*mqPYie2EhhIjVYJXU9>QJH5#YGu9zJ6C! zq`ZQslt~9qEfe(7d@FNVSa%Vt_kWkW8GASBT~vx%x5hJ4!f)9(q0Q$fe-v80^;D0G z@1mure3srlrn7Wz;<iiY4An0xMJ@Z{d8Ic@^ZjxivoO^tm+UEXHRbz6JH=eJr|j&U z<-$Fs(rb6`PcQ4kOiSk`C%#r-p7Z9@Z1bh>!>>Ns=*O=+Cs+0lYe4Xf<D$%43$8lk z&M^#V%Vs<Ev9r<YN638z>E~Z2JlrE!6m!9uUHt2XqwQ5M9!`=ID~ROp{^7xG{j@Na zU$<u2Tl-&&Z^g1@C2nuLbt8EJPc`q9<oWwfndQAuJnU;LqAg}y@}X63*N&y_a(S;4 z8Qs5Z=$Px9_>wy$$>iO2XYR@JnV0Gn&pb}Ke2;f!YdP<gW7TY@3Qjp#-ZKwimwzFx za&1o4i2@IPOTO0&n`NtBJX#@FWwETCRp$C(CBKY?61~g6UU0I{{eLmT=AxIs?i`Z< z>Gx7AIzIDEc^smhJJq#jeLD~P*MK8`s$M+UAh*k6QM+8<t3<}*Up90+UfX1UWXguP zM+uWeufN~1T-3(m?U#)`%bV>@R;;YuxT~q|(9VU$mbQA<_k(<O=3Ew#PB(3N*wa=p zCr|RvTemqUYX0+=c(@o?r9A!{CZ&?bbT>}s{YpXGnBNYy4pk@0qS}vKU2VPK1<&0$ zne?k2^P1lV%TJisKKFv6^zqV;hjZ5H^d-OA`(onNK-o<jBHDB2gim+v-_-Zwo6zMY zQWuN9PvDERSmB)X<n@FZ{v{qq{IXoKulSdqI8-Vt^ss@?ux6dJjQQ0Lv1#*PPH6Qn zIdP2jtLH+~=cae#WaL*1u4P;QYC@~FRmx*!*`yt7oMon8>6lg^e)a95t#{h3QXV_s zWt22~{q50?75BQY#j2N{I8-CMX=6~VnC{7Euf9FHv1m?w=C`QDieh2e9lcAX4s8f< zwh+_QR^QyI{$fI_s+G#LC8zo(L>@a`QeCJMuKcP~)G8%$DPL#S3xUH~rMxT6udNU~ z`#Ae5`;y9<xqLSx);lNNUE?exeYNA+M_;Ryhq<zwKKAi-IxcdS$&OyRPU=<9+EcGP ze<jUsyK!TkB9FC6OY-tb0rqb$32Y3zBCyf#ioiy<D*}DIUQXLL9h6E++|zbLV!0wu zvS~|l&!h{nIa}HyB$h&kGdOr3N~}{UVU}K|DAtzM(YxKGC9$KefG0?3SGn&M0q)5r zM~<cNCeB!-C=)!jB`^B2$&o`Pyc-Rjoh8z;IF9S6F0{Uu!@JSI-8pAXa9{4O*t3`4 z9R8Tu-EgI}!Zj~jdv*N6z<RA3J{ff-n|$G#m6}_+H{H*jZ0F}3>Kp31xb<t|+DS)_ zvGFF}SfMC0`_R^9Kit3ny`iPupZsvWvDs3wuvUAQ6$>hR`0Zb=Js`$5dqc0I+8Kij z0mg!vE&C;IOfgzx5KuVVG=Tjy&y}M-%wG)-J8)ZMEO7M+D0BZ2J&oDthEu(0Uma)h zD$eK`i(b9H<YnD|l1(d3;^{)~5TEmEISbcw-nLl2i-GyfhR~~vRdXIMY&0q{-O{zV zyU}V#s>P=6y=<=@)-vlR>}V7-wEd%crs0^~BzuiNs%KcH%x{&J_;)9@vsHfD@hVoW z>G%7Nvp-zVJ#E4tnKC)?DP`Q^hxPka-aO)4$tHGeMPu*AtOEvqycTV%8k;ju-Rd%( zd`WLwzt`>=Kc<$kFFrIsuj_W~nXq5gGZt?VHwe$vPl^MNh6{V`Zq@a*ZhBL>XEB>n zS{SqR>?;m;@~$*I?vb*vSi5Fz?^ZAC!&Xb@CQrZgE@AnlcS-G+-X-q76nDUE>E4dB z55;FYSHGNY5T4!9%FGp{_x<L2#U!n~AiLTi^Zwlr*K;3xD`v;L|J&3J&o@T%>bwbJ zmbSjykhoi_ASala{q>ZsTMMHz(|<T+KK~JuSzZvFSzhRz`TfJpOK}Gbm+tMb^?m!a zL~NVJTQM{9w;Y?Ee_SUOVX=<Q?At2F!-1v`EEcix@o8sgKh9k`H*xYMy|71KyPJ8v zc6YD!+P&ke=3CY|LASaq^#4o>$k6{Q^z4D!WAz`ZXD&Z9pR5$5_uX>2ViHele37o; z|F$aIKS}usdVhtU&HJ!AP*G$0{+6BAf7G%$Pt1OQw&dW$@KF8ij#lr7;i17Q{nb_} z553QRJaX30S>jw)$BfgaM-Gbe7G5;2J$1-`dD-O&O#3d$tnK*yQuuMb)z<JIiiZ!# z{8l~l{gUvC|C}LvX7i~E$6FlT_@#IC7s+6qj7{;*f2JEeH^1qB>$IVf>`gU0<1WF6 z{vj#_mST1jceOpyPt*&D(2c3zv-0XOb*|MG=U>)MoNsmd@t#Y050jVPJr=lh?!%5t z=M0@P%P-i<ntAT--tAS-AHS@<=7;Mx;g{Olf0S;Y{BS!<bJB5ltCWYEo>iD#%jP(2 zEp=$4v$IUhbpi41CN5u^+C)AqY!fL|{qrWuBSWNC;GbV;?U%A`TV&RrOg?&<Ehn+; zM^RYo=NXAl#L}d8_C~s$Gq`uc^4W}4`N>V3#y%#AeV%G>5;k$}o{@A&ZrQ8?#>+kt zI)c12_VMN)5_=|DH8n`-nAFZzIk&vU%O@ItF)Hf2x9rvJ8Tp5JxP4|MFXXh2NI9e@ zHhV+6s#?r}AYr|vnOxK5xH~r9I^LrDCG=}n$LEUECv<sCi=+?t%t_oau{*kD<u9{e zGYy>Ug`Y_z9O7e}wV~Tl?ajj7oZ<#ITDm2Y4kWGVGfCuL%(K@k`KzO}@b(W|C*H2e zc)}Cg^R&3cH1z(l4B<41<U=xdeQq4QYsU8Gk+<--g7YohJMs^?)?8JqXnC&AIN5T> z{LdBEH8S_7L{%&gEy!RM&8`yOw&8fo(NmXNB)`oxI9{#x=E1^+v1VZs8i&Q0pWet? zz_(3uMfY2_SBdS7VjC_ybl+IIJn2Olr!e>IAnw@c?A?>t-d+DSZ&~5(`&+j6GJ*=7 z7E3lst-Qsv8;wfL1N!9HUM*POxa&pg0=X*b48~fXEgk!~q<k(^@|Xp1JB!3hUb0!I z;g{T@8)KR%EkE1fu&MB~A4ghbYgX;3V9t50>%3aBO-kdq0duLr9f#N+g8+VW-cr2> zn;OMFPMjBXXkTO051;!}O(OQ2ByxZExpAykIPJ%&7E3t^t-Qx=jYcs>0e$CruN)L- z(-K?H_UhrbMzMn94&6I$ziPcxe(H7nufXTx(($kFY}~f*qx;v;x7t~8r^?r@tlhdw zPI~?|tCCf#h558g`NCdu%>KgnPcY0@_x`4-GLw$34>hXaU&<vWvMcW7pTA}*T2}&Y zYu<f!wTk^eNB(P>rbpLb$o^5@^Lb0n0u`B6)@EhzB9f<u@11e{<npJ&Q<iKkZnjV> z(<<BWVO<jY>HCS(lh~!-YnE;JD_f>szT*4p5|f?H`CrQ|7Th>^h`meJEA-a-8wZd5 z2D4V*XnZ&&iT&C84eA@6oqI#CZZztD+bQc6R^Kk%C99JY{3amlaN$utvuzq>8e1RE zNMdhW+a>FjYcc80!h7p4^*!O+clG|m2}$hIvqi0^tSX7v*vt_IW_@_6UbOa9{QA?$ z&U^JEXT(m*(b4qedF>^1eAc8V3CW&C8&|4SRxID=`>s&2RBv_WndG$GC6N-+mvef$ zGkG2-OC4{S@hl<9r`S+)S>=qF?mLs0Oub(ADk5;|@nySO4j%fo<lwPcOBxgFE*;pY znpv<xKeJ$?Zsvy#Ti@-xV?1$TvHK}Gn~z1p%7-s5a}&3oC1sma@$=-J#VH~OuddqV zu+2buO0ExgYTL_&XAF&}$e!U!72oW9^YENq_fP%Ja{VoC9UfAevu2fes(G2()?M3* zBVAoXot>YD$JK0Hw9R?$j3)(;U&f!?JE7>^l>qsQuxX~#I;H>2O{<99ahpe9I^OJX z_roybJr?V1|9bCyKYMRZ&9t{i3T$t8KE9lz&ThVWZcNR*w@)5+=PYNkJ6Wdn$jnx= z!`d`tO6eA>@F`b2ITmxJ-|ni;4~~h9|0wip>+igGvwb6LL!CpFe{Bzz+rDq|1t}@R z1#@RCnDwY_l@w2i{I-YgSKmq-dhCr~yy9E}@2WM&1Y}noRhPQ5kTHL&@Wrs;D=YoJ z#E7=7lFDe8y}o_!qQ^P=AGfWJ%9w7I*YazJ<MH0GtJkN=mhWHtX+z!duL1AfWv>S} zyBz;|abXlsU0AQn@vmW}b7V_m^h22=Zxr4Ql|BBa@Aa}*7GbY;tb6sCP4>F$RS`Ao zchgoqj#&A4U*76F{Z{W9**g1vd$ng7`duybDZ8_GTUTGQ<MG;?VHX|b%I?Ho4R~62 z{BGdZ3Dd3KZ3DT%HpH~`bf5HkEBQTF3Ll5ao=ZL6dsX3LVRFd|vBjaX$5W5@2E`WI zyx1{urT3~U$y<&-w+j0#v@h%X;XB_St*QMJ7U`&0ee18+JNByS-}Eo(%s&3^*^bB? zSKfse+n*^p{rGL#&&Z1Xk#%PGa;M23ewg;Na8Ay&`OU&-KNT15iL8_BGd;b&Ve#2d z+DYNXezSY$p0%7a_xPW*pOG`J>)1;d&whH`V0mPn%;woo)eoIc`?+(*1s!{S<5^F` z4}3}cDQdXZa(Qo}dokbfPcv@m*mGyk4%RvT?b!~?8`osa#iM6GewOH6e1}Wo{x-=x z*FBf@BqP=CuCfj@pBA3%yDeDvmrZujtAJ}4JkA%~`kVAl{EOe#sY#+c3@#r(bjn9w zTD!-tbgq{6sf~AD1jql(s<oW9^|#}@-BI$p=6<dFcD-o-imx5IN2YE2?Y1uW&O|xe zryIXpw%$B=I9)VNJT~N>+^$t;SWALucE9*{=X>$}zM0zEt5P{G?p(I*(b833JpQec zip%d8&-<UlRi^VgCOrDSM*h~>^DZAa^{TJp_s%DGZJVyxW|wT)w`z^hdz<Mzc}}m` zHD}NFo_A{1x4BZQo@jY`Ih{y$Ue@3G^}Oo(<W_$<<IQuAMA_dyd2C6JdfUmHelo(F z=gRn;Eh{qAy?yfVl$_;E&r+>L4edX#xe+nFQlT(BZo=D?#KdirdtGn(@pNyVYcVaw z+A?C++b2np+a|X^z3Iog=0urRQtGqF#E99I3Wb+rr@l=|EKSRlkv6WC@j3sc(9rzy z@xya`<};<G{}M5Lz4OVT#kIAO?W@j(ii*zFex<$Y)$8on8#k;y=lbV!tk@RcdkM34 znS_+rY+T0mIhgyviH)^0XZ(LQb3<!t?qu^;m2=6fCq~~$dSYfKZF$(*?cB$06RmHg zJkc|g+S$(Q7Iz>-SwCsZ%Ie%Vrf>C@tq*j|OI$MXwnX9+p2IzJl2=T$t~h<grp;FE z%*NX-vTuwM#jSmB9Oe_&OW4gRzHwi!(V^~w!>?seua^G&V(!Dl#Dcc=UPnne9%f@3 z3$`u3ewLj5r+(eyQ>uG6|7G&R_}fqBtod*<xoX?w*36rJX2(*(PP*Oqk#Dn`X(!`s zR4a4l<d;H2>&wRvuL1c#?U#t*;@qOxTUVY>-8Ac!m(Rs}S}pfiZ~Z#8(&t>!Mgz^$ zM~@xR5pUy+)RQ^AIYy@EY*C@%y0_<_&+Fb95<cbX)2Bhnj;{=pI!!{lTkmeZf6DeY zOX%~9!Mr+OD#Ai}{#w4A{`Sg|L$SOY3*DV1^0GOO>+%+UXl>h3u|QGIE%R3J0S{iy zq?TR9IVIummGYtvn;to2z`M~f#989rB>}@Vrbit0c?%6aoNainOkiTm@DTiab?)H{ zzI(elYJX>TwC;P=Tv!sy%pQB?z|q5HUJo8-n`%7RCnZwo&zbf42a{LAL$(mzCH5S) z>t(im5nm{7a^#pRui(b+PgcwAx&8)h?r%OV_2`i@@5cgHXRaNq6kX?JuYMyVz5VK3 zWw*1YUk@Hx@zcdQr)HHR&vnz5$Hu&c1y0T}G8Y8+*-e);I7<Z`Ni2PCa3`p|Pr}sw z3cK*)XES~!$n3px;NbDB2T2ou1%2NT!OIn~h|Tql|K5sEjsHIsEKFkC@Z@av#7ECk zf10m9+O;t6;@Rv@sfdVGI&FDz$tFh*MeuGkjB}Par`e_`*vC1mR>tRwfcRvSBZpIX zH50npL=1iJTAEE;SuS|EU{_njjD?D3X;~bHb$B1nSgWWc1e%{RJ#yIn)ntXXhpD`p zk0w2OwDrX^Q~%f=i8lFF{Az6vUB4c*2wUOb*gQ4sLDE#IiiovrtZM?Bm6mBW?x>s_ zc!p8z?jApFH7TY_nX3ZazfF%E6PG&lVP{*xoht(D<|apus`7r^;8l6-#j{cm=PrvC zHq#$H``Wlm!Z3?(1;3ixSv%g18^fI?%(6L-vr9dCu%&H>#ZpDNdzU9L^<{Vna$lKy z_`|+k#Ya}mTx2&x!gTUg_Qw`W_u0wrS<VKZqOQ#fIPx)MT_^va+1GED^jeoJnlD(s zLDTjMhXvD~<xJ}s-mhv<KXCHPALj)ZB72r6OC2f*DK+?&agWoqpdhAnjndR24`&I! zReWyp$E+8z@s(-yx!Q~6B+qX%coQn)^Uv=CqiMm0_)?v2ubWF1dD=tS7yB=G7s$-M zJM{Rbl~Yzr9V&1wHTbpRxv8nZ!<nxldc1xHI7|4g<a4{ZQ+_4m@l?}-0?$y6<&Vy1 zaU7Psdho^UdE!?Z9`BK|uvo5jWZ@(8ERMr$R}a3}JXd~2WApc`3mUptImKwz?QJty z6Dr%Y@8-@}UYR!mpc#Fxk1KwZo3uR4y?XFP^W5mG2M&svY9wr4C1AKWLgLSySvMpW zDJIpfQj~F?9~{!4wmNs!tcxjEqxZTqDu=X+tUMLJQcC&x_TN&63jCcd_Jv&SN!#~u z)vSwWzE6`9`QQ-9@psko)@UguCQV+!#wm-EJ}tL<zpAm>IxFCS`YI=#RX0j`1+@!! z6L+lDYI}Blc9y~;Yg3H}#-WO4p=<U(`XapXqQA4mFD<{GKNHV7W<5w)ASGhp5X!N* z>+2-}X<O5lh3%^(X07?XqOo~#R=|Pl=l4zc?Z<P(K1I8JQIc#ApV&0zpN~}E9@uDi zcyWjJm*c5b{L<QIwkAYmmOY3_Ei2e?>&=6t-kS#xM@?paswHb=9bu9FO4IUyUV24A z%-IhbkDP<^FN;4sKkK#TyT@tleV5bN*`J@~)6<(FYZGH)d13nX^4YRFZw$XqnPb|Q zT5-c--ot|hN{5>tr?j<4NTpPW6rL>cdpY}4_1V1#H=KWSVB`Ha4<0n!Jb2V`b94J) zHTGZA{&H+?ZVf#zQRU^ggWJd^=gj#J2{WcYJZNZs`0&9wJpD@NW}eghJNNFX^d3GQ z?K6Bbr_b{7O`9njqho4a5s_51Af7!UrEJ57>sNvgDfXL6%88k!S9Bb(JnYPJK~hd; zwvmlY&Y2Gyj~2aLb6H>cjp29k!+D#TkLzu2j_8>!8}r7%y5dG&(SrCCiR`is1}Clr z^UeJlCn+cP&NlbkhKtINC3x-{+gR*Mt+048>tW!L)XR~};}=V0ywh)EOk?l+m&VTi z_Y9xjuUWD-UkoiTtWT&(%~Ja-ajf>TWbw;2{pMendZ*XMw6*shl$7I1HnOpBORuny znEEjANMvz&hiSu3XUSzVWi6J?l9ll>wB9izr|g5l$u|K9c0BLlbL}y;ypTVg$5hnO zKJnAEmFsPH<yFl{(&%3|`<<km*{;-zj-xqlwidfmWDXxZ+><QwapTKf3-9MjCcV>d z(@kUVt6S#LEywrO)OyE@<gyPJZodg|(BJGVnCx?%_wS=Kw!y|P+tc@%d@XHUH`{R8 zXVv+Yz2`n`Fw{ESoS4$qK4YJ;&5>DJi*;S+7{;1^$+j*(`}b(U@izx9UM)HIg7dD+ z=H_nBG<N>SXZe!$8Cr`No+`O};XO;Nnd#&@y%&>KuJ10nTQlil;={{4{L<HrZ6xeY ze|WHC;=_jz(%Rewm-}Al{p)tA{n@{y9T{a0b|jP)e3*Fi;8D@d&F!nx+L`RktVIlO z72G``&-CX`0n5+ghIolzvt&M5OnjJ_7~j_3TW)M4aqskp2NqKwK78<(r(em=D8~Gg zx<LNS-`x+F+-ywVfAio$#?8&$&1vlX?PvH{Wz4Ka3XeQdsBgUfCgI_hmFsnP<+Vw? z<A0)<w1kIW+S%AfqAj(efam;&0t2(di#t{?d)+oKU>+#JL~dq2Zn?R+IXjJAoSCOw za`wCcJ`-yZgA;E8jwDQGwtc)Of0c^u<3FcL?%pW5>vQ761_Q&xhmSEIUe#+OvG3f6 z2Ng3OK3w4KX4_?vzbo&B<_qTH`p&9ZvKCdd{5zXDmsPXavdX6E9XedF*_l!K44-R{ ziRFdjEBDgaxwCz1&%W3-bLzvy#Im;b-d0IDp3BBI7GmiY77=qF1|DI(T<Yu}b$#aV z?!>(}8<U%F9z5s)7TP|m|3TvHn+qEx)7q8N>?Z%w-nN5TcX^-W&fdjt=L~q&&Kcf4 z5qrj@h+mp#&Y@qyZh6V;CK~$~74=2By-R4DxZ5J{g?(4T_DAN$`-L9MuF|%ieCx?$ zk)$uVIosCvrk?$ldgDq?eBbujZ(k?)ZLW>=$=kNRUGwZW-Gso+wcQbSV&bD)%Flk= zx?%OreP;Lax9K0=efHZ^i(5JI?C!JPzD{!ATx<I#XWROS9j3RpcVwUanpzo?xov%G z|AarQQiAW_IPRmjbP-39Uk&FIXBGSBj(l}hninshSF``;G38&`zK{ufoIc;^%hBh) zJ?mj=vbXUb2{ZG<-H&Be{=W%*n3|lqP5AJn9QD4|n|^#^Db_n@%zyhNF>YHh<L1qC zyJpP#r9FQa-};d2)5`TuKlafIv{DeW3!A*EZ^tw*550*|YrJds=`nn1z8bN<U1^zT zZT5zR8`tdH7%Ut6tz@cS*7QBIj~1{$5)Q8aEnIX^FC!u}mpLu#MmO)*9jlUid4HIE zHC)uNH{@%Tt8Zvzt>wDqQPLGxriSim(YA_+|7v8m&71E9L)T=l_!Fy-`uaXpWe?md z{mVJzTG^UaN7H{fPiVF{^0VyZ&s7`4zLj16>DBYw_Ppu!>&M=u{Wf}&wN3x{xwPL_ zH!kMHi{GC8R(sL2wBKR{8*c7%-E%!AUQm9|?VNaS_1SN;AG>U>mFzRWJ^gXS=33X9 z3pw%P?X%y$ei*R1mixx7ocl_ACfCD{u>YR@HajsstJZyAXY{{mSH$<6P~7#jzh2Ms zM{;>LSN*QoD`t@nuj(cpPukqvs=6{iV~Omih}jPl6Jy)jdvhh_c;+vc6If<zk(X9s z@x$cs;iF%9`1$9jR)~D?Y;zYZ51JTv%9+LL**k&FhhvKsll*=d9X@<)FAsm)Z%H|s z_|yuEiU|)NK6=f=&(Cjc!}Vg)#bnnK)A*M>#b?_EoOegJwYR=|=44SdOIBu$f%T4v z%(4#~PQ3{@khR%aFgxh}0#C~y_x)a8{5CUY-J)fCmMtr`{F`>D@%iIjt=gP-kGXTs zeOTo5e8-dvvNba<#K!o%kXz@rYsSk@KP(nT@AzO-<Uj3;+b`c`{*&0a=et#J(SNPM zR_`S4Y<sJ*=<(+r6HOv^{H(csC)m(g?s%{mw|bD6w0f}EMn3;Y3%+GKe11!H^zQjb z+SE+gBvN3Ns`<!glc1TM|E`XcPjgNsJ&ZY(^tk5Kp#t61hY}xpmmGEcKjo<F{wYVD z?@w9UV5jLVxOe}=43@*<Cl4J>csuouC(pTgn%%u}n%vIcr?f7PKK1B9*eV_I*kC)k zT}yOWZG7H_9=U$f_~6I5ORdj8Dx_}w5PB-<aowpy1^THEe;B0}7MQ0N7OJOyEU=Dr zWN)9uCOAixSFTTmmsQ4Bs>?#G*XU!s<SrM!CD9TyO>Rg`G%?`um$G0}=jC%#<<)bu zzpr;)&8TD6g6d1pQ@?mf#T}mE5_<Zg`on;{#GFeB$uXA>7}#Y#m@&b`LgL$h$?Eg@ zJ9~|9|2{pt?fbbi`;6thx1~mI(}|3XZLQh=k)^W2_ftUo6xG^C`wxLmX?zYNYF1yk zyPWY#Fn^V<{>-iQ()?Ugxp^NfVU>Rz9J%&l<lCv={&d{Sd?@bYk-Al^Hn=USu`|;# zDJZJIz*2X|j7efPKEB*`%a*oQ`K;ffsJkVjS2EKz|6}m=@YU<zU(FAiv`_lmBlG>4 z-`;Dktj)Ih>HDh2eo?v=^ZtZ{RWh$W*p<4>J<xDPuvDn|Qd9HM%!5a7ZF!Iw8}(tM zU8!g3uSr(RZ0#2XNBv-x4syEfmh(sdZ|1{A7fL4YZ+QJtyxn-yfvxvzF1)eliR8Vv zM6aOp%GEtSulW2X@9Lht<lRHFmA`j5zhZnhsj8!TiQGfGP#&Rh&E2yO%T3sIz&U7o zh0Cj~`;K$39TfE{E1WsWHfBkRe7dIP0ZFg0U`y52KmVBjzxF2HYm=1U{Qt`?F@DL| zrFl{DOTsS8sbw2fr<QFro%(Hq?$mD^Z7<I6e*EI}70LP|mqUJuzxlD=g)RGoPUlsL zUB;D6rY-Nhmdd{ITN?YubE)kc-=(#0yweQiY=1A6v42#m#lAnm*Qj~xi^*G7Pb?18 z(lxZ6T4ta=wan0b>Nf-ZsoxCkr>;BRbi_h{e<pWula!&js=lV2!S3ZER|PJ--T!a% z&HbvPrZM-t;#NrM-d}QQe?r=)L$5EG&NMU)(eqrYI&Ik{zG+J@=}lXHNiJ;3CA%;+ zV_E)5?<YwHB|o_x@=JV;ms^1HlUGH%LJQAdeA}E7m5`&QYp6E0%)n}DnW5g)Zw7W# zzZoh{<uh10sqedtad76<5Ic>lF{>}lORkF&m=SL2^wyAT#tbiSAHSutJzh&=eSDYN z`gkv`_3>ZId}c+8gZ_T58FR&0p49}bnmQ@K;eXE}wX;1+7i||?NK6Tg;W?)v*Onq8 z?`-VVkSM8o<U@~8qRTHO6}Dx%S%2hb2C^(m5$TkbJbLh3&!ePhw~rf47yr01DUd1W zoQ9%V>J$#?%i<3gxZhgz%|P0y>%gxbrHy)vEh1(I#;iH1A@?jzM1HlgS3{_z>XB1D zLW!=wlw!X4L|>6ht8^2Lm=<{D!lXbMos$}RV(B9M-9}ygt&*w-no8Jgzj$%mRV{C2 zsJ-OWu`g56X+OVLaN+7BAu$K8+!xxERk2@JS2w7L@v=wkTP=^+B$buc5{|F*+9vO6 zPh7I@m(ogh38z<zY?G^6977COEbQI1dY;vUE3CgJ?{cvZDmQ4bT6?Q8a8tMElsAb= zs;fI2CNcLce<JW$!}8FL`_q<1tFE4U>(_lV-m6EaMrr95T1+h~(3o0QXfX9#fx^^p z8~4|6EBUQ1>wc+uynn`Aum4T8CwZ<V<O!{wdh1?DRFc2e+OVvZTe@RcZfT#pa!bGL zNuFccTbF7c?~j<|^}i|iB+s>^M3CZRAyG-yT5l7wwcaLqYrRb@)_VImR4cas_tV{e zg;8s-$Sq;n8dN=F&ytFz+jN$$Xlsz%ue<ijsjjG%y?%3Fx}Wi0T7Piylh*5rjv&(} zhD1Fo)O!2CQ|s-cOs%&MBemW>t~9#*(JQy`)JJ8prI+?Ua5Y*RmZh&PnYZ-TuRmOQ zOK<<$8xr-XRO{^nU#+)~a<$$*jMaMkxK`^fldRTfht)~9=g%zq(_y)C%dPeG6HXNH znyGBe`aM_c^{TL4Z_E9b%9eO8jV<wAY8&Hq^mC!k)V#*WQ-$(F-*CSU>XYASHuWE? z`Xtqu?nuuyzYd)YiFy>GwRYS0m0P-vS8i$FymCu_@yZh0bIUL37A(9ZTC&SjZyC!~ z^FB3w!*|lzL0#{pS8LZUUa?}|Mf1{S@*B0L{$rg!nKiI|s^^+t$EJovJ<8I0`yfi| z?V~EKw-4R4-aby#y34eElDOdasiL5up6B(y>GsJjue8f2y`2BL@cZ`vm)`vE`Yt~C z>-|Z6<zkbizVaW`{eN-av*q&t<o@ryb?n>L{~7E3!sEja+`WHurNj68uicAuwlpxx zh)JAC>~xeVGnjE$Z&%@s!vTE1C5}C0IBY4C#L}+Z^Jni~AJr-Y_SL3mHTbxDo^80b zt?0(V2)@<6y6soxrXS8)diWuOu%*nSqwghT9*SM|ll_vCwEFCYT(xbtB=06B?S66e z%Ch!*JYO%iFJ$~>Wa47q=Jw)$_209v)K>iyzHfG6{<69s3t2g1{s-8fPhZ5=^CQ6i z<up|#z8^PEvCNyGE^PIr;FrNdXS=ONjp-#G3!V5D^G~*VQmidp;n+S!>q)>b1NZit z%v7fJFDCSHS+>}nxvCf+J@bIH)sodte9QPhmz*#TQ!ALj_j2Wg=8PrEa+?$z52tWn zDm9*9qp@z5vYAT3l%D0<%k(P)V)T#acs#I>^uK<J;nRX0L4G$TMDa}gSTgmCI)7L8 zlPSEMbJQNc{Pn_J?#b&4_8gA~I+EwZKUPg-XSKR#DJ^8-e~de1kKGf;g?;4*+gS7_ zeRL9CuU;9j<Z<jK#m3VqdpwT5{+qa`R8P<}=+*HXTsz|SJe)L*HLphCKG%s8tTJk) zTm6+vI~Ol`_dsOjZVR_pa($C`^-I?;_`-1A)AopIP=CQ!eiuWJJ4^glhbe}yFv$8@ zIP;3C-o#zalb5`Eq_nbnhr=tobCatYikHYe(pl+l;VjzRd^f~!MeE^At)3{uIg1YM zxZ%9jl)qZ@=5aeOzJi8H&N54$a9G>_Sf7?L>8q{V--iv=`;9{zf469TYzUCG`4ju8 zB&v7+{hu9^SmlB@9TD~_`*3B-*XY;hH6kBas;-{qeUdM3)~346KSfo!_bf~4G@tV3 z!CKAN+vD#CZaPryHSNWRYNxUb7pHu^{>CbBlS8`Kv=>e%`F73P^e^p}fR|W=|4AWf zjhhGEg0ICtyXA6{X|`r$61S@LjjU6@Ui;qGh)l3n^?tJ;h27dWa{r`V-IG|$f;Szp z@+#YKeahF@i+*cFCfKWb%PdG?XRn|CcI~d$GD;y^!<0ihm0MmtSW#%T^7n5;udvlR zUehcbPx5`6vFY3wCNHrWPA7$eHE$j?3BLBX)=HK8%z~88nN!{*Ow)Y*&u;c4)@K2m zj>LGC8JwR|T0cLXM=I$1_s}Ui8z)VYU1N}X_x|+YO$Us;rbT$2<eN5Q)4TWGnvn^D zs@^(FQrNkDBENtCIc3c+Yt_|e9w+(wW^a1;{<ucuTQ7~sQdzIEf*Vsx>+M}mW_i1v zT(v)VQ}f?rZ`REIF6ksXBmYaz-5u(JtD0NgeixV2-%o$y^goy9&C--k+bL_pf|srN z{aepV?1tybtUJq6*jM*zUym)CSlGMET~95fQ?=z)!peoO-tFiKV4Wts>Ij!p>4r-I zrQtEIE0|Vuh9>bYw2H`Hu}j)dEu@j#ZRShAifI9|bBsgovib|HieeRBbtKcNwBTMq z>G$0pE10Hpgg!D_Xtg70#je+}sv(WpEn0<h17z=b+!bE)N9^`KxAMu(@;6o<5N`9j zlf0t&ocyg7@0{}29Dg<M%0$bsMZ(UqC7Xk6@4eYRFTCGcT|(<)-$K57(JS9wmtC{- z(%;{v#cQH?jE}D}-8l7C-Tk=>nQSGr3UANSww1Hl7G$e;CvnAr*fy^_=_{Jq!&V*d zb>|V?aWtTFIZx?_GhSbJe44Y6Nnb+iW7ong`5%q{vX7<Sidg?|eZ~Kn{Mp>U%B;Tq zYuvgeJEQsX{_FLIw~_>p8XW(Bpt@vEaE^@N@yf}MFBV#Dem<#i&R<J8+2=CVdg^_} z_Qw}Lwn?zflSvn!EpOge+}Qj0XyLqP6(w`h*BtMEER*#4@$o{vO@&Ps=elM3`##V4 z+EO@YaZcSKi*u@Gej>*!Cq2GcDEEI}=BJ}+KRUL*&@kU=d@^ah#lhIAI^Vh`=gdhe zdj~S@_L}84wEH&x)cn?UI%iJO`WFX|X@2XH1B*VXD4C<3Q`fb35x4a68`@SI=OwMT znDh8z;kr#A_2nSf>b}<e*3}GB^hgS%DDG)R$(-pill9H!-@OwPm}6_c!`Sj@+{Vef zwU*z|UbnfhYwx45KKJ7<Po?g>F(bZMJTB+-cMI>0n(rU_h2)$}-=T4|?a#dJFEnI# z3X9JE$artj;yF+KLUNAW-Jx;R?9SZHBC|i(fdrp_3d%XaU)kMPr2ch@L-L>cU*Q{_ ze&71Vl(!^ob>^zFZ}P9iFKga<^nR_h)YXsGMKVmg?XS$=v`{AZ>bm=USMU8l{G?e@ zRHG~M{=vk?xAM!n)H+Ykygw~n@J5!VykL4gw==8QZ6l3GfAk-2I1m*5%q95W^rw+5 z({2}Ol>7@f2uWC~+iZ01zZM_&nr*3mW>4#V=eZ`V)ol-YUN7s+dhNE+iz7epA4qI; z-FC*(_vig7>4G<MPA^>i`MzqYyrM6w)p9u>uK@FN_3C`9|NeWgGqZU1r~8WMl|I~? zRv-TSzpOKB)h(kJM}NwnN^BI|cBazzr~JHhL5Vc&ME~diXX*Z*ckX|vbnoW+)`d*w zx{s5Ve!h3AkmJrap1#8Cdz0Il%5^KX%kEV3i0;Tg8NT$k-HAeulE1QZckZ~{xAlq5 zyUllXzJ|WpbXQXA!sGIieOj{L)~Y_A`tR21NuPJ@{<h7z(QeuvQS0pZHm)z5pX|95 z`un*B3tNPdc=?saG7W<hleQ<B%bp2nRGd~5YV}gtduI5r7a88o9lKvxSc^#HXP&H^ z^O=)JbVkO>>G!96_O#?{JUQ*mPpjv_ZvqllspdP*`JBlkDv^9L{KAyal9qgpGpC(l zwR*n!O+dmn)%?UcpDlSrXJnrYzcJ-=r6phE&uM2`t)6qg2}oF|njbjl^GhC4iPV$f zSEhWPXvx>uJME0C)$`wP0}|rZ^0ONMJNy1F`<i?E<$dXGsZ|-1R*B}M&GHT0%Jz7} z0TVv&Gfy`(TgsFf&OR)cW_Vq7W9vdbGvg~q)!M3VoCuJYmXJ!Yb#`8poYAs1Y*qc; znI{7pC9f^&`JZsyf^T(U&taj(7H<+v+GBejZfM_lrqt6XeMR$1o>Ifsg>r62SB|T+ zvCTMfK|okSD)G<zFWU>XzqHTV|2kgn%A+UDLL4@K6n=(evx*elb7DCc*>NP2yRhJx zQ_P%g0{pvQ_;}A*(%77BQcyURO>W(V1IJUPSa!HH3y5b5Jal^XeNh>2?yciruX*kF z4?J+#{>I7!&GstN6>Y0rU%T^!X~*$-mpt9jtS3`;apqw;tHK-Z?tJVo4m=cizcZ=x zL!V}F=kGHIgT9LLt-dSXu6nQF#=&g9-4$mN`PW;#d92#byyrnd$8(v!4?UW}?B8cJ z&t;ld6!Cyl`1aA*wyGV61LU_$N<9#BcD6~%Xpxhj`mW`FdGg`&zuGb<9=mtzS#Eap z7QKY8^FP@zasOXpA9J#b>&B#fp&N7ZC*7EmUu0l-|4EX^zLSS9)J*PA{JD%l`LnO0 z+tb-fJ?GYnc9!mJyy9V~cYn#Zi9gjkIe)6LPyXzil{hK?lY!~|C&^L!G!J~K3GR^m zxr}3TarV)J-|jeMt(iGFKgfFToy|LMOn&`wgZ1^t$>HnV*S;;bRowQpN-5`W@XKvW zk6sRNINx8S!^B*CY#W=Y3h&vk96YDLdhne8Y9TT4b)lir^~VeA*By7?ALHM!Z|6LL z+9F%Qs^_7tM;i7RWXZgm`dUz8%Ik?UCcZ8-u)Y2`DQcZNuj5WR`QRd3Mz!ZvPClo; zy3CjnF3NZ8d23+v`4ut!2U_+7@06IH9$0<brn}#;avH<p&pL{1&m)z1ey;d(E9K&+ z%l!XiqwmN4U7yPM{(pueQ~tk*s@fY4t32y-l9}>z6;<PIPiQVTd$Q>Caj_>0SM&aS zk><>}>vlkgoSDeN<*cS+7ml~F{EG5g6*%<~o3`+c3~tSfx7%cLHY$p*&N*>7OZwBs zV{J@pwk{NSouhH+SHJgn;iM&7#Ma(^8Md11W4}pY>WnOD&Y%N}LoWL%G#;0~_)+Co z<hdsc_P6ekNKlkxi|XiqK23&YN4}z>T!cqUyGY>ec}(*nIt~P{sxZ-fFqfBe&-Npe zE7BCR+A|gP;vzcQr;9y$JhhdjB3DuIUW7->c6rs`k%a|+^5=#zC%nI+F+GK8@4tv$ zhWVSAtZprI@XrqE<O_SYpndAj7kR02yCP@yuL~1f&^@*FMNX=q)y)}FJmt5&qI=tZ z+iwz?CC8V4YvBRj?2yjduxAPDr>>N3nOeCcA=U0(RAhs7nAoFjQ(bqsZg86$mixsq zYo3Qu<LofC!uzK}>bDw(HkYsUdC)i2^UgLS_U(3hcayY?|IV28YSG8DADPxo&({cw zcyP=8SN@)x1&r#EdIe2Sg^owQdmwQ-Rwi|4yRy#r!WmB)`8L=b*<oK4^Y-5V6C&%6 zgzD|Nb@0r?L#ywej+y3tCV6M`Po46O6Q0V6ZM<_lX&QUPp-%$dk#dQu;d}Vj6&zh% zrh0nEhb;F^bGY9>GP+)F6Z2?8f42-@!Gyz#e#I7tG(Vk^uFDet%oH{uJnk9O>VGT# zubVJc+@QsrgXbx)(BX>a=7)O@9_6_AAW<d%gJG9Bi^UhgY!1U&{Q`+R(U*i)-(<+* zkvJ{Q!uFA^^W&a_2U+etNK(rGV9;s)W5yY2CZC7AipxH=aa_JJscb_V?}E1GmwOx& z*s9sYvm@Un%AT%WlU~`ttHW>D{Zx=GJ-pxW`kIENH%kMAg(D`#Dum6Da(Nq&FkRN` z%@${N>o*sUmRWz<aF(w&XX{~x=r;yO(yV(n9EhqC>R!vf>fYXye5_`-79L@<F4=I8 zFLuplXa4NB7miC=^K7`q=e%Z<vuSU%_xXcLuNzk#U$?>8v^sk6s@C4Al{0cv?Vd$M zHdKa*CGDH)I>X!V>8!2S?Wg4_iGNVl+3+)Y)%od#jMWi(2J@c^1xLP1kUAaflfJWE zQs=wj<fn{j8*Pr{Omm-cSakgnuXhDk>z{9mNsv3Ox@^OpgG|#_x1A~4>Xg1SYT@RX zwHG4Sg}u<}-_WBS#~!`&a438HxuQnVm<sXR7H<-*+iTxEC}^mY;oCU*uwdEE^=lmM zXO+#FbNY151BsmxnU7fCJmqDYUD13b?B0U}?)-v{GtDb*oRF@`dCtpN_JJ*we~;r; zmgq}D?w~liaaNk;+XuGJ+&u>ma^8FJNGbnA!EEy%I}S@T-FeEZ`0isH$M>6)$_iRQ zal*dG@quDBn|OQVyN3d&Yh_X@8<=(YH_m@5_$@U*@A!62(M#Kv<!>%L@YsIZyi-aE zjLRjo3Qo#JJnEFSs>o{Bn|E_z`}49Fk6UHgDl*#@<8CIlM2FpoIFM;AVv($CU(5X0 z$eGpe7PI%9t<LPv-&{B<YW=0)Dqn5P=EDrv-x?g@weBhS+`p8)_H@91z9sR~EdC2} zvYZQau&=0}#<oh%POG+M4O7W^i~oj-tAEH(-zPSE61RodD<*f3*hdB{tu0*UhF#TE zwYG3Rspl8GsXchgo5w1u>=uqE6~6@*wIs`@-&#HGYyB68mb*7wy?shmkN<Gmw(+=y z+@Yu~$IiS-TjmtXqVr?%OvS6;`GkIk?0v@&_-@z!y9%X^ub1d;oPI@CX1SmC#`%+E zV-}}~i)-9G?CSNcuyYb~%(5o};To2QLKpp$`da^p>y^)y_~fPk&Iy0Lej}uQbH^|3 zz}R}@&E*rK{(kY6i7Gu5cr$+CtQiNM^cyp~w~Ai4&&TQ(wb;vNlM%b{+A~L4v_Bi% zJ5|%Oc@snOTAd>j+T8}Xj)iQONK9oqoZGt0+QZ#i<V8ZSmf@LGGCW(2#GTiiIh>&V z+3>_ECY~*u1en+8I4$pgY;PW$_Um#YU)aBs0<mjObp2vo9=hyB(!u#&_f>ja|N1(~ zmas3}b>rvql;u~m{!Dzkb;_HhUd`7rI?GeUPxlySeNJ#HH9QgU_50srLaVwB+g9yA zX+Aw5_Kb1JU)jCAEw7TA7rx5B&#N9HuFMl!zdlc4;jS4eD}G5A8*^Sgs^fh1{>m7a z6?)U8R{i_-B+03C;}idru3mpW%#S;}`kp&;BV)anon|m2Ysq$j#yl~li&vdwN;V3J z&(%HRtj_)MqrulF5v?pQ5-in@RG&5!l&kd->vO5MnsF=Mep&O%-mk*k6DzV5`Q}A- zbUzn+^su${M@6P0V_c+1$8|BGhrF>Ce7`QXF5Y$||7Aj!{+~X+XN&aj+Sz_t*w}y1 zgyTXtn_|@^1*iTimw)-@^Ix4JKl|$TJ@21avB)inOINUE-E+pA^Uhgw&poHiEi2~c z7kyN_|KwrfzLUpy*914{&3`h>spPD=>x*xmace#9oIX40)%m-{=a=m&>#v#Ya=vDA zbKFlg@x7mYSJgk6T~l(-oV8+hyq}`gQ}?b5hTFqrY);wEmh!NAGS9bH^L=skvhL$M z<@o)JZ0+Vft75V_{k5fH-s{c}N?+?Hyv)>ikTcKqfzP>_Uw%8b7uzzm7ugE27u)jj zJ*kR$bN*|^jp?sH7V2CVeh{-x{m9Q4{}%4bP{l$k{T``yy=PS{dQYoV-aV<3xpVI8 zjvZ59e=IP*{`j%mI`sozWBfa^ch2Jo|GetZ!IgUqvOesb^jdJooN_I3_0KwTeorE6 zWX^A9xiLGPv(V(c(8Ck+|G97e?;ic-?qsv4_1ryqpXJZ~3;n-4boVUzl<KegK0j1c zuWrrg{W$+f{n~9hSGOPAvE}7)C*HPHmB8kQlAhNRGTe%?4Ahi&no7)_xJRnzlt&$} zTiTKZY|3_;xhuPal521ODwFu_`NzHW|HXa2tLsmFJIft0UySA2Qr6C`nvSs_X8Av| z-ySU_wc*#~ANhRKFPG~6)7*IKLd+VS=Yg5JQdwVaZckTj%$4+<Tadl;jCT<8wwj<@ z($l8?STdXQ>d|E`WnV4Dmt11n9Guw+8qw@uekn_Ro>%pZ`97=f=;=(~?e{u&^*-tS z$`iLn^!(p)xoeH-KW4>cE6fhxeEitHaPyUbuWt1-7ryF0<A1X4YW>B8<(jK}tG-pZ z-=7wdx8hgu-^rU+t^S{I@JUX@-|H-DX|8)u#((mBxmQLvY+u~^?wrT!OLzNbiu`BX zRwuU1*y`+|61iW|GyeVhufTn|-ZR-?u5WCtbk2!`B~mY&<eijbmoR58*=*6^X4PJs zd*a{~|3~}Z$GY&&nEE1NX`ivN_Rbj}JNG5t)vA-${&&zbbcUSp<M@dN@4T%=Z~R-j zuXouCe%-o^jQc^%e;rq{*Q-8Zxb72KKjQ_PD*KJk;d_s+JyFPhQFYf;HYWG~tG>Td z(|FL(%JF4kvuDo2#^$#s1sj{$<jO879I=yfx#7>~KJ{vX6pO@{@W0YNY%7?p>fK&4 zTGghpb^Z6csr`uO<9*H7|7S+FNr@O7b7En;kUmkuotgb{=7S_AUK^h!jvbyR9R|~w z)@iMgGvT-}mua5WB5q!$k_8R#ZYpOg7&K|Q#!r85z36M!qHo<HsW0t=jON&NHz%4D z7*1i6YrEjE)m3W6Hjicj=1hS^5!NsAKCe8P8{<MUTX$`V3tB4r>e4F@_Q@}Nnb~VI z9xT%5wfVBxu_Mo<<HA&izP#waou^7mZqIUMyt^&!vO@x2pqiA(h0{(U_m?(%o>|)1 zTxn8ZIG;^!*@Xkg1*BLcyqX0LX9z5mW-Ylb<-y!o7c%om?(2oE95#!ZJ-;k%Y~E{9 zu(6v>ZreqLBeha4JNy{;c2xK<H>zI|b^CS8KdR$^rqzri!BQ3#Zp`A(GafvY;B}H& z?${!Hq-VwQ3zwJ3J>U^Man<|6W)p!Ko>My5Sb4S!G@dLm==^L_P|(Pxr+4YVVO6Og zJA9fME@uiPN%1QAENzIJ>$|A&fXgbMM^|rFa0||GV4pn0k(pgN<3W-NuT9UQ#{P>Y z90qM{ipMS~9J1hIc_s5YQy@Y1qSsfURP&YWH+)`H^%qXK!pbM8{;eccXK~|!BBM!1 z5`)SMTCT*dQ7Yx<_Pl#sDTsf=j4RGMieEXrIb;22X?w&bs0IproKo4%#<cyUhY+{N z-Gf;{<r~|s*sf76m6!IgJ>V9^zp?X*@*S0uTIYv+E4lBeaw%O<y)v1NY41r7q3<4d z4;BZNe{8y98>3h%AMR=E5FW&Taqbo6FF&#~&0{WI{%+Vewfqu`=#9Ufbze?9ao;$e z*tpjxr&F1yv|z?UJwC%LhaKD6EDi*0?cN$U>B8oVa(wI-S;wp9SIs}n^xf{Ff79&( z#_&izgLmhBDmr)HxhZ?+;fC(pGT#ci58LGxSsdWyYqvQ5kmLFri+!_G^~G$D_y63y zHRSQkI<eENX~hp!-HLA<)Zkk!%Fu4x^I${&Lzysb4Zijn2On}6zm2$`I`?p5<IZnE zrH?e{KFvyde#raG;|<N3GG!YZ4rg6^zG2oM%Qe>+WWu}|`BsZI%D%R}R9GE;!Fs9i zH$jzq`lVZ^SMAAub!=|zBbD+p_T?6D9+|Y;&Uv_@f3pl<!Q8`&R>k6C3s29_EpC&$ zw%a&lLA&i1y-)vS#3T+JnfBsj0Q+8vSBr$5ZNDUDbnN5ly>PzDGf3Z(ttcX4!dBj_ zm;=Sx($``hv~FFtG_H*2*|~QQRLi$?x66F{&~eyKuGr#$FkgGcsfQfr-$eLt<bM<4 zKWV*%&WBrZFFvZ=yd9VMQDx`lxK~w^Y7Wo6doY@Bcg68U{`Zz|7Bf%Z${=eg^N2~@ z=1}G9q~Q5g?OUZ^8s~2hvOS%XoYAm0Y*l^6okIbQXRm3Om4>c=wBc5h(MDA>!z%~5 z+IHPI5WxRhQYwkpS$WO2pjzuBWoK5usBYzbriD$1nU2rAA+%iLRf4W_?3&~iw@RXJ zFWpyLICG(*o}tMhSM95_ZzW%gdAas&p!U`8`}z$+5~gom@Obxw-Ih8A^E-75XDsC7 zGrV%tv8~GDK!E&jNvQ;RXXiJm87;P9tHLJ+OKKS$jhgaNsz{@q^_bB{RW;))2UXg3 z%{URjFDxOIWaF&N^Luk$`6{Q|f66~^``Y_f`gK<HKj!@Zv*!Jpl~DWonW^sUkI&XW zIcWcSz2PI%+7IV@mo~eb|4q3O@jv<ZtXbc3rC!%(te5YQ{~+tjvMjpe2oHCm!ROtd zzGesQYxyM~_4iA67C-y`t-+1XJfXGEZ(n-4JtKC{iD$aerdx8u@2~RR9e?qw{;!o{ z%>O<FpPpv%J^inXU(;1lu8Lpc&LR~_T|)i77Y`~shwy$|sL6H5%(c^c*^3AB6i+d| zo4x2jZ19vDe^x45RU~!vMg5qyDC+I3MMu1YOFo<uxXTnbd(i>u;3*Z^U3~W>7lj|F z4i<UwA>4V&i;ONltC@?s&n<hguv_z&Me;n`1DVcWuGS=VG4c0UeF~K}o6E;HYf(4z zvKJ4hY5v-g)y23@A}QcNYOu(Tgf1a*--`!Toq0lko49V3Fm&zwyX?h-g^F&hHb$<E z$Cqgoo)wXe`M>3hM`)xDpJ432Me`qepZNITf@QR?{i=ftCu?$*n7DTGEqn2xw(P*q zjSn8J)U2w=?y|c#BdOtf+X}Wh;mb5WToO@@o3W_*`LY*}W@=Vdq<7ivlD@9T^u@r% zwBxIZYh&Cpjf=NMWWN}?ir4yHbhZ!va`C<hGmn9bsR5sfYop_`6=wgJy-4WL+$E9K zCAVwlqW;Tniq?#IQj4T==FeF4N@1DC#}gu<%Z**d`F$=Pt_}WDcwU70j;V`j!+k?n zQ^i?}j_3xL6kHRDy<_0Yf8F=uanoR)f~x{o8S0LTu<FfTbVN0{q~M}RY>a^`|8w6! zUz24L2|F)3U16xZBEs4?W6`V(pTM{JK7qN~K7nu5d;)Wod;;If@&x*FRr>}eEY|dT zW9G`f+vnm@zu+$$4vW<0m>*@h%@gQr673t9(5<=Z=u}Os8!26SeKQx$+TnZgxNk5| z!DIcICs*$<xA>o#_UY1YraNcOl^oqJpI0CCi*=XI_O-e9cI_+WeHY;Hp7Gr!hX-=J zDts3Y9K7i79o?*waUp*0?tkL5IAS&nbgmYA^x#zdm&p$}7wuQRv})nHeQd|=bl)mZ zTCs6oWo&HsHq#s5Gc%)SF0nV?mJu%hSoo%q?z{DVY1$6&*A%?Ed}-b4)Wx@6{#~<8 z+w>RbJNc}VXVF`ZEj|C${OF}Up{s9&xlT5c`8oTa_GPo?t|r&|%j<s`^hx>rxt)I2 zZe!G~ZP^)@m+j*d{+IS_>zhlVwNsu79iOpgTgKgO`&7#ee+Kjg*}XGN71}=e_x#)b zOL$Ey4zvqYoM;y?tvJ#iaNEwVWsT$h{Tmf!dQ!Z6q_Yf~_#Y-&<bN>eG5;ZvwfdUX zRe33Hiz7vi%{tR94ivHfj(nFSf7<p<>dt=KdhOD(=G8(6-tC{7CnXW`_1@vI8;cqy zmuVE-s=6eW6<IfDVb+G^6;dB0R<YT(?b>lBfPeZM@g-(8WiK8y$?meqZ<jlFb7BAH zGKmL0vTYWr?Sg(0iOsie-dyOAp8fOX^wql!LKbK{tL`$q;#l6c>&3wUe%rU=Di=@l z$$r`9EMEKOf^)p}mx~wqn7?d4EWrH6;E+SMrpdLxU5?kB>(?E3zRhuI)534^Sx!}d zD>EG@Jk7oJO7Y3ow;#-MUrR+@Pwvo7&%L$E@L6vzU&iHauCH(H`W3Zj>#_ekH@<s* zts;H*wqx7gez<4$=e28Z+|AM-O{N?re-2GLILYzrOqupq7M6USdX{|bvBffayPjIu zl$<a8@nYg*jtj=e1s8?)DLduwyP*2(gJKHf`kc4Ro(Sxi_;|vOnU4z!w2wc0RMvO+ z@LZYp{@s>+4Bv}n6yH6!P_lWjyQ%qg4af2ZcT2v;<9|G3Y##E;&HKbAf4;)G;rSlL zBi#1{9;%kl{V4TkTJG2AB91$M?rhT6wC36|F<o%S-1Lb%CZ`9Q&Q1^fIw?KSbaHxN z>E!glt&`IOzk<Z41pgCSU(~e1Fl9sT>xgX}cg}VzeN;RD=t1thqepk!bhm%66qA?z zv`*u|7aQ-6wUyI2qKm3Jn$KD~UMbk5d0uIQ;d!Nvisv6C)XqD4beBzc`_W1<`Rq?R zjA73soz|S%>=H3E_@7?b6P7~mh<WLP5!2EqM$Aet+@Ny)QBwN6qlf!!y8GW&PGh+J zNk{S8vq+_$bDH)1$wf^StUYHpbM&0v?9p?6v&D=l>4k=t=N~0{%{zMhij8+eX5}=2 z#h-Kp)1FM(-#^pR@efnl(@2)I=aDMUo<z!=IeRj<q0+KX;B&EzpjgCo)3tTKTrD?j z$W*_2<SN^`KRsTI*X^F~I1oC`J0@{w^J$at=%yc!6?c4dtz4Y>L2T{qhl}18MB4mW z^|nA#zV2Yzj$oTVU2hB2?f%?({xmm@>ot?!gEg{TbAImG!)TVsxLi`JVA4WR_4?pg z`ZXWVnC;H&zu!!M{Aku+5B~7C7mkZs^Az0VbB@_`m_t1C#<G1M+nLmEFFYV(J!M8# zJKwU}YgcA`xqh@R>+-Uk3-|X;obgrmxa=7{dp5E13y&7ZoV|U0TXyv4;M+{+Y7>_; zrF%wwPyTqrm`BvY>GGw@exr~F5j&ezR{Yz!yz;l$)?eZaKFynZq*V7`XYe!z)1wT` zI*eTr>@WVit_+#XXS!)+vB?XL<@+zpzqqC7qxbI>4WHwu9Lv77<b3hLvU#%}RsOo^ zB(jt5;`W;E#VJ3xZi`Jm{VlgXH+Ho=`<m=t?==sXn^pXYTxnGD;D`FwC(O0e{|9Bw zm6WpIQoQnyhQIKY{x5TN|GP{NV9gU)b;Q!C^g}~Htc`NW)@YRw`C3j>2m9cw`fmhQ z9bj;pD&et$k4<n@_raD|i5v@mNqDVbY@502mfttUkVe54EyGCxvOP*6;)^*$*Xna# zJs#o2Yj7^)>bGp0g<L)gA)TEquNEjT+*Ogc&2DRTmE#J#IH9bD@MWvy7pjCbnzv|u zoE9LvM>$0NJ?B+t_RqJjusxQ1$a~@BmsL+|)15?LIIR$h<-F=>?o@hlZh-9<g%EjL zPE!Z{;Ly_#@3m+d%n48p6I#_A+VU#NbYWG5^9s9bLRk%^%cMj$%nM*O6IgY`&8c)l zXTa5SGXrdM6hq{rIYZwX`kLw_STE#yqY%<Lx8+rW_`+Q`d{@YQ6Ij*1w?!&p-O5#+ zMMeu(nF=plbyR5Ks!*YYyJq;UkV_L-b?c~LRzu|cY5NXbSR$r=wEJm$^Q<owR(ldk zqW%iJu5=Q$a9<&m&Uy8qWbjq)Dko73*A+tQoL3KW28UigUFalw!+C|!X3nbzb%H}L zAMkM!o#D7b=rU($=;oEH9#&~BT=mR(h0tWqs|QtrLl3_)a0<OFw$Q6bJ%s%+$JL_| zPNA1|7XFg(UBT!!bCpz>yU?mAF@aS_3Y<y}CI-ajD1`98=Dd2`%87TwwUDbDcC`ht zh6%4aq6M1954rkbds6`GGl5k{jGRh0TnV{qu(c(CRZMu*5hbV64IlJxK3wu6;NhE3 zi}N`5?lXNRvDp9P#zUS@)ql_W@~OD>v&W+Px_SAEOx!vyNef#oBEDq4oVi~l^MZYZ zu7*9|3|FSlxgJULcr9l{*DYSb`BFOYC_9h%ba_Rejmy+|rcasA<@4~Aa+`NAlb&7j zjx#Ize<&V)`qW)_^X(V8@sk`9vc;yDpO4N^*l?3!X>rb?#skMpR%D;LI_JHIf`K{r zshzXjnL~@&H0CBN@m?|b8Jqb$isjeZExX$0M^B$rwY~54)3a;O1~p5^ztBH+TW43< ztjB5Vma}a?^<7$P-m=YClHz}snm1ibe7}9E*|eorCA!~=ckr=ainzbHbNd6`Ztl*H zFOyb12-_ujd|KgCYa3VZr)KWI%XoFAq8<eP{1(_X{lVe$LCf{;R&{Wx{#j5{uGy-+ z@xAm$Q~iV0k}LL~jk&*TTjgmnQ`Vke$GBLR)lc5_N@ClJpDQ=!?l$|n_I1>jf7Oh$ z_n+O!k@It#iGhl4@7d`8iz^wU*ZL&P?p>LazujnSgW9Gm6V81-XVZOP-KX1=IlV7u z&lK(q%C6Eb-XrVP^II);vhVjzUQ@R|JbmWi$*@iTPM2tF-iyu3QvJN|%rU?1lS19z zxM)X4{wpaL&bcmdzq2d<=-MBfN{YJ{{rYyT==Q^pe>bLXJAAtQ__VZ5akDk2ZM?s9 zbKDbEi)Xt_HeOlQom#RiS*%d?n}%}Pq6oLT@LkOjO&=#LZHsO_9aNn2%j|kcbMO6S zn<q;A33;uN;Ik}s$<6~W^1b-GC-15KJgcq#nz+F>uIW-=E5m(jPq)qIo)OaOols<U zf99&$!5-rI6Q@7;=d|n9t4pEf3nui=UAL>*?TyP-S*<D4Tva1>8t+xPEEr_IRJ=Yi z>XFLAxIB>!FHT?LeW}tlUFp@7s=tjtXST%^UD>WDEmo76vZ`cz^}?AePxZdLnzk(K zkq_q@L*vlN=dMH<2j2=jd1aUW<--f-<<8#j-`Bq5f9NjV8DggLkM4!9wA}Y(Rql_K zrMrI}o5p+gYt~OaNzI2p(?3sRy|eO=YQb)<=||RT#qi~YJuE6*%r(9Jb&TbU)b(2J z*)b|_J5ML3it06rhVD?A7XGkk!y2yX-AhAv*y#M!x?A6^cXdJZm8-9p7p||H`tG0V zzvSEUAq%W@RJsEbx29$PaP<9Nzy5gB`b)z87lY%!m(OL7QS`sC<(K8D#76IU$=KCR zq1E~ilKPw<913^a-Y1)Td!_5K%1=z)8!GSq|G6@Ex73{9r(Wzm_1U@m+SjG}p>tHd z9i*eStUEe${fd2SSI**;st<6sSavZzW6l3S-p^GTR)41&{<<=)?Nay5%Kc4`?w>s~ z(LMO<`CY%Z?P8pB{>*Pz=heT$mKx7jI&JPZMb7PhCSQH3yXG;^O_RPR1+r>BU}<OV zn{27^a0jo<=|YYewbT0d4#}B6XYLKT_~q9uEo;}3?->iL+|RFHx#;@uLfIYdQ(s97 z+}*z{D)r+w#$C0(p<Qi9@79E8XI#}UY?<Q|61c+d;spuw-@Pf#msfo0sDHkGrPW0? z+arrEUw@)0l9zQk-`M+Umn*;D3y=7up8xBlT-m2_?@?#pW;DIxKoNU><hw__r)~G7 z?d%WN;r}q{sbXBgo{bIdDf@Mc8`*WH?>Jw?{ypN|BZ<?tdy;qdf7ju^(75-Xfb7Y@ z*#8mhf1MZFRs2_KzwG8IZ)|?mP2cx-d(T3q(<k!+7qPy1xZyw^pZA+b8=B|(oKp!i zxN^{|ZP$xK0sQQeuO2fvGrvjC=&0rC-EcihhWFREmlj3WG!h#(%1o0uoXEb|^Ujyd zRe|v{i`@#%=1sbJ%1qE!vj4}qIjxU8J(50^KNFdD&pBt>vkAASEdFs%TW#t4iJ9K9 zzE$EIA7>|Dow4yn?2&KldqXD{EiD&ZeZu2r?2&6)F-*~`3OsYx9eSD&EUG6iy}F?C z#(}BMnc^Ggbzj-iEs?qI8t?Q29a=F$hgTO^N`$qZK6*hbMy_o}^TwjkGQrnp)~%|n z6gRvPlOkT2ByM;j##!6HQhehy8^_CfNxbc>VfDEs>$v*DC)_TQVKP6Xsap0zlJok> zvPt(`V~oQmpPGEbHOAEa@Fd6gO6z85uM^6RD*S3JUV1C&rfbZ<TN3rJyuRE0ns78; zZb#MRiNd=(;!>DbzERSf_*7cmYj=m-GpT)VG>-1@2rIg*_lT+es$2gV$0G4UjpK?1 z({%P%h#Ov*BWM!jTHLng`w_iICXw%-#vakszPh_(a>{C*w@Q99yk7|Eyi@9nc77du zB&qoJs>~ws!k#@Qy<WRJ7N^Y3aNg5x;j}HYclNC>HN{GLk&j}JB>ocWUhQ&K<Jsnz z1fkQaYMbsHWSO>G;(QVR<A`_3I;WX?5-U3{%7pLkSP4!qnmXa9#im)$I8r1o9QiJh z>2&Rx#L5QkXL`y9ony@Me`dwqaqU@T9bPDIIO&be(XH8x$`V=^X1@_rjdHN(<Noq= zgNr<$wZyq6K1mYJvOK9Px)pi88q8Q|$7X19z@e>8;=l!tziW>QzE}D-t6WnnB0;`g z^;_|cgN#DvZ<Y9FmCx(4Kbx>>XLrW0K=#XLVqIcwI4vVG-YLDhw7Wz1nVysNq}?5? zGk<(M%zQLnusUT|FsrEillSv>ibp=QdVJUD`_e9xx(&<c>|Hmrx--@L>GVl@Gw&yE zS^S01Azi85HRMdJx>EVn>&#X{J6C%?e^>jnw4-O~q}_b+%fy>*1<wAdnEvtleDmMN z?=MzwaGhzIdNS<xg*_W{D^Kn)FlJnCQ+0KR!e&cP$ysVQr^hd=x6Lh5{a!u!Wlp+z z&F57cInS&;re?TYYIgI@t2biKtUC5AG0oIW-gwnU)){fVx<|IG-)W`s)^z!%jkC;V zm+xP5NUdPG)a)Z~vvT<ILX(RNH%rZKm%e(VCT9IHu?JD6+XVED9+%z>t7>^I+Rhr6 zUYDi$@RVMqSDKcVwAcA5XHV#q21g`JW1VhfKKn3J|BF4-zh5smdVR!5FMmV+=9mXz zX{z&|ef+kn`dOgbVI_k%(#17veg9rE`y~Cz=+x{dg7+sRr)5q5(>5b%%koXWa{qWE zCr-Wl=0g6Z^LA5tKB|;-&2aNtvu<DN=lH8(-(_OOpLV_wntQNqoA2(oFK4ceJQ?UC zy4gbIvyaEgOJ7p|&73KJ<W!8SywCK)N@uGReP8=Z9+*E<i9VF&Y1^Q8>(sn0`)zmq zQunL-xjn63v7q+!j=s~aJ$2J!M8v<<=9<La{JwB=Kumzxh1rLh_P%J+f5;Sf$#&(D zs~U%jI?Z*;KTLb7cW>hzXZLrXRNh@mju5ooaXeAH-}22v&i2|p4+<KV%kX{dIV_lW z>-18`e35Sg-KV+4Z=5aajMXXM&>V4HY<~0M(`SmaMMGk)@AI&qI{QJDMdiH8OPf4` zU*F=L6rpO?E1G(}DwA(r>CE}!R<+4~TO|AAZkMSYdudgB+j8T!w@y5wzH+uV%Fo)! zOgA#&{hr>jHTO+cRNczbP;;x>FHNHuWmj$F+@l_r+2;Ew)YOdKcD3co?&nusK7Uvt zHM_t4>J28F701LL*=^jm_J&vXZMiq4W@~fBYeQRo7ZjVCb?RNcAycyU*t12^re^$i zS8x3JBC=Q4;eJ+*;xFOX&bivU^6Ui>3xc1qHwdj;lY3rp@oKXv_opv7JpKB%V%;56 zc7Bu*tMFR0{FT;z+1zt?lyx3|x>d$~nsuAd>a|?@|7t+X_2&JoU7X^%Cb#@Tcx2q| zcW3@|OgrG)d^a=c<qF%WTi@#KQqs=8eK&q)_U&Dlc&7;;xwY-csqEX%C9zY~7auNR z6VAT9_={!N$|N!Ki=x-IY^!zhSvqU=i|Us~dh2t)-`p5?``!6J9@7qFg;s)M{MNSx zpctPgm>u1_Is3Nrv>1`=+uCzY;%>`<qMBu;D_=Wn*k#uEL##GQI}apJ^R`Lc*}Pn* z{A15kxp~FY)i<^s=DSvO<ERy1b;Qv`d1(uo1lxA!J&y}o+P@WLUdX=v{K%~>hgi>T zig~1UTGnQnRZ5{prQ4d^?iD+>)q1VToqf;ofN)Z7^z^vf>y)o;yEQ59_PVJrqd)$7 z+ZFXURl(-m9bSDwQMT2_<+rsxT6^sizW#{*{NU32yWJf-mY!F)|GDnVrc|bv2VcJ| zf4MO7^-(Ro2Q#N~+H5!K6c2m$VCmGI6{)FmanUpTZ-<FJ2zwUZZ`6JJg^;yKL{_^{ z_uC5xi>ymF9^$iIv&C8d^c#Z%ajST|<XdjftZdOfc&hhR;Ip&Vf3;FxD!W$9sO#MH z*FX2lz1Qtwy<5M&&3?^YaUikr_sn-o3VzA1in94{cRZ-wueD_QU(fw3SN^}cB7RfU zx4m<@bpG4zJ>hxj6L*+*u`QFfrT@#PtB&rJYZSe2&g;K_m1^nhvR+=@+vfeN7x(5( z-K~|sO)w@w^t5W(<~s-Hd0DUe@axi{uW?UVPwI$R{Lj67H8kPb)r;0U<r;7Al<SP% zDaUTEo&Q4BX6<FkTj7=0&!-99n4dq%VnTjV!G=F4w{KbbCGCx38<)(s;80U{39W)F ze%ag>#{wF^mPLghkZR*vvotFBr|SdhkZi5}oHcj3zPf9@4S29hbd~qsq;{t1YXf3m zs9KBch>(1ywPDlIou6ed#u&d>k6$pW<QePJ-8<zv`*uDry6|xKGF7ibpM!Uo^qGY` z6uJ@7>YWrUs>eQi<(};;b=S=~HT!u?|1F2`XS-XT@2|gFS~&ewXWH8+wSDup|J}W8 zZRoYony>ZjrhQ_(g$=n^3Id)?eb0Vp^L*F)Za+m|Ypu6T=e1vLRyqGL6aSmaKauq@ z`6{N@O$r+Wb*4+4En-iOc$Xw_+O{WkXMdp%zrnnxifS8d4jp+v^U>6b6Qb*N5`@I# zxO~!f9ub*lJ>yi7_}$2N4|QkP%fFA1dtiIoxg_Dd{6gjMJ$!P759cyj6+V=0JQq_T z-fa0Mk)yqq=V?Jhq70wmoWp`{A=f(D^z2VveOjGlm34X3(tB?fzW#pX!D9C*6*W4p zFWjcipS9tYm*c&VZ{42j_7`1wT%B}B>)z%|3!@i5|9*raW>@@`Zmax4cd_*a)th4$ z@Rxq#(oYb}7npHYTIJaXHumDm=I+Wp2M^bL(^CDl>5ilQwB0Yx7x6Pkyh~O%&3q=I zvSX%Bzro!who`;gM6>eNNL<NUTV&i~B2r*?eBre&OTNy@mVE5Ti)Hluo><t#Jk}3; zB$QuJFwuO+jswy*a~|{Bo%__rkY3^3ae0s8A<fwfbN5EwSs7+{_F7ICTcdOhOXut> zzx4mTizq7Cb8kyw=F0ZCy`Jl9_GWi%acVp$qVr$N^aLO4u}K?B&Lx&<7(`7oPxRgJ ziA6uIt7xJ?$o(rdil;6I9=#B-Gx=A{l;z4?;_qkK2|rcytNh|Quj^BTfOXy3I_}?w z$Lq724?lf>DCFOU#(ghueQD=T^XlV1ld$th$u#R3ZlA@T+Q)40n#OhU$uEC)pRKZS zzYepm&TT*a<3r@O!`}5f5_;c$cqFeE5t(P3xn}?IkON%SA`x+UQ7k$McFwHN3`3Ii zoMq2!O!rEfDQgvx)vh<~#=`caWiOH^%CgPKZC4b#mDs{+qVw-zlV;h9ZTw{#h9~)C zeWolucWZ_O-zok7V)L!_?`L>e8S#JHuYG@a?xz=g@pTu}x9$16&E%TYv?q`M=btiv z6}0Zmdad>Qb^nHC&bYLH^&YMNdxH1){tf=tZ<MpDM5W34hQ|NRH}_|*TN%XtmV3t! z*RbH#{rX<@GxjVJy|6K%Lx_2);wsg~${p>Gk5#IK)y%$nCFEai*yjzGKKzVG%}@+W zH(9uDy|d!#mHU3@S~WYR7o?~)JEaz^6})itw+~zEsRvevpC|8K*m~nb?n~zRQQGxk zK5L)asi!n@&!1Yi)9WN_$=^AbSa(g><&qv$exdV9Y>7%KzwC+}uRZ^JCdhtSbVn~O zcvE}ilsCz0s;gP8RNH6xofKSFJ8uQ2?rNp^Ss6^hcK#;ai^2}11dGf_=@N4Gy?Br% zxWw?Dh;5H?k&5B93o<>1CgO{E&mPur{%m;Z0#lEH$<}uBEgXk~X6AjptY7tI#*8<w zTmushi7)LJP<Lr8ycDA9rpi8Hk(S}To>vR<H(eHU6|eQV=$s$SbK&*vGcQ~DZcPq| z{bCSen-%lZ?&dD9EXL~^k!!E+H&3>B;pS3yu|L4}jB1E{F-Pdxi!D+QS50JJ`N`n& z2d}B^k}a>2wFMOqh&h*rMBi{(!K57Q9OtQ<us1NPPj4%)3s2`sw|g?SvjyYCS94rF z?4s1v&)FiCI9Kps=yk($JNUxmk1Ia5im8=t@03_&E&aT>v|TOa>Qc_o(k!R1AAd;) z^M05Rpe$oj^las>+iojf&Dwt=ZqD-cKUWl<owx5<vI|e=Z#O-g(zD5%om+xpdlW<X z7js@cuHnR6Fx?`$W8ck%?kffRBz}Gk^?aiivQ^3G(XUsx$^_!rn|tT{e08f$U{$+g z%d2FCg={xm=jgh~KfYB{n){c>G$6e2@QyBr*rRsJc?;Gh*)QB>;jlt(op51ojPaF# zsrmPtR&vGsRlB{!>Fy;qfwWF-mjw3hoL61N7awlXIy{&2s=K-q?}dp0%3sWj#8%EJ zHP|!p)Xi$;^ogf#T04Ec*xe!h;H~*gN#FH3?WyUWww}D^v-;l6`rG?#!Sj2JH+P2X zSGvy#l>Oqj?r-;^_VBc2HG52sGU)pl9{TZSs@LAKig~#lQMw5^jT2ap2^gx(OZ5(W zwX#m=Vd=+~4^<UDE9~S1vl^DSNF^>RG_$?9-*C^aGi}mwE4`93%067pV)WnKynfnL z>*&Kl{`<^(KfiqWMQou}#oV{Ig8Y}4bF6Q#Zh7^Xap5Y(n;ol{U;cP=p3+wq%gzAV zJBms>Dm+%mEfW%~@ln3QaGBHekg)Q)d0f*at}k1@?428n?U}N(dZJsR4n!+&kgNSI ztSG~y5F*aZ8G2T+<yEr5LN*Df6@vF9EiW^_3OKx){YqlXtHrA?wtT&C^K5{!t&8MQ z;g^pp6H=V|S19*fekoYWwQyHN;+4Q9UFn7ke?>T~V4RlDuC?;mP1&1*f#1(<y;*4X zZjOF$_J!Kwri<oZUs&5&|MDu``T9(;WyR;cio0fHr(Hd47W`%7Q4!`Gv!fjAH{C4% zGGULNwMurVul-HM$kR;9n@ZokP^^sHwnNrdW&L@vMT^^?@V2~4R9^V&hRX`ZFrjpA zL!)TkKDia`Qa`U=exdbv*5keREFRB#{Ib+U&^c-AL~h%ueM)=u*o0TLpKN)R%qwVq zAjqlL;9$ps=r3uDuar!V%a19(<9DCqvd+eX7IX7fN^@R4s^;`{!_<JQ-=+mH=cr%d zXzuC0eEWj#v7;|%7OX8S?Ax~^&TaOE;D<VoORAJ^Cx77!pPRS3(8_ji?rFb!QBz+e z&G9>5b-Po5FHL&ph0XVToW2_L2h^TXzrw)HX?jTN@uM%>3VJ6R+ls$4(bK#4zjR8= znrlannZ90ouk3M6)#t6JJKnq&$nRB?(D<BpSSF)b{c%mzt37#h{PW$uNhmtWKH6Hi z&tv9ysb?Ou=L@8<clQKcGrnT!#9Lrxz3+9}9(`-!7h!wuui&@Ux@)w~H{9KPu}n!` zb$Yt&UIm+}b9qYCEfUfW9CZx-QgA|~R>sV=bm_BBr!NMZMINSon-#P7=}zAjOzE3y zqgS)eQ9L7*zB%;4tH4UfZ3(fqTK<Y5TaT!K@D&F2&dseA({qj1$*uO6{CPFB?%s|a zJI?8+Z)c1W+u?C!!j6O|PT?O)l#lOfOBYzxKC|Ujve-hl9UgOZUC!qemz0{u8+}^s ze*WgodCRUcg-KtRxt|^FePqIplqXL7tBQMMUOSfREnMX|HQ?$3uN91CLh0NePpn9{ zy^?44X?6Rpxh+}-+PlN9Wifv5oz&s}VBSs6i&x7Zlu1@zE`OjVeSKm3)7F+(iGmA% zMfj{>EE7)W{&-4*vzGncyu!1;;%>_=KKlFDtljC?<D$e~B*tvsXT1ITiPnAW+xr7- z-zbF0&+Xj7_)Yj8M=a0!du)1!QH6VWWs+jt7pzZK5p;gkP-wT;x+Z7J?0Jvm1Xr~; zyRgW&bC@1Tbn5+}WNrI0_57tbAJ+YTEBLsg_Tc%RnwPKddan?Q-CW%G?v(rH&28^a zGH>3#q{RJQdY4?^%=X_Fd0mWtGm={DZqC@XUGMa^zZEM#$EB>P^4)Bc-8<dn?q=~- z;U?Ofu2nc*w#>e&J^k}duZ!h@-&5*;Tq^f2pS`I0=du@%mPlSc%yju>@<L6vh?Fit zzm()x)(potm&)H~u*i;56-#e^b@ZxGaLL9iBDQM`UFA>v1m^zrH9WNQ)8;c#IX6Fi z7u)*lWY4y@(c9Kv++SDrd(W3WtgWGEb#?xm8gyB|2(H^b|Bzl)@r~mvKV@5rgyqgW zthnp;X1y;<zb5QFuv_2j{N0Ot8s^R4%+|^JH2t1Ov&;EU*%wsGKdk#>uHw0_tA8&O ze{ICO#rmh2zodQ^e{@t_rvE~J=X!I7zcZP7rhoc8gXxO%mtr;Msx5WLLK1BX=Ih$$ zxk#zZ+oWFbq2;Nb+~zxnm8bpQasCqn^9-SDr#Cn1^cPIpS!c`1lhk*;dwcQyTih0L z$9U6LS<DM~W)!++dKv!=p$px66m$4ueNuL|>*{>pIQ1#xwv9GN%BH#BI9Oo2{awn= z1A5CE9=krxT-NpI>C-Pv*%M|Q3z-<#`7UkqiwBCqtyO1#O?xVLZ^NDA+0)o>9Db&M zByO6!#o-d6y~}Q%ky#&pa{Y`zjX3@H>8}jpEctcpMg65!mKlUD5x=QFQ|Z#_x6E%; zcUYV#;%|?5_t@YxvrJ-T$6}rSf}V#}x|MUDGO5py>Zo59e^6RwnUU#&>nn<H%2>=e zUL^iGa-G;lo$%L@(^fClJ?*TMR@tJ;bA7wmbR$-Y`zdpB<2_&94uAaC+qG7HwRn5& z7w!F--VYg0+oczDJpGnExk}aYcwO!<_nOYgZ^snB`tF~4hV#+=tQo>b%$dSJvo+5A z<`^fflC~joibQriw^yuv_6_fXjnkgWUE6r)c-1ua8wWlK{5`#X@g$o=ZqF+IHeXU( z*78_>;xl8`72><MzwQ3{!?Dfq>3YY@dm2jTZ)EE{o5QE#W*oZW{j_!I#V?YWPuu3C z?Ckf|;omUxsp7T`HixdAU$Zyj`U5jQ?lY-g?&AN;p1s-i#r)QB{lD3dWPUR&tq+Si zoqF!5A7Ay2qlxmnEoBnSrf(HE{5E;{zs{n5+Z}n|HorMox_W-5{?{EB`8UmvF@MP! zQ^J0D$KqI?ryJTOV+!OiTgoIzwL9}XJSUsDLH2d+^N{L-y>I6nO5FPXc@z7KgNa*X zwuSGwXY_9K_sJE9x1YS{|D`T>lkMxO-B<6<Gv9mrR{r_f_k4Uev0txV+4=35ME+8% zoBr#*J1*Ywg0HRU#!&&jYKb$6^34`94;aGr6sHwfIGO)0ef{^m;_r)9zl|@%t@`*b zy?yV&Bh|BOzp*XeZua+0__}g4;~i&q&N!LKzuDqVvRS)x$<tH1hh%<VtU7OWJx=dq zU-J6BF<;I;V*FNgvAkpVjnB*8i=N;7TsJ7(?EmIV$5oTo-2eM)p<Ch2MW)}DYznP% zULSjL(T5M8vKO;mi<gr*9MCEFbbAW#t!1gFnaZNiui0=wkI(zflMT(XGG!a*9+uly zc;k2&AN!3-Q8!E<E-PPdz9A;T^0ex-jdu=Sa(emntVwv~>giUK-`20_j1P_r`myNd z@;U4On0<Xzll*b-OV?KZ*?-e--uSX>SJvIX`&Aqp&fTA=aHQ#pb<NW4w?g%!Jx(%p zPtsR2JISh}R@(S-iQdL3S7ddROT|xn-aX8vHCsqq^X5S<ud<D^CfTl8m?H15VR=B; zi?49PB;`9lbw19TJ1unLeN&Uh+4EKFW@}}!+L*dFrZ3a@ct=EbkAbVWzVF4u+RE-i z>v_)}ly)xuc<qAC9AguCcOKmXfzI58XD%qo`15V=UHP!Ay2$6s{Ute4R*xQj%P4a2 zO|-oum%QRgVfKrP9e0l<Hm;SKcH>|oySv4kM+WV-GLJU&H_L=|Fa2cwEc1@Zop<Rw z57<px-8uUy-?tN&xW8uJGqE}*S{*#)-r4Q5LYNP}b$aQ{AT~XZ#r)KL_Kj64dQa!9 zb$z|<tM0~t50d#8<k@xwpD<l%^K`aK+VlB-xs0EE_1d1#w&@Y*jGSOFHT~dRBg376 z`#$OHu)ZHLhqZj_{|Q{FYqI0QuSXmZS|^s=f8bI~e-!tVDjA*gUw1@IeEo5Q(e=m4 zp+8TXELk2_U$yj4t<0ss`%>8v&fQGcKCv~H=BP!jSeaGZ=bTX3=bV(>clh8knfC6p zmVErtKf0&hI3+EU^O!fw@Qk$0n+LphWuMv@c2_ue+})#ii0#^i1=BQ7Mn7BT_#m!P z`!(BDt#{8YSmd5qsK`CDkg+*cxT9ju;}0KN_D6Is-*fPw@x2F+So1%8m}LH=;;1y! zmnW}HT;%TwEY!bUFZH_asDRm#^IvBi@6B4;|C9fz&C>P1&Oi6Naw9CaZ%3qey|Hio z^Zyz9JRjJY@15?$8nfb%YQaXX=|`Sw#qg~Qd014qm1}x?cIb|pnDvL2esc)j;dE#1 zp`~RWKSfmvt?j4iJctq1Yup>UL*-lO!=erQxu$nBhwiYES##*=;{efh4DG86S}lTF zwGYkv!&OwEV?QPMz(=hZCiPGLFOnShojiQ9W^#Y<&t(kDKl>`4doo*T&ROl|is>JA zO?WR<5v=i7)A(HIf!kR*Oz&51^xU)l*s}%AD-Jz-6l8kQreu}ctp4X$UAPPONkw-Q zU%e618x|NYl)j2%*|80muijws3r(JE5!rk8U}sj2USD|f=Ylm-v-_2=-e8IW4V5OD zZsTxYy+WIP`f844ZJV{P-e6%1zgQ;Q>3H>q49}Wl&ypNW&G`R<#!6#)bsgSk<tSE# zCU;+0+%@r%>vyfDovbCRkEvZ)FE!g`dR7jfTUc_j;dZIn?Uq+>)SOv$Oe`VIben+j zs*SEQ;#^}ZXN0*LtZ!I(^#;qbkmSiTVtUUWT#}Werxup{*<hj6?Ea5eZ!q<&J|>ow zW4etadDRMS`NgX_o{1Z*lA7&sJS#^icJ)Tf9ic}x<Q}Ant`oSvy1;eEvAYv=|LbmA z-I{jxU;f{R&zaQBrv1}2SopEt?05a+^N!lJDYKWg9N1y@|JIxTtFvz}t6f<8nduqZ z)F}rVKir@7*V{7mz{!*#-~T6<9C?`%^ww*Vr+E5gPyXkVJmsHH_B6e#HaRQGS4}yF zOS^K4#f*lmmqnH{MRrW`@rv<Pla29Ki;eMDv%TY?R-5Cc#;oJ3=B(qbrmW-t<l@1F zE~kz@bUAf+qRXk{7hSXtY;@5&^3g@>P^ZAzf9{&0tMiT4cyKdmAO3&!O}$zR)3g+k z#<P;HN#1US8%!7PxG^ozCg;3{U0KQ$25V!lj#x?6L+`%0daUt^4&i-&QX|WFZs3(; zmWwScCI`lpbYALeUnhCgeS444f@(L;i^_{#UPvz0J9O~Xm(ZONlf`V-_;TA_TiVL- z`m$38Z>Hj*V^37gUhv*=uwTo;^Wp#EoBP$KGR0L&dM=2*wv_GYnkNgq!-_6iYOnMS z4*PV`P@DC|gsDz@&Og&WWUXIoEA-d+_{wh|&5Gw_DdcaObmaA#Ckv|0rgcX~o;-YJ z&68xeFfIdI?baF7rYiZIz0%)YCwbKMe$S(Y{%#*HS}$gKF*VTX%NdQV%-8?ZH`i-x z>egSXf4ie0E-*~^MQ(;rEzeoU`ztbQR%tGXS5m!TpCu)i9Wq;bq7PS(kD98R>SR7Q z^~rk2R3^*K3uU_}b#+^w>SV^e@MCABI?r|5tn~F!dYTlV^fa+R>1ncul4wGPl4w$d zl4xSZ(%09oybAp3w{&`z<Ac1V(~mhZnj3c=_}ruPQE9P7#mvB%J*PC};?hOr^NqY3 zw!h@@y5r5w_ij0>IRB-l_V&z!#}{uAcu*L{@li$BrDE2Z$Y!~{%R-`Z)^PZ&D_OW` z(X_fq&#lYWs2D0~TT0BE8pCtiORg<V^{T|CpoZ$Hg__e;)KWjaOGt7nG*n!?B5ZnK zP0wi!#$#zy1d@%sS}xWF&t9YZAa*JL6|Oz&OD05x)@^Tg33}jXq*-V_v!p<OW=Wy_ z%r74lW`6l-Fq7wl#!Q|<-I+ZfmTY>rX6lQ8myfn)xzx}4u<GKUNF&XUHZw~;D9tSS zXf*T72d$Z3K3dJ>`JgtF=cC!okj?%|{d`txpI(T3uzOg_;-@xQsCtqod-Y^b@!yj? z`F~ILlxLrGl7W5lNrCoBCpp@MD@!hFPITYipv<{myTD}T6pLv-Ru=Poc3DjHsk$-O z=a<D)A2y4*CtBNIc7A*QxT=YD$3&0T9Wy;#cZmMkcJsg1(plza|Dta1Su3Skp!Q?I z&H9v-tFH<-8ZAD)Yty{5E*<kHXg-ip<({)VrIY(${;a>%PI(uSFIS!yU_Cc;(UHjD zkkx_j@6R^X?);jyxYW>NnX2B>OQk#JoN%tqJalyG63_n^uYnF#S`_pu+tAAAh{p5_ zGfu5o?Xp$%$c-aE0^-{X6EC~ON8evmTJz|mr?<9`huZCgB&D05<Y$De{JV=uO+6^f zG%9ocul&q&Yh_mcFL|~nB`B%R=w*VV(aWSnqnC++MlX{KjU*T38%ZuoHj-Qztkf?Q zEF945I(M>Xqu$9SQL5^b`F5#J*4w4dWIbb=$4-f<9+fjDdi<O*(}Q)!RFBpfb3I&V z2%CLvOo|n~psdaL<&2lm-c6I5??s+;o&RC~tc4m|f2~a2y-oMuy{N4V`4WToYp&j9 zKX2Wa<85X~_k3C1qT`j7IAf{`TZ-z|kkejSd#6pk@@3}KD=D#=`*{Da$^Wx3_3(L% zr>3Hjvzh$Vf|%6zER)J!9QEX1N>D<)k>*D8nI#+4XO?WVp7~{i_RKGZIx~4b7|rDQ zs5G<ZgUv+u&c~c9qMmF{33`xer1?>0X2}POnI(m{d{u01yn;-d)h9E`sZ3UUr#@Lw zPxaG_gmk7=H$UbXtqhYqx#Y;(luHNKrd&FDHs#Xc-jpC;^^++L*(XyvR-a61(eAX_ zWihKEt8C94pH(*x_^YU%Q=QCrPHnQ@IhDzBeyWr0{M08inuUg?=i114J~?^(p^Mgm zg)Ul04!UR^VtikIwl3JqH2?a#eH%-CV{5HV+maXmPu%?9XQI%J_vUMN-oEnc?8ytK zlw!D<4r^U@)DhY6wSKv1W3HrYQng#*2Ia*&Zp;h}X+NQ1SC&47q1wo+gI7}Z(7yk3 zcX4z+eAyikn^ONv;i@-Zib!X(<k5o*dmcS1a0}czJ+MaRtOjFW>J)+F#$GMLFS`p2 zlxhW6@z3><U$X1sqaGzg+r<_$CI-fwIin$$mMS8@+1RVWQd0HEogSgYxL-;!JwEX* zuTnl=W?xyiO<}Qz&KV6pu~ZT9%SK)8s*+Ftr@zrXtnK-(<oxm7<sQ!OZy0YoZm=!= z-I=|o)s=*7x9vWvy4@?tdsW%@*b7F+pT&&9z*)RZ+}QZD+mnSnr_S(yz4CMaesd$` zX64T^OWQ-c9wl#`<0!uS^zBl`iW_Ry73ou38LU$jkDU9Fx#;M_ho9PXf;jl5e($MN zZJ)c!#lUsy52p)(DsB0pCl8vhdh)18tI}ev*PhH(K@F2vi9Bjij_1FBUc>Tf?VBBw z`pP%05AFPJ6q<Bnp%-7@ib>svS3P++LF?m3r>RUb0ZRpbPko(eu^@*3ectaWC42V2 z4OO-KvQp@9UTDz<@2N3wLR9x%3|5stAEJ36IF#$7%T77=-&0>dw0*yEQeXLA_f;+* z1E&617C%+yPPnT0_mGo^V?#d`x}RLxUF#M9aYJ}u&6~g}47){DkLa!6@-s6xd;O7F z@zc~C1<lRG%5AdiBcl$xDeRvf_5F0kC#^NpA7$nINPQXQqGRSIt?JEd_IJ~k{aK>- zH+A*xjx;JgCZ?Hp@Mh5>y_#9)^aJ#*T07@;xEDRIkL>$#>E-m+tt}lzJ4E<@+8N1x zx~ME?6w}+zx9+I(zKqUxxpl{#<;x!@HvIl*aAaYGoaVbD3i6VDV&#=eDi%WgntaEd z+nhgMIC!w)#UlrsFB|OTe%+AbXMWS$uJ}zP|BBE@k9TD{$NBP(Dv6sXPi&Xoc;a|# zgvf&!9j?NS7N#FoM6Fq`cADwnx<{KAHBC6o>Z)k5UPI)$NbAEji!3abY3S_>6yZ-7 zIeI+Pm9xMr&}oj&xrvpnNy~E{n$|c4a`b6)9lhRlXhT?_j82${c(=&W!%nUrH#!6| zoe7^J@cBvigUx+97B}>`^|qaCYOme&;PECMmK`eGdt8qgU(&h8cDhSB!SNyYf=33C zAv;#?DAn`2v(!t(JESvlqn2gF5-&ZmRYLI}R!-{ITqTk)PpfrC^q#M=6Dqb%dHwKh za^Ivr^9eUsxfps+l}KAPsabN>lcZf*l{1!k*)3Zol&`)@Bx!w-?H-@0Qjc9%Y3y+k zJ$kst_2WiY%c2I+E}^8I(K})tPxU`}CMLgis!5aOEH^{X%Mxi*kMCI}lXgt0N%HB# zK$}UoMff?*jyZSnew>h4Yg4j8Pi|L)5Wnr3&h{EXxznsizeF4{&=s?sA=Mq@)9EUw zc3eq*b8=vV?naFx&x)3d_|GbeP~~RPKE2mOs7N9#=CZg*)50z%Lzh4aHtnwFd0mf^ z7A&feSfF9|Yx;8Dg#l|O6rVA7+4LY`rp}HCEpEAeQ(5JepKfN6P~=u@J6?QE$8G(( zr((0~zqOuxsy8LP=*4<37Uj^+q!ukho^Vz6!yzY+284bxaG6@e6IOKUcl#m(pAZpt zVUeRpD_lPs_yyMVgic{d7EwL&;?Y@YCApJdwbx6p;*1l0&)=!h{_^S5$rUQ*x^h82 zz3n`~j}|rue!OsWNyUrAB%3dWigIic^8C&{-A^Yj%IEncSes*`A|cGL>2}<?t^6sY zgUzIBA^upKzIHjeqt5dDj}sf%KN=kQTjak!?S-(l?)`&zyDNXbd+TNMr29(6iR;ZD zC+KrLR*V-s=Dbg(r`>Md@xu()A0>9I-e_a>LiV)s{i%0*D}VN_ai0@Z(%;T=_tC=U zbssMrJ^o{%O-)C;-M!;Q4;O{6$+P9@a<xl4x`@FzIj}==zs{Xk>X(#vE>C<oF~;ZF zS~=-c-i?pGz0TE;U--e}U9YEFMeZccxYpL#V8=YM<jZdU!klI=m5!#xrrZd+<1p!u z^3LgrHp?m$kD6A<opydX@y_NQo#!_iH&^%++FrYyz51itw27CLcWytrH&>-=?z7z$ ztE6gl>hj%NT5Hp;KiX`!u+(jP#f_%#8<|b&+W3!3UvROR^g6EQh1U_~opMj7Jeqjt zK!t~ahFlO&UptTUBgOfD9xd$N{nV$!y{P=r!v5PI4GyfWka!fNb6i9}c|yOd`6I=H zTPr*YP34SYI@|g5j`N+lpdBW%XZ0~9@!F(`?fW*KaNi#xvY=jv%kY5y*TzT6JFAcG zHg@fNuum({CP1}q_NpfjCWh~r>-ebuiCp#4I^lYi?fdS?clSS;H-$g4M&*0RRE>En zg$@^o7JYD<8nY)%m7o9g+3jCE@?wAXxqI_vCkq~IdSt96`1FI8+$TXLxu89r?L7I9 z7dDrFym0h)#R>N_6)zqq+wgp_k!yPqHt&42M%ZzM{E2tF`zN`a_#I-i<b@=E<>K!4 zJy(x9-+S{&F~9ud1oJ%=5(yzTJR7y9xwnOGwE1+iu=LF7^y8)<CnV+Cq(q4G%dY8f z*E2if%rE_TVZZN3g9FbhB$85f`uW0+eL9hTe66AWeA(?sox9$Cobdj|<Au$0KVCSx zuHr>vxy_f2>eINznUf~AH*P#}d_jcB1J^Z6+bUj)$ek4YI`K}?@&fZsE?fz%!BNHC z%Q<VLpLsJK6xweh>%#P2uFGkIU!cY{ZLY&?u7w){17mc;MEGZmw&o{`svZb)<=p7I zkE3hprq=R_Z@Zi}I0tH!X>lFab1mEu9T@W_P=vo)^yqOrSI!ObflfXX^_voB<~*#; zn6XHJCtPIT`9P7jiCu@n-*!Dp+OnuZVzEZe?S&eQ$27e<K7Mkwh|r6!P@la(gGE@h z_5ECt*2GPVEF@NG=q=OgYR~L?l)P(>qqug;!NXpWA2xc4F|C=_Dv<qX`AL1g)7z7k zTG#WOE>4U)`EZh!U`&{5m+7h_1))=AVuDr0uZMQ#tAw09?i>23TQQWY&~K;Qz7?T9 zd-~sM`0uOie6T_*P$pQlZGGs;gN30*g-%m#=7gxqFAvc?kQvHVxNOghUX^`v;p^OO z7{f1hW_nN65L+d5SShq<L&Ve=oj_H7@6eOSjY7FL#7uQs6R__1!LX-Q73ZT@E_ocA zKUM4F)>bV;od8w#?9h`(twKL-h?`oI6HxRjY4)XUHaX!U`(nbUFgzDkJ;J(t%g;`^ zlV?A8PhRlHBX3!J)Zt@tC(mlt%{2^KZ4lkH+Hm=v4SIHyW@|@g8La=Y^`wSg&eUh# z%g#k89Mz48@C&I^*}ip$bx+=vXB*m&CO0v!F<^Q6Sf))}{o5V~11B*Jx06hVGa?Hv zINPo0p10}2!tFXgUMO=j{yOE<Q5SShlh2FIF6+y5)+WYH4u%0@5^N`%nh$Pzkkp}5 zA)&=>*LKpW;o&BMM{2##=RBNHqRO|-+i-r}k{gG)HTU)}Jo-)YC}W#PVaL9s7Q0%H zx6e=d^~cujjb568{BwhFF^*>^n2zQ|Cd^Rf=1V)()GfK`L1K%}j~QWm&UrlO*40dl zP&!)kVsesr_mUfjoix8~bm(F}GyRdkXRnXpx;y)1{Qhdm3D235)Fm8rrXZ$Ewnn!5 zXt!mbr{Vm=OJ+Rk5HXgSmc(wIw6TKyprhuq+VC#TZ{CK7?KI0an2UAqU$@`At8({* zq^{VYGaG`tWM#UJK5EU{zI4WeX(GXQrX;mV2fcYvr6X3)^KX`jaLm-CF72Q*1yNnH zF<nO=@$ZPAlGMZ<<Wm^eC3((sWAo;X5r1BO%3nI;fwbl_3+1Ccub199I4NFq8UM?B zk9Q=kUX%C7XUn0ZM?(V7TxZ#@RkHZS_DeR>+^LNTjXD8mrn9zHZhDZA7bB2c-ly|n z>sFnBGm}``W^Q_r;AZ%|bgHk?;^?I_61qh`PhB8loHHqD-}~;PO8wGHW+bc>30^Zf zscq}Vh%d`cgU*QS1fAL7)%8=&Uab4yW~VOBY2JoMjWp9<{C{0>hTB%G`=7CU7iXHc zp?;y}GgiH$d-f!6d|`ii>5PO~BEddWllJYOoWwpEBsO`;40BG+Wiu3x@;qL8<KT`6 zonPl8G}R=OkBTf_IwNt4i1m!`uMh2=@N3zuKDQXHds2dl+SmT=x*&7(w3qAWXk~7e z)v1k1Q*{j1Okri$u8WP(;Zm#;d{N}87_m&FCMj6NZmTBO{5vYHChuLloHhgmYKUoY z9j<XLG;j@aIsE^FcBEp(dJU2HBCQYCF0!al)?DPhCrqR%yvylhP@qJdMpv`-Bqgik z#;%GLt29LXMOq(jTx3z9qPb|cO^8SndzVvTe4xZT&93I%la#E^6uUm`&RnVIvRrbV z2G3!UqXz>#1!L1Y776f#iF7%39Wn?Al;N2m^6^nef6%<6uiyUCl<V5pb?Ab7pv;$P zA|Hje$8|Yf2oBV!(%^C~cP+f2dr#BV{iPN+%k*yJz_<kwKl>*gFkiIkLBd1(V}8PW zr{_*w^kHU1pvE)}uERmDg&TZ=T<WH4a~<Szeds)Kk-?fU5%$ljt|f2Ib{%>i;kxj~ zDh;07B1aFFc?!x_w=WX#2@>gA*mY<&sI1e|T$J1&Aks9k>(KT&U5CEU>3Wo~W=Th% ztzRI=F>S8-n?+g^I~ILl3<+{MK5wlCOR;Ec(!50mYXU{sXRElDyy@+7+7K7`W0zat z4~D2ehrAsNKllZ?9Isog!ICf1%73=&(1(CP8Jp=M7dOt=<dUBy3KnZmb1nR^;D_xz zkB97aD%>o!kM4$<JUh7QK>3$V4-yjgY?$%l2D3=(g8hpEz66W3?dy8<!1)i;j2Acd zs`kIQv0jA#XtwLa(?P0Jn|UWGc_sBN5;zki(lxW|kb!fcj1S1N<(gavLtGcmSfjxs zEPC{ygr}hF?&*s@e2feHQL$d5W>2_?-97KF7dK)>TOTYAS+yvczx#Z$Psy9Ou15=& zFX`yJ>m10jOPkBp+%@sVDh)n6FG~^G-_46Ym`(>{1Dha`ea|L|T-+G1&6Qu;b;x?# zq7RDBffdK6O<9qw&Gr5UM5u4A2H(A7)=M)=gdYiS$tZrD+L$y)$Dn5_>%9k$THkf_ z*+vC&xM^}7^>9s;Sg29cHwmo$V1VmFiA5Sb&7wySDu7~b!6E^k0FkbLU576C2g-bz zDiV0CR;2Yo)t)4oz*F}%xDHFY78XPWxg57!s==Zz+WM$vk%3IO2zxxpkH<w?AFNmu z@Fqm0?Qqwl2NRZb^wkCga`0(z9j$Xsys=z^@0(ZGiyPG<tqI!~1-uCoX;bcc^dNE1 z<c_2|1#Xt_sf~~3=@{IZ%DS(=i+fQ^{%NLzx{(WZXmazIryM-Ez0gtQooS@P4lQnx z@RY`fn{+I8h={xFjGMyR#J$O(FiuS3+{vcq&4rFVQ{O+<<}wV)>*m)^T5?B^n`d+C z!GlY7D72kCxyix6RZL^qDW=0Fkq?AFuje{HBWeBV)k4j;MABGTCZBCcnk4zb^EQu+ zPeSYa`Xi1d<;i<m1$wp$bQy{r5`Qc9C}GOPj)mn*S_R&07wD=LJG9}tlgt|<Aw!q< zTLhZzhJJ+UM4r?RPV6Tg_#$9qmcjP83JdGYa@lg7OWj>Fm9g&!_?9BJ5ewPNYT zYpZ^&RcbZ3vwcZn!Fi7(5}yw^amYn+998Cim@UFx_~D4hk%li<oH*u1avUw@e)w9S z`(bLXO466AR7IBcx-E~^wHoZ%DsXRAj|)%fd)=1paoh`kWGL3$&B;-`XglxG_j4+T zj4#~f;i#Iy=<09$;5Vaj!G+sX*1nh*AkVF6k-zK23%QGe+=&)hihO;Nojd-m*KK*Q zqxHkq8Lb9$wg|8<?{VR2U#{Eopr`di>9SUXnry|IcV<F{E%P=DG#wUmDm>^Up%=vw zKWWA@??9I6XB!@LNe0B2GPh-?J$SH-vt#xCL^c-lvki}?NgCWSW8Rlz!f3d9k0EoD z;j(ioX|p$`9WXe@BhzETXn2*!jJe4%&B5>jj|AI{#^!%&3eV=(r8yX!;n8?Dlksqj zae={cmLpe;Z}V`Z&15|4W1KJ}k&W-z?1mYo%VskkH8D<@k;TThY=*;@7Bl93GfbJ; zh36jHKKIdn;fl~d%e=q%u9GPfnZMNC@Zh&c;Q^lt`Cg|qCal#7STm8e?dqln2~%}; zL}+r$g`H^Xf4WIv!E~LL3cXm)qh==^iYwey8y~FH39y;Sy6?qAR`&jsgGZesKYVZy ztGP41mEn7e;*nU*=RBvE%-JmS&O=k;U~c3C=4m<xb0)H~o2MK+S{nJGz*np$W@;-# zc#7hYRL$pn+dM4Gi*~3i6{yel7W-kM%+2#W_25Cv$b!N!F`F7~Zo6IHYi}G))BLu< zv#T~|$|DBpB^F1j!YTs(xt5l?E4PW)N2(kOTl4$t{E$D6xw>mtt$qHuwpjB)_n9Jx zkE>)1YIIn(`kGqCh}JK)mluf9W*51Bn(^?`ng=e=C8PL$bVTuKxJ_Yho7k#gb^h0R zZ^nadMGhO6$QbDKHn|DSUG84eprP<0lux5-`-h+t?6ST*wOl$@m#-XBTx8s<!7ieF zn(^?8^OZ-RHusqshQ@bhWdF;#yGYrM(e-=LfeXe~r6MI;o?h5~U{Nr?o{W;!*9k=q zhHGRDd^-JPS!FMl`>w0~=Pvqx$+}IN?V@Xc`m<<r&({bOwflH+&4-f*XB|=(&*q+Q zqoZH*Y2&`H{@VX0)W2Gh^W^cX*UO4rKB$QW?&)>qd7m_~`TNEbN82NwJWSU4^l?*X z{Dh8Gvv!+=UVpY>x2q7xw-Zc9<02DosB`muJKfaHyy?Ni1v)=0?i^Y^bJK+N*L&w@ zD;@QWE!hw*Zae4apMLGS_>Nvnoh;2dwTPHsXJ!|?G|oS`^gQ3Rsdl>~;(nc3KI2ll zymoY5(8uOqf36?5%9^$4dqK$-Gn1?3CMJpZ`s<@UIx9a(X8!f}N50m-sDQ$LcmF+a zkK3>QF_E?V3A5M9pM5IVFD`ZU+qZd2%yFfk3xbOF%}Bd-vwZ5L*AXi6L0;#k9}cnp zeJ`j;w)@Fs?~^~9u3bI1Z#h`)ouHz9Dy3UCzt>Z}zR|;O`II^OTD5QASbLo;)tPi& zOZE9_Cx7eT-@sapy-zmt?PCl-zi%s8Z%@#t4b9P4Hs@=C_5MBH%I1A!{^TH`d_A)W z-mWE=yVAv~bN3$9)0lU&!S&P1rB7-WubE$+sJXMx^^@k(CpD9oo8Oqa^of<&$@Zy} zJ~O)HZ|DZw>#wEyTv~VUK|P40Hcpw7KlKaS?j>MbTY`%A-MlFM<`URVkG)R*EOX7@ za2l+!Usv_Hwcy@^dir1&Et@hY-{=e5?nPjYFOr&{MpwMO^SPThtXb*hibpe#Zs+>K zwtH1Y8nf6*_i2+R^DQ&KaTVeoapQB*Q>In;8a|d1@pKoNY$aP29Ubkn%5h>zjiKiK z#O(Cga4@gTaOL%d+c$nax<pFE^SAiqU$RxdZv}v*G7K{>EZn}f7c9jtHn~l<YWJ-W zu+)eCpIv?dAI<yMZU5(bbiPPa->p;I%5r+O_dnL$7`R79d%eIN0qreL2exZ%?0Ar4 zsd-X9r!J<GZ`*<zgRbmUpU<3P$EIo=oK(crb~^RUC(dag-lHNW_V80TPO8t44PV4k ze2OzBMmO1fenMPKr;v`8`iFvzGCwTB`IzSDvn%Rt`E<2h#Ki6Cze*jI9ltE^TTeYC zlh?%>Yk4j`Wom@Vu_GG#tD_%ja=!D><i5JW!7Fk_=hh_q01-{Sqs2!G4CSnL2=K?w z>2H_ItNpjP%U-*+byfbvEib0re!Tokq4K;l=hbhE`9FI;Ik#7xyFh=Q&I$F~GX7#u zCg*mka~GP=(>bGlTfkrJ+2pnPD_8v3`^#$M%9#;=JPbVdu=~|K{awzxcB{p$PmjCa zU*Ep{%B8+nep7vYxAw|jE&aN7Ywp&XnSm=eA3Gm)d!Io4{rjgl(!&1jd34-p^~*bV zLaql~pJV@FjhCTDc3a!GXJK^$XV!@{ZxS@`-W{TC@B4^lwVmm_{U?fN%*j!Zn4Pm= z#<ZLSiOD$y8w@@wX-rcuYD?|Bb8wN%yQD&;?*_&bf6thBg4xIaiQ=-FRi+DOU7L1l z)~stX&tCMdKEUxTO7f_UcJhq;R6enYncb~n&k|=%{TY#y$~Z0LU+K~KEFY7<OWlGl zPdIGEtr!vab8**xqlq$XHv|qkNH3B|apq~xJ#kP#y2$X#r1CCNu|pd!ImxWqBp|+8 z_sC%>?vER<IWhHYnjrA^>=Mg%^Z7G455D&AIePg__RD#^f;>O2G-<rM6=Zm4qayqE zoD)Zbz3*wpMRFYW<}Unj$SKBVy8!=rog>Gcxj8=^aB{ly|4F;({mUM?!fleP*Kd8M z-Sg|plqcm|CE|XTi`FYQ-&&t_dzJR=EUnwx+0oInqYXa==Z0xlESkT#|3dm!rgQ#X z%G&jTABC0k^K)0MU!Uu`-)p1PG?}k&10QX><<@iUxBT*pDYnPje)ufs4`!WmSV>#? z$E{NuebJJKIkk%mE}e>*vniJ8sD*TrM7}eh+Kma_on}uGC-MHAk>kv`>_$Mx%Bs~5 zJoY>YU-Ps`Vuk-=L%$76^*V#!cs${$es$!V_v4>xmA9ts{8seLu5Qv1PP5s5agRi9 z3tJw}3x0Nsd!}{p%7e$AJ)5@b)T{GFKaTNn?%V3zwYf??du5*RRMmf3m!GnD75K(q zpO(od{grW14`-ZOq8*2NV%v4k8wY2rym|C?`M1}LZp<pU%5z(2Me|$ED@XfSUL~e8 zecfo?$YwFMz*Vs<vU_pl8v{1g#HNE)%X=QmRH+v=#dXeEl&+NiV&Vze65l6!yHsQ4 zj!sZH^WooQmNyTag~~qaaaK!AE6J00o?Otd&(p$XzW>&~`<Gs>-uCU(H@(~!>-4uq zNl6zch1xBT%J-JpdhHsg)%IIcCUBUXpD{s1=$yIQ6P5POTg6-oHeD+@^GC7RVwwY| zoJ7WRuRyz`g6OOhxf6xHtlbpc^^bE(q61T@!3MAOTWxx+lI@+BPBU<<R~CD{Y=r}x zG=JOy7UxL@M=z+Hv0n6X7t6ZbRfh_+MVH!IH46E7EfCS?agLv8bjNQ2-#e86aeko{ z?d`vs7C0Og+Ro+ABb+eL-PH0<RA``YpT{AQ?YzqFoW?d@hxp{w6UF@}ZD^nU%WHW< z^zB<erzO=+HQVH}ddf5XsO$}g8TRw6@>tik{7&T8?V|RfiK)J+-4R#1f8Vuy<F#4f z&ALn9)VoyMXRefMid-qzb#tX$n`LI?vK#Z$5-nz=6&C26ee~$HNq76>Ofh-x%Q}q9 zmPb0Bxv;zR_4Lr#SD(CZ?F^05?#`%dJC!)cZvAnKgFjsS3?BwNv#s8jwLUjvbxzKT z{8eiYy8PXjdhb${bfm${;N01_ex;WBYVU73|2f?7{FOU97Y7$7^FE7CNG|@GK4TR> zgP_RXj`FFj%MLxZsK0eGv~7;K=6u}^Co&$)Uo~rX)~zi2<2u0~JN%zMnwhI5_i<%} zO>yth%PTi+UAT7F&f@2%WS%Xx;1FA4q48|FMFsnn>HA&T=Zm<j2Q-;-PDv_dN{vWd zwy2|$SI_KPf{S!f#3TpKX=(voshm?1E2nPU!;-t^uhXB{U!t0PO;a`b;*84J=WADp zACjupoBi|G>A149EZ#yIM{oPTnUeTI{aBi`>7Qe#^s_czGx_vE)vvTTyHt37liQ2W z@jb#lCZC?2<MrizYr3bn&nV5`B-GJ8I>>#B`RveNS~`z%r{*gCl<@v^KeR4P`$xpz zdFijGKiVfNADG+0zifU+`C=}OcF8a|gUhEh(jp}f=V%uj96uFvW~&kZ=QU@J*JyKZ zIB?2IXN#!h{P>)c8GPMqdJ;BH4fNS+)V6*9Mnm?yYhV8Ocm0%2$yOtI`L)lvKO8*e zwC8{C(i0MDxBQM5Rb*PLC|9IfFKPDN##Ll^v(3h5qoVxb9E}4e(p-jjuV}OWYC1o~ z)N;>1Um^91<UNOGvDwM_825(N{J*$#-%{`7Xx(MA+HTI;bxVK0(Bumu%DEZYD|2(t zKD?X%X3B!)nKIe|U2&XK7H;2n^ud;ot5>a$(z<=CV9TkJy}j`tW#kQe>t#f*xwh-> z(A{rfl@c{=T7hqIu<kF3*Q-8iZ_E(2zRA2yX?5<JwRsPU<$u*BSvXF7cHeH}`6=x? zS`*f1R))n#-;BEYCp9|RnfuzzX?=6Ee##tNz4pF(n8$_te41=Co*lF4iBe*l^=j?L zrNzs4Ww`FXJLNrx_^R~TE30-aG@M_zTD3OW@UPhAx^C%Nx20y?ntdx;dT09Pl}$U; z?=zXr^x9u+a`2h>Hs2c&U;lRP5770!k#H&|xY*jhI5kvx{SC)um$aoh*wm#p+Ek<^ zs%9LUv8->}DXE&|R|RL!hW<P)mnNk)>*un)hUa&OOCG!aY}<;kT{E?GwLZSu^-E@# zT4GcGui6Pl%YIB~k-QgOcqNj5R#b6IrR~1W%X;-*uND2QXxVP=Ipaa8(6WlDEs}As z;<>dRI4SQ-H5I8kRQ&g#@4*W}&FQ~BUuJr8`~J1EeU;WJS(&Wv*~Ou{^TPgJ?wYSE zvFMTgpOf~hf81sX{+Q!#w^7!oXH~0q)tdWx!poRyQ}!%*@iHPdH8k7Vv~Sk2WqTGc z-Nk#{=W&(X_RiSzwSVj4dW7$IKM^VKy{T^}SMxdBTJ=Um`f`zIVU5G*tPW>fZR%WB zxXxATx!Mdiua7+nQi8|AGtd9GeA+#=FwMc>nu+Gtl2iA2B$B0Uxec<s<7cm0pYUL& zY^t5b{27(i@|jY1m1gXpCYToYgD;HlxNq*_Bb<IJMO~9S=R6EiDlfQYQntNB%jB=) zGSBr(Cr5BhS@+<KdZt_x^Gdm{{9s$2^k7@QbCT;<6sPX9`!(|&pGf8vsdLho&CSEM znQf_WwLA7@ZD?){^E`X!{N&<?eEa?!DR_L)vWKlH?pWT$<uzAlJ81rT(ZA86_t|sq zWh-}Y-85HUX4;G(&Li8K&0IEm)VoY|4`hrpiCw(lqIiK=n6y>&&M*2iKb?9#eR)hk z?u+9WE$+u$5BpuoH{I9R`=&#|QImA>{MT_?otv`DJPPlc)NQG~dStpqFR$;6TrJ(k z#oYT6Z&h)f3O=`KX^&Tt&sI(UIiDD%X8Ziz_jdKRM{|r9TXhQin9J_z&NyrupBS}O z@qFy9MeBL}P1QwulDby<KE3|k`)ijtf7ua5_17y|grXODrm4((<GV$%iPOx*@Lrq5 zv6~Z`H<~?3nj0s_^mP7}wb!{feb}Jgo?3NS!=UMDnA^rfrzFCnW;Tb0JxkhpZ&pS7 z)82(2uGDS4xAL~stHoWSqC33w<@Wik7UOTbena5ksjRo$O>ZA<HL}#%F3==;?6B+o z-#Zt-fAhKH!8+c+JDU~Tew#gcu#0zRMTWDS+|3F7$IV0@bn>=VM5XGfckxaWN{Pr; z<eL`R(LGb_(Ze=XcDuYNkA`S5p-0;$c1(R}%&l0FrzrAVx8>oIRtt-z=MM@BzFw*| z=bAYC+n?@de(v_J**N8q!Vk5h2gSWK`S$r-ny$dg9)EeRvFiF)LE)DJ!o~MSFsld2 zu!slAh=_kJ&3~eCZd>*jLDgfN*It!xzP0#y^dq;pe|gtbYv(IQbLcIP)L6G9Qexe# zb=xu?HplQ?50YWw50VjCyn3=M&*Cpn9qtL*`pV37;B-?7=z7RGC2@w`uI=6G)}_3@ zyt#8X_{B@RM%a`d3aD-CPKZvGZhH}&eB_9*GLKo$lZ(ACW!P>C9CWz+Qt62Kp<lhr zn3OBBQ$^md>3O(!s^yP_RK2)}neFSYWq-JM_7qde_Duq|Ij(Mo2d4EryKwmwM^&Vx zYrb~!jpW@WuM*b&D_+7>TzKY`&6{mT^2gWe9N^UEE)4vymNd`s%l25g$BQSuozTPY zzRlU{Mfz$5@!H%Ihusg~kyn)0&(%1fEzR}uR)po&t#hNT9?tsrBHG|%(%ozNg|Yvy zaV%eI`7}&OZO8N$&2K7+ZOe}tJ>RjE&A-Rf`+T*W^lFu=d!If2&&z6A6upCAw_W#+ zMP<UlR_mDWU*GRIQm{ooCV!SfGJo^CsB;%u_1)^Ow^%PbrDdzVYe9YS(!D>XEZ@3q zQvOG?8Eju4CC{6^Y?7wkvz>|UHQTPfm)%}}^TL&t3%kyXhJRiEbxZxtotqY}+~u7; zd&kuh)te3%!uOZUng@LGG&A_(XJ+wYYEHpL<C_l_wy)%|3g33Ed#!J|waSaMc9B@^ zt-CkAjW<hNyl;J;zRmW^v@F(3y>C^2&Fx*}eCNi!oUFwgrEklB$v<?|;^1$WbLnxx z%6fNi)UCaLqwnvl)X8<Pt-j5kp36BUae7_y?!TwSaxUiQY@Pl-YX61$t=Hu4Zmr8> zW0AMN`Yw%2pkAR{ciFv=J)8HQyCVOneB1U}uh!S3S8x9L;HUNN+dfl;cW>T%x2DL@ z#Z|iEjY01z-MNcWANqYhxL9ASvnStJtn}lyFJa01q@$v&ig%oxq0h*@(mW#g^Xj<# ztRlfDx<c{N**c5fZq?1@{oj=DeQtRb$GN3d8t0Z(N$m6eYVqS(1TSyy**{t5CDw1N zSpR2BX3d0mQ}fNkf<MOn^i1|O`Ft%<;(WiFG)JF`v<9ELv;?23w2X|2@6l9e=gZ<2 z2|Df9R&Cq$?AoiS!sz<_8)dq;fBmi+(6pCx%A-C1-uPd*6(p!u6xY-_M?694`P1nq zDnGvDcTcv_vi+Mr{cS6|@NZv@{|QO+c|S63R@~=zWq!-Ez$;PZGorFz9z0_8cW328 z_rAbKbB`T~opt1$O?xcol!S7or!)N)@KpOcS46*k9-Fn;-eAYkDX*2(Zp>-XG*eA% z>-D^GuubJnQmxRp4W~oGFJ6}VsQY2|GOxVfvChG^c@q86Rd<T+R@`~?cv|hR*mpKh zHP_z?a(nxDTjnhdyS$tEQJhERw#OKiF23=2TKBT4u^$Uhv;Gyo$>i1_-8WPCnf&%S zt3~RwH26QSe;RxJ<!;e>sqh~hLE5t`j9edn?pgF9(lsz<PM`??a?zv5HC;J3#s?jV zZ;97W4g7P`m1ostUy<!oQ+TvRj~>)<Ej0AE>}%iI^(cAMB9@AUGU_htcNMjol)t-k z=SpDc{QLf452I>#mWo9_yt(DZHro~d9gmia-U$kBZU6doTlkge%5JgjX)<!E0Zqp_ zr#$jxdK&$l<<*18+MPF7g~XgKew(z^UeH8|Q<%s9kjUXl28UnoVtJF05tJqFJZVGw zLC+h<7ySD4BILl){j-X%wQ=m*rr7n^>`1|(HkmnF6vdb4bd-Bbe=1zIZ%?SE;=LCi zdpSGeyKA!9SdPCseLmokLi=wqrwjWh&kW$%Akfw*_9$UO>kf%KCud~ZeVVzx?cp9t ziyaAUdU~@O+r85sJl-V9vLlI2ah;T7F<<bRhJ+~_-yEI4zcM6Ut)^n5Ko`5%p$`|F zWWH>8a=^8|c$wzA>ynIzwT%ltT;hq@W5CRR{_KI{#>N~UuJAb7tPF1dG3y2YJs!iY zR)+h(Uwzgx>&5xIJa3ou?fd%n(fKo?^{I86gMaSsWxIFr+P$S*hlTi^3ba2esMJrW zn|o??&!Uz4X7mb{d2Co4H&^Sm_fPqoCy!M)o2EqnvD}+<YjRu8@d~@!i-q}}3bZ~d zv}Vg+_LSALzP{c4w7AUr%?eGzW-f)7+9dpLPH4Vt_T<q9-b#yPXS;Q`0vhsjHIDS& zkncXJ{Lx(E)hdgeC$rOPE==N*`@8N-ZEU5s-r`xeUbJ0|SgR<?yXSk4@u5Gu)_bo0 zTGXIx`Xb9G^v7xb_dSxQ4!=mUQ<GSf`uFrN7v_VjQ<#rtudRQ=`{>_k>D;eJB{ipi zjmcFKx6yjtxa_mrihyhRuX$O8B`YHL8y|9tJb$?@ld&>#zxgt)DPL2P+mcdk)elbC zKXXow!jBm_3n~(|vM1@k&YOFsw7~nzbh}$oTG81T?_TNo^mO9kjW_PQ2PwpVo|#Z6 zusgM#MSP2ITSM-}`z)^)_Rev2yKs5Rb(_<POIP-Nnvl>c8>F+@xlOg~MZ!wiT{n_f zP38#tvMEm0IbXZ@!tqlvU$z?YA6|RrxLdXzbIyiM0<Uv>o^3dHisM?8<WVW@<cQo< zzOa{%UH|Ftcv5hsjic{|z|lnMB#RVhKE7KMx|z+MB<|<^d1Lw8L~e~+EoHt7Snh7= zjq7=4pvx)j<9|rRc#^^4Gb(Qq!i35Utsm~v*rguOX1?)wyjJN2W5u=IahzA2-?O}0 z?9aq|LBFwWM%JR%^&HPPm^TXLTxwrnBWi9~c6&jE-0stSoMpEZkMdck+{kO^n|6Dh zYS#JB!WsvgSiCkGG#cr6E#MQ&zWn;RDeJudMxVoWEB=38xh*5wzEIyY<Y3xdk!2^8 zZ@F!<u$Nn_ZU4JVc;3x(NAEA|S>yj%fak(0rEiZ{hTck%`u+Bbm-Ui}#CD$3Rx4dY zo96DWIeETX;if}@!A*xkjhhDwB2Fi~x%n%|S|uW>U8MW%gv6cGRtlYo|2{E=dHvTE z=A#=^m=9l{d*pt`dFdnJlaKH|+gkdx`rO208`R3AttQ3TtO`}(e;<1CxO*tq1`pes zj?~g1y+yb0Y)+lMWA$FgsWLsGs^Y>SCl8B+eliT5%H$KiRKWQ3q>9tqD>620i%DYb zG{5jE^qFbb<5fpaM@^NH2~-tdecE;I^ctD4SVq2;K^@IoAK9{~dhWT`slO_F=^Z!y z?{*6_dH7Aw9<KHMT<Cw9>CV+H0_9WAxP(@@8g^OPuFvGl%b3;ude*atvzC4A-LuH` zebrTyChu9t3IZ?3#9T1pzio2%c$Ta1dx_|!B22=q2EoQw5eqZrre)9SPn{)}uyR>z z#G;HxTi17+^d>Bv<mjGKurX~(#DYw|XW6s5D`!1RT(^vM#^OxHH0_y%u4!c&ZXexl z)XqA#A^h@>Jg>_(Z?2ih?>5mr;^!*7zW?sJOqT2^KGjN>XPYP=4f8GD;Ck66C(Pty z+l}5+o;8J&GM^f5RtU<uAY>h}K2z>mW>mx0Sz?ctE$S3Md@k^u^oL3FmS)<eWkhut zcB#cF*m+)#@ws9m|JYRbh(%?EnEv&7>u<OOt_{iMc^!K4pjGInjc!w!)`Tq;Sp6i} z?Y&TZpnB-c3q=tNBsHgHOC2t$?COkD-xu<E=Zu9vZFDZyFp6bIb+mS=$(iwAGwG6> z^=!fJWtA_MWisy4nk7-5u2m_Pe{J5fOdk0s%f$Ek)ogoK{L$}oWyR`DySVJAhVWTp zkCra#6fd;9Xd<3(a`w2!)2gE%Y=SO3^#qt0ikyF`Vm>27<K0?G&9)3FXMf*fgMiC1 zJQqy(|C*k4xA*0~5PsR|OJG!`NlN^>X}w7&-bF9Y;#qDwzd7IZ>`_bK&joRpYho^J zVGuXfJ#zhO(Xz#g)vJ?4oWF(oChu67$(NTkt2=tuvxoDR{oJuWlTj}-sw1~c?ViRy z*ULXb7RJPwgvwm(5!pOtpUORLJIBj1K9@|y*|$9YX|W`ekxzRjr%RfgwwvK{p0HW% z73Y6s_!b+6UAF1DzJ+0O_7mauM@R08F0L^BX{>7eXzdey)86+vCTEYc`#x5V>ou|N zIGXMss#LLfiO5drWw$icPFXV=YVBJarotY4rKRRqh${c%kdyB9J8fpn*mmsn;t3au zDiqSEcV@2cpEe`0XPM;;9dmiLWoz%vI`6FS`}v~tWu}@dpM)OGUDTO+Alp~DVo|0@ zze#U7^V2;^r=*u=)|gy1kykd=JrMX*W)Y`P;3?ND-}%lSHwgV~d1J}Xm^YV9_{&Ys z9?$jVE{MJC6f=3ZUiUV=*r%5Lo#ATri&eymAA9<8Ux@XVUNn1A=gYTygBp^ms+VW- z-OHNQZa(YS^IF&9%EuM!GFi?)QFP9*ymPHbq};Uk;i_epJC<eE2(QkR%gcyri1tcb zr1@%Pl1T6sTi@c34wq|eE^T4xH`P5N{Pf7hFNT3D)eJ*@uA8u5HaUAV#P_pd<YgwG zAQQXLgndR5u9w~Y&w3cfU6weOIjec&tY=9*%PJ+-W-_{EMs+lHiGA93)%!9>RkoD8 zgK6)=^~)?@EYH;Yl|8GS@e{9N;qSx^T7o=*L0v+3b^Li31@ngn8}S6IvL6mTd6Xmc z(}%59KNzAzHIInxG_<mu_uqHcvFqkV%%V|z+9t~Pxw571f60`(|21<~_tsg@5_^~Z zj98n=7?v5;F||ugZN<}BZU+84cVv9FayJgrnUK!vekO|B^z1<;-^a7v-d4z^WzFi3 zoF$gDZBggfvoXHPGgifTY&o=ZjilzYY^lRczQr2?F30FxGU4wwJ$qcpmwQ9VWhb3r zBiYhE<F3FjeciK;6*yj&nRDG_-^`09{OP8;N6fp_-aVLm&7>*VYuV!5$9`u$3WF|7 z_+`v$-sv@2@b<CCv(6tc^X1;?eDO%kZtu$+W!X|k<$RNGtj^^7mN~0Cch<9q4a<I7 ztjJ{K)10;AVtL4Ajy_FRS>Kb>O_YxoR(^ck5&Ky1?DGd3mQ~(ZpQ*Sn)MR7j>~)zn z->#bQH$QoJPw@MfsuRvzG9rXUX7AXd{YgT1)@c#brOlG7o;+SS%iut5q|n(%eOezc z`sY<%WO~!G=e{8C(`B<JJ#5wb=sQPiXT?&l8t&y@HO&jX6x~jVYet>Ww2WWWIA`K( z+bsFNPntWk>{l-<*%&<4CMR4~es-wlfxJ+zjp5Ud<Tf=HsU3-J5?tkC7&BFZZRMoq z-LD?T+Jvj}-@Vde7q@a9gMNtSk>E(Kig)s>gbu3gT<U)MkoKzHq>ee<8#QEBdGYnF z<g}FuQI-E4s(HXJlxw4V9@E9x_UA>91yAo;_(?Zq<0<K7UV3e-h2p2Ln$&;%)k9{R z(4_+BPrbj9m60X>KP&pR*6M8QRr|6&e)wo?{j~Oo+v=m{Lif}In#$)--M3|Nz{dyT z`I8L}O5fhN_<H+(zXg2rZt&@LskAHea?W^=;{0;=GPXH~%{%Axvv$sTRHBq_G4+J3 zjL#E2KJ_C0b|K6Bcbzr|8eQa);)6a0#rS#o{rofg#Lt*HCp7o@J>fYnyt7%j^Ul$$ zF7F;jDSa=royfjp;tA(FK3Z{Y-kv8T`L+vL9(?QKSExSG_>S)rzIUoc;@^dLwrh9Z zIo|9d_aIS;|D(pr3fUMRuXj&ASWFbQ@q8lUFKl^uv&*{&l}hCwl_pkK%slZkWL}7k zo}Nk~|MSTk`ing+4%}2ZbNv+W()k-+>{z^P+s03;Wu`5i%`wgFPwmn3!9F$l^A5Ti zXg7B!rLl=0?%~m6zihlC#^>~dPX?yVr;ktJS;sKhc!!gZ*LsC6)tBv$j87in(QD$A zj1hTkyh9=_y}(jp`oX73u58oWr%J}yg{2m-M$A1Zn&ex>YN+F9FEYRTT3UhNn$r&! zMa(~Vbc15^>4V33^u%Wy?Xb}~|KQQ@-8}0UqK$Sq<@l~wVDG-fe>8n_u4D|$ZQ~sp zWhn)QZ_Yd@+MwNh`sh0zJ^pH=9W`%!^+UwDFZ;@gEty?&#^0QE#`HWc!|e;dxYzP5 zd-ft-F;lFmf3DYkx$O}}6%$`6R?K^~aL4Rdi7&2Acve_o|J6e8-O?(XJDy*E?3nxN zq4xO@{`lH5ua#U~{F&_!jqg7W;g9cJR`nyx<m%xM3RfQ|1Voj7{F#@t>iFRqA^rUq zSI%Qt9AvBLw(Kic+xN`r=brBn%v}D+_|AtA{-Ya0_zzzQ>2FqCDJR|>Y%4c!Y25Dq z#+Ao84HT{lFRG6UwWydrP1bJqS8r?4`KNy^tJ3(jq)MV{d6mo;udh2^%zX9ng7VeJ zi>spqcU8<b`&LmgIql;|tFuB6LT9NSIsIwA@&w)9vtN0y9=bg3@P+e1zAVmmn|<CJ z2J`JT-tj}{$L$hv*Y!NdlU~d`_;i6g+w|^tk}-0-QVV|mnD9`FdC&O=Tp!<UUn%Nh zXki;&<5oSp&dF~1-jfd&Rm?qj^n&T$QbQgub4!UCc}0c_cb_b*-*wV`e@Sq|zL(1c zYVCfvcg3sBwpvwGG4rU~hew+)RlgF-vE<1)^GI1@!b$gw_LKV;#;eV5K0b5ZVb}XU z$3I`No_yYYz0W=dTjQTjUoy@F<cgf%9HaMs;oZ%1rhUJdH?yW@+Sw<`6;qdfHMBXM zRQ$oD`>gAAoz&-v8Dg{h6(e&P+0r+*N=!L;?L>L){<8^>uYSG#B`f2}-fi`2^6$6K ztPzoy-t<F)EzL^qepAY)k6ZKA=C>=(tf{d(TOwQIcJ|5R;w<O3ti><psa7Y&oh^zo z+?@95<4)7b{rRDrw%E1FMdt8TrET2#V(QUj>`!#e<ZCzG_~A4AsMw<W`QF`+j@{aI zLj1E^|Eu^Vku`gKwz%-Wjb9qcG4KDjEej1I7ajk8KFF5EU)W#N_C)=hw89T6AAOR} zPdL0Yg#X~pPgz%<oqYJZMEKyw65*pKON0+!EScP_{8CN4{j#qd-_qGNGCt<4J7(o^ z6<Ti%)7a;0D<Hr0-ICcH@0QKhc(-)6#JlCQWo$gmcUH{E`}9HM?vux1yEG4+EeY<B zUb*$q2j5qX5q#G#`?6fW<SQb6$(JYmk}sd$^4T#lUgniMX5@V;w7V;k;J!=q$hj*~ zg#~I~9sKxiU-o6WeaTm3_hnz6>Px<S-<HjedE;$fd1HRwr;XZMbz<lEeXX#V`s!n$ z!d2l1F00g!eEigO_K~1>tIV{NPl7uC-rBGKvAZOmZ}TQe&a^b+pfe}ZQfACM`ywIA zY;pI>n>}*NQjCAin0!_uA=@muLw9D@grvY_%%5%+7Mm#_T$bb3)qAstM|zW_?3(jw zB^&i-Up#y%$4x$avn2DHlW9B~t%7-tbk3AG*iBw{DorI~=2?%8O0y>>R+=qtPQBTq zcPz!YN@C{O7s(}N$qj)wdlcJJS2?nC8_7;Mze{<OBxhT?aZu0Mv=oWyXI~`Lm@V$+ zyxAkCmS+5G#*~$NV$Ym^^4UOt^7-R;eD*O+HvZ{!=3nm1{H|l$-iKb~7o7iR*Ozq5 z)MFnGw#n?-swjT9F4<A;-Ho816?x8#^KJ%oEZ=VyCn0Emv?e8$g@0|&qq3jpziIV6 zY&D(R+<mP?&+YHAV?QdAQWe`GX8bTsi`Q0u@pty6`yYZO=U3>8E7sLko+}c36h0$e z*XPfoPjjBmcr<<L^19q}K3}*`znr#OVE-1I^wgTw>8Uk`6I1p0qGz@<hdq1TIhC~{ zD^*b}a>kF1pX0QZZ{)2!_(FW%?lqj6(;_9~zemh$J{$Hdse5YWjjU9=ZIP1q<Dw+* zhepl#@$kNh_T%hY?}e`W`D}81Jq+)k`cZV^luXQ4Bk}8N&m8vE{`~RADJGi@n*`i- zeEyVtjMi4R$W9e`zP9IK)6|a}FPw_e*=EFlT=#ItkB5&rwYd#$RGkq}*L`^;U&%jO z@}T7D4O9P%t~FIWY94m%!`i8q7TKwK-=dGjebhev`C19%zHOTX#C3iC-1@ZX>7&K= z>k3cLn((M=s$tAlBlhcSdcLb@e=azDis{Z4gOAc@Z-=>UxP3~)EJE_In|ASrlc!>2 zHiWGEA^Kb_+iG9=_Duq}b$tHp?SC8QR<PlZ8}rns8_v&~kkmfa@XZz@_T6jF998r_ z*dae{-S%alHy%I5^k%C;VeRoV+P)u`Ykj*tp_$q2$)g3ll@=+^c6_%38kEgM9<BI! zXhj5f{05^Yxn~=Ve|h)YuI+i)wX<l^{y#TP&pLNhHG7vpt<K9Mv$oBjYWX5RRgW!V zW_x4Uv*Za=SzqKOCTZGSImOX7Q(U9zX~F#<&m>KoJ5>Q~f?>}RwoKhAk(?@bEGn{L z_qCd~9qEZlJAWTI#UVHQx%9!JigaI(!n>Dd9Vz<WH`THt({@>Rc-XUrtCuDmne=V3 zX>7-LF|~E?S5zL?dG&q@@6H?P&T@V?CiFixJ93@NOytpwpQ{$%s!Z`y(|SIE*Kp1j z#eLT{D)Mj7J#oBNn(O0*IlnW)3r^4R(fRZK=7i?wW=|fq@>W))IorLv70_U9Ch};K zWM}AK-p{7)^$Sxb>q;Irl`bkg+GZ28O;MgbSK~mCG}p!>bAD&Mv^_k>XU!h*8xxu< z&7LH!<E@;L>};2IJD{O3O=a5g;M|VHE?&zSInH`&Hz%}rnmtKw;$@Y{cUDZBIWfZT z{YJ%ov$iSj3)b47?dP^f@z#Xq&t^{^EtBkYeeYImc4XG~b(QlvrtLYMds5@tZGpp9 z(nTAtwZ*L2sK~!M_r!56X|4^I+ML$>@O`N(y|(T5G0QnWbuK!5IGesy{J1o)WsGN` zK#Xr;fQ(1shiQ`@6%^PWefY?&>+s>TBJKUrntcr0gJl%osfb%0-F~utf#n_FLV-Kp zg#mZ`3k_^M3N0#TJSzC8arEKClCHzY*NM0{{MGCe_&tex?!%l<iV=L(!7?n>K{6t{ zgJpQEgJk%&Ew_ky<5O61W7?w!#Z_I(2NsIBcN{+1`tCu@C&f83eM>Aj`j%N}^ewfJ z*ymShVKMJfL7~#ohYwS_4j*6ngsHgD?&gDsPMZ!MKbqp);C-@9VEXC(=M5!~{F!|C zMv3sj8K2&-Ub9R_Exka{=j4M&-kW*!+9pcI@GVO(*g0e3!KaC7Y}5NAC1V)V(hFK= zOpbFDS$vuQX!_!vk})iwjdo}}OD{0gIq{%qgF*A@ql<X-_zxTHsNp&PfXhIsxjVoA zl6FU8$ghPz&TKVl?oNng6F>TiN3Ts(GKMcKtzc)w)PqOG&r8PGT}vrojhJ&#G%2@= z)pEwPIt{ziYfnE=ikN@Ub)#Z)cVaTz^k!el7(KJ}g323{4n9rJu3ETDVtU>u1G~FN zzMpuxj6wLaucBJ*x5HiUONI0e)?}@HbLZlvpWQG1r}bp~@jS}UvtZdn?yiSrFA^di z-w*k-mG5?zboT0_Z)UdqyfL@s=ZT3evOPI}R&%-Rm}R}|N@u@iPTr-^CSTwC>wj>E zo=xoWRFlZHU_0v8d}_nN1y&LH0kLb0SIAwH2$9d`&1xuQGd&XFn!8p^)$q~+p&p|Z zB9D2s4tMOhrEu?_R^2a)D+`2pj92XWC=t@8$aeK$Li4Mn365V4E-d&Zv0(LD%QYq| zSXT3B9nES!wc*MFtBAyaSRL~fa?>P2<WE1+x-K=#<)@$d3YN<}T1Q!$PZ?ZYU^OEt zAlAohg`ApXh`ey=)amcTn!PrhT@aLGzM{rCGe9=Sa7E4Ii~!p=Ml0;f%thPRy*l@H zQDk}EQ|6@7T<2hKzG|<>v)DMx%*EPIS>&8cY?l<s$^YYcv^@0y|1{_S=hWsVIHbRI zkPX~d_A*_dAtC*-zjob<hG~9NbGNSDT56f&wP25#)c){~>8eq&cMs@4ow7_Y=9)<t zXpn2y$MxF(Hmx~&?EYRcrw!{rx{KDQD%Ei3I<vIv9$$PTUy+Ah=g2`v?!v+oPBt>z z1?1zSJsOh5gdVMEd~*Etbup(8$DB0oMR6QneQf`lAD=A*^%doBL<)1VmFj(+J88z@ z&QEWmf;i7fhjekXO?|j%9&^{hD!v~z3%dEvy*L?Lv$$Js-&I%n^isnEp0PZIepxl8 zYq!qto?cyUvi0M_vuzyPZU`JrlYW#P#o0Mk^1sftY|Ae%avWLi=5{Qa&ujQ)s@puK zN9wawdqZ63CM+}gFy)Jk+6=~n6~+rB(%E>Dd!H|xk$6RNnRMyCbi-GA%cM&AgL`)M zpLDZ5pt4vlDJj!a>bi8c_G_!GivLlQS~$gS7mC|`()T*V!Yy4N^Rbq<{9Vjl%XaZF zw*uc;FI1)-F11$Kk=QP>{mq1jt4!@h&adrxICH9HMOLcbyoj0Y$HSgIo;a1YA~RKS z-Hcy_?%vAr`|fU0Y`SgcQh2;g;@zzY&DCa49_{0;tVnRSlgsiAh*|%jG?dpjLP6K) zQG}1mXJL(_HpWlov$Bg`+`Z|?`Aa1LyksQ(`TWp79*b&fBc!GlM_it9gfmRNsB3EH zoW!ZeqOG=m+$wp0UU+wAeWsFn#IzHdp!I^HR{1$=mM*oLyE?S8v)gO#<QB~|@5K&v z2Q|g+UtGF(yK@tNna9VAe3HAoisQOxM6aH;+obdAx2Tnw#{~{rNiT}Xcdn_{*WI9W zggNeT#d7tm=SP(TwqL4Rwr}s!9p7$g$DLH~W~sIk4fjhmY}aL*ny}e%>5Y_tpH|NH zl1KAmQ*JEn<|})(sGE1!i^O)lUpLluGj4mC)Uh_}owfV<KfGE8&F6NRXD4OxP4_iA zs5;rF@S=)wjKQ3VkD{AT6<k|jWsx2bJI8#5oS$@v{B@qJhSh9S&)d)K`s=)6&)dR) z=$qH#ba&mgvsSs0->`qR?vg!u?KP$WTD#hwv2DDVks)UNXzg5Hql1o<eF_h#7{3XB zdQIlO>f?}1PU*?g(S>1~g%kgWZJvDMUvJv-Lv?POyA#)nE;qFn{#HC|uIsnYbGw~e zF04+jez;of(Djt1iav@p7q%$cr9}0)7an$!$cyS|juw0LXjf}RMUtXjUbIKUb}^wx za~q$e_xXw)a(Cojcq2)XXY<lokC!a8vpTJ`*w0{bNxs{q;u-mDEZjZri)N%=kxY{+ zWe@J%)&0`#?qQR~?~?lh`3<hGXrG~Ox{K}B1s%I^)9uec+-l>9lMJjjzJGep7R4s< zx&PNa%0H#?%lq{s{kV_1LEh7kZHqE&&U+sdQ>r1y_jAk6#aoscC!9Ze?%dHrpNDHr z;}fUrZj^X0Wq(*P`_Z<tm<_4>yuCF)-}bs(|3ol+-eIR$m55c{BHkqv6E|M@^l7PN zuKB##j0dHS7gS`i)qGYhRj@NC4qa1LWT6x8KC|S?hnF0abvZfvB7`Q@9P*sjBI2&g znQ8aX#oMVZd0LCk{DQ8Kofq##eQBr(o}bXp^7!q9q&c!iJX@XFliyxAD#6{e>qdsP zibQI=i1OPBi7m2L5_#=<ZKZnmG{g+-C*)r-Y2uxAZ2E&sbEGpL$$hn&?6cvTO7I%P zlRT@JvdN$Pd1kE<bJNr`hmD7LB&KCwKeE9mtIO&2idAc~^VYsyk@-WZef?yEgCA9V z3Y9pG=Ug$8vgJ7UuuD=P#*DekJMBP0;4B}d3p)EEw%%Ux`D|a{@*CM#B)?6ys=RXH zNc*Z9_qmr-)L4pjTaxCr8t7~gVBf5J<mjJekN&6$e_WoJ+p%CaZ(zxG#Wp#!Cl8V{ zXN6Aap0{j|JM(cJZp9gyiXz53Er|<TEh17B^~7ec>ky8s+MCm{U^{Q%myL>T`^=s! zn9sZOMf|TchbwZG^SkCfyQ|r2U^w%^I?rW0(kDs2n|`Z0GB4-s!^S}Mii|6oa+0NO z$DQ&YtiC_XY-9iGrLsxJp{><lYfc1wJ$C-qPqy`I7s{2t{=e<f@~}G>!=n37m)01s zijXqcH7|bIs<1>KTm4wyzP{q+^S1Mdx;`oU3#t<)oco%@tQ8ja`&C`wM`3AE>tq@6 z+o?w{_H1nX{B-|aqjhn*+8ZaYIi$OG&5^K8Az|iQH+4OJ;q@*v)wLqB_xh=>j!m-` zOr1Jm@#8xeKKXH_md0vp3u&+38x?spBP2|H%O<5gYt*F9P1j%T`BhYO|MdB;zqX6n z3Grn7ecW}w-|m^gqAxQOQeGM#U2!SB?c&n&e8;BP?UsoC^(Haq<>vm6OV2Z^O|fgA z5pjm;=z&E(#WO;FooT;)DZPt*zPP>d9*x?v4|~4cJi7T(I={d7{8}5$TD}iEzE~bv ze4aZpK_bLP^z_5Ti-$Pkrr8C5(XEvDHO0>QMeMIPi^5-SZlAaGyj|54JN6fGzhoYT z8glcoiKn)ocU*d&MZP<-K*Mf|o$((1+OiMpzT7<e`cgW7zSsQPJ-YKw9i5PLv-(B& zpBKp)ACnsrkM=9JZU5(aRKBDC(Qe(#v%DD(etBlU_QzqT35QQbxNJ}o3)Ja%t%()w zmeJ{T)th!iiN8B}V!!J~jRS`wM3NjWlWR6<E8ko6?6^`><;ISr2%Se$rMfG&9#e|j zxUnNVSLe}G>F$cJ#}*0PJtdhuBhqcp+`U;^?kus%JxMt_NfN@{GCh5+dTvLR_?43< z_6u&*IPf7tWKq6lGGE$M!Teh1WWj?IB3ukD#6IQ;cgyhfxazSTQMz|7X=4Asr;~R} zg!9>)(PX!KHm#Xq^=ZeB(6jdIe{B5YdUDmVXRk7z-c*Y_z44iKC)+-E53_(ZUS=O^ zPnOpmR2Q7RF!0GD-J^~dCOum4!{+G03%|CD)-Sd=BCfl8OL=ClR*v+o%Q`Hv|JFT9 zKRHe2-`)`ZgZ1-XE&QP$WXtnEb=C2M^;2IJevDsUW%DO}rJVf#%vI_K>L<P8{3yRX zl=Y~1X8Xg#x9X?7QvAUmY%B8LaaH@n`~F`oUU+=nk>U5XB4MSRyvbGJh9y-_PcE%$ zdRU&>{*d|S{;97Nf0%>RyRB+}81Mhp;>YzMTfKi*L;Bn6Rj)pNJb!r=)1S>D{vG>0 zS97t)FOzNakG1#wD)7hRs`JOiA^eBqmsiRB(YgBg(essZ{8v}X$?x~jb`p<Y8fo*V z+oZd{-ukT2gZrMFTYi-P-}mTwn0I!{sl>PCmtt97@B7Rzy5FPt=?2^G-UkU{(nlBS zn6({_%;D=x*|^hU*3oAV9mHn$|BcLH{Fb`0^~UUl>ol&VTS&~m_bp|k;G1(vi*HOl zdTfJn_t}GeI%eY9n{L?joKN~}sMoD~;D*jNfn=jAqKDgf*dKqs|3b&CDKIieM0xWK z3AdDumJ;)hK1+%ao87)JGRLkhWh1M^oTFlk;@fr=Z7@81@CVCH^X}dS{$kRu?{&=D z;v#eSex+~x*qbUgyS*|p$Br#cBNjZ2nse^Kr;V!3+6S)jtP{As@AKcT_gZ=%x2@T4 zdeZKn-{jRto43?wP2&9bk6rYB@RJvB!|hF9v4q}AT(mvLbY=?sBkO0Ibo4aavwhth z3Sw>g4H{(RqB#!waxbjNP~_p)IdZU;yRh)Qlg*v20`lcLDhG18ISWt6Scrt5@%-Ua zb=8UETNKC9I_^Y^1Vui+sE%%Du}2R#wEnP2Qe^Cl^5{r@b|`U2qTiwA>(+xe=mosl zEYLPt?9qcMtvf7o73I!FboA%zs2l)QyK8n9$k-UEKP*0K3EJYPC?c-Y@^DeBg+-#G z-nWR3c5ksqk2n2vibY?XktuY3u1dha$&r>{{`fJey*T)>e~m@^e_KxBKlz?B9@Tpt z;`#3_RQADMJyHDs{qsT_+Shf4KYP62^AO{|+bS}T_IqoDcD?g_{*3ua{rncrf9)zh zAMMp*Eadff*FInVZI9uf^_*7@{-5m-`^TR1%HjWW9cur?bDA9ZKhweakNmCLk4B>A zd(&T-pL@*K^zy!7zuDV1nY85=9M3=twU=2)s4cOO;qfZmF=PIt4;vJYK1@#RQa-Rq z#J%I_$yPr@-Jb$`<aIm?1$2B119ZF#4Rrj^X&k<i(%h_hl1+T_WL`NnRp}ZZA2XI2 zGjli$Ut8OI8+>s6!Y^!jkiTwTz=TJQuji{sbNsWo>F|;LBwL%kmzl+n!zs<(?^UI3 z{-ka?c)WZPFXO+ZDb5}BmK&F7?weo1aL@3c=cdL7-zV{k?4O^L@MHB68>0}FBKG^f zPxSsNO{{iM`u_2Gr_F)+s-FbvSJ@uvDK^ahqjl8rL;o$?)T0v*t7nQe`Crx%nVKoa zrhfL(1NlojdRdor<gza7*uA=>!}#j5j-u7lDfUP8gZU0sdpXZ)eXu=Ktn2(G9iF+t zMW+RpS;(+x@`=}V9d4ff=)=Qh7EFJvjtV|9zrXg!<+f+5`ewE5+SSJQG5g5$wOuYB zO!Q3M*QKv=`LOD1wP=0Vv{^^R>*TYg4o(i+xL+$n)+Twb>p!ck*%#LOuGz-BWbxX) z-lDs<|BXB<&#AModD`K{*CISVYzVEXUnlTMc}r-RY1jTum(nJ1{@U7^D>mJJ_1fH( zxw`Yz+xMk8Tv+Z~^RTn|nM~DmJK--{&$QwVY#%G$FW>sN($&?jhwF)BwvF13yIo!O zVujwRz9~EOxmmtP{onNHyz;_jb55_zo#pXi<C8Z*Wpx)^o4#M1eqhH5{~znV%zTi$ z(ZhA}$%no+DiyJ3s;mD+z4J0WIJbt^<j6i(rMS0-dhyJgd<wj#A3d$<H#?=t_-9d$ zfVuS1)l$bwJCCzGPTp9-d7VX5ZH7em(dwz!JPi+aMZ78A7t_T#O*FYMeDdd$K|ThW zV%_EUb*CmZ9gSU-m3!j;^CxYtn;bT%=yf=+nZ(-k^=NWe_53>@48^)xZ1;5>XW5&) zQR7x!kk18sv2LTk0@m|1F6SBQo%&MS({1!t`0*pzxZ0&N%D?Dcl(-o1!!TssVyWM^ z7JpPwyP6bTZ6bGMOWQL2Bc_iXe*0_HDNJ1UO<zlT_m!?=l^6Ip`EDv6O|(w2NNVTn z+t&U5s#L<h*V}Gt9&Bdu`e@K-WaG7fPi}i>zSi*!-_+_<XW_oB?y>vVn45$?&fdx< zmHokEZR4?7)7qv**(vSM4d=TX6|G<Q=G(g1RZ)jlf7@I0;6?g&`6tYii>}s9>7MBk zdV6cP=%=vEc9FYpCoF82wR&;WRqK&a&#G@TV;F^0cT9EA+~>Q1=ef{|X6~Y^laj7} zd#4nt+qr66xcE}GimUqqvloPHHGi^7_2{a-H|2Z}xyJte`}CsTCB|g?y*8IzYl36d z=XDpyE-9W`S5SFl$!8m%v-&J5w%?3THODTpob@=va`NjHSsD4+IT;8426gK*d=&0s z-*w{mY&EmGw%2bTWxwAP(RzGolh$fe-uMTeyC-$;x!1IOsgO>?to5_F)~wwoc;2hI zql>lnUYw3=C)bxnS6*_hkW9ZlMW*|H&ZE@oM84kDp%tO87P9^<wqwtba<%tOez7!@ zuPS3!H-GM`XAkEt`&qF%lQHgzxM}Lzt>3u!g!x~()>T||a&pk${wdj^J&r8Nr4y5S z)IJqS>t3%{2}_(3^M9h&tNMmn-ARA@C%>Mr@zvgG-G2$Ok{vPsU9~P4?EH7s-$?J| zSN~66?L*f6-xJlUSQID}_}~0#mBONb`!AZbS<ia*VBNBvJC<k4&C8h8pFK<L!KRr1 zNiM&bn6LVL{w04UE<&<XT{%g$WWkP*Q`{`ScmDxxL~MPLdiu9WYr^^^9jur1TorF< zY9>wG;vC4a&ATf?BR5#2Y3(E>G4E)R)`a3eN=K^lChG{~^epd;n{6H*$nngx%i>I) ztKtqt%}1xwHMkD?cnXG>#s(cRjR_WMT0Kcg>{hr)>w`^8I?V6K1c@|t7q+_UbA*UA zeV(MW?#uu5H@1tlJvaS6$G`izN7IR`^A|6cd{uBlRg>5B&eU_2f-mhCHC(?Nu$aN5 zVbv?SS&P!PMSJ(XUpRZ_;?&Cbd%xeSh7h%(({FavR5+yF+wt*3;xg^}{j+BZnkyfE za{9Z0rZbD$vW|5xAMyxV%vSm(HUFeQ<7Lf7n+vs^uQaK-melp7cO2L#a`C6-EJY^w zAQyeNYiS(^&WT*Czn@Xx#xci7aPD)zx0hWOcu(44b^flAi*7-%%k!P_Z5&^G1?N7G zKDl|f)%oqgF3*4ZwQ<}52~|rf71+C$%#%;)IB;0xVrAVFMW%j`g0wac8{d0PyDZLM za(GZF^y1JS59Y?{o(sA^Y4Kh;WW`zHcyCE#)C~2;eRq^EJM<QLF3|sW+e9E@;+ojw zzq(}9%$IZ?n8Gu0#w<m?Wuns``R<Q5T(d(b=hw@wg)4JA6<6l6H+NsY@?-VZU+zpr z5-u|iI2=-`5_*=vkf`@d_}Rkn-OB<E<veD|`}^qI6?h~yN*<kA&fRx>;!HO^gVP7T z?lro2g7ulij(zISq;?*#VZP2=v~MD}jzPg)8*!<f2b7qv_m*y)$gN{oaMyrca_6pD zZ0lyfOi&h=aMJJgdmbPxCgIjE)Zc%&HzuK_@wHvnlE&AojZU2iwfE^>Y`x!5O~+tb zQtgLAH64R_NwtjXGd3Jx>?-x&bhzcJPGeH-$Fi9l4&-%xU3xqD5L31EEGxO%-P=P8 z|6X=TaM;M+S)ZuZzHeo2W8Kltgxs1ZhaTkw7Z%NH$lb8f!qPv$*7AP9oH@%YrPt`| zbzFY5*eoJ^PNtae(gRV4RIUj;OE68;6BBrrsG4XOCLoqznW#8TRDPyN<em9-F|k)8 zK62`%1&2jXJbvks(sGWkEmfZ1E@V0FwKy#m+U_vph|?jNHA-iWHspMhzFl*1#`;wU z_vU;{UKqufm#%$bg;s%iY~vxVw|=`6P1at@TQ2SNS?g`sE)CavY`ZVHYI0o_C|j1T zQsR8WamJCdLozwaXO4y)vdK|Ab6oDw6|2q-0^yf=9hXQQ`dK3P^_G6W_D!2tZ3ULq z8CM1LQfFpyh;vTf;V`3lam!AN$!F^}D$1Ns+rLxM=6slgc}w!q(87o8_SR<CR(X}L zxpX!$?c+h&z+a#AvzNy&N?P-(>Y3<#PNDTro;(s>JEdp(4dtHWz3LA0%X^AuT=v_) z_E1&nhn>fYUUS60(|q%h`{}$qo$}y%t39!oWheb=om6`?opq(W#?5${o0InYFZ%W1 zE!#TxrOmD9k5AgOD~^9<yN^@<+4k<kF2BsZ`etm%ay@!l{&9cS>#zN`U$yPt<uqoU zbzJBo&n5ds?@-&SS^eFYuU&l08S>b^RcNtBiNUhk;L_w7GfsUo=Qe#cv-OC><^qEg zIx#$E-2BeB%<qP6^jKD)k$mM&r=iec$;SyUzn5(jnf=Juc3bUbhj<p_F5ZotN9Mmw ziuqk1`)z+{{i18HOaCu>Q@{S7i-*se?uq?-=hv=&Q@;9ZdzbIUEXSqxS$;}eUtE&c zKE5J1*EcjdxAwTy9i=sV=3m{<c7<B{`cJyL;Add!SMgWZuKn#lxI$GRS!G#zuZCPM zTQW<Ukz3L<xno;iMno<Yx)O3T`Ip++fX2tXtCY1ayh(rdTB>gOoA{%vwAxd3rI}98 z<_}fvwm&-UFqiFhtqVVbzl2tmUfZL)A;^AG{=K}PGPO(J@pFhrT)hx-@LA5wevK0M zdn+TpPO~wqtunMu=915TQF|}7Pi@xsnTy@0H+3FoEtNZS^}OX}pQU1-XB$T!*_stH z-#ugTqGY4?P}hT3&Lp}%+%VaQUEpJ)y3i_qYe)NqIv3i0w9h`iyz#&ekqMSPzRZo8 zy;)E1vV`Paaa{LeYef@BjIVI%jMtJ%1&b}0-z=96Gj>bxEp`ryJ$`E%Z{yOlzeSEc zf5vHY&OCilMoC@O%a!i4>e}Y1gs87|e=I+x*4q2(qeYAMNWK2LVM5vbh0(><TIWQ& zQY~j&IzEglJvqho#ikOqg}Ze8r7xv+W(G%^rJPbKiL`s+>c=Ry?#Ih#uO*oqgEbfE zT|H?cU~#eLX!h)NKW0B$9jU;y{<4egv(Pq<JvsY6Pk!H%V-nElt84P{+KDt~(HocU z2uKy*xV1@fgYLS$er|hs&Lno%^)6-Q$XR=RX%1tS-6X{<y-A8f>_$fp9^@%3)Nr<u zIVT|RZlrSHA`fSwj<b@Cf4Eapw3UnMf0s6nIcEeqgC!q5DEW1OZ`<<5ZrRKSiRn^5 zZcJrkEL-l_VVfzCm~S)5jQQ`n51)7O2vvkF-+e^o)I+^QrgDzSJA7v}N4M;J@h5Ux z<qM}7{lO{s8Qybpf9%`9k-s@~a>Xp(;P4O9hgj|jsC}Gez`lOd@`4X4-7z-jl=#gz zpE!P4hwFnvx6_^Ti#WbN+8JEERc*!f%PYTW$zFbyEb`EYaqG#%MgQ*Ve$_9XsP@8q z-xd3>U*$uWzfby`uj+Q~sNu)?vsQN}KYHS)_lV=SeXy|LUvrZyCri_#e`Jg0-ddse z_{w())q+{ULg^MyAH4Z~Md;L$SD&-k7Tp(~`7QpfAk$@Umlc^2ogD1O|6b3Z_E%9l zP<sE>?|+39nZA3sdfd?#ee~en_x~&2*dMDuyyQjjQ;EON#dHl$ExY=3d#360|HY=a zmTl3S{VzG<`Mz{BrstB%g;Naq^y>U|YdbqnPYh;^_*;98`|b4)aasGPeee4gyl?%k z*N>zY?TPX|^}XCfxKUYBsZhiDipNw%J-(C<sg*`9rLQFy{raksd3oJK?wt1v+@33~ z{IO)uGPU)2H)YwhHXL`j>X5d8@0-+$?poQ9<JWz-*PHA8P&&=>OjymZ-+;ZCv)HiR zfd6vvnr+K!ot61&)-8LM8Md%~#@}L7<?Lf0Ur!QBp7c9>T6oyC=LXL|>j=;Ao}p!) z$oEY6SrTL7syi(|BfeBVk_vmJr2j95_uN?*-6zwQG(?@z^<{2MUD+J6GO;qHZAG+= zvx-}KN3)^iqoka+3W-T}JCA%aDcGRI8?(lTng8*n1II6za2V+DI`Q~7b0`Nn=(_zX z^I&ZJck;G#ql{Zh$AJ?(6D6i9^0}pVbQ?-OO3eB7M2Pv)frAH33Jf)PZFu~c<()4n z9JpY@VW`8aRN_0;XTPVpp6DgTe%q5ro@Q%r(3G6GKKH;ezFq8jO!Kc?3;(+y`S+)? z`Kt@Rz7<bf{H!Ma#lu?(MK_LVntp#i<w(1JNqSC@?CQ_*rjLxauWoLiQ?#))SkBD2 zG`KkYn8l5Q65{XoU2tA@P{gZ#?#+vbb<^C}Z+Og`<}Pn8DV$)P?6fD{r)76q@O0<p z@-uo5C>IyK4w1fbK(q1doJlV}F(*r}(B9UleP<n?T=A)+R(_Qcr>{gkVoa9NN$u&* zKQn#Px|O^!sbyaZ=HJ}7k~b!~>`P(4A)}d*noIh#Dz}PHu1Qy}=T~~f_s+|=Uw-AF zwG*>wb)$@8z{cmiR}Nb`ePus?oHyjrj7F;)`3v;YBv!OfY<b1M?zhAWmwe{Z3#T1o zznBE@&)sZNSDd@5(aXm$fPFIWm7^-mUkxT+_z|tlre%1|LAJ*@K>RW9mBTDfU%UA( z^Mv?X@?1IS!(3{3+QIgWTF8EljTanL#UxiWA7y)$)Y(||!hJ>E=4F!`xk?NJI@hti zS}?nD*NeOba#aGW;=jGfUce;3seIugp})Kv`Pd{@bT_iSN)%Z5i+906HZ6lA4ytWZ zE1DD8UM1~ltdekCQP=ylp^;0*Bw(wMaR7Te@0Fv1%wG$p1l)C>YY@;lnN6$kl!NRX zvjFjQo-2nVol4J_UUXp9lU#Acj=6NhNrzY+;{bm1O>cGToJ(BI%<8;LmNt5=F$!Q` z&3omj6!X^&lLPLaerpuac$ZCU<8g<pQP&+}dyE1~bv@)t?w8+nU|lD<;z%fSX~9v4 z*ch__{^=Z7Z6CQZi|$BRAoQH~%0Wx!(vMdhZ1?zI`hPFtU*R8<r0n+hQ);z6zlxiG zo_b?aOnk<@ZQC}zTCA07R{rDgru+J{jvPK?_ECCT_U+Htj%Ia#-O#r6BllM^?F|Q$ zRL!E+be|P_oyeVCYJDqnBhzl3u%zurciqU|DEDn+*!DHfV%i4BlT_6r*33E^v8Mgx znybm5c)CSrWNsANtaI&PPIqXrQukMb>07IK;uAJ9aqEVi&D9AzTc{Iuwp8cZ;h<}; z4F5MBT_w8UsMnqi5$ygt*N!@OfBn#~wW{yKjU?82QEQGEcb67iPl~nK9>K34x%#<C zzHZoqsYktJHb$_!>s~u**!{I&&ekfvqGL&{=c3jevFr}jcIz%Jypd!Z^Cahw+>gv| zQH#`#Lh8EL4sv#ve(XJ3RdG1VHtO#7h{kF$?Tv?$WOcSjh~L(^cG&3JtBpCkj&k{I zj_6z|_Bx^K=&l(V8|C;mh4q(M>x3ojKI*k*O9Xqg?zN+8-CsAX`&)i`U-G71w<C^v zi&s~?Ote@3I=8lAy56&omk!tbwMz(G^)l(<<!SRyo}WBxg@Ziv)QWtIrsi_CSBt#c z>%+ssmTc|4ar0qx>i+0E>&}}$k^X4$b783SzsG%Vvo}oJV5Rf&!|&Ap`%Zk3&(vPO zX2pf|h2MVO(O_Yl0d81*(6DsO6Z-Y|HNTtQhkF6beoS5D*JgZVz1Uo{_Y1ErOndlX zN#qWTL@~K_Gg|w9rwJx(-nm1uY_@C5y7{w>ls=xT;97aD@tCq_<J|e`V)C354?7*> z@JZ@9;&RMl#sLY_)Rdlf$)1mf-G+>5#%f0bkGWW+`Sl$!+gz~Wluk^J2{*s{nM21D zH**x6&~cJ6>E`&|v-Z%z=*NE-v&AZhA6`50cSY_KMmed<j_Gc43)e4X+WKp2&&RfB z(W~Q6NX&~-Qg`8-E2+F;ilNYHiN$O+$96;<l;GEve4H$r%(N!Wr^B?TbHj}}GM#m4 z4;M_1-0@>UeE0H0&iS9^?5bwEHprz3E}9+b@?xH-=+n~Px350Gm|J7%*nP^(a?NXp z1qXgTIdOyK>YP&!tZ5P}j`%Q#&YjY@Yewz@xn~!eJaZOYIH<#Q)uqRYf3n6G!<mN| zf;D&yryk<C?D6uoww>$$obZY3FZ$2BU|Y@mW&N3w)8FRsia!0d-K=QGtlYJ}ZVR|K z_aCWDliw<m+|#{TR%m{pnD6adr}jjp7J5ApTCSp3bn2j?-%g9Xpw`Ne{+vfrAvddn zG%j>ImGTF_c;NJ{%4~&E$+C%8E3PVj+~v<>zw*}#LH-krtBNWd7al0)x|%fKiQQkb z<YUW$TQbjrGz|KkI$cGqB0Ls$vqs*Ch-{sCbBamWwWPYT51HZNk@sdCfAS>S{nzAJ z(}Q!IW*oTk#F1O4{i+DpnuP&Lx~+WI0$(KAw#u1J5c2d<K65bcP>8nbnZuliYUZfu z9MC-EG)GP6&`h1xrxS$}nW8sMy_|1<$0zOxlgJLog-1%cWMdXzIBLmd8{?;!lBBV5 z>Xb_d%MQi7Q8;thw#Y`loRhnteS^Su&hCQM&X10^ef#<ASRe2FE35urk1{=d{bYIF zrl{2Csh{?CtSSF^XqmIk){Iiq`w@QY^v`PFey2C@W=Y5Mcoj9qjd`m+uYGc7gDb}! zKRyl4g=H7-?=zNYoZU0A;)u-IgUQEs>^LZM_VCvyHLH$#+%dd<?4aytIlXtYz6a!< z*SWIkk`B`yv-!$Lm_N%2%1O0m@%W!{O1&{dw{~*8VVd{-m(wMcKek!UYR;E<{79(S zgnxbS*YMZ_#>KfI=acRoK3e=)PA+anYyb2#!3V2;$|%Oo{NC~5*XJX_bH15MOu4o0 z@PqU9%iqLbeUdu+&+)8%YyP_wCpw><9Bp>x&X&}}2ahf5t?^Cei?z?bC$M9|{uco^ zCRkkD^zcE2?5TgbiHno}RLe}~{{8b~=XUYF73M5uTXH<!<lHRSusT!O?&G#)%tzGk z-P@#SxH$Fj!7mkyqmDekDKD>ZPr%~BCdI-H-~Ma-HgE0idnIOl<;f%Cw;5J0HOnUI zN((95UEbPd&b8G_@b)YxEB_<xo#rfl+j2bS<lYQenR%<@{MEfL89wgRJ0{s<e&x#c z)WZj7TFm|EcKpZjfOBHsw3mFYdDzifaxdfN1c?9(55>8)v&<d|ug|b@sCo2MS32v} zg-s6=%3>Z@EKdFtZTLMoI{w7_13x-GwjG!-jkomnk)<8xS8i=Oc|`r)wUUM(NA^aW zsr=fOWAQ>VzeFSDn^(=23g-t|r`wKfoyN=leYV-7&u6l%9BSrC7ji!0?Od!Y&Bu3q zX0N%`G5gppyEnuuZa&WCu9NaD<8<4RiPLyXCoJpzapd<bK7sia*{9o%Y?{W)?)~`8 zG3i#}`TySVpV}829ys-V#K()rpZ@$+<67%_RQ+d{|7X*R|4U!(Fnag6rq}5GzCVvQ z*?Y|R5q|RZ?W?BSa)0bt7JFOf*WV*j3K#CnEs&aMd*EQVd^B^6&5w`sr~dU+TCi_h zn0v^TYu8u*UvcZn>RZpXKYlxHumAh}v<+6Knk!cQjQHR3Zu<YFZ~QZ#xIgWEdiA98 zzMr4|YyF<5yYA_P^=B8FZZ&<fV*S29`KkBy1#cBSn0_+*`m%kiJ|%DE2oW@``1rJ5 z>-XYCD_7*+-nM7iCg0s{+n#21uHExb&thKv&-thSo%iu6uA9Bjpf5YVZu=YSn+t9& zQ?~j0iT`w+z4Gm6x6N*<$FEAwI%X#NzVOqPsXxDoZZ+-wy7lU&J^NlQzV(am>DOP< zV*Qh@>quUSxV>$a(*KQ7AI*;Jx9V%ZcH-Bc^tYc{s~#VmeqZ}{vU7@SY-w_6^xtN+ zRgZT3PhAu!x%k%f@aF~VO%s{QqlK5LWv=BcF0if)S39&yD?4<_sz<tZ4yjJ8cYNZu zx;T_BK6in4nMCi^($cR#`&ZfNFZuIpdVSEhSgB>pxwgta3eh)>7dfnJ{?yy_`0|OZ zX(935Cwu<y*|;(0y}f2-{nm=hvc?}z+w1;zmb$g=&*xpA^jBq{4pp~a7}9azN06e> z;~Nux)l@FsC1Ba3E2?C$ETrQ|M)A{bTenT07N5~wylmUTW!tv-vG$m-U#Sg>Tl`K% z)IjN`<u;Zrr{gX@j9(R+rnJuMY3URHO+E<^{I3*Qo-MNY=gq|G7obr%$%%crMoHl; zC;s%hnYt6b)HRnj8@B9}@Sf3L*z!}tKca!NrFF)a%{tu|{)WFiw`$?WJsV@4FY|`X z%s&%uvPv;I;#r%ni>P#cam4*IZzDLod34TBj5S`nK;Y&&)dkT-H&*5ZJnoX}y8YyJ z@s1zfn+10qk?1_#Q&`YysJG7O*kRLSKW-eK!En27ro>|{>5^~SpN?wfK3^J@@F7Uo zqGF|(!k&_izo*xSe3OrU;{G;EOKNpic9-j|<jYLexlu{4_3PKaDUT}YjXIsu5q06u zr|8uEvsJQ6zm>9amYh`TJQulS*^9_0kDR}Kkh_;YvA;Z0<Uy`j>kqYVr9CIFxUW5F z<Y?4>JyPX^R<}xA+Qeq|$S057#42~pbhXP%4Q#j_De}lq%yq}?mHkm6X-zVwk|&iK ze@Chm+IGv>oKX_*-*n>eW1UYQRl1pM&Mp!-ze(fJXP?>_nR6^VE!Uh?YCIUJVyMzB z!*f<i{P3m|hd=0iGF0kj>N&GWVDTo6LkoOrZDdZeR9g0&RBGH9xnvn{<dcLNv7Iv} zxXP)eP3-rK6j=~1*7{<KtDsf-tH+Ns)J~jV=q<)sa#pD`FY?KP>Sr$)&!u~Gyo+D% z*^(Ep&Q@R=H8tGi%7Wwi!p4!jpTEvuQ5m>z->$H=8>*w$9B7YMsXDplY~o9O;bOl< z#TBdm-_m}4b;<o#s`H}vM*WD|+dVz({llqOe^=ywWxN-$_f^?iyF=5~>%J6?Nb_Fr z^<U%m!>j)muZ>IUxoRzu{Z;Q+<lgp=VegZhuCmX__^Rj@RoilM+ZQjL?MuUX>w<II z!<8R~te!q2?JM81=)K*NVeb={UHvVQ@s+Xa)}_Y@u0?HAuIh!|+R`3s_BMH|FMCAt zWyNVXN?J;%+4(Noo|;~I_4@5C2iRs$yOD93&+O)w?z3iZ6T5wX&q%(^xa>wr$4WEV z#96A#`F=%|g<W3v(oEOj@G{l3TU(kV&E6($^R1qdbJ_0Mt&)aHGufnlzU~nTCs%gw zn>P3AmHdUi+&Y^wJCB;JWeb~KR(SkU@rumHxwFH9Yi9EmTwdmUXTwX5>-(P-zgjqd zX<XK?%Cki|rlNbZE(?X{+&Y*#yR7i!GTWFfneyT}QND7yR!1yn_Z3{36fFN&*D^Ht z!QN@ft6zSwn?3DD{$)PC8(X@Y&E7to;QQMm=Q3m8jgk&qGuekTRF^ZdMHERrDY#Sg z#^FJWulJlSne5ARZXL~>{jK2Gvf4RYUoyPUu{u&cyYIunNtXqfbuD>|UwqN-7JHF% zStvH=mSgzrvWsVz+3wjIV*Taf;ceSzJ-b=b5oad5@ca57bKE3yPi9VWmOs5wxpAMF z?#DAhZ%-Vwon2OVf0?b#hD>?>T&n}hv-v*WSf*_A)9T|Ji^bBPdQD%<`}4$~J;naa z>F{d{%F{N+2S&#?+r_KTyPp*i9(!-uwm8|%@2}t2{2g}dN_bshvs}EI-8a1t%lt28 zUHJd{$mi=#+2ND_@6n52_@rU|e`iUdpnA2qX2+SakIs8#pZcmEWhyb}q<7%9)tz4_ z_y~5J%Kj>SWE^z#_O$T&km&nis}mzbFV30&Fn+mqSn%quh}(AY>$Pl^CNJA{YgOAV zslC^i_(;CWl9n=!pZqdfYW3?7Gp^~n?OVmPH|PbwopLsDw=egb4Vj&D&E6(V_uYLX z_p+SW?JfN`&14h$eA{PaUlx28S){e`-lok_N6&80Y-Bal-FRV{tj?xP@y$864hzlx zw(-g`W}VG11y1K$9pdu#lg-)iW=&Y3c5YO{R9|nKGd5m6ne5i}c^CD{Zf<G6YxXv| z-<SPH_GQIyH%nUn+CMLTm9$t@J4>|uKvB-tuG@*1h1zp&9ZZ~ER(NEYt<2U;`QtfO z2MWEzY;rbjah9L0Yjz;3n|I^YB;}mnRv#HRZF+lUMe(EOofji|wM8rcO8Mpbw#s>A z+5h5@_Hg+3`B;r_>b^}6xlIeMCLBG#KlWSo%7$&FyszpE6HDjM*l^@k%Ar~J4Ycwz zPQ1QYefGRCbJ(N5%BEio+<2{f0_UnH%jl%{WS!^<6rXJP%E?IWfY|1hXBVb_D*oIe z_~NKU=e?f73+;w_y9|yw+aFu8R4@5TlkU%NzMC^|f0-7}$GkQ!VZv2!9<$$VtCn3q z(WVmb?(ysVY^FA~_&{&gnk!<_`+R(=W~K7J`)^~oJL}oUErrEJw{EQY5-ni5<M-zy zU$*XA_3ZMaYJc9++}ZzT9$xlD=DLi^2E(8C{ESw_Cg;xnH0u;!=+xf%FE(FKaQ?*G z?~<XGQ~PP^8kdNg?blykFTbXGpUcN>%NMSQ(;{{^4HI~S7x`Pgcyv7V()){R)6Omb zA6av-Ak^q~=Zs%nW)Uaf#Lv%PqrZODMHcN%l{$OYP4Y@+Osw2?g>@cp^t7`Mm#fX+ zep%h!%Uk-hCY3MPo1Ig~)9miF1*cxU`qXu7)wfEWb730<7W@~zzG2m~WF4=4#aD%b zC;#8EZR4t#vkx9`dcI*pXkk{<ja3$%Ki75@8|dFG-tu=x?w{?8Kbe|ZmUw?_;Z(jb z*HFk-;_-s#=Y_kSa=!>%>~?CrXYa3be^vsYGf&8av*$IYRwOOpn<urRJDu&-!<~)4 zDiRkk#z|##gtJLK{CeJ_RrS|}RV!N$C08+Qwfk|*LG_;03Q$M+(cZ?Y9|;TWtR%g} z7Hn>8dy&0BaM@+;Hw(CVLpT4BWYaR3EO)@wp3C;j@(afqxR`mC7#vaHQsz+$s8{{! z&BXewW~T1me}!MN;{&w{t~#i$lUUJwn(fu2NsU!IG8WkBNn|v9W|Mj}r_s&gx9G|Z zM{=SPuV$UUz`P=?`j&&LpX7?>$!xD4H8fV;NL*l7CY8|;%O;hyz0vK4v-X<=v9%kr zwpPDn3|W2Go&Q0STCTZVTEL5Bt5(KkffA1-TLstEfBl{M-;UK<q)zF@1N|PS2NNPa zWX!nP-On648o2pG!5N(z8S`$2-)EJMcy8_}cqQJc+9URBHHWFi-|izN8yl>zgkO8L z{^XngTASV5tM(qh8LyJIly%Mho9ouD3=2L}%y!c;WQzHf@)ZYv#;ZuX96tU_|JBnC zwpV?)LLMZ%dg2?>yXXJq2G(7(Hn@ljmtDBo5?f-Fc=f;ZspZo?vaJex&$deJE!(PV zuh>>id%?CU>=~QXqn1Xu7ys-h>n?cs_}Tggd;V*EJXh-^a&2|is@T5rrB1gV<gT2+ zRTHeWL@0ls*BK*W#i>7CGUKN6Wi^K{ZPxm*sU$!(uV!k6)g+En$Irj~_-FNzIp%90 zo1gvo2E>vLsF9ZS-|w}CQ`oRH_q24@Df^?7*9-ZJ1liq>=sxZvm=wM4nnnMOyP+I% zbyF*X?GmLw_3~cb+WYZ)^s3dj!b~4APVOo*{TTGB&S>th{i07xJHKW=^ez#v4>a;f zh<T~d`EhBpjE{!wlcY!bLfJ-t??3tyulxOd?NQ6d-D@WA_#B#~xbE6P-mhBMSN+~I z_tx@G^R-9vRM!U|eEGzD>XT(hxpe+(T}-~dwRgUo>01lqn={p?9$1rdd``$N@A-4R zyl?xTS}px`(mt!}0nL^#lg+FHn>+12SN`hywrc+Q`ej$QlqgO;^*Vdu)hi`&v!<40 z89ZHmL`k#kRmG~r>j_1-Ojf8?-+7g7@JC(q@(sVcx$?CktG`u#etd*y!Mfb`qQ6@& zoVNEY>HpPjdsJV3jxo2Xt1-8!w=uVApfR_&`k6zA3pWQmZj5BHnDu9!@7{lLzI^T+ z!WPamj)WePiBUdtwDge89knyZWe+jk@vUPHtG~VZ$K2K1ejW;*>lv>)?O|s_oBo$_ z&Dr~Smh8Xz?dtc_|AeK(|4i!Vn)Bi6|JZNoISG%r9kt5-gm<oA{Oa8L9|kL|;urqA zZ5IF0Gqlt-xioh7t2Ucss_M$TZ*Q$oepQnHqmz+)%}>uo&Kbun-xw4%&UMo({C8aK zUBY(5dq?Gzzi+r6;?wz5?M=c=&fO7NhvdX&Z|J|OCX>+3*?uGIkl;4e-P4)EVqKS> z`(x+F`R2dpqH52TGGc#b6f=E}R5$D|<$Jd2+)<fpl{5Y(FXIwo-*L;*;)JGnyT#Lo zT+3^G9&`yN*erMIc^uNR(cZ5lqW9}lQ{G<_7ra`2T+MxJi^iJ$$0F7o$mpIbk-Cx3 zEox1-pxEn$`;Y#5@h>#V$M?oD{a2q}OpM7iyLSD`%Rg6YVw%J2r|<B+9c~h~Wqn3; z+;r#jPiz*=<o;q*)OpYC-Gcs!yI*8Kk^3dNvp?@-Yt_eNujk4Hb#ISZFRy-0@vQmn z7f*^eKf9gN)9BS>8o>UT=gQFv=C1~dKYwhfQ2x4PY3YV`C-G{{FB|%u<bP}O6f_(X z2+x?P#Pc`u^Y^w@_Ge4iyq|ISZhelNEw_j2d&@*7eU8Z$Kl?imcm622{!deI*~D9= z=htucS({MbyQw}pA@}N?Al*07yB==i?F|*3UnltZux@j8a&76t6YBpgPqVZMFH3vZ zvhv%^q*kN%>OKz`5{1(L?Tom4%Iw$qiWQdckI1J_IB-TKYsHFn`o~}FKfAz8VydgC z#7x(zFXp;d@|<7PZ=lm{ckF`BFBa!bE4Z3Bzu2D~AXeMeSRb9^DYZ3w@#@ay_0v1D z*H5#y<neg7YNEsc{kmxzJBlJaXB^-<bcLtUz|>a3=PWPB=M60e^4*-=22(c(aC3HV zP>43|th;IOmrpQ}=`+XV8QwFREn9ZZ@SV{f+46ISXGDW!OY023h?dNot1Ms4<}EJT z;WgvHt^KEsI!#+FBYbCchqhEkc+Th-ZDEb@i|9ygagCUjyIAj6%Ib&DHmK}gt-WD} zL8ohr<qW48-K;H@GyG@tSGKUu@Q&!PY;m12ckQfp!JDfpEzg{K_iE+8PJ>R#7Rwoa zGrBWdDrb1j=x=RdwQ!5*Slr@jF=_3r{;fAx{j`)h_wE(9jFQhIg+xBS6o0`4-*09d z8?CyP)|^^kmi6I;%<A3)`_5>7JujZG>XX2oC^Vb1ctfXwxHRYI4UGo!uQ|C5nl=dR z<><a(9BtaU_ol(s*IyNU7FZ_=-Q_60Fx5ajmgDn<nFjK6Ik-P4UJkmoBJRCyqTsz$ z{Ux(zrt&YZ+S`6JHgx@W-bA5%j^Yoq48+%SeEu-WKwh7N`@@_K0_QopKbS<Diof0z z8oc-3<TvY9U9a|;aYXr$%pTP<M^lRU_$PCGHk`PDL70QvaNY)v$D4RB9bT6+<JH$= zLd(+rwRrZZojIs+D5gi{jC1~>8Xk3>0|tkjcvN)`O~|=g^X5$3%D8-kM5bnr$r2tj zni+2fv)q{Is<<sZuq9VAWTNwb9ibP~EmK=xeeL^iaGJ&MkHO~EF8AMDykcY99kb_O zy|G7c<;~=u7IRz~`%)%KRcz8Yv{7CxTxIIj)}_b&XD7dU&2^rWFLmO9gF2HeCb;sI zrB3Xg8~G&BUF_$LX|9ah(gHhF?Q`|2Yv)F#Bo+(3Ft0LO+IZmDe6f1&MGQp|6BU_G z8@nV$wpm2XQq<d)($RkW<z(+14`%k)mmNwYGan@8O8tnK%*GhD+_57xQy?*w>&ml& zO;2ZKD-^tHKe_3(xvO4!$APIl6L-u~<Xe~8(fwNT(L=XiPlT3VK5%fRNkO3*ugx59 zW_k9@3I|$EI0_AUm12H9+^?;z-BiPSPknPp{7=?AHD;?PAKxuMy4x!L=8b3FyFRtC z?fIMev2k*e==>?yuRK{T{bl~gpT~5r%*YhWdSJqBS~aUx%IWN(<H4IbE<8<l6HNMe zzH9z-m6m_PvOB&yZ=Sg0tOR?x#N#C6WE-92p8lsjoEw@96~oNpb8|z^Z+MV?w0*hM zm1{L2Uki8t`t(Ec<)8VY_T`~osgEDOs(JWom2%kCgt*W7D>d(ass3F&TfY6}{llyB z;$K)+o9s7P|34#ZU2^GhnPcYm5?V%$oc}{=HeEPVG{bY@febFywBQxjE{g1$;k~fE zQsmbR|HKAXk+ukr#1_{j(}aXMiw!yrOq-R?92PlL<D;r`z~s=Ctoa)_jE#Kk|3&%C zI55fQ>7(OK^8Y3}H2RqYEi!K9+ZFI)k#?)xuD}<Ity>wZ0wflxw+dEGjPlY^J#*0L zP>hcHnZsO%YQ8Ay9Ju}L`7&3rN3w~6_m)r6{_^zH!tzyWh9S1E?8V;i6q|AQLj9tU zgc*%qJmvxH$~+;yb-Y&&YcPK`Jng_d$KZm1I<Ltg&8S3E+a(tq?YUyVEWdD=fvc8h ziNOH{E@z%)0lwEa2i*5qt|?+Md2M=)cC2YY<6}0h!n+Q#cMJl=&3UgJ)@A-$c-w*b zj^PD?a$b`|wo!?ln?<ZF+!uC#7OC3du&}>dgl&gQV#jF_w;hw(7A@Yl{avue2Zh-4 z63;fAb6~wDy<*lasTIw=Y_F0gH&)%qSzxzKVnr0UL`Fw0n^dCp+6_mXxnyk?T{xP| zb+xP2Nq)6P$mU`$=QRrr4&BnZ^8K0@7i(GCYsvf3?K~k5HZ*$47zVJr^Ikb>$o#cH z|E~1$E5}8cc@3^QIQtl1;JAGGvExJG6|pAVd}YBelK5NYz6HHVmTzVJ7A*0Ip;eG? z*}^v~-mjH>c_>@Mz!>C4gPRVlV$v&)2r-9h2QZgzJmXNi#^3^jHgAZoe5R^OTHuQW zvsS%t|G69Go?Y^g|E$4dF#iw-_vV26QrQ|J5wq6@)ntD$3TV8?rgibSgX|a60P(*( zSDfpazZxEJVCFHoAkfTXaws7xv9nafD#CwZH}g&I#reI8CYRjJxtL3q8@TXuDSz>C zUcPvr>8>CR0~4^L&N;BENv=4e#9Uf%&>=R)BtU*TugL*XX5PZ7VNOXBt$c04FOt%K zab>(nRO$U<*ma0uv1X5f8TZ$<g>#)6rEh8--F{4&S+pW&fsjAXm4m^|r612Y)b266 zz>v=qQo4JKkdV1%NkO-h`0h<7ubutN*ZZY#-XVtXnmr#3c<=V@O3hyukl?+`%kWI% zV(`>%=VG>34`wv(vdCM&=qH)cae2!Qp=iyL4ZTj{yDw*6y>MJkN-`l|?A5f&X-<vB zH=B;>7GGblDS9J!fzWZ@5Y^KTR~b?l*r`cpG`O-!CB0hjsj9Lo=*0r*)~vosz6<ka z+GZ^2pC`ih!ZoqQF7w4v-D0a&CgV$?U5R|Fc|sDlHhQfw3}DaZy>irwnb+XSd`(`% zFY`SMRi9n9vWRe4*v<WNOV*2I&0d}jHr%DBWv1Hh&YPLMiM2O6EuC4kV%9wM;~|e` zHd_5S<`BEb^a6uFkBN(TR7~ea5i1Gzh27paQ(itZo9xCmV`^L7olU!fG%o1QohI>Y z!)*uKIVKkvp7WX<Vy!X~x77&w&fTi`D{bMvTj81_5tD6eYqo|<uGnSD6Oy#9aaHtT zhuAgd0sPWDCI{S@c{g5mP=2!@bn*=Mh0U!ZyCPf`_CFP2i*QWrn3^eZ<ZP;TYgWe@ zr`p(c0U8CGvF9aLeLU#E+9$E%NFsA-!3l?08IubPzj;iK#6`t)c8ge9OxpL*q@-|) zll=1+?-<W5OO#k%>M!<+XP=M0kEuw-#COg~8V0tp%V&7`m<6y;ez8+!-sNITi+Ss& zwCVXRNfeo0@A7L#V9Y<um**m#8zWyPs<dT2N?9OO&3olwVpT-vOp#UpjG{wg|9$*F zsitJD{Ea6YHvjy4Pf7U3M7yMg+%;3zEpK}@DPV<=*TUv>kzG677PcQ3`BmYuaMryb ziAQ>^g6~ZB3&ykv-S#{yo1=E-sM;YL9<?*atBd*=-g9t&oVI}@-^geC!rLvJcTR=q zy>o6$*9*V1rY%szaEcSVvqs6qRww>ujW3214lyum@LZgKh~ux(%qzOT*jDb0S|Io= ziRtF`!xe{WdX#kzL>zMJQPVkeB4pvxe=Lga`yyAYzdrHGDup(t_Tbe`Od>Zj7YJGN zTsc@)718M|V)bJ7uII@w7Tw>!gik6xHX-R(h~d;32@Cj+Ek7T+!{zVg=UOp~8AWzU z%xG&(w{F%bF|^?2KK<yT$S#S=ZLO)Ns<>ivJPse)Q|P`*{)+$eq8C%L;y(tv)Ohsr z7@kgawvv_N>RAww#M8>B7r5e=BbV)+WfzV!b20B(WN<`{OZkmgZN<ukM`VJE*^`6h z+p?Y|=_JY>6MUA;XX)O++R_@~7twN6a@qUUcUw5$oDNwR7eBN0<?c-vE~y7<Y;1C3 z*VYVq+wOGr_wuTT62Bxo6B`;u+GaQ=w%nY#dO`lCze}F+`3XFGq>?CiPT<+%>Q(iZ zbsX{!IlWQVIkY82Y0eJYhnN0)l}WJ9I6ULZouv-8J|-6!t_OeK!qi(}JayrWT@h{z z`vtc|D7vLC%rg?-oZ5T)%;YyeA9Me?<iNbg>;lLAl<uYLPuOx@-Rqs$;hwo-e*3e6 zhxLLQ1$MEG63;g1&b>CH$|7rlU7tio12dacVzO0xYx@4V8ecBVbdnF&;Mv%Eh$DJ) z!2Qq9H*sDF|Bz$G_)#=wnr>YU>vicB{roSrZ+v-DHlfi?V%lDVU%SpNYyE04-3e68 zZk%z5!~4>P4>sT2cCGX6_lRE_=D_;u;nv1q70C+}?@4B~d|%n9w=C<4ulj-yPfD0t zUtL>fa6p91*=K1$scz(o=mUK@Os`6r`j+X3`q;`C2go1iH94Zm+*>e9?4V;gSL~NX z7o79Cn7=FzINPY%W1zsxecpEaa^Zj)k(qjRKDIeV0rInXO^%o`_imUgcJN>-SL~VP z7Y;LVG3zWdI3mQQtaH}sF4L}m^)s7}=`Q$wKls(c{>EP~vKJ`+lFVrNSMT5T;K7OY z93>Zf-Kt*rB{s;3w7u|5Y>CT^sIdE~cb8Lap8q~y^X_HOCp%v*&8o7qJmXNyV}5}_ znI~jzUB=EUw<b98Gi!b^>^LOQtl49r!d=S!Lv1#LE!UotY6^0!a(}vl`1yIS99L%M z{cyuU*~W8w!=cMZ1Gj9Di@V?<U#`hh*nf!Q`{fNEPJAr2Vr+HqTYrhOBav_YrK9~T zrKEU5czM~R9!N9yewa4x^wD50Tbsofju&$|-&tsI=(JAHeC9Oa1<?n(bC@2987SOs zNPd;r+4w6WYXRdl$&8k#FS}zap8f0-yLPym>*|!|LjrSO7%G?e9X@pV;`5igvR1e^ zmUt$=O5E7^>qf!?MKh_47T+x#d|?4El2lve!U81{Oj{MN1xO_3ioFv1pfkInYHq{a zn~#o*SMO^4C6T;9@t9ObOW_s{KC|E#N!+b++kzw#q+1oY1xqBlONq_XxA#?daGu(* z^5vuBR}Pyne>J@2a8>JygYuart_243oJ@t61e|5j<T2<xBrsXC$3T_4lzYc~UxzzP zVkzD7+Z$ThUL{X#Y>UWWAh>MV`|F1;e~H`@lcnphu-#IGZH9MZN2Q3{jQ`(HPAyL~ zw0ImIsb*jt>vgthhTFmeNnEPa0$wC&wq{-QUD*Cq<X41aV#8FCwg}h6ma9vq32o*m zHkfT7Ud$2PZFK0$tey=FpE<cVHf-SFHul+m#<Yb~=TwNE+-$ZW4TBD+PDT+c3D<?) z7e%ThoEP?Q6k(HaPwe<8;x@w}OVe<M0lP9svEdv8Q*G5V#{&*A@hJM7y~`PF{9Qy_ zXTG|Snt^8M&KXY&x||v>i+Ighc;R3qSL~d{7Y<i))y6C^I1tF?9J9#aP->8O=SfKC zZ(>X34v!iA$t|oFei0qQEv^<b6F;UuZsCkM8=|)_{aUcbhDN8(UJ<JsE(^QQid5Zj zTG+o<WYxquPNtKBSGW}gd3R>FSnlwf(JkFlxx;fte{>7$4&R85xh<{{)00}+`La2M zZ%kbkbEe3`XW@YoF4efd%#D0zK`)YoTjk7xUnFz4GHwf!NRn<9-1gsj+ccrwo@Zs> zD4sbQcgW_A@|ojxhnQp(bdES4Qj$5f;YVb|vNONt-JH2HrsllNqJRemtwQb^B?VKQ z#E)x)oYvy1J+s8%fDV`QnPmotWP-dqV_Ph5_|E9&ZK=HBJ)^(2h4qGiL<e(=tHq3@ zR(F$PPT?8TSH)arp7m6OYt4dyB-K{Fu)r5drmb?<0$wERwlZD|T%mSU#O;Q2mZsr+ z19onX;*Apw_`5kiZ=A7#!I*=4<CF~?r;T*tOa;_FC<O2PF|X2d;Q?DN)wtjn4|rSk z_AR_{IGU?=&tiiE)m+Xs3k;l=Us+xw<z=H{TK=KKK)j#x^M@7#d4Eps4?P<M&U1Eu zP&&%Rztw8_shEmoS5?1O=3Q1^`+23*@qh<RtwQ3OB?X;M;>R_=6tp_Yhimc_^d1tp zuGv%2d?n_QQ6j9&_Y-{f*d&qhoPgLPokYQNsWbMa=LxA5XoH-$b6(W$V*wA?T48Oy z?V4W-+MPf}LqX>u0Z?O4Ve7GL0gud9?rgSh*}21eM*GH=pF4cc2|nOTR9q({_AshT zRF2tB#pglbsv^VFiTtfh)tXaoxG!w}E3(VNVPSi-$S(_*#D>EnZ5B?6EsK{-6YA$I z{?K9|?$7!8Lyv*{c~0&RO&bJ`b98U8KK#XYbGJd`x|yerem_&m6`Jq1@YPw3l7fCG z@#Y}&bRJutWfzVMa53{NH8^6xrOe~MwUAe}Z}P0w_j(Mj&K6u&Wqc?k-)+XLvmC*D zB@<Vfdv9DOUL2g-H$Oiq1?)PZR;J0CQ)W0VY+fm{Ylhpx_Lm~RUU(%ogs)sJdstw- zX3vMVD=|qTD|a?uY}qN{Jfpp_<)?&uM8n6H))@{FEuE5L_3Nf<Zr+!EFHKAQzVTh- zot^Jz%87V=S#rTqpDXsuvJ1}gT(w`88aUW<Ie%GhaEKwOxU+o8GroJm&mJ)+%2`c( z{PTrRM1x*SYlUZ@_`=)vBJ~URtXdZMUqAkTV5O^~lkTVb)6tEe_v`+<HR0g1f-}ol z`)+NCntf|abFA6hq<-J(8@ZS5%5If3$ePI}&G&V`k$-aK5zEu(jM|yabPFylQ{}t4 zrFpN}+eb~l)fIV{?dIJqX;^M1`>4~`y&~u2%I3|}=FXLoySe3n^XzFA377fa-QLn& zZT9xzI^W+rk}osn-7e{fHj{n0Om(^5xrnl|;vI>XnXczXJ(}ffy(8<g-ntuG+E1Ik zeLTsReMiR0uJ+4fuOCl2%4U(dQPD3Vr{(c}-G46)vaG|Ntv<c~L}JZJiAK)-y8i@q z%+%UvE^TH>o60w7My%C|gL5u9>OGsr_sL*=$%Ou&OPiT=G-O4R++Hr=NcM^o?d(+L zU*gtwacMJ)+f=?u5|LIX4&J!rs3$g!@6(38B@_B{mo_uy=*o&DdB0r1G21&%lzp<7 zoJX(jY%g}s935GejSEXAG=E*%9J5AS_DN#yO9gplFLu_5U@MIyuP!+XhE3h0rKdLC zW`fk*uxWfk5rI}7NtG`Z*iU=0?~I7DI&pZ?B}Y54>3m!pR;1|J`e;f%PR=?xgW<B* zv6dNeMrwz4+!y=*W2xdYtM;?|H&!<O-LLu2({l0o#M!nE39D@#lDcgV9Mr0A=r;Yv zz`yz}qg~i7W~Mb8*jpmf`8zh;o0ZvFdyRR;#jIvqhp(OA7&?8wF|cR9Wz^%l!E6(= zo&Cp-G=7ePt8xO5x@;8=rDiX?I_qo|gDF$&CU%aPE$kjK8`v!@^7#u2&&fS_xW@Lt zami|jhRfd?1eU*H6!eQSew)<)l_4v{GLc`vB9DK9MH+uW!4<g&k2-7*98Rom=y(3s zz`(w?^3#UfuWH|H`o&<q*6s-3>i!LX%|T0Du8AL;B=c*#l2^ik{C{iS_<OlNHP~dD znDy=MEQ8LAYdMPzmYF8%9owdM=J1YdEk7mh&)UEs93?E4<dS_z=}YF(t&=2fbTzM> zxV$|35KGloHJ?T4*@tAl<oeF&?p(|HdBYylM7wEQ)pQPAy4KPfacR~Dj_&BfwK6<e zM-N`Pv2}%MA`^GCu-e82ripydwyT{vy60L;<&4|24CHO2gvAp4vJW|($@7h9iCnuQ zOpk5*qlBz4vv1BaXp~&bIeEstSqAKvqlBL&Wn~|_QnlPP(N1l<n$CeGVJX)SPY84Q zDRHZ71;gPeLD8fN?L|soe)p!@hTYw`EjF2NdVQ>x+k;E%b-OP*`S7KCv2)JRl2y6* z(_FP#W{*~_iuihO_R5N2s}sk~mo_u)(Ulc>6#H@k$9wNM(avsAVm-ybw3)?fs?QE7 z)%a<4lbZFG_CHya{ZfJdt{3~y7jae^2mW4S<uBY?63~*Zy1uKKTa|ywu_LdSHnZeS z;hVGrl)~3ua@5P4&iAQcdC7$S-AkL9?r6&{3A?tmS;<DDGBjq6ex-uxFIyd1l@BXQ zCLDQu$&qi~6uzR5TS_Lhr!Q@;vC)?mdEk07rphAR=-BbjNrsGm(|R}yccu8WY~KHG z@}~DihFhz8s{cq=x9nQA|L5gR_FG(^6f6(vIIuQIQK-2-TIq=6`XEKUs_9%GFDwt~ z=-;>0iRp{BsL-PNl@mCa>$1=9KXO=TX0Y<j147ROAKzFIq?olfT<gfe2|<c_ZPU0u z8mtNF=zqA>iD{4a)ae5L-mNYbVT=A+R|Gs#$U0LMr{(g%b)|r5w}$AVw6dj6SN5z6 z>6mqODpz3ft{}xMw{R_$Ba?#^v&_Pk{_DM)ZqXq%*KP_|;O8Aeyk5ONbG=(vSjMar zFm2WrUGyw}sneA=YePI5<W#Hp_`iESxpur)NRTmaS_enrZWou9-TQy~-Q51D<5kwp zWqUSl+O<nBKE7Yv{#V(}_o@}ijQ0NnKkCbC#l(hw&U8+$Oy1olGjC?=f#A&(Dw4$b z<Yu>ayL$$P$KQ^K4vb#w{nbG8)o0P4tG@lL(v@4{IyWlr+V!l$`CZ2%?s#{#)%d1X zI@kU){>vEmS-v?(sB*5a>bJjJeq0w0cG`dW+hrHNtt+#m<34JB`(rZkQ(GBt>8UGM z$_~5>sc5`j*R}hkkZD~=U}*4F4XID_ANDzV=$`wx;>WtBVpmJQioV^r_viY&tZ$W} zsikt;>q7nnZ@6kYKP;qv*7}2I<^MX~+|Iq?+v8PScip6{wrT!(ma)BWj_`|nA9ttT zH{315_8}-iL0^^a<E4WMal0fAdGkA0zD$<TXXbgH+99~7$6(Ugc`p3dEMJ_o65ku~ ze4+X4nlFj54g1z`U+gc<+VCTL^X2u?{Yl?0uaE6dn<TcsuJ-ZzNX>%D(}lKIynJA$ zAA9HF()QhZz7+OP&$2v!T7bVo@}bb|$WFtYG})fix!vt=3~gi5=Jso^;Vzh3s<>{W z-l5Xur>%KmmNt);9(cT8Z{G}$RK9$jum{rJQ!BDJ^4*JE)BR7j@^#|cqrW23H!@y} z>M4lTKXx(XM-~RQFFE>xxs};^!+~KD5-Y-SZ%|Vfr4Pk6qIR<BEgIU1sl4c`+}; zc>4Yw+P9K7GF9t_CCxu-bt8YH9$&<o_GYoyk0%^uv&h+~*cXx0vbaV`b=!wa2gBla z-8kmWf4lN!GP^$Wn<uFqv3q(p9Mk!l|Ll1|&vd5aXMc95@&)ULCCobN<+DA4-B{<^ zQJ?Ow2B(v1&uqKFP^@Ei#G<>`px=MV5zaWP8K=F)A6LFiWYVwod6L@TxrfJa=5)bj z1wr3^KUZpQXgD2z$9(OUh{jMc?TxpRWMvHdOE!jn_U{fg4(JXo4(JZu9MB#5IiS1u z!hIVik$*V{oa|2XiG93uG%2ns;*htzcco;4q`tGx^VAm6w3YRZF>808@znT}^~<67 z->f>@+9^j@{oHkQ)z1}2S4plsx=SK`qujCRHT|4o(g}->w#`V{D5&<iGvsw}+^Vmd z`d5EVnVwa9%6qHb)1^`KKN-3BgM`&f@0+wvXA0i==V<Na#rq$vopmsJ&8%rrYi3Q4 zS~F`#)S6i{qt?ut6}4v8?5LcM+hVKJme(Iu3rdojpUS@ehwar_Q>SO0J>|VM?dj5} z&7XqSMpjBbTp0N(-YA6IIBr$xOw0O>XBN)hx%Oz-g55{GzHEqKSJnyh_0bJG>!Tay z>#G}f)>k*o*H72%P+oEK#W3B(pE|2Wb@XHRJYU+*zvs)vPW^y}b9;C$woVt^RTT8y zx4L5GwbYn@kM_NsadegBo};TWS01&p$lR#s7r6%9IO#dcW|6&7@myq1%jX)Ut3uPJ zXR)64-rDeVY1IBtLAvpkE5p|B;n_HKde+;lX}`pki+3FGZtQ;c=V*}c1KqH*4|KzP zy>-LRdg~&)((FJ<cj)Bc?$FJ_b`yn8SF8-%uqT8&B5u`JZT+jCrcTfLdg`=5aplTu z>*idkz3dgYs#J3N{vG+ZayBwWgEoUy`ie)hfB)aMT~97zO?$i8>&Fw1vQ^}4RGb%) z)3Ut&uv!qv<JJ*}y~VRDUna`x*RFY<+Q7SqXXC`_f@U+9{!2Y4GjHZ(f&c3?=FR>r zep=>U^qK?g-BVwDv9EZ&VDr&kFOoLO?TT8{pC=~0VE56s7l|7MtN!tuO%&4qp<`Wf z(p!9e#mk4L`n7u=rZ!aX;rTdiy5POSpzpqWcc{GB_tNIN(xZJZmmKAqvnitUve@ef zJx6z0WN(x^7rCaNSxovt)6upa85;%lK1NQnIN{CSUh(pgfxfNG!=?R;_wW?-Ojqpt zY;=fo^OgM#vks@mam5%u*Pmv2XKTb(xh)Z$k<WhHY~xFdUej$U_BwIh(O)ytH!?np z&gozklTKXtbK8}$M_Th!*{@f;d}O6>d*{K@{@HtY3c9B&=6yCgB<uHTU#8%6mb>P< z&pwHN_g$?Ume70DYt7aO_SZVsj#hPl-Ec6eHfPHXhS@r1N7A}`H?;aMIU*Ei6>-X2 z{B*_3L`(hJGfz?*MECG)oHSi9Z06E`OK-}!eGFRA4@#MdD~@vUY>w!BDE2y`<>)Sn z%#CtY(QEoIib*H*9Bq@x-Y9tNW9O6?r@h(#R=iwfuW!rqU}=Bj9v*{^>56QhjSdNb zoM)rYs#fr``}Z>6XB&04G3lRKz3qnAo-GmV>vgUj&F=pC;aE~_P4Y&@dr>(Z<zmtg z_x}8>ovW($DYDF<bGn||=Szo0;(pCIb(-OFg=CVIzVaD^(;F7Qn{78^@`9xf>c!Qa zseF5N!@g#Vt<DSU4lQ4Obk*&vNmu`7ZG6?XHKO!*)S6wXx?y)WKl|CTO=xcA%LUx} zu_aHJwm<)K^z+5J_l+I+<Jw-FJk7D!V&(5;(lL!C_e)OIm><KI+^-$>><$eM?hY*u zMkMzv&ePsoo1QL>I`AoIZG7d+$2R&`Urn8!_3@PV)-qG?zo$=TB_8u`tbX?As8M^~ zvue|AOx`+SNh^<9MWk)in-;yMT~zFK^3tPh5vdy$!=iIqOrKSUMR)$LnI>!VU}<;z zo-ZFdrrXJVHaZ|2*H&@rG{<?6L$}5VmE7ObcRK!#dG7XzMp-fKjhB;T-)xEy->rM? zuw3`ojn|Wy-)z1i@LSjHkcizxq1Tl!6PWd5bDk`1Kf343#+lO@w|y`=5*Fun<D2=i z@?&3Tmss*7&plA^*>UUXL?(S!wNF7wLi(~k&z5#C-1Eg?>U6thAB_%_#I?;hc$&lb z<{QtRgt^TV*AyFemCCtoK6hN=8mq+VT>_6I#1m~EmzbM$mojahx#Z~F3qSTJERFj9 zF=%aS#mZ|&dqT1$<5q3u(Z3qiHa$!Cu=mz!50^%r{=+)mw$m~vP4-Oc-0sRXpAF`h z+KFw@JK%J!HR8xFj`W`V?H+%xHp!$(84G(iM%E|3+RSa#epGDrGiSV5dZGP%kItKW zN(|bk>mB=e>99@QuNmi0GjLZ(CMoJG>pWWWuH)YShN(>QbqAj4zw4?hyxIGE(LFKk zi^r2>zif>V|EqKDu(kKhTAmGWq!Sh#ZIejZD9H9Xa@va{-t2QLUoPU-w=H?Pv_EeT z&xN_u6{|iNIr(qC;vC24T%l=jWzPPHX9m}kSpA~c9FgoUEx48xJ7;qQ|8w1I$6331 z3oa%($85U6G5x2D7tiCR%@6mK7&c9pbNh7ZxJDeC#M#pV$rX}`I_jnOOIoKhg@bD# z+iuYv`5T4!b*>$B?k@d!BFWZfTZFv7j@g0WZr+b)l9X+p3u_kkPUl<q`O;C|xGIaI z-tyZkBp;aRJKuSj+Oj)s<^HG%hx6jN)|i6Ipq$MSjc>)YHy%!seX}J(e7DZE!&2Q} zHy%%7ezWz4z;7M1Lv^5}Q}HsvRzEi9!P55LJzq9<PG>axY;;5{&h5r2&%5Tb50)Nq z)T=MN|K)&<bLZLvA>C7Fq;2F|7QLohQtWl&vZKFdq;6zPi_Yn=6q8O|SIM+$?GZ)0 z(|mlNFC7((tFk!dEq}a1@_~iE^PC5%Et4TGv?&&Pq#u#64_pYf9_3oIC89G_>~+G{ zqq`!KH_Ba$TGL-DCY`YNXxoj1je=&MI;TV&@Mh<(e3>MuZ|n1LX}{_oo()r`D^B}p zbf^ea2x;iE?kWToLjT0H4Gtu!vPG?Fb`*P^wBcx#MAAmPT~Rp=iDJ@8JC3?Z98?cl zWUVjz<-yYKd3(NG=$>v@_1VZlKCbP>>C+s4>k_KOmbxF5aogmVFy-fhTTcyUPG{<_ z)GX|r&ZqbJ(oxa4svW1i<*!#rKCsevzVjfpWp>Ut6}Qdj4oY0xDRJ70|8d0gWSiGa zJ_)fMool)cItzD6?>cJLc-!aFBfl4%`mFOl1wE3~m$iAmv|D`7mk*Ps+r@n}I*=XL zR&n?=$NddAC+|3H#a<ry{E^&in>*=q`@7e0f0$6JD7R7XQ1ar-i=+ASBh?E|%-C{c zrKy}g>$eX<N&5P-Zyqo0{=4T(LBn)AzE4I6)Z*GK&Yb3OzwyR1Cv9%C?waC_Q%mKx zZ9I3p>>BHhgS!N*BgGTNAD7H8o>Izme8!TawdX41xMChGJ>vWLh~2cTYsr69)Td=# zI_z!w{Nd96g?mDb<>FRx^6Ou%dA@$f8&8>Z<JS*ZUdPNyncE(`=5yh!QpR%|^o~Sc zbG1k>`6WFiYhLo2>OZDIJw|G}Wm)qMdpBzCnKI*)H~Zy^mq}Lowr3tJ?Vq`a$Dn(< zV%le;Lo#O7S@RBCnVwIaJL~xdzq7>=%b!`U;Wn613KgF7uK3v|zXZ9-TXvkU{5pM& zNX1$2PV+q_A9(d+_dH$NUcTqc$9dBk?LGwgGFM10G@fnS>9?l%!w-GS=Z`pF+t{Se z?N49B{b5e2V%!G3L*9!o-|soIuzO?O$Lr1)uihw1n(k~L$Mxm;(jyFURx=KGi~j~~ z)YPw)d63%ByoaZ-W4a*U=9`mW9J6A-8~J<@`)ivoDRcYd)^J~#U8?wNgPv3Tv6ptw zIbO5$8F_a9o>RO>#NxbnC-<I`f{D}h^gdoXoErCQ$Dz{<;gyn)r1X_z(l@IY_LTDV zZ9aFD^IE0FNh|r~5#kR_UOT->jcwWNwtWA`8HahVao#ae(=D^qdA#&MQJmMB2TPl$ z?kU;WHC-<3^QGfbacmK%PYZmmluWdpZQB{Wrg%eNsh-$|bBCR-{fs!Wi=jJGJc;wQ zl1}QJcg1EK{1TKwVR!SWcjMhXQ*IpgW}n`BxhrCq#6fR<<;s`IBKpid&r&-K_w*QC zv*5oFlq5Vml6`jM^Ca%qHaV$t`*YWDZ<t%EShhj$kl*6V_fyU!&e{0x<8@2Jdo#A& zcxuo*oyoXT)3AFwU)twOM`hxwW}Nnx|6C!NV5je_^B}dQHRqd(+J<unU9Rn%am0#$ za^&-5uGdUHsj(do*K`}qE&Le%AyR$A49}=L&-WPHP3>HIpgPX0=E2ftzdx3-CC`?& z>+Sh+aq4u&T_25H%H!NB5^MaUygOBM(qz9R%<Z23#?W?8(%k;*Yq&qOl`8H_jBVNO zwtWA>8P5L6vX9nhE}Cwbx$$(Ofj(>7hoB@4eOaEzOS?1od?}bR-LCJW(SgFaHj9I& zIn-~y@%)lDx7lt@@x|$-a=$j7bFaU~Dsf<!0CS{xq5vqjbd@qKp9#t>qH$a?PnRAs zjkDTu&Rg8P;^jj%{n|T^QX6jX;VEpNF8FTK&B+#rt=Q8epFfg$Z8Il*Za?=L?t+P> zih3LM4hb&4eBb8GL%G*1@1!S-?Uo7q9F)MTuX^oM&|0gCmr1tzwmA=$_V@1L+0Z#% z(d@I)Au+RRA^wQx4-{X=<fP7RPhInQ<D62)unl@gyso)M9JRRnTqkwz0W-DC!ugx$ zPiJDU&@7xVosaM1rK5>)RWGvse9P6TEqRy<?n!h`7qpZ3zpj6p(CwRNtZp2%61R?g zo+$ph=1p2`gY6pbjnhj7zx{n$ui^aWR@m0H|M%?MvuTrEyR`kHkOx(t=b!PuAaic! zh6B06(<DCqzu>$&@Kk{ldpPfvqoT}T3vN2p#ux=$b(GHN;AWG0Sko3Z&3xMPf|ue` zxMKdf?mA_7_F*3<_Z`#3&T6$c5B72Hu1GkvirFYpzShUWAzqm8;`tWkFXmTbA9X24 zJTo}Z!pb&l!x07HGJ_i}v0uy*`JH`l95)c=Gq}^@++*;NLz%ZU{OuKiH%|;swXnL) z-r$lhT=wDDbGA2+&i=oDqtfkUVe0q0Hpibw$ep|te<^C4O7tY%lhbm%Uxn|q<4coz zAakySzgp_RC*gBJcb+D37KPjWQ)_WNr_$ljz|uLVSfSHJXl92BgOa<Dg5#4p9xnS| zy?Xa**Vpwk{~kL0{rCN<kKd}l?|Z3dkn>pZFrS?9n@607<>nc!*?uTq{dnF(>r1)X z&1G$(bqb-;Y;1<v!p)M~3|bPUoh8c*dJ@GCOMWwGN|b+ma@TDO|DLxz(+t*Zn3BkR zTEcAOoJ4MIiESGvC9<!UDBCzIk-uB=+ebmk-OcYiH%>lyoJV_2;*A4QZN6)gZyc&> z%gsr+aWJPX)<<=5VPRVUzc}aD!k6Y#>qAYJ+?2W3C!b||IJiV+Vb$wu)obe~q#5)i zwz7W=HaWu9HenU-9?un>8(X3zoLBT-Y<ZR393ZaDc~xG)sg_6FWX4GzHsMtU9%o!S z(>^So(J6Gzn(HvzzJwb`(s^FDv?fZ=H+Yl4d|2<A@tZ{1!&WK%$3<1w@G}~f)po5@ zEPWCDCAp2a=E#Ole#vbcW+h6mmbf-wt1Wg-!i~d4ZM8be$>taRj;-^*xO53$ZI@o+ zjRQ$-zB)-a4mq{u>LlDaSko4JCi%wUn6}z8ipkqQ^hmr8E>S(qr7mGsFeg#?xWu-C zDT&hV5@iK562;pkz7<SJl#idd@pgq*&sWW=hQ!vtJg=urP85ze%1Kl{Z1&CQO#=U6 ztEWjf4(qkmzEMdo|Ih+5k^L~&eB(8r1KNCZl5ZReYs<|^ym2tCEjCAKapA`q0sQ?O zUq5d6m0#Q*GJlbCc7Jn{skmy$^F>={ySBcHnUdH#nMc~fan-e*8VjwCsfRpY)bc83 zO2FK1p;g6!PNjNYE6%yH@}>p3^Q~81dFaCRN6vZ|dF1|gCA7NpNbfklq0@Lx9`|p_ zZG{bq?D2+g9w{7_<1>8o*g$f3Uth;YJJ)`LH3d@=nU71D70yZIR+rdTI4O~RxkTB= z2|nBHT)!J;%g0aN__}7|m4*Hn^F3sLiP)ar;P*`O+I+^gQmzvl&h<%L>tsHxr)RLn zxuC6-$M0BPqJZJG<u6j(MAsSQJkUC<W@ea^sCw9{D(S|-thU&i6C2w5CBA($l-%9h zcqC%}qHKw<h|?PyOL=BToZryO%kz50%tZG2MsFS&x7p^T+&Io<RL1@mq;R%m*o{LQ z8rSl?4xW<8eOq$dN6W)}Wd?7O)DK%VDKwXSd=u{Z>Y?UByLo?BJuA?i7Iek-<L1S4 z7Rf1xyzlt<c+;dsbtg9*66cY=;l0YNpd~=KopWnJM}Rat=hfw$3-$N}UM1QuwEM;> zbtZ-FIA^HDkIz3Qc$+lKAMu&8;oL(>vx51F!r~I!3Z^GYmrIlt%uW>FF7d5ka-zKX zi5t9ICU1Ow_^Z`QXZdy4C9ciZIjpwMAm^dcVKY6$HxHB!>)EB=IBe8btD~B1{;@~m z_2E*^!(82xW*a&Zg}EiSZD>f8{w!H$(4Qz?Ecwl#JyCx1$s4*hs>gm6%ssl{5K9~H znS>ihO4@XNl5ZSi=drGspC}$I`R#+;VY_>($=g4+fK22%%r#lk>;vCnH8sPWM5V)K zX-01n%n$388NNwWKWtYvVWV}0PtVtfzbp@P-Ig@l(3>b6ExBz&YohdQ$+8WdiQ>|d z-#$o6F6nk}sjBc;(Jvq0DZ9?`*{(lR?brO?E0mR+b=J&ef5X%KKdyJ@hj~6+KC|ul z>tf&BuV;Pl7Tt8yse0`Hx=!hdQ}AtWNgba+5wSgik^zyNyCxs3X%l_6_v8Fm|1=tn zD?Vr+6MYw)_dxHdn%JIWJ6a{DWFKF9%*yo6ftYL6!o?EXHf-iUXtvBan|*P_WIZ?I zH;Ful?b!CKT~oe2CpRN2=UmdY?E0|p^4U|bJ&(`Qz9znVd*Zg56{h#sgm3&IWLNpr z{`%j-wL*3i56-#1Vu8VQZnkG9`MzJcceP`7?W1|iAI&RY?7t_wQKstNR}okFM@hFr zUsQ%mhFN@jy@S2QqIu^2#F#|3r)+uwd5_edisc37Judso?`V4GK-a7JO4%Da{bz1% zt>(Es<M@W=ojki|9Nu8pCGpMhwRw{5s(Izl%?r;5PkerNDu1YLe)9*L(2sk5?_b!| z7ris#0sGB|7e3eh@xAl8XUB6}ky!307e31^)!^!P^>%wv=`Rshp=G0RM6$+d=OMFG zrCJ_4J9Vc--|*Sl>$_&Q6?@W+BXMoIZxU}D%WIQ;lN6CYi>La=!43WApH_v~<}dzW zqx@;l`6tey=cDy)&abGrSN1R=)$t*VI8TkHwM^B$qw&XW&-YZ{dlP?rx7dk=&(C@V zillYDJ;-C5&vuyW^3i$Xk9h7aj7b!F%BB{S_o()iZjHyz-m7b7vn?~sdBohN>yvQf zSV)`fp5q(3%O$@Vv?j_=2HSjf{o{G*Zu7PW*@b-FQ+nw0nXc!$|4l_jrWGDMAOrI8 zG>-F|AI;kyQKCD+`F!-0MH)IHx{(W?>n21bZurn3kX|sQsCB)jc|lu|@N&)V1zknb z>XGKWI;l5~u(s*eoZry<naBD^U!wSZqc;y_4%?kO^>sznJ<f-fVNWWLKLz<$+vfbL zihJgV4xVZ{q^c*eLkHyF(1(>}cRsJ{UdLMWqS8D_q;>WpRqHQ%qItCMh{*{&;@K9o z&fwX9qr3!`r)uZ^a6cB~3(R|bW&eacsU3%_@*n9PwmO%5<5+E*tWCm=qjqh!Iq5f! zo9&bfJ#YO}zwrEK<@43<EU(M1e!j+WezW#G^NswXB5DN(4^#*~xV`ZCUR@&|^>>wz zU9K}(e%Yg`*=3jO>h1TUa<fF(jJxXZ7!}VYa6aYo)->PHQzU#^bGyNuB58jYwXjFO zP9*F#H=Lfx{aIq0;rv8)Zi%vu6B7BmCBAKpSm%EAvt0L`Yn?XlC!WZiyXtwZj?MX% z75Dm;T6MX(><bPaV76Sm@Of;t?z_soJD=Zmg1ufIEYfPdNY(typ4&XycZ9Y}E1pYO z@u6peHqXL42NF*C@+`V@$l+A(mhM*FLT2;G_r7-$ZXC)8>+B8Wv6eWwp<9rry5iKV zFNQu9^P<1*Nk8=Y&WX=yC)!ud`#$l5&GxEWU2E6syfEnCw&I)gn8Wsc$(pxGXIt;K zuP<;-{Hi_mer(8;8t?4l;M#SL?VGROKDkM3*IlnR(Xc&1yZ?yJIT)>PyzYbAQ?_G4 zc}YA^Zy9S`U-!}I<+8@=HQ&|t{ggZ?rnb>5$0y~+L6)}Ip7a}sZ}a??_`WG(^{U&p zzh*|PzE*QSXQAPJ<LL)Gre8K`eYoh@3#;6Su0;kRSC(h}N$Pk}@pDzK!C|iEBfmn{ z-3kj@_qU^n`Lw3_#-1W>Z_Vu+TS_k<aA@=0Vx04EX2JANp|^wEWNi{}98GSs?Md<d z6`^{4)hqGtr%_jb99<ORt6sS1*o#fsj$Mlk;;*D%`Ek_d;>S;}H&WU}pLKj)8Pnps zH0Gi0Dc(KaJ3BR}M9=Wv+50xh^ptqw=E(QHXOeCl@@dOGlW^l;Nn5N>@{PmnJijaA z*M(ly_v`+9XvN3w;FYruot%F(yJy`Zza<)6$6agFdgEn;jidK1vpTim(8T#iuP-n1 z{mS~3t6I~1Lwk|%@1wuM*R3oIu9n^%Va_X)cH@X*o32dCjbq_F))oggbpPe4zHxj* zzy8yx(7#EmV^&M;zcFo<W{qA}k6u^V5)CeE*V?e&_!wbX{kugeA0{NW${+pJV$nQ% ziuRoacMg=E@~!dR*}8hl?Hz}9Wj<hU^R-F1aj3Q}_fGPSgRyO~cM@+L&TXr`Gbuat zYxn7*wOM~21+7|E5O_r*_Hm3ypvXS)uQyHW3gb%ll(0`cSk9weqkT>Nh$PRi^kbrI zL3s=2773p}QYTo%?XP*gbK8w&-ggdIUaJ;Pm)us+mMA@W)%}w72l?6z-y~@qmTNP3 zll;NHdux|aZsD`rrga}RLW3(b{Fc3#^wg_s(dOL1`N5CH`H!5Lrl0W6cuj%-8vmzE z&$OOa)i1nrK<A<ORPGrwr$o<i+}Zmw>DFm+gK|(RPrPv`w=MTh(v5?@ZLxO}ZX7Oc ztBsiq@=WG`oolO(>Dzf+6}cxqPb{|4$2YL#i~6hL>_3lU4L3i1JyDZK+ehqm@J_kP z$wjRjr$|dU@9exdC0fFDXYa%{v)S$?-Z)awrt6b(;}}buY)|@)qqljgC5~_C-~I#? z6)m8su+X);KXKWIr3D9$<!oE}wX#OhZiepH);!-`tM*ROezWk-fp^pEgTAwy?g@xL zlQi8x&*Y1SNm}=fpG8lzLsRGFUM@L)dg}FpEoRcazO6aVhPQ9X#GFnsp7FzN)d~a6 z*els{^=8eOqZc*fliSnkxTu_SBAfIdeV+b*$Gh*VS7?5B`W}{3zq4xcm7Et+n|y6I zwdO2r$h~Ob?G*QU`UcZkBEI!eu{U%J@7)TL`<Zo0)XbIpR?e2v{Oq&Oq(18>BySNo z+Z(%U>l5ixS>yX24y_+OR~T<HU&NSoL;3cEgXyNtd@~O%|Cq+K?EBJtoGT30Zm+p@ zK)qASH^;+(`?c_yW4l<2H<%ra*)u)k`r#`qmo?+wznWT9e3bdixf!pg<(_%IX~w<X zpVnKr7H#<O^=|i1nQ8mKO)sr?yRxB)=ibYJ@QTl-al7Nr<|yCm%G;fsb$;2gN1r8o zUVDU9%)T0%lbwAswK~mUA9HA;6Z@*hPT3V|YNZRJByKlmB^%9Nzv<TEor1wPCNRg= zYs{Mc@m==+z3=virR;sXRh0YYuW1FVvrm`nzxe!S@2qdLi+qo&{f#kMYMd1oy^71& zI-pc1c(K{_=U?O&*uT&HdiJ4vfh+r}Ll^H|Y`0mlDcUUE<jVF(5%2e}{C!&LUR1os zt90g_Ypb)f_boWy|9J0WUX44GrFNBZ&$A1R&aRx+r2ACmDc5oh^MYAL!s!~@KbSr> z+xJp7w`1-3{a0j4Oxri_T`m6aY-_>qoEp1$oh_n;>&#!(ZCBbVT6{w;_MG|kHxu2f zgx7qC<;^>7_WGOG@k>8v{p2dF{JQ^n)1lT`dScvPcW#@uENN%=>a41eo~n|K%U)`Q z?KrZb@$}yRtKOYIy`wt&#n!d0IpMVx?Z>|yuU%!G?cINDnVfH<_gYV<)~#C&X0LvK zY0lY1*ZHq(wtYEKuK&nuOYrtD8QkX<B(X_n$@4Ber0$vV;If@)`Z}G6>+g29J(GK= z6uVZhIJ)`Umm+zyZ!6#IW#qkM*X~=Z6+b(#dga<LZ=TuJ$9@-A4azZlb^8lXt9rDv z;_VwDITd!dKQQHe{ds%W{#Q5L<Xf&+g*PqS5n9j_zn(8X``3zhw=S$&EXp{azfS&Z zrjS-mm}~-z@eRinhy0wrGJ34&yxS6W!+AyT-WIDLO#$NZLa!3#7utPOXU|DUzI5fp z1BQiM@q$?o6c(!S31&SsSZJ0PQvX6Mko~^Et49V4<@|(RJwCHuh}mqT=1tb%_xvZh zd|IPhq;`0&=v>dawP03&^m2~Uf~ElRbk46IbQjv~^X9v8=-HEowLIt4wKs^I6U=&G zwNNcjIO`$DLbE=hR}Ul>>h%e~dMLHfZk-x?PO^8=fikx6NuoEnrgNGWbOs2Eb8anY z43OT<QEKpB-1AkE-9kArfmg{7^@W(rHkeu_s5iTw<dSJU+9DO<w4!tA$zw4&-tUy; zwy7`dKVKbgbXQ>874!15;!!0Lo8O2}bv6&-vgZ|AvF_~8c@h7*XSGMIj^2ImYxosA z5zT|k>OX%yr5M3;ex>yK|Lb?{=XKn-b$Z{-SEbrNYXbjoSB%$S@tx*xZu9#4{@4H5 z&EKvP{`M^D_P2lkBffv1dy{Qp^khHAB8eG!^G-h(+RFcG{i}cH^AqJvKWw@Gf6edr zTm4O*L`S_U?v35Gee0F&FWC<ZOF94gAA2`mc=xiHfXeUJl9Gd?PZnhS_u8Vw^ZDtl zwy*#5OaHe@Y)OeeC3ZM9y7#6W@6VWx6RxM1F7&K+IRDE@K(Xh=fBw??>1h#Xwwsuy z>=xaWn;Sdpvz3v=&6QirTHf6(xFB)$|NGbf)Q;|QJf7~W8!4;(GBCNM{-NfTP42bD zY{_-e!L1wjuU&aB=J(%e%hd9|tX{(7d3o8fJ=?B1@4vZm;yl&dlGXDgj!7xYvSoMQ z{;SnkmvZsSjDs)t^}J?ToUeMI?;}rHkz=B{IM17hO`UsVHhfSO&v~<WujvQfr&Zm{ z?;P?xRr<tfXLIwE-8cMpwx8GhzHxEB>dF-#w#5`A8N7K|+g4lSu)$7#nc*6P?nLIz zl4gd>*B7Ylo&O^D_0OEz<ZTz`ykFM8&`;;f#4Fn;-C7=z@*yr=jVr$7QlH%utBn`f z<J85(?A{zXbgba#MIkZ1dvBNUiGQ}*_`zVWFt>d0@36^BR=IANoF-j-<LdSzap6qM zy{0YSXISPaUyjYmyDIH-qoMEI{?})Y9G!X2$EN1;%+CJJb7#DG@HZx1jqAC!vEhf> zbTu`-lC-3UMd@m0>q^odJ;+K|)5|MMd-O0YUCoZKBx#Xx>v}8Wz#A84cKUT&8ykL% zOji>#D@#jC4o+9&Gb>Mfl;nN?l&QzfC2Aq39w`63xoVYV&RgwA^DiwesMy9<F8cI; zsKsr6m-ek~ce{V;S*_8E4Pu@8yuj}mr|GjpUvAf3jh@ny>AZn`%c}(5`zIf4yyoRz z`ZMOF*z?~@`89qA-;GP&w(;%K7-qkc3x}UqvpH*hHSE}>S8}23!~UriUy|%M241}( zDz)oD5c^k=O-G$-gY^`4+*v;>w53e<Zd6xq<<kdVU5_4Rbv;T<?|PIh9^S)Kwp@d& zI!J`MI#@(Feu;*ah*x0F8;?MlH~xV!G9H08HB%S;us9*Q*I$0pYRNzK8|<EHUpXxM zimmU9u)Ou(%q;DU^lSHOOg`pBa?g8vuPymg1mD*O>sLIjzWaD?gvOiK;Xj34uP(Z9 zVevlS<5$jlEw5&tF^6|so5Q~hhehq7Hw}t^6g1o@^4hg-_r8a%GGY8H{#b5aGfi*x zYw0fEpE6;*0<r4_4^MYo`{syKqN}<X+qCke#H2`dF|oArq{L)Tbum6MegV&{Ue}LH zujz>K3e;U%yzs__#S542Gi`2NIqOhU$k_vbLe3u96LR*@oshG~=7gL*c!^PI>BV`M zBvcZNlN&3(zCU2B@@``8it`D-8Ja}5@vb;)u4U2ub&B?y#di)wo$_6?@XjHVQ@K7% z?;I3473;I?&SC2*zh@j-cJM%0+B);sol~@XlCI9UacD#9S)SJnt%>Z?l4Tza56jsl z-Z;*7>7!m%?D6Agxz|3lzGByF?b~6y=6&?uv)qLOpVxRhwQj9l{k<)8#z9*r(RV^w z5BMNurqx2Tb*k2B3BE$Jepqno_V_tTFP?Pa;6jy>jrN?jGJa0---Qk4A8cXdToL?% zv1m@EZM{0DX+cwf@Nv$q1w8@M?vrB;42(H_&v-a-U!Hv7*gch!0<S|(kG(BKKHtbm z-gw%3?bqDgh`Zar8C;EVFO8kOt5p9=yL#N4LoTQ9MoTx_Gpv6TUF~CLE}dI-TW9`- zh=WHy{p@+VvBO^NRYP{<{Z~(mmu%biVzDH5@<|7UR`JU_Yy5R4=!)$&V~N)f$h|3E zs8F`VeUV_t@8r)y9cR~C$sD+|Z|lWS?+<lPKb@ZPo%cc4p>pOd>-fbfA4+E}d3<Tg zFP@s&iy|zhFRHMZz37L9@2-Gfdh_4K=3L8GX;sdg)VMfvQfG4Jq}IoolX`_SCpCL# zPU>#YoYd}~IjR4+<|MxP^OtyX`CnFHK7UC?`0d{y6>jFsD$>oDRM?v@tB5;aQsH;L ztRjCnNJYC@KKNLwW{*epsRJiWP99ld;yGV1b5gHi=A`D0nUlKBFRSq9FZHxLw$!7H zEq}Qu*W=47%)*ycgoQ7waN93AvnThlqs{`3r4LRk{1uk}_vv+p`8WS7p_M1!yqeO^ zQ!{PRjUQ7q9IqSQn6OA=je4wxrlgPmWRYb{J=yF$Cj0D}ul7>F!nf#S+^i>$?@mdS zjF|pu!o9HjK9fb%mV2_@^PKGC<26~w$7gbmkH=)09{<TPd*-TDN=#S#S)rXe&u5Kq zrN$5UeV0^PLo+8ePR*RuDVjN{^=am$-c-%n?HkmdD((%}@vS^@?6@ZX%e6_Kr=0oh zyaHotW-O|Rn6l`{jEnXgeOcDuin+7@Tb$Vsrb@?sF~vSQUfn*2?mPDMzV%5p%?SEk zGi%9*uvsYyF|$%0y)`*`=!c2t@0wXp5|U;;Nea@D(DOFc?@9D;dv7*FX7?*T)sJ$k zHB-D6&)48nDV<(l@Z&*zuu7}^B^74-Wu9ts%RI&8mU^1WE%)S`x5QI#-oNZ3uk3sO zzVz5i`ejz@+~Z&JV!NpE@8y|X+`*>I+)4L$d;CdIZ2tZK*22a^3--xMNl4t;*x0Ek zD;*&r<!zf)|HHt3+tMR-TMX9M=gM7<`n&Jnog2>re&=3F+;~Orm)pw^`?i+<J-X$6 zdy>nW!;^ljyKv%$s?){^+9tQ`o_+BTP;b83^6JZ+0Ot7uS&tYOioFxgdMvk)@14-A zM|=zA@`PVK4x6+~@{UT#!)hnq81*YhOr3ONRIeNpb&`!yyK+?3$u>si%JEi}uSPo@ zR~$NV<Ey&|Z;!&2BLz;nJjz#&1vts_C|)_5;AG3Abmchz$-p0yThw!FThotRI}q&T zYomDOP_k35jnb8a&Q7s^JXW+{{+pd|>9u92<&HI+NlTkwF6vx=$(5V^lB@LZ%dYId zFS&}xFYA`u=OJGE##gj-(=N-{kQ;OLq;5>rn{{KRUet|=dbfVq#FlKZjV;-z8~bI0 zY3!Gcs-agn-^?osd|0z9<H7Edi$}J<T+~|pa#8Q*my4S7K}Ig`mOHnk+m3H(*DnLB zsd`#-e8hR@c!>MTypNau7kXrylk>ulOL_WA7ih^$o&O{0L+}2pe<y`JbavvcaaqyX z&w2GG-$Ju%La!2J7wTOTewE0)(9X^?Xs%Vg*NQ{wEmAXlS9F$hY&Dn}ARWw6`ay4@ z-aX|jheMod_sm~nb@y*WKx<};)C|8Bosun4Gdx%HMz&bZa9Po9+44$YQh@yDNg??M zK68dfTv-22Ur6;;VyMush@1X3EnQRlCzMTXUAgGn4A+;74m~Qlcwkb=#UqzWE*{!c za`D)wl8XnsN-iEfR&w$1vXYC(pM?bezB{cXFi~6dFfYgLq<B-!&RkdB85TmfKh@p3 za>w@ig!4~7-R<7By8U-}_>Kv$Z(Ufhc@j^Un|;{|ht|-G@0C^=H1oD-)l6D*;J_;t zlaGl)Q8Q+@nA!O`v1d=ZaP*eSmkoNHwQKwjJpa0HT1!>5w-dAU<O@fZschL`$(ftu z>BJsAIe=Yi|B64WHn0@0t(vH&I^)ohABP!FCnN~HlCk`_rIC{}Evcp{vcmdcPLH<% zd%o(7Zgb%?hd0mnezrLF*2`AOISE|tPc~OY@~O?>TOjOn{4D3P!%tZ*2NpHGeDLG> z)a`0hA~q<*dn~cv_)*>a^hWc8B7CRadEZPtaU`!(=gp)O$NV~F-b^@g^tn*w4ZVr| zxlAuzEtDqm)v6d3_$bN7c&0pTaWV7rdh%e3i=N-{=Noiy&pG)0YW%&h8HZX~j0-mU z`<5=1Pk(&oe74y}r|G{AefB-dvrjGLp|lh49MvmFES+>~yjS%8-YT6r(<<W2X_eBA zKc-*3U%?uf!RGzQA@QZYw!I~vBb)sHO=&k6PbSQF;rgv=RN$cWH*wO5Lyny}GLudm zOze!2nRw!GU}uet@Z-P;<(@AB<(^9loRpZ=RgDV0l(?6xZYp$BVvqNJ@<_VVX3o?T z$1M%zn6q0a@=f12)#IUcC(oSeCysm<irk?vvA0{u^2fu_#u%Ln(|f_f+^+X^*N7iE z#^xwfqtwyu&-(GhOa(i$W3smoY}(N|Z>evSMvmB#17eOoIig1nnK|a<h#xulylKY` z#g6v5O+PBk?Kp%_KUO<9|2j+H44sa~au!2FPXTUW){Taa0_=}j3k{tF_#d-;{1{dv zAQpDSw<PJ~G6k+=Rzm|f0pZ208x6b!q?K6<KWtO5dMWIpUeoj<Mc<A?TKlouwsU`2 zE=JB*uzD=)l3v~P!pC1=?o-Z33ELE`b_=_tmo>duqi4s#zWR|`pWjE8i!bLYuwCO! zdbCMFY#m3^<5dcL>o^}h+NB_u$MNWKT8)5S*m2)GJb9dp3Zey=%UKKy!v(m%vs_GH ztH3A6@#qn|qfLy^k>iJdDA?3Un13{?Q0X|7?8svyc;ra1qmGU65x4617KH`@0^-dq z9}OY|<egXL&({=`mp?lDld*(U{fTf1wT{MzO*14EJ6azvN|WBrTDZYoK)jmu<A+uS zyM2FBzw)OtYCb<BsZ%qxu+?>a*#4ln)5Z~JSzGycCOlBqoAF}?x7f4dgU6!uEFzAx ziof3ZAdy+Trp77#$bqC^4h3hAv(6PNc3gfm=E6!t@sg@DtaFu$9haYsxv<hmyrk+d ztNi@Sl7<^w>=y99J{c2G(az1LR(SA;i(bTx1FX`IcP{XE(c2+$oR$CZjt7r@t(3*4 zU1I-o(ta8@SMrX81QT(eo<~is6Js_QHgWT{6(2kr9rMAUmD{Riv2jweWUWKH;QK<y zL@jZiJ?B}4<qHlTGSRy+;~Xoy@s0;cYT`C$9yRsfEOC?3S<e4rMhc&}$g`q@2W<3a zL^$6r+t^gZudVrg<EyK$=4Q?e|97oe(=~9%pRBXFQHpz#k9L`7UH+2eyihS(<AIk> z>8WSCA7#Bs%)PudU3uNngzIhBoVIoBUVl9;Y3H>++&jKkolR|XJ7{k4?)Vw5o6~sK z9WS!z70Ekdb!nM(k@2-<a?#1r%z2&?Ja5Z%b;@4e+q%!4t$b3z!#p9W9n)LX-l;Ea zW%pcBd_^MX#jUl`>pIfcb4#2#(!c&%i{a5@Jh$$5Ft#@4UpeFSa((gQRqO3^C-XeJ z=9keR^2{?OVV{edm`6(DLKibJ&nF2RUG%1TJV{*XVmEECoP7VG3`05FBTpY$=5BRT zV%AnQGW1a5F3-w8$CUDToeSSP&nJ)exyZ?RJbB#yD*KbulF6=ya<aXDH0E6{JmK8Q zV>9W*k>pMtn~5im1$WBWOg`bddq$;ja%+BMMX-Q$KIfx^ISP9B#9Z<ZH2tuUD9>Tu z{`l_2%_SQDCd^xMxJkl7siSjoQ-p<DNAKe%3k$`L?#WFR7OEZnmp?krkdQIIn0QUn zQDmRkkpt#UGc2?_TGz8|{J2(uZ=djyqm_;}HToU>+#ekyB!tW_PQ2*qD5A%i^k9>M z+B%M;hpQCK)^R?1uuDNNkK@t9WeRrNj<6>tXWVgNH(t$p@#X{tD_$X&=k`qz5y~Cs zDp)SA>{GBhF6g3e-t=OPnjHuG;YVs#|9*rB%ss%d$XML5<djm!xlopk8@&YP&gEEi zS;(=(PN~Ds<xz8ip-tYSv<=Pzt#g|sZm4#2-ffDwq1@5Cx5?s%lYn?U*Q3Pw3U=R) zvp-C9xpU<7g9Zhz=Z~ysq$e~fScM85Ii%v4vq!n3`DD|M8A=`P<*Xk+#(fdm+wem| z^8Kdy2e15a-goGClf(}P0pZIm8x3Lwq>Wh$4T1&4AG3Zmh!&79j$7YZwfesDi3EkG zT-#Uw4@>AtH~3Ym_2Nw*H`}qIgGXk^Z1^B1p40QV>0J5F2T5Y$HZo6|`khPMczBla zzxe#sKwPA+;NXEky%`qASh<hyOnA&9E;HvzQ+II8hk{w$cIPfg8XAK0=lY!sKJczR zc_`piF3;i^_0LmwOE~UqpE%{W#MCz#GY;FXIcw(aWXWvxyUMpH{9l+aTYsEyQ0ws) zsS2kRoy!fSRC*6q>+J8U?Q350@pi;-tIQmoC($2urb$i>KKVY$v078sMt|a=?;F*c zN<KL0EY@1yEUwC<_BiOz%_PR@tCv(qZ@X~e57WAk**e0^;j32%x>;3U)s$PeH}2%- z<e2k^zecDoHJ`aHT)#4V|B)r;CZ|N%{%+c|$Z(zgzN<_7IJw$)Bs@?M_o+F`%FVtb zA(>rV=FQ_KyRw}R9&+pbxDmbgLr3jh?&g<0FL-nL*Lvn>?B2OxF^}Gi8z))0fA3sS z%%%6@N)xx$;Rj8ACOZ~<=Cx9;a$amKlp?=nnF8m-rgN@476c3FMOYkXox7mG@wsNq zg_m67CD#tI&fRlC@}jiyUz3-;GmG{b2EThGvG)Gqz*Ds{nz1`g&0jm|?-l&=D(b|( z8>_vi$4Ta#DR6cFpxM>vA1op~Kc(q@nd;O_$AteB<UX}8%~-HuRWdWPww0N|$A|W; z`ej~*C)lfRJ*<Cm!>L_rZiIxzmCn|4KmIHJ@Tx8@4(<Dzc*cI&YOclB0lYo0983*A z$ulos6Ii<4Xm3&Oj-`{DxLRhr7%)9#6FlRvV_j2u&(YSnMD{In4z~HY?>h90uax<< z)fL}0Xa5x5eJ?)iQ*71NC&j);YZiv{-RGaMM)W!RpUAuZCfP>Srlx7LU&o*NlJ)A= zjWy0aoo~+<dL{<VN=fi?3YyAw-*>XeJ@3gpKDqUN><W!nR&QLmGJNCoKC_a9kI5e% zYdea{vE>_ZW(Hqhr+Q<xX80S?J-w9&-e3K@d(xFD2am2;P_Qy8bn->r8RwGrnKqwh z4hR<yvRx~wlTp7;Oyf*`y<fg+=!MmPmL1_)$D-fkS<t$A>dJNJA_atBu^!&=>cirf zJX@B@+?zgWm7S@IX6U?M3;yn$KV@2!_t~F$zP!71eYmauv=^>eE@6CY{_n}BSf*6& z%NF<Xf3)aWa_ZGx&K2%qYY(kbKVcQJ^3_LAnUKF_zl-Z5zVBAdyBr<M_LwEGLffyY zT!Ukgfu+L!aJ7SZ3m-paEL@cQ)Z(J3t)R=p%nz&P2vsW1&OIx3YTpw6)@;qLLtj^A zKQ-PVC@P{Ca@{_Dp8wmNUSHc?NpU9fqM9?788*KD?<ljs<D%!|gimf;?Y}=Styd~s z|E$Kj);N!Mf~aWxkrOBComQ=qouz%7<9cq(n+aT2CN{rX!ZI!_Oh0J-RYmvv^KCEs zxqca&M4hPF@u!$2aE7dU)zvi4MFyMy?zGp^PuaKUPqXT!hdUj1t!fm~+~S^`o!c|* zzhCL;junUQc9iw!ycb+mu>Y0huNBn-q9S!1T)B~py|+cPRe!$PbZFj+gaqdhgN>_W zPCSz`wzwCit1+jCi>=R%b*^;_>+&n-wryxyuwa9!mc$JqQJ)+=aj&;Il^df1Zpeu8 z==5@_O`F1XcGZ=0%Ys;!Hub$*+|+0tc<{*EqJ>w^T`6K+T6V9Wi_NZsOKsUyuGS5& zqL*@cu`-)4Zt9f&d4K)y?}-bi+qJKszBTCS<I|y2wB*G_ea_SimhP7pt9t4qdt6p$ zW$r_*Sl!SdEsdB?E;c=PR^jQVS}(0QH+9+mkN^v5QJy(nTxxAorSwFro*M0XD4cls z;ig!gY@X?Fok9!>bhKtz#OqCwx)-u;!IclkRwN`uh8S#A%dyaC;f>c?X?s|3zV6is zEsYvsQJxxEQJ*=Q;$FP>^?O%`1XKu!maLEv_36<vjnQi1trs<u>H859k!<nkYv92H zohuR^RqPDNstDWJ6m(d7aZ_Vx;K3vBHayHYvOMk&f9d|qix(~oKflaL?01vjH=W-f zXD#@*VZjD7trrJ$KCIucz?OCKY5%EKpW-gWL<CfrYrWW@sWsz=&I^x-7gK|GDYJWJ z-Q4<qYLxcwZ+}-LJaSz5a#gmyUiQ*u>C;zhnA$37Nk~YG`t)d;%KoaHeQEdgf0Lr( zzAj%Xb$azb6)lO1<tAr7t@76r_u{qh=Bl#Ry&jhJBW=lyi14f(X;-heEel)uaO1+v zfB*?$QJ$V2E;YH<*iBx%^^u@JTC^e|p)kb2&^X6J<AvvC<$K>By}$DJ_O^Tf-#`Ch z{$D=8o0&y~fq{X8!NYk?l+e#_{f8MC7;G3B82A`C84^oVGV=q9@{{#4^OB1~Ls%J@ zJEkaR<oY>g9d_W^^Ide8xPFvn-0hv$TAl^9o7hS}T(#~+74K|cuG-q%sPB``DR8z) z8mh~h^28qQ+<jMMckPSCJwigi=gzlVFSJ&(^MOv_&DY}Ua}SlB-dKNqb%QSB!L!UJ z>!Y9Tzy442$%BHN+0)xE@2h3X)?jyvPJLmtd&mF&=NH^$O*YhjyHoFw`ReR3(a^Z- zlNQESt!X=ca{s>>7anl!bZ=og*U7%~Vz{0v=fa8R`+k(nsQ0jmbGj6`z#!x*x6Im^ zyYpfGW&rzp=C3!`yM-7SK$sWB-$f~jaBpjD)&HCDID~f!!>n^3H2gM*TzvIs!T$yS z6;4}U><}*a_Hylf*%`_QI@$UprwRV*3^|rN#Ukl{YlqN$jbvdZi3KbP0bl=JeL5|A z)2Dcbn5lCo?4P(wJdAhardQj$=2SBkF%>YW@b8=br+UJc$SM5?VjMT-&AqsRQA+aT zneHO)Jm3AZb0fMm_e=}4+xy|$=I&2oTzWt5{K)>qE2mN_=W!_c-lHFti>~XO`q;c= zq9A{5UQq$-WxZ4RD^Ba3DqJ(;#tez4_sc)rbN$KwNp1V|Z8mLzd`%X+W_1}z-45T9 z=v{t2=VV|F@8TMJk5g-Ei?=!nyD|rq2m0-b(pkx|SikpEC#&FjPWJPWQ`*;@w3O&H z-!uENiIz^F&7b{8ZW^3A$$oXa-YIwfNp3cpYGO|<93I3=JhiaWZax=fxUulk&9W)4 zx9wbfc(txh{KOY)dy4*O%{%Ye#c_1Ov9iEAdu8out~+0N%k*yitFbO=Tl5~C`M&24 zK38#l!uI#U_LFa?TclXjne6%1_4HKo<m`alWlLh6MeHtX%#RY#OpJW#CG)%Usl=uS ze|Z+ytVwiY^y2K8R}qp?W3PKk|DOES>u(b#T=}`_*vD`;%byCKDXpunL^y7osMO>b z^un;a=+blxO)aH)!c~nPU7a1B$B%LNDrzde?D@I+q3G$09r@dqUMv=W`mlM4Q2UZy zTMqk_?OS6#<9VcPciEPRw7%ud$!DIVb$@Mox=nhv`MyQGJFTyZ<z{?eTe<XBh?ZP{ zd;Z0?r{;Yn1v^jAiru|<x$wQy8uKH52&K0kVe9p~EBfZmor|;g{JcC@TDE&~>}RQi zQM;C&>#q2Cc0ussW1h#ka&>R@@wx}8zur{*>DCji^|=v&I{Q?X=pM=t$ve4Vrb)|d zr|1vqk92P}?PvHCe|x&&l~18Q&0ULEzxC*@@O8Swc-H*c`HF*^W_?)6CS!7An$Eft zg|k1LytqksXU~iy#*3UjdpNybr_4A<>`$ujE?2?)+XlUdjlA@~MubmGoHMO3DC6Fb zbEmDcPyb-&w=v)OX4e|N=T6b(x29#zTzY!K*BF-gqZv1jR?0mqjp4B0o4b2kkH5;E z7n2+ozTaiJQRndwCSgHQVe$2);_Zc!`V423Pw#s)_ks2L%?u)1TiM@7-b{@RYrlWy z@Q&4|cQC~tO}M^i*UMPR?Rsl>HwC|5r@Q&f6BGT%MjTZh_t#ZKO^J(gyzunFPQS-S zXI_1{7;Y%Gl;zJ-hHaTQmK8poq50uvYNhP7!qvyR+*|KyNWOeibS5zK-fTJZtv$*D zPOQ4IX{LH7Wpsa>wr~%vEsLGLJ#|mxebt?(MK<(F{K>n#Y+7ne&4))-hm(xfC|pR} z{ZVzH=F;=qbT4n}@mI?E*u3&alhMA<&(bm_(-Y3UEd6|{f=BSr%d^SFoAvY`-|=Xl zwSUv<$2)qIKZKrHV#2ogOVGwPw*94dj%@3xuh*Qp$lFKngY5Lg8&f~NzV+z(#Ya2J zC7Fst6y~4po*i*4*(S53xKHcZqAx+aU$eax*A5a5IKD{i?DUjwopXT?f9$NBQI=Z6 z|6y)<V)*orEc?24mgH@eas9?yy6NbbU2pidD#paT-}mTjVClU}dVRCQ+MPeB%ZdJC z>@BI_mM~G^3Hju~bSw6=#Ht-`9ou&}CTCvjFrT^S$nDteau+MUiRLrRH`=*>X<)ul z<?&>RuPT$v_wcUnDAb(xWAaJ+y2qQk-kj)YD)ijsF0%SH`y(Z(y|3AJ`Wt3A1a%tU z`<t|#bARd-e{CDR?aO4P@Aa-eE30$a%yNt3ceZY`Ip*v6Za<jK$lm_k;M8)NPoigg zii~dSbT>&z%q*K&aVE&ge5TQ}_4D>*zX>;d`B29uBk4%s;|C!Im(Qu#7rZv}X)||M z?UOpbOsX^d%+=Og@2BbbtV@i@n&mEF7AjY~?MmvAMZ2^;booMS5Bj=J{SdZCf@eS9 z@|cQRQ=WB6ODk1!^Bzv&o#oqi^J(#;z=aP}yte5ncOGK@;eTIPsIP&oLCF639NFV1 zz4rXmdC{_4<9&Cj|HtCVi+`_Re0$pVrWorHQ~TxWYxh22miqWX)S}s7-9od6njUel zI!|}l{&}nCZ2tbO;@i_jj{*xN4cDo>J;eFLvX<+l=eFrr9?C7@jhkk(ti?-!qsqbi zR~heD-ee1=Uq==j?OMq9|3`My$7^lB-uJmp&fOZS`1bUo!fWsK>-^T2%h@jw&23TT z>Ns5S_wJrt563yP9F>LMbBNh5KW<u|)VWXoAOC^dm+sC#D{k^oe!ee%RBV{k8nek^ zHZ@11lU5q-<$bT`Ig#)C4@=9*N9u3Z<=8#c*|*6oa(b^*)%nWMchxIb&DGwya#fei z_hpxE=GD5JUDl0?@!rmIFzC`PF`h{;mc3%w5?*5OowduokeNe)L0da+BCGjTInOIy z$*O(j^``H|y`6P$g(zK&=shx9+cWt0)1oV8`Kv59XWjphwCi->nnk`#LzQQHRt4A2 z=zG*A_3*1kxRBq<4+>vDFqBBRCY?O(vH0m~izg4+GM1TbK6A^+?(x=bk}S4Ah1b7G zFE5RlR`_YPgm=^ZZEG&?=?PAVz4gZA^pjTx?{^v9bGYW~J9}pOS?|*o%=eq@rI_}V zo;`Qj$nNoKiPNvPojtW~=A-9t%(_oo@MIfvZY!K~%$KEZ^On<_jpV8x2Stl=9ddZu zQlDYelRUAaNBgwL9&MRp9s8~rv^HOu>pp3jzNyPY9kX-0)3z1goi*tJr+h+GY<sOu z*}?@Gnw+x=&OR@!7vC~-iIUNu51nkj?3XLAobGvV^UC#Dxj1+G!jCL<QP(&mB;QwF z>E@A;h}*k6;-Rin%;$HH^aZZhJP*`24yo|q7Jp#Oee9CM8bQOFV_f+kuW?WRXzct@ zL9eFaeL_eDM@P6`O~d(w3eiWb4Hc>l+8^$=Z}xboz_f$u{mBn3^O7GLIPGaTzs!_r zS&?LF1yj9mwhPbviSARx{SK7hXqUa3<NdW&_`)I9AC~Ez^6%H}Jf+O(vVEJ5l>dXZ zFSC1leodDOTN2x+Aj0=)hP&;I;~!VYX-yXtS?_HsbbS4-TbuTLknj)v(W$h<kNdq^ z@T%Iw1tKfA$=ORTKeSw7i>fwfNMek0faxZ+(9pdfIyrc!<!;ZjUbOOqCWr5`T8$r$ zi&Jm3rrv5zj4ewQpO!c?JyC30>W#Eg=M1ycue^S>cm+&deX7;pCBsf7x<BQsCS&cY zRZb6u1!m>AZcH-jS5{lON~_i1F~jf2ljgLPrN0s$*nM4aGe%ik<0^Y|kH2-sy4s_Y zxUOI5(4293dS&)Tm)7<hlT240`MB!CgzakSlRQ3(mo2>W|CYt%Bb?Imf+FW0J%5sO zv#nHedgS%v*^^FluuHx_u_|Q2-CKP}0+(=kPZ7A@@aRY61m5>t$EK>bHt(^x7wf$$ zXMdNyq8;bLlV#IeR8O_<=NA3<$hf~)@}b<lqZi{kw0Cq(Pifu$!_qe6<60%FO=>@d zy;tP@bdy<>sCn!Ib6wQs?1`*vObjIBE^vl_EcQFc^I+=^_Z`QJ#lJ?SJT#EwZth+Y zlygdPslY5n!HFuKMlz2$gxC%%X6D7p3OO9G;5ux;)2z_8NP>gOGm}HnLy*(O#U;%w zm-)Y&@lD<h3q%T+u3Xmn#y3XIxA@Ehfnr(4`D=?No}GN$q$~1-$w%E!*$eJS+;d;D za<asi$;)rZ35WlCwrJk3ys)0RpYEn<{jk>RS+Vg;yyJ5Y#h$At@=JY=ExB6l`S4-c z`G8#yV|pa^OM1Nxy;jtBJ89}X>G@B@1b$2qIq)Z?dlLs6YnkxW*U2->e_dzXsQR== zV8v^Viz{pn|LoG|xZTlK6e<zUbt?Yf@)=X7TnJtxxOMBgH7|cE?|S@r`qJyVQ`^t7 ze$(<hY&v&I-ucOuE9Le$v!!}ZyZJgU_0sL!<ILR?uFaI)DW%NYW9N6ZqQ?KT<@sZ> z-;}!AcCuXXPH$apwAgWVPNRlS?25}ZdTZZWTwLh<@ub|RFWjzCR*so2LWjEBTe1w? z!@}9WyqeQE>xNor^S|GBDsL+|eoEA8`_-VO{H=L~vS6jh-u>BO)qH%RY|K7A8zlC< z?z*+NTWF?3m!tKrI5qq3t4}ZVpNn0SF=?ywbtCsF_kuQU*SEd?WWAU~yn;lU!$P0d zzxNew=XfSsnlz;>3Co$WP48C==RH+@#kaw~PA?5+;`KeOe6~96laGbp`G#*wsaFE; zAC!9+Tf)+KrB>(s#f(hHbUQOGmj3JR$_wA+GW4*@czypd_m&fz$!yQBj4ti-3nTa= zF6}P7+$<5Q^5({LpEI%NAMZEVvv(DjS{K*dX3s?pCna)^>NylFP&x9tNkOZ{<l;xK zcctG~OYF7{==&^Ov{cD1?jyImoyA6lR}LLrCaYHNXo)YipVFPVPom+lc*57JU~{L` zpF;aDKfI$Gw$rM!aK)|YWAa`0)*gZL-$b{6T_qp>NZ!VVds6Bv{&~HPb=<k;rERV9 zRwp8-rrCwc-T8iixA27W6_JpT3qm6As#1-Y?#vfkuxDbx<8w7GAv<16^ghvL<2YXS zMr6UX`|_M~6kl~+H2Tk~>GJLXYh~M=e(Q!a-6_G=`!t_qv`*EM-lnr*`?;?9n&BRg zgTz0v3OUJn=1H+Fd2FDyMtAAI<$7G2JG!6B@0z1*H}BGPt&>b{ujH>*Pxz*4v2@+< zjhAf%LT5i%>VH|qEAOzu)z`nGBP1?fzH|9X=S9Qhh*tj^n>Px~n|AE!>^W+j_SmQT z)$WTje(9Q?dQTqY$aAy(a^i43{MKq~?~&Jg#n{=}zx`M_?W0@NMER#K57<I}JFM8M z#@n&VwkKY0790P`rPuN;930#wZU)Z1^^0*%dTQ9F|4OeFeq5d25u?(yg}e05yYLL- zk|$q8mp!bj6aG_rxSPo~)bvAfa;|2>Gbfda=4>i5GeeK+c|S5bZNA`Dpl8^5#lC6H z%!X5T>NcNa&q)e8ov)D3v;WqNmkT#bJc{Kjs9j(A;EHL;#69ZApB<5OS@qcOcJw|@ z-OCFYCp%8*v}oa*ZXO>oXYN~ml}*JluVsXIWR>n2^L%qw+gBmPelL=}Ye(%==k)y^ zZ;N_A+)3fM*&)|nEmFD0b?R){)|MhpVT(6T!q$f)&6gZsb#79j;N*vj!YiI8Z&jPN z?Ej;$Y&~z>l@#CZJW^gS^jUhj(`@66<<k`2hQ2-YU(N9OqMcS-{qH%pG-y{fWtY@v zDzq(jXO%kHYxdFe&BUBbDyhtE54LJf)O(xcdT1(x&<UgezRy-o%hv1R36ChAYw~6B z>?s#>4Kk1PZ3&+9p|gaycQwzcwc1(iCAlxk{Y%yxys&(sad7p9LhHp1IZJIGRo|MP zv6O+QKxLOu!=m>l8{KcPn*aATUv|^hd}+qIHQTw^vm8z=zZ$#GEdE<@MCY5>M;7x# zK3o-?6=2jZY+p2!S#JAvzP#o|-%q%mzObO7$G&0b<#4{lb7xYwPE7FQcvt+r^4b#3 zt<jEln=WLF@@t!Wxmisym8uoKG;P<_^-Jg4bVg3@WL@{y(IWW%?07D=tgUrZD;T{t zDsz9`zeb#G^0P0uO02&=Gf?=;FSp%a_+Z)>$C|C?5!W<)#aT2Y?(0nNzn8S8<e=-j zx(B{qAB$aLwneY%Y@Q;?_DBEr)WnIrn@;q*&O6evbXv@jjlCz2e%LL^^5Wiy3fF?K zKbQ}z$vR)&v-d{0lF<EZ6U84}^e#SWT_xtWR6V@>@U^qYY_)Ek`*gJQ!0DU|6Ysd} z_MTEwwnkX~?u6pf{3n021$(!zTNoVnu{M?ILi1t^KI7e|gmslNw=|?j%>Q{dz_@2# zX>sKR&tK{vK05y?=$A~HEcWw-``&YgpJLWOKhCam;6uyQa=RlN7Z}PfYB^uE#9ZqB z#ElOO9zNSWX|nehrsPwOiR$^=&$>PSDAvj4)D-gc+@9OP7XsH`SJvZMtHFA3(wWdV z+XGrYR^&b@Z`q`|?E0m5Vv~#){i*v?uD3^K?ZMzkb@zbK^9&C^REO@Y$_kyEss36Z zI%{o2pV4kzAFDg92`i6YKVi=Qc!~E(^MI$H1YPYlg4Q?oZCa*(_u;B9v2zqHSr+Ce zro{<NmF#_-!hXj0M-S)!lVMT;cAWcVOc*2&$gcGH=61Dx=dbf`8hF_*2&hMIP&)4) ze7r(o*3#TIrLH49%|i3foMCXEX!QTJCdY~XL%LGZDdLk8twenPC{|vYwl*d8?(wWM zVHGvznJ4dDwbCt=tyPu1dEBl;f}K;Tflu*4ZuIR<`o*~u*;W)K$T#~v5^Hr@buHoO zlL{w(mm_y%FD`ay44t!2=*^F|rP1#aRxEp>+V;((a0`p}eu=D&_RAMfztI=>`de+X zvfj<<bx*#huwLc9D%E>Xd-a(wS8GeRx;D2axJ|F-_;fc6Hhcvcwp*o@K1q_9fngaJ z1A`Fq@Kt<KN}^s?aef|r4C`&EZ~koykv-qTZ6q`%FfCNk?h^X_?Aq?!MwQ%L^T-7n z98pzWH-naV1?BB={P%lOiIn!cUGL^?Yk#FQ(>VW!UE7zp!Ug{MO}&@I;!b<LoE5n) zC7)wEBli#Pt7}a5$3<-4^ON!K!A!qPD!vc(^>*yBEa7rxQC3WN#L1?X5um@ZCqeir zb4>`_;-Zr&jjD4#J84Tio+O@8x8RcXohK&2UI$dOHlN#8escPnkPSV1O0KjsPY;}D z+x%QtTvbM0{b$02!&C3~u08Pdj=ikh)59qY&mYh9*LuCGf2QmDs&y$3z6X2wwP)JB zc|NcD&eMHCY9`Bsj+mvaJ6Tx9vTN$3)7dACjh>6$J0G1HT`*HMa>}8bIk!S97QUKw z@>2H;1Fon=53f%$I(g(&0rQe4ZD(08O^OWT{5o~fH819$i%d+{a$jG~r&%(oF4gLZ zgPmmfvD`fYrn7D=lg!T5ezZ~Rmef+yk0mCIOvYz-xbA0Kqr0;!)>KqH?D4bi1*HO$ zr`en^iK^{#w|lTQ%=HPEx#4<g$KQodY6RD_JNNlrzH@Ww?Ik;OX4T1j`?N4*y3VJS z_iSgmylBZk%ly&sjrZ3NzJ-S_scgK@e)!70{?F&bIz;z~p4quhJfl4OoB1<q)5e#( z=Jx$hEM{ZMJa#61M?&cZBkfG_@6E5?NOt5))pztP7hJhQqhUttvFxZ~Pu~C14<$T~ zF3Hjr-BZSPauQ32+v2FVYUyG=zfZ1QRb&$!yl3Mq(KwMQF1rG*`ATc+3Et`6dWf%G ze#xdQCJ`UHl79-GC<^4-HT|pP#)UtYmL?13eY>*sWN#^Z_U;vi*IxuhB(2Dno@>$k zS&BcV_1R4IFWV)<#V<Ek=Sa_b+qN$5ZA;qjg(r7k+^@S|B<ozu?w*+Z^97wudMD&l zyw-i2m>%=svi!vJHm{$Tm|xku>T>J;>Ggiw4&Pl}eeqiHm2+_kb9Eyn)n={rZ>fLB zXMMfCqavmDYR&G2+gh!U-EaH1xOP(RvHagT!QWT?Tl6jS&)?tsr8GMI*zW%S-B2Dg z#l`be?Vr5;f3{uXdlz?iZ`iLNPu`&oggYc0-1Lo~fx%CokpXo;xI85dk(pxOZd$#I z_wW7XoDw-FZr_>QGV@<%`mLFE^;=UEYB-#3By`@LS9{*pIw9ISNjKW8?a%YC>+Apj zo&SGFdi`g+DgRvUm)76;dELq){&)J#7NsY4pY?yesde6OUn|CCb^S;9PY!ddprDm! zOW)ZoKa}#}-J!o_i~nDWD*vLmeEu!b^6AzL9(@Zr^zOtw)QaEL6OfVcVc&v?Ddo@S z20IJnIV?!CO@IBQer;>R`UW9xW>Hn%6sv2mZ}4l}%C}<C`cqx<EBMoY!BYVXz90O5 z<<0XC_LnclyF7Teq<($+d=Uwgzv^t$ytop6UyyHe;$`vwRrqB(Q-E2>8jctJArk_0 zwBBo~F8a9Rf=hrSzgEqQ-^p|33@3cPckmbEy~~E;UF+Y-&k}#XvA!m45Bt%NJ3q>a zxThbtHk6qr|9<Oy`5B7uj~2xA?O{JSG4hk#?`E6GPWIzzt9A>>%v$}<y&z`WF8RZO zKVQ|cxTmi9EhsZ}Gf42-F80Hbm9O=5*wfe9A1nxYw~PJo#+$GC1z1w@wK$$hnuu!b zTq)yw(ITkG*<_hfe*Bm3f;&Dwcz0h!Pd;T;qT&OdQ}!#~a9i4MEqHAZxcTQ~sk{YI zCKc|xTWpp0%c(rse<%K_{Hp64)=#Or6dCdL%l0K@^OxB?EC`QTvLxg2s<^xJXG#Cm z{b%vRVe0yG#$h~Ng%cfI3zjrBc2~1S9NE@g-MH%f>FS9W86A%ByuLKA;4o{iq2;VY z2R!$0EL!(AT*u3Ias9d#Rf4a&t6O?HsxtJh1T>q5p8GKK%vF!Y>)yyR>a3jEz;?g$ z;ZoaE7c`i4lSPdJ@1M4RHZj;abhcix(%V9nZ`>yJ`+kHv?&tk$k+&^v+d`>poqBI2 z#cTg+u|8yeesj8fuhc9h6X*IJ*N?Gm*|lqt&3CbX;!m73S}b4X?=%WgeO9(T`}afb z{>$O%;q$i^ZY;an;Cto8M&@JJ=Y&_+Io|(!^7j43M(1tie_vi8VE#U-@8SQLd)6PV z;_j}#uzvNYsh=6|P0hX664-D)=C(V-(!-*Tr+3`^bL(Hh;rCO!?$7iNZE!t%IcDOj zhr!mz)0H)1Vs9U2xbflRqgPJ$9=(g@cm6qJ*pTUaAj;D8V2<<ClQENI4q7<$E?l$1 zq-N25K>_c7N9&SKuKvD4t>TGLg;0SRv(74yW%0T9!|vVHt>1ZO>Q7$7r$?u^WF#F> zTJZZ&*0o1}gTBNYpSJjMcPg{7;#}s>E0QOCoLY5Yf^SVtB>$fCMFOi1t}QP<Vf3qG z-+IfuccnaLc@M5sn;d_@a{ole?b;c^E>G??F8*q`BO^rh*+-5PUtyc(ipPuF*2G?( z<?bEU)?aDl_TzZr_h07@X7b$SEZ^Mk*tXSMp6Q*7sG%a~w_kg9tmlj@Ibg-apb-42 z_T3}~LwVOMx3|tqZafjI>6_a7r}OXeyK>$ue;qU1xO_j~_8W2A`@>iLa;^5gey`7_ zXy@LVm3zxdr|PBu;I{PGGhy<#r%tNROdm8R%Y8YW^!;OENSc^JuUr2+ujgWm^rfws zc~`XB1{`2km=(b!S8!-oi0|g-QhSvGLf<Xx>(Sa4sqokS`;BbZ&H8^fHmu8D@;b3# zp8Hgb(kDBUCJLmw91HBuKK$3JFyPTYBPH2?`j0m*XiO~$E7{PqA^XG%u>$`WCw;Fv zo8CB(?8N<;qcdwmqwgiBhc@$G88>an&hq8f+{nkjSW)KKEY=OZ#*1eaoYbDR>11)? z%NLJ?wIZb@4KG|Wof<O9S|{T6p`EVU>*~dFxTnZJnw#)O^xe+R=-<)J_wVgm>0!xP z`kB>DmAAI>LdU#)4mobo@d@{q88ge&6{J4j_<H^I>%T2e=(?SEPxA>qJmY5AWrMbB zp(bfc<~x_nbDw=#bxvgP0<SfNk0NIk%{jVv{@i;>k1}MeZq0Z+VQPTN(`zzQk5sKX zHgmh$x^<1JZO3c*Jq3@M)->LK|NPVT85UCQQu~*G6!<x}Ld?cl^`mq>SNN(&ucQnP z<^P)Nm2iUdvhTCQTnnGuxE)KmdDE>#%j-tR*#;{!CDVm7dLwqbT@U$EH~+kt!}G;y zTbgIK_?uVd97_-P{Ih7<LmQpgzTJ}Zx4u|=Pc>6{<`=s=dTYeHR^7O+zSnpg_jd8B zy4KxQyEl}p3DoX45K`H5fBPfnhG!M|YNA;(s|p_<ne{?iRLQ>d9k*Ol^@5PLTG=Oy ziu9i~FG%W^`;p4OL*?_n1@o3yCw~x&_;sVVxSsWA>W=-74!iE?&$@do@LT)&?LGe6 z!hSLDITmSmxLPvr{DkP#FYSNTbZ4(sHnm&6VGDbfdRF89m*wtnkKB`VeDn9voI^{* zKPRbHO=(!J;`Uno`r$m^jWVZwD|fD4k$=3labq3h&Kt>bXV~rE+v`?sm^8WAbM>D1 zgSm6QYoE|R{99CB?@)C}^o*0SjB5+~&VPt&yJ`F+?5EASeNHutC!`Cf2wV+3``OOq z+eM!3`yK!NUlXWNqV<mZiw^e*{j0}yek5$&KC@zj(LYR6e@B)bc)oU1ZQC`A<LMRp zhu=sRZLX0EY3NMccJ*Ce?$0@2IM2x|m`zu#JD^=;^|jd4{(!zg^yAFg@)Z{(4{beQ zIAzW~S&tt}Ud&P#{-V+4#F#H~SX<7vn6sQMU{ylcL))Ssy!ZH(FKSEI`@}3~-fL{W zDfipi%@-RkE=gF=^8ViSbt-dbzL?7Y_57`?ufsKF<geA&x4X3E{x`we8SV@FY<Z^U zt-tx<m8j<YcYe?A>+i3+RPmSl@0ZVof2UViUQbL-`eS`=^Zn%q{<D6K443=GZq*td zy@)Gr&d*0lywA^F5jggBySnH6<+cplO|Rx0)y+C|X5X5%aU5&5f8FxP&EBechu#Hq zp9N0}%qmZPK5$q!zr0VOUOTW;PW_!&_tgvRU%9RxE&LR9R@@_n_hr%~(TC#umOGhM z*sRk1W4H9VWMa>bn!W}Xxr_084%$d=o-VND$rm9uSu_2G7ax5Tl1t_}_rZ;m_1>mf z(QR)1rIu2A#b-#Ki{y=UTzK5wE8+*Y^T+cR#rBH%^CPWiR|i+WlrZ0_^ZCt`<ulT^ zZQr16|M=z^K_MH4SKia7&fq;?);8zhHY+~cx4t(Hq#nP&|G|Wp47|n*kDS^2aq->4 zorWpSM<WhT^Nam$=w)>#w$w{)ll)Wlqil8JeYdW@PwLEfetCVviU78y#gErkotybo zuCZ`|GFyh=;uY$fGgwnsCO=h`d+_MzUe~%)9J(8W-7+T6HVO+qGv~{9A?>$`(rL2a zE_BA2bOb+8{OX|oM(da2xpk2)*SK#UuiSi5cE{1WyK-jDT)(c&mOi4Xxx%RZ(zd!6 z$wz;`UjBXkm8+Xu&NP)x+i)}JoRF8NdDYJMLSDajE%f3$@xsLPOtqEIinPAdU1`rw zywObSyErfInMCgDqs3oOIM08u?~R?0Scs8(s<&cs%_OUHJ11}CkH0RXlo=rT_T2%- zsN7f|ftdkEO}w_581~N4b@fS3kLBMnD`NZN{yDs}X7rxe(Ualqkmjez<mRbfRXMfi z;-08a4nOD56kRVUr~FF7?Zhmtwu?enCM1V<aF!e^b!aa<@vu+jo_Nb6{S`B%uZ11u zvJ#exJecldsO_QpcSUA8>+)RH){C#2o*$EVdFbNh`!9;6vbax0yJ^VB*33S?)aJva zApNI~=@a@Rt{2p(A39_>`Pbi$8w<+plg!rM?tig2^=zF>e)Re}i_bllhyQSNDK)?7 z-yE2Fm&@Y0JIfQXFWL%o<pnp~zO=ESvd-nhA?_-@^(z_8x?U#*-8?K=#}NIXlk;iU zi5`vMBWZq>N?x9kUDcmmd!B_FS1{af32W15*|2azV#}JBNfNrAytDjo`0PCDtJua? zYEUKE$|&0t-;?Ob{+Q$DrGpkx*GdeYikY`cEW64mckw~Ecg2#jhxhuz9d~`NK9=<^ z{dfB3KgLZZd;ayfKKiJcUwW(Isc^RObm4se%IFJ1R@3gy-ndd%yU?_1?b%BbjE(c3 zuL)ke@W+ueEq`B5u9Jx~6MU{_A}sMX_}mo(mFKHEoF;BnlIz_6{7vW0w&%i=H@Czr z)8cn=N!ujWbj4<x!HbO%PK%Exa-LOZTmCrT+Q~+_?aH0GQT}IdudKB_=Qq)#=4|MM z8IQA;p15*tn~=Zt`K^(+Tpb@|Oq;eeU$4MaTjuE9INrKXv1y`tn~tB_lk%R`Z`qve zt>N=ltE4|JaqT@Bu*ij>M@T|;?lGe~KfnLk=T>Y~y#Ltbp7Zg_!jX9l;nycPJ`?uZ zHSevv@EdV~mD&ymQao6htn@V=wtQV2dt=uzo>dDKj;8gzzB^@^SX#xEsRqs|9_+?B z_qw>!PV8FtV}>rTLUi)AV&!*V=G2NSmCAC=;L&t+NO$9A+P2Q{$b}hFA7ULU?wddR zs`0|-i3j(JE1?@3PERlr6POivoN3=W$7ZgD&0jvIIf*OlyvmYrKi0=~EKq1h{UN!D z`hlfqZ#=f1d(88adcmW$KOCoiF1ER~XTI{L!+$N!^gqNeem-CM^(><mjC)*doRt~R zO1}81>hj7;z(6vnso`Ao#ioX}*=H6=X&nl9;Bw)rL%;LfpYJ<vJp65K6&7Llgx7rH zyxTi$OnuD@GJkO2Z(eN45m5U1bH&j=me%d|H;#KX)+dS=u5tLdK%(+VXF||}XRoUt zu1w{Mtvg*?r!7}=>!<KNeci`T^ZCmb%jQ|`Gtu1nckRcjDXEpmY7GTt=VhEeo^$B> zud65D=J)n-n)Mp3>ZzM_boKWArg5G(MJ^uA$}Mqvbwk80`SX%TQ`G|wzxWaSuAzR@ zep9=cr5_YG?sD53I5RKh_1gSi|Db;^C5uISA5A%vad+3l8$Cre&ufZat0iB4S@$J& z2j}ObjepmE^t|`}L-F6sIvmR`N8Oi_e=5UKQKTT*|AkZ8%xQyZR_#)zYK5PTcS9;( z?>Jv1u~ug;Q}yd;<xp{7t(;zwnJG4})=KxBNwz!hFER7puWKhXzIzs?|JB&;XLjh@ zw(ZAO-EW=Cm3aE|wxsLv+7b7q7PeR&eo;NU=kLkf{NC<Or?_-x@7rG(qnISj68*TX zH6={ORo8iU$*x7)7QaoYJaB39!Px)nx{|YX{NL<-aX);|-Xq*^O0%V8k9V&Z&AL$e zgF&Kx#mWY^Q^)T5^{M>R{rFGZ?fFkJiJ6OT#{Uj8@M<VDSD5+q!1pDYTI{#=mZtVI z?TEkhqs#Bp?H!g2Lk~JEFZkrhG3i*m%Y%xqUiLyWa<A|6>w4_D{NhcU3K#7So917! z+YwPPKh#)$^^$A9R~sCe_=D?7)!vC4=N(Y}7I)}?luu`qo!#x#?}hp~9>^MTSxx=* zi{0k@^20wjUVr{3Bx2Lr>!*2i)VF$j>eL>wo_@8;(zmq##<5?1$IWKB-6>JxTd(<W z`HbaTtoEMk3ZM0O?gy{z)XR_enPk2*`!dr{U3RYx*O5IJ1ODZxH~aM`A80!g`Q*kX zCE=}ZKjaz(UDs(n`g;D^yr-!TYv%s^5Fg;p$RxsySTy(jVT4Tp69a=H2Ll5;11|#z zFfuSOG&F)(Dai$T6}dT~A)Ju4bD;He$R@ZuuZeojrqi~Jk%3_^GXsMFiV1a042VT| z@x>*jNqS{qquQq^W`HodQN5F8*z*|~7{XZ?7=%%bie|wyDkBBrFpx<gjBc9oy=Ap~ z85kILFd$3=d8(n2g$>!Xg2bZ4T(~zuCV?=zX~osML}D2j7&sUi7$l)?gP8Uk#k9<T zjLKsFf)c%=)PkJEN}T=$tymPitJ}-S!N8!ThHxPy@Mo|iyD&AeD5p}dA|)AY8YmJ# z7}<p&)8_QA<0z3}U|7oz8umes%FFynrV%tpHh`^7Cz^plK8=xqAH}ED8p!4pXCxM+ z;&qYZ7P(|IMh1pwOz8f*+l*vVd{Ta5Q3^qKMV9}J_w!_AFz{q#;6ZVhZ!3~%dKGy% z90ge}d1&eJ`)cWo3?R&lV$8X7jEMBAS6q^a!yL%Cwa1!U27G527(C80Frb>FVaAMP zPHIXT4s#&xNr}<eJDZu20fbS_$zozbGN&LV39mWF8>Y`&VZ^`y!h9(HvSedLGN&R5 zXF7s7=#}?_@0JV<3?Pge|6-QN2BoA`;B*osh$KQ6Dho0&Fz|yhBt=5<Oe=~>$vN3L zO@g@TdJvZz?`Z}GFh=p*85EO>2$;jN&i~@_$qWo2jOsUDRyL$S%FD~iE5;Gr5WiWU zSXXP8%*Y_Ii4856yqbY*Qc7wW0h3VIEki;HQVPWVVMlTkWEC^kk{A+5s0)E120?;5 z>@TuG;AO#B&4G9gbw&na4#YvC0vrejA<fU=F${Gu8riUPVI;%!z(dn0=CHDX952ow z&aje=fnlOD2ZJ7itBHxLS*U)hUvf!#esW}5MR-<)acX#Cc79b(WL9{kc6mgSmswa* KWvQP>wk-f<W6wDN literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt new file mode 100644 index 0000000..73ea597 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt @@ -0,0 +1,161 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:27:13 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +------------------------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.251 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.099 | +| Device Static (W) | 0.151 | +| Effective TJA (C/W) | 3.3 | +| Max Ambient (C) | 84.2 | +| Junction Temperature (C) | 25.8 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget <value in Watts> + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.003 | 7 | --- | --- | +| Slice Logic | 0.001 | 1617 | --- | --- | +| LUT as Logic | <0.001 | 531 | 133800 | 0.40 | +| CARRY4 | <0.001 | 20 | 33450 | 0.06 | +| Register | <0.001 | 903 | 267600 | 0.34 | +| F7/F8 Muxes | <0.001 | 96 | 133800 | 0.07 | +| Others | 0.000 | 23 | --- | --- | +| Signals | 0.001 | 1213 | --- | --- | +| MMCM | 0.085 | 1 | 10 | 10.00 | +| DSPs | 0.002 | 2 | 740 | 0.27 | +| I/O | 0.007 | 22 | 285 | 7.72 | +| Static Power | 0.151 | | | | +| Total | 0.251 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.039 | 0.008 | 0.031 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.078 | 0.047 | 0.031 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.006 | 0.001 | 0.005 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.006 | 0.001 | 0.005 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 3.3 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++--------------------+-------------------------------+-----------------+ +| Clock | Domain | Constraint (ns) | ++--------------------+-------------------------------+-----------------+ +| CLK100MHZ | CLK100MHZ | 10.0 | +| clk_out1_clk_wiz_0 | clk_1/inst/clk_out1_clk_wiz_0 | 10.0 | +| clk_out3_clk_wiz_0 | clk_1/inst/clk_out3_clk_wiz_0 | 83.3 | +| clk_out4_clk_wiz_0 | clk_1/inst/clk_out4_clk_wiz_0 | 20.0 | +| clkfbout_clk_wiz_0 | clk_1/inst/clkfbout_clk_wiz_0 | 10.0 | ++--------------------+-------------------------------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++-----------------------+-----------+ +| Name | Power (W) | ++-----------------------+-----------+ +| audioProc | 0.099 | +| clk_1 | 0.086 | +| inst | 0.086 | +| leftFir | 0.002 | +| firUnit_1 | 0.002 | +| operativeUnit_1 | 0.002 | +| rightFir | 0.002 | +| firUnit_1 | 0.002 | +| operativeUnit_1 | 0.002 | ++-----------------------+-----------+ + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..68241796c4e410305c91bd91285274ab89fe294c GIT binary patch literal 528268 zcmWe*U|`_jl43J5Ff`LMRN@M7iVsRHF3l+^&g17`;9?NsOe{^w%nvBaPnNpF$-yAN zAi<!(t?`-Hl!1YP!GggRMEQb<(EtDI>!TdF*us*NGxJJB85kPuWsSXm+o(!eu-oW@ zl$e6Jwjc=y4iAO^hFFkbDu^fr5w#!!YC>XZg=kvZGke)MhhH|Ta^8-%5EDRL;!Mal zHWoED4t9{`y1v+2_57DPRuB_FTp~>{G8JWDP=J~+;ZP~*CKy^kd;#*ADu{r%0mLHG z4Tc~$9I%%KX(7=BM=tiT<m7xqV^h(yXV#Eq1SC514UI%+&74V=3C>)cVadsfr4{j+ z`DBL$#3xBbiMfzum9x~r3Mnu^TtdO=$i?pK9^x35oD4C7yzm4YQBp#7Xh6a<F(nxi z6kOLA+aUP^#3kU5B!(<yF1Dil(vs8^(aZX^_Oh#2t+G{pD;;K|#T8JLpHiA!l9``Z zbexfkkBiMYCqFs67@TJrxP-a5gL5*IQx$yj(=(I7f~;I(Ts%IZAqt7bP|+1rIV2br z*ti6^*qj}Mf+9@>R<$xoFe-3!32|`*rKV>Vm!uX6Tv#O_!KlE_CCbI^X0GpMq2OCu zky<PO(agui&&B3nl95^jvYAog9y=F57khAKdR}4<NQ6Owk&B0m#n;!_SAa``K|zd* zmy5+EIG|YI39AHyf-n~k7qh3nzrb832?hmuaG}t|m>67;nw*(dso;`YoSB}d5RhM< zTBP7qnv$McqEK3#nU}7kP@Gy4pI?w#lvt9PmmZ&-pO=ye3X5U|-4w8<_yUlo_#}uX zo3g~5(o}`aJcaPYl9FOOrRgkOp<DtL$>xbh1_mX?N$DmQCc1_~T*>*lxv53TnTa`4 z>?M^2naPPcN^)FWMh1pPx(4RDhK52&0WZZ;W~gVNyJ$_0lWCDxkD~>L1BXyIBQGeq zi83&paFh)UTj8X-d)YZhU3;+*2U7-y1r8R!7@fp(Q&Tcaa}_jvA#^}dep+Tus-~~8 z@nnYphA49`2}7fjd_z+O4MQV^l6(b2Qw5*I%G9D_O(6l8UPA+=3PS@WO<9ml3LF|7 z1{?_-8Jq>26&wwW936}t6Bs$9w7D3=g&4!6m<rsK7#+2k{WEe+L1i*H<+(1sk`e3+ z;)a3<C21~BXCH4v0|Q@=C?Nz>mVrURQOSZ!C^;uPKEJfYFdoDx&#a0!5RwHk4fQkg zic9oCOjK!foyPceB1y}xS+&dw$xajeI+3Jh85kD8T$`4ZUs{4&Cz>?awOSAR6}aXp za4`rmNIhlb;s)1<@tJw~r6oeh93=q`79k$qE#IBY1(;<S7#tjw1UQ(5czE+)zAzJD z1-ZgONsxm{h?n=i!UGF|*^IIb4ARa@f*g!Oyu4=Z%$5R+K|;#TN&*}VLOi_uGbdRJ zFvv16JOG)*EyTk+b$^(N07y-Py^<iueRd%p-kY1$t-y*H7?cD#ScQ0bg@np11)hUc zrGrcYDXQCl-b|oWmVx1wlac@jn-C9gT3o)RK)x&k!#yV@L5_PMMW8e)zyzvk9Hcfg zbFqRV&rk?LN!?=PVgW^|5Eob&9KBHQf%So$$-tl_z`-QM15WKQHwkbsVu*k|w=CZR z;_e5aKtVVF9&(_tL^WDoh=+HNd7A|+1Ozxlgm`$@DXg=Fi9o|ddh>c)g#D~A`{725 zp=lG~z!X8(g&at5gNU*p)7h9_Lk|&bp@tc<pu~j~L{fJcxj0giN=r)e^NNMwENHrP zf4|)f7EX|Kd7W>UDKx^N5f^D?WFa6e%fN8MQ3>LNogDra0&=np3?D!uAZLb0i&=mJ z7oI9Z*o5IJ9vTDLVf>J!3r-WDu$=of+(JNEmVto>mIT)*OIZl;%Q7$&IATeHN&+09 zM0v02gc($k)NN)ify}(jlFY=M%&OFQa6S~m5RrPp$i-Juo(ZY}N{aGxa#D+g<k7Y1 zqbh&~(MHu}CWrt5g|1fHU3k`or0odnf93*#vJ4E1K>h%w?Y&Ym76K8n3=A7USqYpe zuWp?W_dX<3Zv0YWA<zcOlx(m}8Tg;mOh8MPfk6Xg5-3yd4#}|)h>~Ss*a9*MktZOT z5?U&pSC5964Gw=$QnF3-F@=>ckeHp{dDl#UO_qV-04Q^TN*vA3FBSs3LH1k2N*w3E zjFtk&KtgUHCxPNttxE<eQ$oCQDHkFHFJCHEoh=1+GRZPD%mEpNa3+f^149GILN*~@ z-m_|gmI7NrrkjFH2bEsm53pJYaDWOJP+9`TZeekYxj+Rdbva2b<KSY?Nlhzp%PbOt zF{Bo-adD<)7KP?zmc$zh2}0%d;X+c+7`b?p^YcIv4OJwMp-3M^0W_|W@(r{cd-yXD z9%zubo_zeKnE)5K5`bn0rzAyl0RfOdVP0rnKFI{82AcR8%b%Eoa|k@~zg6C6CLk=! zz%T=(4HVU-Kexaw!&<a}qT^Jh2gINU4pK{*xcH&1o3d1>R~0e6ilzjoXBV9=HiIQ~ zNS(y=d#R;BE3+&^!y!<#g6gE1kCs~sw1b2W!{SiJ{;;_KJfhi!czGi~=9&rY03}gy zasVfqOUtFLVIcrX|2kK?ECt?!jQS2T3go+eWzLY41y0C(LOi^(4Oh$r3_w*UDE)&{ z(Yhx^mI95SRKy5!6e6vG>|+2`i^4)Yyi=ZaSRslwP*Ov7rT_=J8sq?n*UKR5s(LCc z1=>N@v4gAw)uwyS^jZouvB)wsFt{iQa)5lSs@z~Ea0ujrg&<X6A0H@?h5H!N4nR#{ z=-z|W@Jna7!Gi$O3|RekjitbJP&l0inGMRi3P~$11^Pik$3Z~{DwYmCdSnL6Nm$*4 z#pPK1hX_t+C}0Ma00(+-LKFFbd01)%2Ny?CW_kv=q=2)e7NQgtLNFCbWyN!hvO)n< z6_JI-QjRn;SU!Lh7G+zXnh9{qGB5~$@;InscoL&yF2F0xzz_fu0hM=H3JdWwk05y* zURW?RFGMRWIwEFJURWq$@hh>V#VwCew9?|q{1ui0e?WO)A1IxIN{ceDRh9z(KtlUL z=@c{FfbtGXX#vRunB_p#Hso>uoWDGuO2P9Nq(otgXtES|25O3Z2Dt!{mO(B67Y(3t zcEzhiOK1(FL`>?&RD-3kfHa|V)}~ns)X6e1`~j6#pd80{uf<Z}Cn%@>0XYfeUu<Q? z!&NeHzu+n>kb?jcOqgXw?ArB~0^K0%j)JTMl@+_p)*uRTNLyh43gor`7B^wI9GmwL z!Ap8kF<}0cn$O6^SDc&^@9FOp>J|^~zo7B4R*;|;JGl6UCnZp!3l@R)bD)JFvJfPe z;3D8k4O9w%ML<<bgFUpBjU)nbVM-#Z3sLw3>_R1Mog+}*Hu2eRAs_<k)q+YlPyl^- z!D=BOE6c#}0@R=fCC8$<i>w5uamq3rm;|akL8XL7-byQhy_~WP3#Pj$32=bwMeo@m z<^l<z)+(qW3~Cz8j}C(55O64h+PIC;E6icdECCKs1gV{RU@ag4YIcL{$I%ljICL7` z6ND5S(V?wY0vCB?85B-|oCL}bWtq|z0`2Uw3<nZHPJ*-+III!PBShjCmt|ns0rDNF zHr3vdW+kwnMV8?Ji;K{z#XKCWLQ59&aIu6`7Nl}<g9qOfG<-d(G`YAS9KXceR4!r2 zP?$ncVo9okhHpucez7JOo2r6GW_pHD92c`sXb2a#u92aMxrv3bnTZ7#t7CCxUNRSl zrJk{|v89D67pIB2o~5a=p^=#cCm6Cya4N8BFq>X73O2WrP6UnEL&hico%2fKosx61 zqfKJtGvkef;&GeLX=tivXkcn$Zh+eYtLy+=78rvpFvM$tk(r*EiG_)=1#Sx#TJzwt zz=*H~mZo}^24+U4Mz}5T+`x#-0t1KzF?fQ=#8A(|%+$ibf{WA8NYB#3($dfv90QyZ zusCSi{LRct0yz#c<KvSPi;5}<Mu3T>p1HA!u{oabVeooqh9iazA<l1Qgbm$dO+}n0 zhI&SZ2A1GRFwiqIGBq$TK=Z=uho{Y~><IQP^n-)q(=u~PQj1bkf)jHKa#G`qQq!ZM z389Tj?yx7^Y{Bmkf&pTnXJBq_2~PF~dIrXz6oeKab8a7?AVAC^5koLQh;#?}0YZX9 z3`{J*1&x88fq{{Ui6L5mbg3RNv$7{Qg&0DUYCEAM0<xV5hd7X(L|`r<6gQ?Imlzlr z7;<sKnCO`%L6V{%F@+=&LO}wvosdH)2ok7EqVX3a#-@5E#-@hmhFqK`271N@md57j zwb9~`^=4Kgu<=O!<h&9i14v0IREMXGg?Z5ccUZg1t~9f<A|v@h3MV6>dMem&O-`zV zIUoYJ12{mnq`8Tu1sA8KnVyN6frX_xTJ}@rSYl=+iW0KXhT!_qSST5f$p(6+Mixe< z23(w$mU^bfCPo-0XPsSWW~G2!d$}Z*B*y!fmc(b~l|U^qB4CMuv9W=f3D^=#3kwSq z3pD2|w-RBAu}~5opMX-IfrY6#7l)CaiG{g|nI)PXZ*DBW=MiIQ5rnV3Wuj+nY;I|4 zz{O#xXJTSuWQ3mO4UW$>vywp$EKqaHrwHEOGQ{f#Lp?)NQ)3HLkog9tCZ<N{rL3v{ zEPQ@|#JCAwI}G#;P0dXW4Y@cB^bAZ*4a|+u!l|rsIzBrf;be@jSqC!N%*@ct9BhY? zp{XHyd_PT{Ze}Hm98NCrKJotG6lDZ$E|lPoY;cilVrgyxY8P4RnVTD#SfX2zA~3<s z%7Ca6p|~_R+8Ep%HWVr*WUHx}u?ZI^xM5~wY-EBKcsaZi%&hc@w$%($#+MSZ)Y9An z)Pe<j)!f7s%~Hqz{bp8%#1%duzZw#6t+^S*ujWSPCg=&po>Xh0^#~CW3vRDl>Y1Ba zS{S0|V;hjQBt$IORwE%IY()-ZbkBY}-%nC<0++ppl-hb_A8EEi%P=C+6S#2;O;6}q z=Mu<PQqmJN@1)~zAQ+kGfjY33pzf-ro|(C!DMs0IPPyOAN&#bPE+sW5u`)TY1lCv} zCNAMcgSjDkGeqQmA1QGODOHJ!ON6Z$xs*g(Nht>Llnp|p`PB&8<s~8oA?FtK6a==F zgcM{9wU%J%YiVu-4PrA>3v=|Mfg9vm;!9r(Na;&BHJKPgi$POULo@V};pRP1Y9hY$ zh4#4!+KRuTk-0;ft<WN$pso088qPByTZyjTjG%rcA~hi@8dD4ON|gg-D+#Fy)`ug; zTF}rIv=~IMR!Ozii1;!eUm|7#`PP8=^aM@CS%eE;3u6ltNba#Tw=}^hd^u0{nOP|l zDtzPP4TOjZSZIy`H5||bHfDYwN$nLQs27Q_7GH~S<0R6og*6FD%rod^;d+p@B(ztc zMIkYsMU?x-=tUveR#Nf|wAD?TwHTEg$<~q(wFuv0^dCvJ)(F~SA;P!#8cORxQA<i7 zTS96a!qpt!8mA0oE%DVHv=F8+8PDw|spvN(-d04>kJ-nVL!zzV#y(L^HGJ_pn?zfo zsfkbs8yJ|HLL0vpX6Bd!6nUT!CcfZ@`jv=+-_Y2?0yHdVsb^_vVUE$G3JNB!;3q0v z5hbuWMmBW~0{M{m<^wdl5DHflOLIgTGB87L?mLrat0A-iCdO7gtp_L4Y$c)bfbc8k zPyng6LW^I*1(G>DzZjSppocKnR^kgJXtWYj_##>n7`-^Kr6d%-#?Ts$i2Pz;W(v<Q zmX;W!eCi&=<rgC%qU<s<gbXNIf&hBkceXomb{P|Ammz2n89ttlHjXrfNV`mkwaXm$ zfIYExnG!RE0#BPpm}Sln$xf0w`_RORFGrY|>zP>?nwmk1R1<R(BUAKf^JVTNWgHNi zH1W&<fJPimER8Kq&AB*111X?MTJ+Ipk=w24(<{-?!Yl~S2%{lrD$Cf|%z}%<M9<v7 z&<vwzpqkraX2qWa8pck}D}l^d7z*K?7B|x~G_o)PR|aN!#wNxFrs)0ViN=j+)8bCf zKHi`q;P}jVLm@ma<}lN<Ff%kYFyrDhve2_IF)}g1m~%f-i{WA;eB<JvKsB^5Gq*J3 z;xseUGc+|ZG(vZ=sYi~Pl^jL~2_)bc4e4N;5R+VyM^4cDM#4ukNlLB|Yw2w(wAvt| z1V{EOdd~>#R}xBa^4gRb6^9UMK@6)mh-eRhlRI=Y0o}8g7LgROM$m{QCWs+@dUH@$ z8>_7(1ToB7VmyndKX#r(&qABB#CR6TR`k3Bwv`mm65mHbO2!yn?FY%}BxPA>VNZ-_ z5iyIAip!GHNg70fjbf8#Ek+ZbRBMf(^(l!73O#B|K)xk@5XA^O5kjJ^=(&efTcQ0x zVuBb?G6vg9N-~Dl@$|M98pb4Ai(aXc>RV&zC@T@xB05T#ox);Jf+8W1N$C~BJ1v+) z*+n30iBHF{k!&K;F}w|orGEyomH3ebXr3h^h>>eN^gNqvLs|(1oADyXTBPiQo@o<E zwU)ff2R$8=YAs|Pg&{Fx>G)>DBT4kFG1#|81lKtb7^|veN++d%1Gdx<ucaoIdS*uE zMi!Rf1qWsZ#+ZW}+(oIRSPCtT@#P(2EhS+(h8SB3_aCEbV$7^auoc>-NWs_5Glj10 z<>E9k)-%V}fqW1iif`!;B!ij=5wOL?$kGf{E|{9>f!7kFcT=}755a2-xL(1#h>6qC zM9;{;%*4`wi_^kF&(y@k)C|4!iJ9R~sIz83tWV4=u!q+iT~~ZQF$9;RCPKmZV#P$y z+}Pa2%ow~t-OR+&&<wpV8`|cA+?NFh5B{N86GJ_76JtwD$N+`0p^>2hx}ir~ok>by z&{`Moun1vW8<L$#$-z)diA-<ChS<|v`X)zwv19};oJ@quamNy9L7Rbrk(n`gL7Rbr zp(%QBu2^AXW@QQ*2|(V8j<%;<-!0fTKD{WhGQPMZu_P5Tj$$E%XVizoRL|7N(!$&b zyz<l3*w7ebhsWl0YaA;-@sC9q8|oRFnVFhF8Y_mTMy5vSo)YObAt{YOQzkzD80Z<8 znVOhbLY9J>8yTCRPh5T}H^$)~BcWV8QDm-XVQ6e=WXi>9WT<BWF5b|FH|N^wm|1Bd zFFN#$&&(?*ErD)T@N^1w0}tcm6_<dApbGF>XKrX}23iqs2rF07tlRiR+ssOrfOVkS z0%{#-Vm%M9jTVL`=Ac~~#wL2k*gTjPp=oBNO~6KQLl$bKP$C}tEcJ{n!3%0REiCm+ z%ni*g(W9z{U7b)7Yb;cV#|luKnHYmo11>Lh-%~TQ(j&o3pisnTC8$m^GBW|CdK`OQ zwDu5VC8%hEh9byH{3+Ai(9Fynlrk;!48c_*T2h(arbakrg2vpSHVP3+nP$e|abg_S zX@aaHlroX519=d?ji$y%mWH6=SR7ezC8HYQyZ{>Uf_e~SV-B8#W29$kWNBe)#>HWb zJwzpEsuB)SP`?>!p-?^^+d#v?MwX@~;0<@!GM;3E3SsY|rI-Lb7Mg<g^_dzOadDV} zMxreZ(VI}t8cJqXd<CUB#i=G1*&bEk;tJn7e{(%ELkmOD;I;*hT2gSLBHmgO6j<>l zc-Q%ZEwM1O<l@BDV7fE`za^mJFy2Hc0dLrtn;Dv#f=0$H^o%Sl42{wELfEw_m|4lf z_d=j-SAZ-fGQw+vnVyNIxq*=-7pJj>o`Ip6B}R*EPntYV8z76T@!MdcXJ%<;1}e%y z`#Fq3Otcaq#vNn>MtcGz0G(>E5URuzN@jW%hK2^l=3Jb{mU`w!78V%ohAJm{Gb=N~ zbMYv_X9y}4;*ANEKNf~2rpBgRoVXffCCB9mXBSZ08Jamkr2&4=8k?FK8-RL_W_qUP zW=7`dEg3UUInq4~84EWikXFnM&CLx!;cTI20qVY@_d}*}$l*>a-~nhN+BK$z=3JoN zxL7x5Dj$|1T-Jed7Bo2V*7_!Tpbajdxj;ibGjlU@3-qF=d78ADl{|8d3{D}Qd7zoQ zXvnCB5nd}S^g!FhKzmwoH7xo{r3f`FjPN!rjP(qR4U9p>orxLt#vpIKBoPe@q)-DT zLVT5kv7UjExv7ac&MHZxR+4b42bHqWXaZS?ZxYl*&&<fk7+=S+@rVR+#}Pb6U`(jk zFf+FRMU63z<Q$$NL3&PtOxzjc?FbuL=owg88XAH|_RRILc7)%&6G!$BD6HZQ@%Q14 z^uXgB23(xxrg{cofL79$TouPx??IeyB80Cs0&X9Gmeztc<YQ|eoO>ZgIENy&4}>c4 z6zzt321dqamd21xVwPqY>&WbxMa`_tKve~)eNjU~MUk1I1-O34RkDAV5+UqSq>>$P zCI;1#2H@TSuJNj^M}&#U#GrJKl&A1zViP?x3v){Yb1n{0KLM0n&{9j&Az{Lq7}O6& zvJl^x2@$>U*=vPJ88ZP{PAFFx>X{pYy2xCdMmTcCc77pzxdJk)XG)-IG%~a_167SC z=6WW^Mn(qcRinr)L7Y`1Wa|L_W|Wzpv8kCEcxo0$y?^%<9veXYjd&vh<)NXGsTrs# zXlA5mVQgWEu{4JFupk-bA$Z7%nB`#>7M5n9t{ko<TRwq;M6KC3Bj8OVLrWvj*a@zv zx+zbFHz9FoER@Z~<mSf3WoQ6eXawFfFN`=c6n-Ks7blLxI+!I8XKA=!5WsQT1^A>1 zgIK&R4?{ge69ZFd(P?6CV1YiO@otg;!N!dt;bACKP+Qax$Dr{`Z+^mMBq)JEix5IP zZ9(Og33y=A$jks^zW&A;K8&5VL1;T|@pT+Q9SIZA+AvO26FnnyOEXjST>L$R4{uQc z@=CmsP!XOg%}me8+{Dn*1ov{m>sEYbR{DhMw4}@u=ls&Vl4wv}8jttr84d$IBO^;t zL~$7C85)=yVXRNPqzwu=3@bqb&@PxI-b`hxXKY|>2rmCD^$bl+49w7HD&xNLl3sQ| zieCJ^60p@EzkyZ_VzpX6nHP7_3#y>w2^GB-hK9z*M!5Tz8-DWOv;oqM#D8djiJqaM zks+v$Y-p)xXl!C+jJ_E@;S3Mnga@t(jqxs1G&0vSu{1RSo!(<+re|bmYGR7s-+B0r z+ssM=6zeFhsp66(@SqF+eyNG2nYpP6?tz3yceqJU0gw>}W4tAuv7QmAcms{Io9USw znHrd*`)i3SH=#b3sZbpLO=X5AdWJ?umS&(fyMdm8p{21g`qJ<>)40s6#NmT6NJoBv z`Zw8l3I$6&GgAvQLr|~Y9MreMoceHo&tYbzfe|&R2l?t31*ar}qYB^PI%pWt95mv_ ziL1jH^pt~$!F8k#Bi<FP7J3F|hTst(&|+e2vwl3P9LSv=Xo-eDxtUrRo0)?alN;-S zCUh{;axFUt>B$YUGr<_|<UPC@iMs@ENMR?X1c!F+@WmOZv2JN$3h^(v+l8LSzhtox z5obvK0|E_NQwtLVaDk2^e1(NsaF%(HV8mbMndzAun3{vum7ALCSz2N_IHPn61Ku(Z z<d=9OynSLLV?A>tGXq0oE>1WTt(OxO3bF+wW5KrJ!xoj}oAfZ%voJF-1g+*Ug!RGE zED;T2AlwcD`3Kex!q-eO*E2CRHZ}%Lc$?^1n3<ShoWd6O;<qWDb8L)2IhVl5CfH8~ zCZM4>9DdsO_NOVqIX@&nfyzL9)1^jwhL#4#ps`dFQ#~VN3nPrJHxD-aFtrjz9**+~ z0xzSFH^e(uZ=z>nU<R7e=ClBvWn*B1F%i4w>vy~X2F{_zLU?j0r;&l4rMbDW1!y0L z5vc8Lj8Td2wfSahrG=5y(8_R-ylWn~6vwygz|cs~+|bg}9F(-p^$aX5%rUAxzXP94 zaURBJh;I=9r=g*qg|VTDg#j0*nUS8Up^+g*|AAr2ds8c8Viwvt$0w$wKrU8_&y2Se zD#Kk9avB=z85tOug0=}6o9mex7#bU(uRfcT_ukaXi128BFsi-ych?vh=vf#Tn3zEJ zEE<3|aiCZ43g+)jNm-`^PBeyiN7F#Xy19k18O|}7tR-(v3C`n#8h@~Y17D?Ss%K_q zU;%Ey;FwM-&VOr4@<a(_o(X>&%}~$Gz|a&_pIBPxSy&pHnxpR`>Z^WZN?^l@p-=|C zlw+i4Xl!5(Du&HLoh)NZOY}NYIOL6~l?o_>p|o#dWj*xB74S)wcx^J&votg}H!|kp z#AQ>F?hE2<!ds|tfJR$QOhIEZCOBsB+4CQo5?%)ZYEi*b2%bWW161l8T7V{Cz?l!r zpkVLsTSVB0oFehGdqKsFp^*h>CIMbQqsQ5lvo}nw)CtB}yg__sys=Oiz64?k8WlG) z0<DLzgpQS?)zb_a*G;X2;4OI1Fj((8k~nis4e*$Y^~gFyA-v&Zpl4}nWC&hQ0d2~l zhtIdzt3-zn{uY@rXo}gw(1MH8++5Gh0Mw^K3!ZHXSMgPokdtjdV_-Os@#Qo$&@;C% zGcg8LTR40arF@Af9~pvUoIvoIo12<|n$580AA0c3d~=a#%kZxx2DSYR%?v@A71s#n zzvCB8Nf_Ay<)V0k3B=sY%+k~n(ZEIbp~WHMd}u^eaGIH!fVK<cD)(;eC*Cq6LP_7; z3=*8UER)zroMpyD`pnSK5O<0Ge=qTt5#uuhOLN?oy(7{x6C!<P0Gb}g6^w65u#7mL znc?;sv6h*FYhgl}+suqAJ_A`sxY(kSWoF=}J)L|8vdoCU5*9N<3ln^U_+nQs5bo%K zM!umf3{Xc8Pd&_GtY>CoU~UFl)nuw?WMp8BvDmWD<$|e|FuWShFNKeC<LibS>KPkZ z8h|GBEiLpcO$;zQV5`J05YYj1@%M8D%^Jj;;GO*d&6*mTfljxAj|ibvmttLK2{+zB z%VMAbhrdS#I&t0598|r4+h$lgM$JrT$?B1r;vHVK&@(VMwEzvFn3(Gsn^{_790ba3 zbp~70Jq2`jZYHb+g>U&SXfd6M8K~uMW~^ssXkc!LKJlU~avF~n(4H2a<NYD4+6|0g ztJ)1PCTKhTPMTWDVze_s0?;WC6MXrI6Lf>Hp`jtD$7~21+cGr6I9Y!C%@d|pIv^8K zx*ur$f28&Dcy~;3nCh7un3#YDjlo@XO9Nx{p7Oz+C&=k3Ti{EGpaTgEER767Yu<3I z&DK78%+$(+^pODz{2LR@^-MsUJ3u{j9D92HrjTc`IsTR%5n}_5509FXa275!!4jHs zFw(OyF*F2qSa7u{9X1^`#Wm%Ccjy6hgM+cDg(YYOtGSV$xrK#=8G4u8d)E<DqURip z@eag-8pojCB%Z}do4AhP>s&x4*a>XNf(=#TUhF~07Vvx^p{2hjhQ@}Vp;1!{JyTOl zV~i!s5-Shl^$Ixpjqv3%P|w)N#2j?SHz%mLH#9QC*o)7Xx{s{l-W=~rBm+Ir<{v}o zdDcdj7-OaKM!SgU#DEHar^=F4*!VfV0c|rqOGC(+>86%?CWfX)7)R}0O4xxfKp~sY zjD%Vkad*=}tDKAs4b9ECI6%w&ER2oO>zEkJZKhUEnB$5l_a}n)HRb1}X5^Q|2M5LH zrB;*#gElyUmt=s3S>g@x)~4oqrk2JQ=H^_SCZ>8OW|qbn717hqO?aw0(11FD;cjz1 z0~14Y(0sM2xt_U!v9Seuy$}|(314tRP87hKJ~=G(jLpo=Ee$~X1Psj03@`@kGY)Pr zC3%+^H~@|C4-gya85^3JSb|pGgGQz;&5h7kws0@rKu)!XzxFZJGc+><FG0n%=c*)X z16kFcr8)kRz(~)?%+wfkEH9*<$Mo<)w+&>K1o+E26Fmb96C=>ZN+Sb3GYe1-MQh+r zS-uu;Is$bH;*Ie~r=gya5oiwr?umvIr&p2_otF4QmBUES)ZEb6+!U04v2HNCJbQ(y zl_}|s7(+u7d>-bo&@(bKu>|euFfsuhQD|&{o_xKYE+;EC%?M0_fi_8i=2Z+W^(+l6 z%uLYtlEfZghBr3BMGyWfHb846%nU%2x`v>Y@0J!AN4Y#)h}RNGNsfQTkD;EWxrw=j zF?gS-xrL<x#=89vFP36!%Oka{jqxT9(3(**6JsNs(=z9)7Ll1a%<&}-P_1uaY-(&` z%!RYoU$Sr^Ik^F^y&RyKHZubw(ApLpdk8vh7MfZaV^)r6cWCOPO}d$x;qxyCXe!&> z+yu|EhDS>lkYleo{%slNdS*r@W@exxEiJ&8%wTMCe(+{Ko>~}Ouo($e<7sXf=vi2r zT0pI^FtRkjn5EZPJKxmGf|wE}D848)F~u<@r6{$y7_yZbf9-4zy6VORG&hW^<M8|K zJhCb)vjMXhf0m`8kMXw)2xlF`TVw{cDc(W~WU-;8IcUuojy(J95}6hg&a@V$V2g3| z!((0Mk(FujH=RxNObks7EKImS#iWt3xh2Ly53NV$;>ono=@fitADHWb_BDYjNnG=- zh8}at$~Wd_c-LE*>sgqaTY$pU%v{gX#Msahz0IEZb`I`34cczT=QRU8OG69Lyc-8- z{U2zj5L#pI(7rk3)oDg}my&Rp>lv6?Sb~OSK^<A}AOM=p;%8@*7p8cZl7KBXH#P$; zNd@H<kj-co>z<lTRywu7TdA4qnOT^agGSUL?RCs?xrKSN$ZFx?AD9E%3%W8D)OIkj zFtfBoAJAz9*-OU2oS`9J4})@zxup@FTlo~ZK^`W(^?<kUz+t9mVqs(mK2ib4M(>a8 zWZ7$hKZ4ElEDTI7LCZgI9p%vXZ6-Mh%L0EmgT^gPOu%CYmU@=vriSL|Lm2EyGssF< z_$zfoJ#%vtV+#|U&EYno8RQimh8FnF1OpA!7+DyCXNqumxSnqYIjv#*{spBgQ)2_r zf`1(8Drxm}vPvuqQ@k0;OwYj55`06jkp=d%9%8(wla;XWmy|TK*V2IK4N>4*<}k1S zMcxn<Z8*&o`}M!z(Ko|bp*DO2faahUxgn^IH8j<;G&C{6I7e&amZ_#z&RAOH#18?2 zhju|5b&1;sW@4#_?WAjDU)PpTHATBf6zpAtSi)(^7_`p_S6Z6;VhTBF$<h$-0U{=# zQwbm&L5%e*OieHk!%k41O!PDuxM738eF1LR7?~O2+>CDbVj_8^laY~7E4~B;+G1yF zU~B-|kY;XaW{z>$1oMW8l%^-}pbP#0Fx4|NH8BPqc8_Z!x_I40vWhE9W4ybw4fHIH zAPsU$OFctF3quR^`p9M(DCh~zc%mH@2F^kRg5E;U0CYW>0r;c{ta;hmb|QtN`CxY# z;tc`Nps;}fXwfjPLE#(ICy<x;3_wLE&UJJgCVHlZCI&_(;9*k>15;y+#jbsO`iP&s zGs3$}8MK!bwB-Pl&<zX>4Gqv6meO<jh*($wN(bPT?RZb8=P&@>g=uVI0Xh-L+|&$n zdhPg}Uc3X9;Oz~D_-BK_dDqCo4CE!OdG~%=FNNs`nsxE4b_dO(m>3zGfM!KOgTba| zX6S>m%&NWQ6w-$HmwKA(nV6Y_*D9EpVBbO#_`8R27~>ygGto0JGXsxh8XD@Enj4s4 z991KCt_M$l9lU29fBrMkGczzS038R6YX|c8Sv_RtKm2V(3q5l~BQx-_Ivg>Vx3Qb7 zLf6npD1*pN<R;i}o<MFrH2HR;?E!(`JW-UIj(30w6u8EQ;PDn5+q<XObeUS2kld{^ zG%zGI1#N6<X$aov4LbhA68(syX(nByOhJQ3YYl~(@zv#)dY~<epz_qvNYB93%m`x# z^M1W9Q!59;p7oC}D9TSxEiTT?OLxi7OO5w;3U!Omgst(yJ4bD)XJ~3^2`Ue8xx!GV z%hbvdOA{4+AfA9T@RdrS{=A_j_(X9WyAHN%c9BymnG+mJHL}1vYP~eKldL>thQDJC z8ay@yuRp^zT)rTqlN=A5;cvNthNbXNyUd9t)5G{jFhCX?8XJJ-U2u#YONNr?VN;<h zJd+}zqS738mAR3ri802twhV5ardH;Jb6GIzoCtJmw*rsd#(I{ZEp0~NCL`9v#ipBf zkXcul;%y~@Y&J3lZC1v0e(D4ha&0!lI|)TNyuI$UlQjti4RAumh><yHKMAhEboZ0( z<m4)I{1YRf;W-n~LLW|C!*ly?wUJefSm1As80r}t8$ph11f5A>VTLgPn|iE`%tbMV z_%3wfFo5pY;o>mTvotj|!LXP!q77ej4s!Arp~8yLIR2$TGK19s?}&n-o{_P!k+BJQ zQvrCE1bxNC$;1|-M--q5HwjNkVW4Mb4w_y9-4O;_cxQm#C_lm5j4w1{7mMS|9H22q zBSQm2+$TqtYc`RSIV{ZaZL8t1)H5@-v;Zxhu&~gxG&jaPEOW_$Mxy$S$vNPS3<Ltw z$kNaPd`=+fR1=JYITd6Z@dYI0>=Yszi=Zk6w8Y!O(g<VX>=%0@DUC(wh!ehJSwSn% zObm@pKpj+2I~8LEPvGkYJpCGI_Y~h`ow1&=ftj(X85d}oE@-X}V=Z~e{s!`z-j;at znyH?JxtS?wYm$kDo|%z_3C2O`7cCoz&T9scD}V{?rL{0J2DiO%?N54tp`N(Cw1z@i zxN|jV=b@P~s3hUy1a%fIEzB|2Mb^)+GqqB~Y<!>&|Hj7~>1W2{%Vl7@K;vPcxoQhN zb8L2%<kXp3sbgu#W3>!lQ36`ZW?%^#FR{daR{BlT8nV)gp$UQ68Dmo;6Y%;#P&>l{ zb0u2?M>RRKGiC&PhXzK5;89mY6LTYsT@Sg>DoM%k&|w;a2dNu?S~9q%u=Vy;ni4*{ z9aQ%~`+=ZMQux+HgBClO8-U7b6VOe@mX?<2mC&6vmH5g|$YxypgBZ}1V~%qXWMgJI zc`af?GyM6(P|pOE>p^>saqQL4)GQ}zV=idlH{J_WI1KbmEeuQzO+k$#Q_z9{^yWkO z>r#9n2|0rbe-F}B&(zq$6m&&`vAG`Bx%chIipZ(X4Gr<G8!-m0i7^Ll;;;a1&N47G zK_8l3GOY;Px)IP=EOcKJ{y9m|Nq8n^pgq49&|V|@Ab<0cLb7~jfOqr>Jn3v`V2X3n z+5Kn%d1=!Kzr`S58kvKuKpcJJ38lGYbsx;|HqAhlhJ~rQ33zERj;-;!FSCfw6ogvy zM&{-Q;PXUrjPa<IWs&7ebNq`oK!Z!hW}qd)xO#=>GqcD^Pv!)Q9MAzTmS&*28e9#H zs0W!ug)Zpe0TaAEe!@ei{Dqn1rCtO4eNYoUQ}ET=kVX!;>4>)IsV9|On+b1`Ha9Rf z1>OCDBl+%OBR+tMIQPK90JQlLS8SgCnL$)+g3di4G%jgqZe|R+fD6~*%r)OK$jU2b zmiVhl&{UY2kr|$o0>nQM?@J<r)XWfc{}Qes?SD_4WuOBWOo;HAA!v;aF3WUCu#6a= z8GvTUaapE8q-CH37l`p0-eU<=NwADKpW!)hfmq8xXCTnkGP(sL=nMoxi^mPkO$<OI zOt>=mpIzi-aB~B^n{tfx%#95!L3dtS80#6Ef~FMFO6zT{8F<^K;JGbAHLj_Fi3w;O zEUxoDo%J)wsd3E+O){7mS{j2dUBj_Xyh=QsEPE~S4tiVaS(=!dg7!axS67)BVC>I* zS(i%Ipf_|p1OLd4rG*LjRta2V{R>l4$?A<8m=icx+QP)r0Ca|eA!vh?p&`a`fbB1n ziRc#>mnMNXw8A#-;v0E@jI@J~3&U{~z|up><ixNA{ylUcdyR}i7n|W4;dk6ap1sCG zQMiYYI1KeHOf4*pOt?7A^(+iPCsv^4){~*hrdSS8&Mi&{kCoyJOLIK~Gf?LgvhxeH zSRMT!r(pRca>CLa@Ags-@Z^*k=rmDW3AS=_0(l7*|27iPH3sJ3W%9Tp@#4e;ay)E- z|2S`BJrheyLo+kbpa*#I4f?>!+1v4WMsLBZQt)n3<^XkZj0}uGd%SV<tu9TCC&y<5 zx>ja-CZG##3~<jW#PE}4uLb@IH*-Bg?c<y3ab&fR&GC=AnCTgrnOlH19^ks9#Z@eZ zoPf44!#j;lxQ5C65>3uDHnf7lKaFh+I>6Qt_tXH(<Y+RxmX`QVxIvmaG6dbrV`hkP zhG(%~w5gRYXlM!V0XNAx&Uq!^+ehQ^k58KD8Jn7zfie=Vv8NB;Bgslwh6V)YQ_T&{ zObm@dGk})HptUe)1^t!%k@%WrkOQ#skHDDe85$UwfcD(tTA+P*E_t4_z`ymKb{1O_ z_OXE>`0h*`+Z(#4lILSfg3)PgWM&S&W762fzyxEVwpKp==!DJ@;H$sQ^el|cO+kH3 zTyq3%I+0|RMFx0BfI-XOjZHx3wHWCc8-TJ4T2j4pG#pQL20DH$9)Bqd+N5m?IkE&t zJ6=&doE)E-;~$7M)w8g)v@|#5;>49fDh`K{mHRF67dxQYS0nH_vG8My(85&fAjo3O zi9+;6cxb!f4GE0qo9UTaSQ>-QdonlEGchtSFhaivq|`YS+h{)0$p(amvW(14jX`^0 zaE-p6GYTauOwIA1mIqowZe$5M_SF(JpJi@{am3p<-(Vuvki!q>!`}o0?TIi4-(P@h zPedR`Fga<~5`UxCRL{iRz|7D9_W@Mb(*ww|*OWkoWnpS+0-6Ih*RwP*G{874>N>w4 z-U<tR?v)|_{VryDM#hE~M&>wAP`ba;m(u+%L@d8B&@(VKH8HmYE!{UX1`Us*<xU%B zUpyrqc*F#Mi3ge&H8clp4!|*^zCXZ+tS*ru{&6VKiBJ~i26$4>SwU~Ia;GK!VgZyp z4NMKeL26)NV1aQ~g&VsU=?mAuwI=?qgNdG{g_#9tYzo%~n(wnc$@8%#-X^@Eo;hgc zE$H+F(3MMurl#l<r`$W-$!Wqv6EMD}0;H>N4C<$WCL2M0eY6z3SJR!GLc$z>!w<Au z3I7pR&4=8`O4kMkc=sZLEXKc0>hNASay)Elfw#v3KBCnaH06h@7SIfJA$+zisEvfQ zYy*GPni`oHf);M!T1nne<3x^6&5ZCSXTqn=w0Jv_lbpeMm{477X<%pqx||nRJ8f&T zBRPJy#BZ;;o)Ku5wlNpZd#vQO?8&j$%$z`7Yi0>vx(V8ZXJl$>j6P6zgUcRoT?<+U z6pz2F1e!oLFb5qj3|gy(Z5lUls~ve+mC($!simbc=yW|?7PoD%BXf8G|DY~p69#Bd z7gyHVW^7AVbmFi3K&7#%Id~;5j?%a!&xWijh``9Jxt@WsIrw}%Ty62U3S?T0ztRQQ z_LiompaztIfq|tldeyh|yEU1$y)l8DV{UG4Yze;Z#?ai@0^{H|&KK5ra}KoahHnA} z)DJf`0*z<m>WBZ(vm`G%@gKhenv^g!1)Y<PYdrhsHw&^XwlK!Omlag_gIaB%_%t&# zFvB>Yd+lor3iq-?i!A($y)6uk3_!c~%s^{UF#E0>pPS;1T=2*`{$dd{Sz%%UT5^hO zvSRfuQ}T*M{BxP0Q5Fj`0}Gso4A|6|kY%qC{+<ZfUL!M0oc6L*lW8yhArR2^1W@IQ zdn%zc5o9rz^~(gtKr9I5A`=T^bI=e7t|9$58f02*hIh#p=&%Z7W6-HhxRTbF|Hfoi zeC7mgHZ%n-#lvOuj-TY(OenA|K$DK<TsU`1ZRj>8ugJnb2WO%OnmsTD-7aUUXJTY( zW`cg%np%!A?tw0FX=H@=vS<@MQ!`6T(BcDQV?7fKQ)5f?WvkZ?7@1nhU`$qkF9UN0 z-M@!FhkynNO~GgN;2I=+>1aq+kzi<q_udHuJ<zHDpi`hgBWb1vW*Fxm%WxWyGLi;5 zxt+MvYK$!P3@i<dKo=Dondrf<Aw}MA{%4au*3)W?V)3r_1(i3(=Ac{Iab^39cl5|h ziG(sAXwcjk&pnp~@3hIw_J&3Tvc0i^i6wYfDD2pJv{8T~Z^*UT0N+)Wpi96_%neM; zxHt?!7e`u}p^q|OnXXOr9v^52F&BJf0Vlla&V}XLdlT?TzLA*$sFlEJVglNKW@req z961H~uGhjndIvuF$T(Jr1nUee!3(TFYoE+a%nd;olOtRAG?9>XMzKQiVBc{W8i1S5 z1_p-Mya$=6FtD&RGz1-_Yp!PoyXzR)KECCerdIqpMaenY$$2GU{}4FI2{e{%Y7SZ| ziE9+&v!Mn#9V1IZqmgE&#%7=n87_M}v^2=dla~1VCm;`-Sc2Bu;<7mFk2-l4n-QII zKr4JqEkL(Cm_QB=0}l`)$E5H_bpi?16#o%jgeMF1rm2z@vG{x1MtUX|=Eg?2&puz# zu1a3bg?~3X;W1Y&M-{Rb=0OKt@pn&5O)QK}%t5<=3_(}bqaU!kQeOpM_rws|_r$j` z6jVA`nuA8dEG_kn49qPsb`ED0DHCYc;9X?TVW?+fXb8R!(!x~Fz{uFh091T(N^nZB zN^mN$YA~DTc`FmYeb-1R7SD1wb3H>-&_M~HRS*W2#^xATfn+u);W&^l8oJ4~0FUto zdPXM3#-Iz6z@cS~IqTr-r9|R-5>ve6!3Ln~#>~LSUKr^aTNq*<Sf=<xk$4-SDFWYU zgsGk(=!O{3o(Mxd3vi1Gt&)HIQ-Qpq%!25n6^+dF%uFo6ZB-L<Jxfz#L-0my<g%>c zvjUEo1lLeTv3Q#@2B2$3OhD_W4MCgjEX}~?Bd5y$8VY2NDB&Li25mewFf;|-CW<3` z_g#}Cs|dBk>tiE5W6(Z&11?Sr3q1=%3qy=6Yiw&}NcS<cV}oyof$&_)ww=;s`It~c z+0fF=2$WlK^>?%)rO0e3;~(?^ZE3PF1Z{=IH6+ccE=g8>Wnd(fLTt;<6m$!VDd>PG zTwNc>nG#sLKF0XFJ|=o5rl2GBaPQd45D_QOd&WX_VDAxbmYagEMm7M=Ji}Y?5}Xpq zCB)W$VnnPSPs%KD&M!r@Yw_2}W+rB!1#ldoZ4ibQ7-!#Izb1sYMux6gz;B0zk&%&^ z0cgdxfsuu&Df(jH+vRxefZRGp@B|7&LnF{7?gn~>pk46jEen%eAtHhfeq$E2>cm&A z7=cDNjE!+`+}86JBr`AKZ<>R)78sjY7=ss2TUc0HfVxx21$}0i0O`dZxDRO}luu-0 zh3+l{75XqHT4H_V!*6P(fPHL-$X*wC<Q3nD@3vKZWaUaD{JV8%XD|L~Fatdc3kySY z6PzQ>FKzh9OHxLJEjBkcGQ?@|bxU$BHWsSH)BXev5gLNGKwB7smKqvkthSR_$Zcw6 zhLMa)$}{7kr*!0`7J+YsOD{^Sj7M69XNZ4kBWNDO2=Atg%}2S&N?iu{Tfj!3yLU}M zE2=?v!<m|zVT}FUVCEve1q^Pl;Js%ObSjU5xdCV<8#G*LX=G`P-eY<Glhf2nlTawT z1_gmH<;6ejYp7>rZf*`5_BAorGcg72!a!?pC!c1+KkQ5B(p3vfV>9rufTf<Lg^{T- z`e_m$AFz^h=n4L@7|>}5kRz!;Yg(|Z7)o2qN?z_X#D6qA!K<*!@3D}TPz^2dj!_!v znVT6Jf(C>QP4tW`3`{T%>(SWFVrr#LDA{D@mBa@Xmw>ll;oDYWq-P91Y7{a=X>4GM zah~!FVP?{6HfRRHSC@k(67Y`$&%DM!UJx4)I!MRR6m(w*D32N&8)F=Y@9zEI#7dt~ z2*cYgMEDhS(X%P&)H4pyx%Va}7`>(+Pkxw?d}}1Q`9SD^JPUJEQ&79bLeJR5+z8`< zJjXZRO{^3WOH(rQ<1_Q}OH1@!K-X9MmzKn5=9NT4PSe3ZR|{G-iuW8Q{#oBm$T=?8 z(3JRYih-Vqg^3yHmT+9%6#b&_CfM#1Fu~tVfiyYIa5p)bG`^dVcVVJ2-XqCCgK7o_ zCKg<r;0naj(j0xR@QM336T<gugVHhd#2YgL?E*`4V>8gzrl1u%Miv;yyBRxvH6i1S zQfM+Q#@i$z#%e=iX5EA0i%WB(jiF;yMffc>Gc<y%9XBw>;@|x4FD6z7MC^7zwG-co zS_XOshGxbFhM*-Y2B2HL(EAbEvp<tk_JEdX#^bL-LFvfIz{Ch_rGcTjA$nKvM)rF$ z%N}#QSN<A+>MwJ1@PTDU#-J-z(V8TEGhdll$>S`0z<wiGycwHYf=(m^o$PL8igB#2 z!p4{QiZ>$*$Vq+phigrYEG$8T+sH!%$bCO1wHGFYxBn%kq!jsq3)jqeya!HzswGfM z2OMx<2KqwFHNMYGh;KO>;ysE1bf}{lXi*%ek&&L6p@E?#dc*Fh{Zo8lXbA4N;~%ah z*qYk0<sNxe1pfOyX=g9~Wm7b>*ND)9a|;6#P&<PYbb21PR{BPXdnQ)K#1y2?@rfxZ zL8-;1IiOagu}~hKqSQbSRDhZrgUc9WBTI~>8FPDYlU7yXU-k{2LdRECvAf<hA^VO| z;;%6>G}kjWH#Y!X#fGcdk?`Uo)@FxkEZ!*_Lp?KNLo?7S30xgkHu>{Jlsd(bO~=rQ z!fZTo2$~s!oI-DItY>LyWM+y!o~M8Mq=}UVs6Byin{!ccN@9Fwyb1n2RRq^=-k*8W zgu*+ipbc|;g}aHKnJKse;lvfpOZrX{AI#8w?1WkXrWQuvtYTzgW@?6B+<H3fC8Y%b zT|J7=lZJYRM&?GKll^dc^2y&l#CsCjo+EY!0kpW!#MsgtG#7|t@sz;NJy`RISuEb8 zUkvpO%ni&9Ou0Zu2pSt2n^>Z^kZr!~AtEf{N52q}U<~w(%}l_{tW80kItvSo{hZ%t z?j$}e!F8u00biOM8-lI{;xxC=Gcz%@G)BJ`<ni2XMEEkPv>4@pn{4o?GvT#8hNgOk z2Bs#U%Vu!RqMj+=W`gH}I%DD&5*eE4nH!sfH`SQnSV(k|b(0C&LL$RhqC1LU3yjRb zoAGg^#?#+6Voi<agi@o4Dd^faE>1%;JrffX0|WGi_Vl{-M8p$(TmjtvHN~4YK=m$Y z(<`KpXoTg`Io(9k?KHuADVCw0xrv#PAt*Z=>Y17vnql-4B^InDp?Qjbu-Z`1(#+J< z0<=!iT+iIn)WQ<I$J8`$tqGZf)h47Q8zVDQbI?9+TopY_@EWYi#)728X<%dt+Rn_0 z%a+oLl~`@Dj1@{HHbWchSsEIcfd-3l*}}rP!o*56C8@NeBtNfMKRK_&2)rJ`(17SA zh=#^`mIfxqrsiCnMuvJu7N#cPA$R1d)uyk@O|0ZF+7qA*5T6OQ&wwOfS(q9cfDY`% z<*P#h%dz{)kTh$Ij0`{vXK`8c@!K-&))<kH5{&iCEe(x9w@2f$Mrp<}tSP~eP{Y#1 z#0Wf7U}&mmWNdC`gr1>-GM5owfkB%e_=cDb^$bib%|IK3ObqnQEi6nd&}TyS$Sfg! z1OnRD!Z*TWsAp_sXl`c8#c2UrkYsFWf?jo&tXxP$k&N0vz`wlNK+nS1#MBhL$QX21 z8^#L%lKutcmRH6^^yEQXNO1M!_l3^G+mi>k><C>_Xl!f&o(MP8vj7!|=zHi+?wO0% z4rq%9UwhR+&)m|?0<=rQ(nQbD%)rP3y^S1sb`B9q6nVtO2=CCRk)ENEr6Fkdr-_lC ziKVGI#(Ifu)w9TrHA7-oqkt9|8JZb_2C<QcnvjdkX$I4YNUtcXQ4C{=U0`5nq-SVs zU=BJ<(Fn%^gYO-aOb9J7Fpk9=)}Zl7(5My{qUnh~zV&A7MAFLxaN-5s@&Ve@L2%^{ z=&(pbb92yT=D7SS_N||wUyX<lTu^?2T=;>@Lf^}M1T8d)CA25f+ypfH3mS<rGqAM4 zm}!#c?lrM;!W`6wPV9%~WtPMng6H=0^HMYNOX7or;`34~O2B;waHR~*!RdI$5{&hX zOiYbHW2U$c9$t~#V`8O(S@);rBvvNpl^Db)Cl(b|g2xf^!R;DiVib0_0<Hj#{MSh^ zfK6iwO&%H;fesSlFwiqLFf_$j2&8+yjfflyYU4OnmZV058~H{==TJ~r-og|#4UZhK z$R)&z+%|%KG>auPIAd;PVQBz976oe}($sIGbZ`cmiinL|13gPqBk%%nTpoAuX(8xw zbK<kBfgbEq0$dhGsy7p~&?1(=#&Ba}0}~5V(2gWC15-1MJ6+bDZo*ref(t#+#pJ{l zdWgFKaAlFY$sh}H=1Xt^U<qnr5^JHkg_#Mc+k`6=w|O_2SSjMS5Y+7`AhHxN&@(qT zH8F+Unr38fYzbb_fSf}PYZ752xD`#bAED>}<MQJ_Ng`}CA}LhOAeR8(ipC>CMA&Fd znvG_brk3El=&*)rEk6-9nviIt3Aj6lqb<VBM}&>wVRoY9(F}B|78fV3P>tdx!bUR^ z{RqB!0GEw?#Mo#~s*Ru)CN3M_@(|%i3sP+~1Z@(;5s&wXv5~Yg$IJ|T#R4urUMIpv zBLfos2)<_lmyK75v5~Yg$IQ$CG^CHq#>>RmNMe~|2EN__myMT*v60j=hjJT9EpsTh zk<>DWavMo4b11iw)G~*18%Zs5D7TT+GKXRtjY%(a%y8FM#N<a~!&m}iN@hl&V-dMH zO-=QT3{4C%R@hu;YsA+=h1>~iDwIWZ^UcJ<!pzc`i__Fd&(Orc*cdceh}?Ypm0D|J zC5_Qw1PQq2f!e2p`ftXD2F4bk{;`3%sig_}=%-6eEfM`U*a%BBcw*fM?<9?(o~fmQ zi3w<Lyd`KL+z4aJSAJeK5q6^X8HwJ}ZD;_xE6)NHq=triMwXV4_5R2~+BLVDz(Bkq z@#FpmdWOa(7NB8BLqk0?OG9(;3@Ng8sW(dqSZ9WRO(u8<$=nFsKQ%BgFf&GvL_LKP zG6#^%gxVOvNfj|Vh2ziz4(zAlBYSj(TLBphCP8U9+8|b_oyrceAZFDM%JuRvm*Cr% zX{2XnWMT>$tOYGOGPg7{Lm$lxTb51Mk|X>Z5{&fBOwGXezTmQ#<xZxFl@aDh7lDJC zK#QG;=`euq1u(TR16{>tVy<UkWNr-J{fiv`IuV(~E|@7wO&2NyCp<y}T_Ah0TxE@H zulJJ-qU=r10mZOT1s6-OZ)gM;x2}<)iMfe|F=%l-t7CCxUNY#&V-o`l@B}RKm^AY8 z$GGi@CRQfIBren?Wk#S=TM5`~YG7)}g$NO}1*C%W6HTm)NseJ7OK5dOOddBjHns%a zZ;ET}LvlkRzO@e~pdI9RJ!x!VU~UMi9B|yadEt8kS;1=z+FeY@W+TwS{h%Xaux-)* z`6Ypz;3aIak%fr?Xa$A|j&t>c;^WA&*qBgKHZ(Fd0bOT|>)^>E*(mbD8nk;9Z&(`| zL5{D$WwRGU6j@$2CFEs7xtC)@1bJRIAY`+#g`olHQdeBbE9X}@c{YP4VDSdFfr+81 zr5P6|uJo06mrR>MJ2u#y9fN`*O|X}iuw806H+4?kA7)~ug}mn7Ij<z%DLE(GAU-oM zEw!j9H6=dYKtD4cG6N!1j=yj=vM_;M`GzAaEvXG9D_w#1uHp@2&{e^pGm>!C3IcKD zSq$1hir->WW6-8^oQEIltPdf}$Dm{H@K_8w49Um@bW13%^HrDM2`0;8(D@U1EVj@y zG&6!6<%J`T`=f)%%SZ$x+0e)ce6cOAQfse&AX#2E!@I%^+`I=J$^hR9fmZKZum_r0 zX@Pot_!c6=ugowN3db{7X`p9pWNvI~2)c0F0CX}j`hkh&p94&+M3J+sPmr^ZcYJ0% zfr7|f&)nSH6twUaSCWx99zb5q5lk}%2F50!wO6=eF4o?UJextMiQ-AE=Abih%|Hig z;5rSMkHLpLn?Wbj;I-Mp5>(mYzDV$Dt~Yr$TM(GUGqf-?2i?1AX{KimKKBSMy;kn^ z#J17NGY_)I61?mfw22F^?@TPr!Ml)fh3gXrPqOkNfmXP=o|&noG3eM$T#2|S(UmNV zL1(n$^|7g?xiRQ?SX@3XJ>g7_#U=(qap0a7;pV@Eo`IR68R*<kT&t074?Cf+Mg|`m zh1X+7CI;YprEs13`8Ljptb}R;x+5L0&8DE1krD25Z?huEw3$G)ZLVi%Y-j?GOhY{* zZ0Gvx<lB=KnFPHI3T)6WT3mshIKzs(z$VyW0Bw3SH^zOG^tKKwvb=00lm<?}h<-7) zV>!XMwVHu0#x&&Ov^3N+G&Hg_1udjQ9w~@xwlu+Vacgl&5_n`F9&f#AU}<Iynu0R1 z)C0#T`oPc9R0|U;8RUu+)YkO@9RUhCCyzk=X{KjkXk=*uIX=}8oNCYtR^vxz<i#C< z(iUX1p#f;f6xY=rl~>KkiaSsbf~e6Yb3IdIa|_Vs7UXglIqu4(&9JSU05yxk^NUhI z5g2V~4jO-8_6ZHa-d{1)GcvR^2c7O^VF5ZW+7M%GdQyuC=|idDQE`G{Y;I_5VE{VK z2*<66dCa<Gg)!)+4?Lxyg`S0}nJMV@W?X$2Zyjy2l9eIB0SF5t&^2keZ*J+GrA402 z1pI6U+S+RY8ppzwpetg?v)P1TC&Jv)&>Yko#}(RwZ#2n?X7HV2c%#|e%*4dl6n9{6 zOd-!=!ag=Kg)An<)nQwtL6(nA@g7@h2%2~?1Kr+cqz68O6}>$E_fQ?r%vokUfz)fJ zXJlk-1gc7L9Z!6fSDmcXYhnuByh5->Gt;v$Gc-3h2c2tYVPR%y4BBIbTqvd<Q^i)H zfo>uP4YAh}S8<x@VY^fw*`7D$szglL!8Q(n%VGo2q!!);Yieo?zA6$|r%fkVm8^6_ zpwDKZXKG?-ZefCZz>H5^m8^(0Brq;&re|nm0oupSi7QEQwWyHeWfQ{VqL!e;mAE)@ zh4o5174p1nCX`2PA~QEOH3bbvn;YmEgWES~$N9DFRKm8x6s3l@09EjKQk9vWv7w2v zr4jC%Ics+)k>yhpGyH>-pz^}V$Q-mn0d~wLTJ!BxgaTQSOE5hfnVOj3yV**mQ=Tj@ z8=B+yvW1?ZrG=@51@1A3|0Qzdc-hcgC<;$o+z@t*DTjfcB^aQEbo(u76DtYirZ^}O zL5~G8#CxNNfu6aMfeGkTdR*=NV?EM1+xg(J4PqB4bC~Iw7?>EFS)fk&A(y-PJEaIW zdMyZ)w5Fg#)<88SXlo+Y)2SI(Ns$vxCIrUiKo%Q-&M(Dj@ty@zWTi|(Hk<2Nnwx_6 zRpS~>VNoT|W<!GGIF=@+rl6gSxNKINC`nFW8(I*#ZGyv0&)C?=6tvgJ)KCw6v^%J2 zgq*Cz|4I-}R+gZB?6~_<prZkdEkL^sab=Y73lcap3b@N;C`5Dv#mL;m(9#5VMhTxH zPDBF*wvGwhKrxCHN(5J3ga^jV^bEoI2Dkm1HKHa~Lhvobo?$R6h^`$C%|M6BaB&(N zfyMz$4bbCE=86cx+R>6g?P#uNW@HNPgyI?=`ko|AR)#brIIe1HW?=@p0T`Ffjvhi} zWeWo1<feLN=EkO=yX0_PJuG4>M3$FL2#u2)8<|)d8h|#t7+V+_8>3IO-0c*^x0KKj znnm!=h??q|85<dZmLuWv9lNq1Il)TUbD(KDLy+eTO)X6@b{ZGw3E=e{w4zMJQ_CCZ z8G&w%HV3U;1RXkLfPO&FlHa`8<{4mzIYQ43haCJtu&ZUNXJKw;3Lf9H&@(f#Ffjsc zo<=S-{eJSASjmDK5-8Jf;NyV7x!oXEXacc|*dW${)(aRK>RFhWnpmPQ{Nw{!=ZLx5 z2j9-c<kF&|)I6lkiw3bm6RGSZCoD^!NOcq5C0M3<hQ{WQ8?!7Bw~rw&!FuwVle`>D zppXLFYyjGBi0cZ1mZzL#<tAb`>T;OsnHxY3#5FS3Gcg9OQ$p({@$BIwTz3=de4FbT z8kw4dw;SOYjr_8MET0+?SSMqyXJBq-0-7YoH8RI=l!L6uH8LQ!ePpU<Vqt6wYT_d| z(U7zHvMLtBVQfsGvNhE+urxIUojZanHEH`YljT)Y1L&nNM3ibK29_oUW?USmdS;d; zhNkGH%(eAQ1QsWQ4~)gr;|5(&WN2Yzfcs(#?@UH=GL5MLfq7_SQ$tfz3(%TPP@~2G z{b+{$wv70yAY*V9L~u^V%)r<jG{28)#Adehe`B(igA!P;Xr^asXkZK)&&6f)rscnl z$ypAHzyE8fXJTw@4xR(XG0&B%`NJ63JQwto5~3HCgD&<lHwTR%m{{r=nVJ}bH(VfR zMa`Oz#-yLZ3$Bk1Nmx`)q(jJDRBjk66hmZJ!$i;0)XdP(9F$`XLCpg6e!z~3_r_Ly z1*JK~sU{ZL9#tT(64;PoY++z(X2ivb^NjVc?~Dnb01iJ<jhKvMqGw`aW@%x@#R)nP z58Gtsi+%6N$~dM3G7i{gbI^`xTsCjt{f?ZBV@hD|-AvEG$ixsd>5r=|{`Srbvb=0W zVD8;a&%nS0JPwD;W)A5W<an8o#h|dZG%x@iS7r+8S{i_Rt;kKa%RW!ZvDlQ@Ga@)l z^vukRz!y5>YA}_#Jv7F$<sQ_KM@$@>#R^q}b11<o75f>Q$VFC!z(Zrg2P8v|Dh1_U z(C!yvm*|-2nHd=wgN_=(wL~W};=VE3fq{ftDkgfyhGw8+^KoX@S(W$5O1Y*+#I{sS z^i0joz}eQ=SkD4<vLL9tha9YWDtC?X_P@XbI`{^xj4Uk84Z(+zo0uCLVjM7^SaI7J z&jH=g!?XK|?N6ELnV6fIT3CWsTACSH7@MGb$jJAGu@$8~JR=f1oy56_;trk>p)+L+ z4M0cbfi9P_1f3}Z>S!aE8ODs4Nv@8F9&<MVooH$bYTqDN4=CyE@g>6Lg(1Plzp<r( z0qEobT#f(fkr&9yQbq(8nwaVtn;M&&;AxN7eL6=@nQR2QK^$N9GBdWY0NvkbYNTgs zVs2@U9<Q0AXRrk<_$u~f(Cr)Gh6VoSDd_GsQ&Z4Qkhn&j|63o!**t}wAA@&D%~;RO z(!d;acM|A;GSJaopcWW%`uwSKjGXW@C9vSYSkKJD(i}8%fXm{y;>XBJGNuHQ4A^Gy z<`rBve^T5>mX`^v(=paFGcdI@v*hB$W$}ueJIV7hfmJIedS(_T2H?&)j+V=6{;lMA z*~o<032|dRa|25Y(5iJ@OWvd$HydL)eh#JOVnCoEGSM?Lv;<#hiCo?xC+9yCHjx#? zMkYc@;3gB{`4eN%ePrgKiB1z!(B-_w;9D1v3*E+7>y531;qxc?rI0(*i9T?S1C*2u z%t5Os&5@?xk*&!2y%t;XgA$scBMIt>_LQlinF(mgqKT!Rxv8NAhNlc(tTZP6Mip>V z(8wZIh+GGln}IflBYT+)2N)a23N;Yh#4*+*DhP<b$p#(<WIF*zAdu(;P$-ZX4WRWz zkaPe(AsRUv^hr+#hDIc(1B3%G5+XScAUz>c*8!jtiO7xuYJ`B1;Q&X0(LkpGBa?v+ z0dq<m0BV0ym<^3A2iO5*R7i+y2wvSzVF(x-kzOAlT!6hOB(X3Cm4&3%2M8x%FA7L? zf(0d^Kouu|%EJK;1yG4N&`zKzIZ`JgOa?wJm{a5gtOX;9IRaENQjiv?;RI0GNWK%W z7mg%`0;qH(w~=Ckks9^LXeUzANCDqWfLuS2;{ao7){x{lfU1oYavWevNeG~CQ6$F! zW&`a2ikfNE2my+kX`pMgD9i;$6y*Xcgn$u6iI5s0KuIFBK;PFyMlJxI?MQZQfTJuX zu{JO?q@-HLUK*3?1TuziklM=V)iO99NOb~r+ec(M!IYASz+Nwt8VY6u?*z(PiC9Y| zQbWO#qENtEE|KU2P|-|wxqvyQOHOhG70whmfhwUuQF6pyK9d*`paPoQwz46{K!Psm zZDnIh3ML#bAT<m~Z>$<~fo?Z8H#IOe2CZ;JZm4LJ9tNPhB}h$*gj_&k7=WBmN7@{V z0VE7e%*-r6ld;HQpacp7eavO@7{{K1Z><N<FOc3?BxJt<mNoJC9Y9h57=!Mp0!=a* zn;RQ}wviwQ00Zd(K<dywVf#r80MZ=*Ix*Bz&)mes+!Q?kUOXpxmd%*t_5t{0CQuaM zbODLE0OSOc5`htD4-?1*hUO+_=u3%CK3i#QMOrQ(wa-S_ei9Rbc`Wp%IAZ3HO$|+r zKnK*ASn8Qs7+Qd@K1R+31-D2J01`VaMA%Pa0GN>!00!p9&}A=XMy6)w=shN@3X%hW zv@Rnt_LCR@;F}}xt|+oFwKOy5;xsYRGcqwTHU>3Gk(Vwk<6KGfO3P^Q-JQn7ug);B zG&C~?Eu%6*ocDyB<o0b{L2z}3Q7nD!Gp4hBCUmyXl+N~%ltoR<3{6Zy=kQvB*1s8; zp*Pp+9hQ??NR!lDB+7mgv#1FvWt5SHfjRi%bW1(ZeTatW%TXFz=aCu!B#o;PWj~1l zKuV5+-2#d0${?R}bFh^dumzCd#;GB}EA$M^K{q0Tj=nH9Hp95^@^{ZHV=D#Zqc2<% zOA_N@n_>;2J9NtMowIDDX93!9YYJMS3*LeVYIGx)yQidQk+U|=l)(8oMtT-zpwkm@ z+FQ#zi>#$;ge*4JvjClAVu*VkqN?dMa(rw=;9wqOJqr^P3($ddxE4U(nKy+jFB=gK zYZK6QfjGnZ&w)u~S!`-1L?CgQTbLSwE`hYv!<x7Xdi%)<YEv_!o0CR*7ND*9poWE| zp0TNg0r*mI<huPzT?eTp6$y)Vh_s)?l8X4Xl16%FX2y_H1I(}=5Hfd71HrYDpw$3G z?wK(Ht^YIut*JIO*E2UYH8VrshdM#Cp6Hewtehn7GF1-Hl`ZC`hM;pbacrp;e^pD^ zw+68UPw_UkFf{_5R$yQNx<&)-OrjY|1;!+t;!R+eo3WmOp@F5L5zg#8<!A<3+1H3* z_O-MyG&D59Y4PpyG;*@9k(p34WZ5af9au(spd;}?*Owbv=ouLsm}1;{%F>r$Y-I{s z*^6>iLrHmNJoKo7oYW%lt<UL2iIwq)BOJiDhvVy!7@C+F7=e$ZFfp~Xz&Q3|c5npI z$r#chF(Gz?l#!mHC3s^l2gv_Mh8UiH^Do%gN)E%*pnJ69Gr@VrJXR=$$bmPIZIJE9 zpcUK37Dfi>qtM${1sh{KCmwX}U=($2;m!daqU&M^@`Mm=%r*j>jdx>+p`N9wp`iu% z<b3dkR`gcU%EmylvYe?o{u<Lz&%)Bk)YKHTkqoQFnr7bQWI0m;$GaKoS(=#|n&7tg z^aL;RJZwQiRc&BtU<B%hgEokpTN-1maku#ANorM1!kQT)J)-O<v8pzVB^2Y92Ij`* zCOBi<Z;lIDF>XQZaD<Vbfw75!i6u%gkK95^nBYRV&Ln>LgF5yR-&r%%18udkM6Je< z{im*MPtbpcCb2@Lcuz0_Zyz(@LX2>tml@1qcE(nQ7=<=kO_fwy>{gVT>YP^ssWc3U zzqZd%&)m$w2(%H=#1eEWy{ResutbQI7P%)Z7?E(o0+9|O^MnPXSYpqTGt@ITHZ%qu zIbm+0XJ%q*X^g(;;d+2N3Hwh$6$fYsH{QC#NYB8`$kM_XXNG=PBTrUQYGh9AzI8)A zGh;(DbI=)jINIR<*2)sycmey`h(IxB1Ui?%98WR!@{bfb#h8&fw9$mfSGaDi#D1I! zaz=OQ<-)aJ6zoX@;zz&?^-K&bEQ}1nvz4Gj35?K3>94DBkXl(85Wl#ONc%~wtPEm> zD#7hJf(>&+J!32<jUWg1{!CV5D{Vr>U1nZMd{8lX2O!a%0z>ejm!JdHOpNu6%s~T5 zXa{HM9%CS{Q(zPeO>RU4fRVAWk+BIEr@5J)xw(Zo#!{m1k?)M~9%5if^a!^h=zwxd z1Jp7FIk1Cz-WU-)_`;Mx9yZi7FfuhTw7_}A+ef)OMr0gAWJ=&HHjuq0mPV#H?Y$>? z$B3MRmrN~*FEI^`%#A=t0^%CA$a!$nh@_J~jEM<tLkkm}zFr@BnVirzGa$ZtG%z<X z2kmM#1Rc|DY=SY>8vo&(5#iH<P|kukByd8pfu5xWsH=g~+ZPi~lNH%!1|$?91}2tf zhM>hR272Ze7A6?$F;Cq&WklAff<Y|t?KJ~E3rkZAGti0?3q1=XO9Mmn=1IKjS|c(~ z#4;wKy+))%$ZW3>-%>ZwvoJTZG(atYkc+u}TUQV+<_I-a4D}4mEsaeKaHhZ)kCu^> z@r(!@7j39#U}j)qXo%C^#a|bbmGX@&gmS^PHsLmsfu6akk%c*=*<xaDW@3uoY?;zD z(a1^;V^RrnqZ_Q1lt*3b<ndVtT0=%x`{30w)q)eh|KL4mI@t%$k94vRzHop}_Q4kp z(8)eCSTl}J_L<Y!J_|bAXBkV^;*8GO9kjQXp2Znx>W;4V(YZJSt@)>`|L9ztfi@J- z)qiv@&Wz|>oPkc=p=)^3xj3V9_5*Fqre|=1c9zhyv1?4<%E*Mi)|t}RIy3rOXHH-1 zEa+>UC2XjYsAeaYi>{D6*69x2MmP@o2KV_4V~HMIG0-zNFg5`Vj2ar~nOazy8KEyH z=>F19-r$OHtPs&hvKr`t&ZIUp1ufDswKO&`M0bS@Te}g(N3t4|a3m{nE~5BIR%4=% zH#X2S!?NfeIa&BmX(4UU(=1jf3p_SJaQxgr4|ayAi8*Kqu>r;eO8D0@BP$t<2?X#G zTGu>KvLq!nn3|dxm_ZH%HZ?IdKwp%$K|74{)L=+bY9Pi%6sHD5lCq4cv5AF=2`I}L z8-dQuM4MJ&-seYoAeoRBNJO}Z;y^MXA<LK;Sy+P3<VL>p7dgumTu>z<%Os|x6#0Qy zYlGHM5xKMybPbz{k+C5cr>VJ~nW2S+A^QHf>!)~#upH?)auZT25o0sVOW=^bzHS*0 z-b%zMR;UZI?vI!%%gEBq)Bw+YiS1TT4Xvz++6|hPnNyNll$sKpm|KvO3Mx6G4UJ=k zx~c3K8{)Qx;&4qT^<2{i3K}bNgT{cW(FAr2`O!pPnlZ72To#JH{)Ixvn2;4RG;)k- ztk9rIGRA|*ErTgs%mz`om=7YiSdx{Ia8<dGTupZ2Ye3bS0PGkFY62s&T!Xiap};jJ zgUU6ggDKe<4<^S9s*o`oOd(@Fm>gqC)iSt+qGq1qAaV<Ntvp=y0XST&$*vC!shVOa zbPRPvrWurC$Zw33*E4|CP3S94D0B>U(=XUDWOtcN$jVE21BPt3Sddj^<H}3maG{_9 zL0;nuZ^%&K8tP_kaM+Mv&QR8y#GaeTF7!xR<N>-*7_?u=LeJR5%)k)6mmL;<+z|J< z1mNKp(xwfKOw9}oOrW>F8etrZ<?`w%LHkHr<zZxEVgb6$&0NpI($dlxedeGlXfGlA zKu2a1KP_rxY-9l1-)pW1I@iMj{Va{2v6~6nXF<XcrjZe7AtLyw9b*eaLksj#M7N$* zh9oU@CTYc$k)frbp#c|%xt@WMxe3PMM$=PP67(!dO9qS#%nU7!K)Z2FEzK=W(T~%* zm_DDNeIzZ3Ftju_vM>YLXJ~F@X$IN_hCE2RC3h-8`%Fn0l{K`$yd(|TLaX9_Lwr+v zBrOLtv@kHSGzDE)Yi??4gmLVRMOQaL&zTUqGSC2YW1}T#62bs<uO29MA|FU1=htdT zcuhKJE3{K(NourtY<y<CA#^V!G2_mLX6B}*mLM-08-sQMqs@VQ=xikDMbd)Q)WpKb zh>OES&(hey5@XArOHw{T`;17Kbu%<EH!v~d;xN=Ru&}ViIGmw8CfN}0rWMlsW@2Pu zYzgw4sga49F?yZVIWL-^-$*LB4UH^~&B68=8kw3Hp)aSe<MtqEp8+YW9Ssf5%#FeO zR?LkJEHSp}m)4mOvd_>Gv{4`5F$4yNhT!{Jjf}zf!5V{RE|K#?ptO-8o~=L8uw#Hy z@b6wVG%zwU<>E9o)H60TGQ+UN%v2Y@EtZgcM$i^RLo+T;kY7wKAO~C``-StQHhx>c z2fG;x5w-=i`4Mz&q?xH1#^tXI&#U9N1$zA}@fEnCfr+6xI2{<97#f?Rr#nVrMS|(T zB37t|*qL)Im#QL{_$R%ji6|jqcYK4}V}^uNp^1fsDHkW`{z6j=a})IA`8-pl@P{e1 z93~KR2Brp}D<X}|^vq4n%?v>W26FnCpCXFa79;4jqS@e(B&M`CurxCUZTkYH74Qyj zwBkOLM-*@EX%Z`xj6Y);7#V<?AI5q{riP~A(>#%Vq?O8#*GGm%kbFhJ5<_DHV^c0p za|1nN6B7$?ixt_H3N3y^Jhw|mlbqlUEDg;JOu0CW^ejzHOfj}qH!tQTnBdK0g%Sva zoB`-cWzdnxrm(0%_S89TZfsQ*Xu*hMv?(Om2-#o+YKr2t;qnSD+%`Zjm?dO`p@Ajz zoIq@TSklIc+XfSe9|&a`OGD5>e8$FlmS&cgmgvo;hGVSw6ByJV#20o3=9Y$#xHmO7 zGRIg+V>bVb0fFA1p&8*^V`^w%06LMv#LV2p64b*)j{0e3?+ozPc|-=Cskx~oxHd93 zHL(D10z|fD@s*c&Z83r#uty+o8W>v`nt{DyU}gb6(*)U)S%y#XTLO(ALYA1Bni+C& z8k^}^n43Ww=E$}@dGW*mUjYF138AEBVPIg)1-d!j!o=9n06nSQ_Pv7FD~8b0xrg|b z4hCix2H@h^K+g<(9u8XZ{B+7C11npiRyq`CWTuq_rKV>Vm!uYfD?NkQXwZFgy;OFN z9huHC9Bl3}9Ax2RG|1dzJjmQ*GRWLx3USY1$?nkPJXo9qP0fSFIncyBSeye*%Y(%^ zgDfeV4X&JJKDeA?F}R##32_e9n;FE-_26vw^ijFlV^6MoVC|m4;~;2FF?bvVtt$qP zgP^s=;BgSNz8E|Xg4P&=Cf7sL^q_GMG)WH{_drwhpm7g0K@S@D47T(PZ2}FN@PW30 z290~5ji5o}9%w6wqz1jYk%1)_r?Cm*@&M#%4z+9NDI5DSh!r9!c!+QgMPomM$vvRz zVlcS}R9y@v_kgO4!Q>uLbupOS1F9|zVuc1vc84bC!QvcfY91`kfhOj`;v8sN9xToo zWJwv+@EJro3)JozM9u*<dj^qnK&>8H6wBm}wScCW3}S^y>LwG>?4fMzXHYo^T2l<3 z5Q5efgU3P8+G6lH2wGnZ9tS~d461i7$j$Z8G(BkC15MI{#y!v!J!sqmP0)kJJ%cSh zLz_T@CVZf6ph4pvXd`IQxChz_BD7S_#LyUWPb1dle$$tnGr+Pk9kSdHx=NCSMQmnf z<_2b<1&oH4rj`bv<*dkKOVRG<2rgnXCAf&q(9pufoQu=gSkDNwToSYu1bI=`^0jC2 zF3N%~sV1o(WM*gtI#1qM&m0_xXv?J<%Fj?XWM)j_kQouqp=ii#Fu4a*%MK>@fNI&n z<Q`BhJDA)9s$~b0dqB0UF^NNFMC31WS4TpV^I$1`ps9JVI0u@T2a9u{X?d_XXOJaj zP<wF@<t$KhaS%BN)LI-w&H*(RX;Cbb+j#~JnHiHfWJW}>OxcjxpmGqjrXXqjg{C0{ ztt$qPgP^s=;BgSNz8E|Xg4P%$by<l>Lw4lmdT5#+H12^W=|STjXo?;*?tv!gLF1mm zmY$(apg|Kp&^FMZaSyZ+G-%udZ3U6kpf|NNG=l8VhHW)P9@08}=`>|yKZYcZ{Se_C zipG8hlY2nb#b9y|sJa+T?g3R7gULOh>S8dt2UJ}ck~sE5ME;^=>}L=;2b!7(OJN92 z%!9=_(6l^QoHNLhGN|D*h>|j>-7|=s18VjRBIkfwJ+vs6$?XM##(oS*9Qz@nSf*_3 zXHYo^+VCN1Ac3YK1g$FukAtAK#o%!ew7wWT4uaMgB=x<BNJA8j{S2m32%4k^P53}l z^q_GMG(is<_YAi53~d4pn(%?Pfd-9xppBqG;~r=$h=l8@49rZ7O-#5rjScm{rzC+^ z8X=GUu>3k^U}Zz*xkLuB(Uv53O^I*~*<I5?<{ZPp6*@+P%Q?n_%Q+^4%Q>cC=M0v7 z4oS&_#Wj$GJXl-<Nymf5HIQUHSX?u>QnA^f%2Vcp$~6{)$~Bf?*N`-9Nld$fg0UV; z5@)!Ga1QyMP)Iw6q(&)C-2<r}29J9n6~y3i52T71Jnn&15`)J*kZNMkWOqn%9yHE@ zq~<~697tjwG|qvf<w4_|L6(#uO`bs$I*>Ncpm7eQ(KBeALq@B|*wn;=i_-*jv^Qu| z7uue#pynf#t?Mx%vDHI_b0}KZGnm{1n%){r?g32&3?}!0rUC|&dq7hGgULOhsQ?oa z2TzH}Ut|xS#zq?sBIiI;Gf8DL4MPW-m<Nk<plNxqIA@S0WzeM7AWF)hIjuqD9MF{3 zAaV|9MvE53G6iEjpmLC;B@jduJd~~LF(GlG5ltNgZTJkH5Q5efgU3P8+G6lH2wGnZ z9tS~d43dVRh)C4r52-?0KZC|S&?G%*+yhO~gT_731U+cnGuYBIv<Wn5!Ux(08Z_>K zHi8C?d!Vf#LdPH(7#ricG4Nx~A>79xfv-n379we8*ucWVgp1S2LeCJqbO>#9N!)!8 zMJtCaNbLL);Tp0#zXq|<gULCDgUdNagUdO_gUdN4gUdOlVCM{$d=5#;gT*zFggjVW z14+k&#Wj#*JXl;axKgp%pvqI`gUU4)gUU6QVAqf|FiK3jf`ZW`3lithh;R=1-CRgJ zhoojNP2B^j9tMwlAQi;maSx=57(DKQR1$;7J&<Z*&}4T=avn6!fu!a^;~Yq09yHE@ zq~$^5oI#e9Ax)k^6FQJK&!BM*q|q~IoC9g~5Ewl&G%_?Y;^H(i(K9tLGBq%i03A5Z zDZwhislckiY<i-17lF|ub0I=Dm>C;@j+nO811&}}0Btn`*#WbmaKaYcHW<XlXT}?n zbSsppnT4S-7pJL#9{5lrkafsA+g7e$Puc1xQ_@;)#5jkd)lY-TJ)lXz!Q>v$gvnrX z4`{+<Fu4abVKSK91DY@~C2athnEXZd0B~%y;UIDjG&Pe_ztA#tpow{~I0u@R2a9tC zSyBc~{SBg|44U~HM9u+C{0$=Kfad*ZQ7lt1UIi)#Nm(dDOu<9h>L*juRzK0!LC}WJ z;0YmUT`_nZ1g$LwkAtA~#o%!ew8kK17>$@jP5!Vjr1djs+yhP0gT_736g_C%15MC_ z#yx{AJwuy7gC=~SZJ<Hp9%v(I(6|TM3L@c(Hd7N*17j`@Lp=*4GXp~lw3SJ+-75%Q z(Pk1$(po4}V{;2*E>0suJqz&lX=pYo1umm#EtDCFU11_zLv~l#AU1k1Imd8tImc*l zImdW#ImcvhImZ<298%V_&@!JxQu1JN4J08C7S}-1@nCTcBpDAD*9@*yY&NL!l=+}? zjm4mHjV0JMBn^`i)2^UkY{`to88srDLw=_h(#|2N5lmC}K&pqq;~q!_F?ieqsUilC zdmxp>;BgP6niw?M9g>^}jdLKWdC)iql9&gLb0BGX&^Tw1C1psHXV8QWq|GyEoC9g} z3>xP^T0Nw--HpsZ3!_Z2uOnK&WeG)NJLaU0?GSbiC1X2-$vK9x(Syl3MuW>a#)Hc_ zCWFg4reNm`mV6FL$%Dl;kc2!~TmwnRgT*zFWIR}0Gq_T**`Uf(=7Y*L7K6$)mSESA z*61RlT|vRvjyb7gJA|D>(b&$Qau1|>AZ@IGmf-`bAO??nAXUWRaSx=D7(DKQR1<?H zyF-%mpm7c)H4hr+KoawyaSkLc4;tqTvZM@Y@(h~LfwXxBjdLK4o<ZXrNUMjyE;IuR zLrX(0P7@rv&<d6<!o3U45V8x6q~3vnp@|_Er=f)&cwrFwE;LEL*%XZ)k-Au&2-i?D zdNi1vV;CDfn4DuYxSV4=xSV4$xSV4OcFthQ=a7^<SX={1$b-c-kaRp)TmwnQgT*z2 zD;1j!syt;rs9a+)s9a+Sb`43*I%3)t6pS8`x(SX5=TJ0yG^pGIsUAogji71xKq`pA z;~q#AF?ieqsU!xEdmz=spvmr#<UDAc14+$;#yOD0JZPK)Ny~%AIfE=ILz+B;CUhWe zo<ZXrNTX-aI0w?|N#<hq2@T=m)-^ITF*mU=HZ!r{Vs$L8%uD9t0G%XcW@Kc+#c61y zXKZe4Zf1;jN>b*f=>}E`nR%HdnTa`>RjKibr74;D`XGVu{Gya-@G;wlLL_WuGcmU` zG6HR7GczzXFh?IgI$$`3;8r%{SRq25GBz-=;NmdTGcva{voJ#QQ=dVfft4c23)uZ+ zXiEC1n2D*SfhiZKp{br3wzI?CzVuQwDn{Z3HpIAwl2Nh2<Q&7;=)vS1qrv4I<H6+| zlfmU2Q?PSL8QmZzXW8VWrj@v57U`#D7KP?zmc$$C=NF_FC6;8Cr9%0^LGi^InQ0|K zsp*-;C8<U6MXBl0kd!=FTmwnSgT*zFbUavG14+h%#WjN~6`KvJJY_zpTw^h)Tw@7# z4Jpl4VoE<-3P#09ycmxd=TI~%HmKYKsUAofWua~OKq`pA;~q#AF?ieqsU!xEdmz=s zpvmr#<UDAc14+$;#yOD0JZPK)Ny~%AIfE=ILz+B;CUhWeo<ZXrNTX-aI0w?|Ng*&Q zW@2n&#>HuFs%K(pZfbx&f@H_ii+2Rc7<{_75lO>hhDK(VpkXm%3qx~r6SVV|m~86_ z4vQI)cEXdfxhZ(W$_R0IIr3@Z@4lu}G-72;+Act1Ttmr-)nIatVQlnZa*om9a*pxf za*oO1a*iq3Ii!p{5R<bg7_l-QJf#jKArF?Yfu!TX;u=UY9xSdIT&dV>P~|D}LFF2Y zLFF1tuxm(ZN)l80Q8Hp>Oxi9$Vw^+Kh}EES52T?($_NN;!v|793?BDDs))hk9!MoI zc-#Z2CI(G*ha~4g;~Yq89yHE@B<4Zm97tLoG|m}hNg2}Q88o2-Y4Z#k=Rg`ggT^_K zRu2hFtc*>}3{5~|Nrt8t7UrgCV@dVGVFbsL3}b}|jgT1{T3T>%8ky@^8XFs!psyQC zY6u`=gv?N=i;;`T&5eu8&;Ya+&A`CGkV`l@Cp+FyKQphmL?6V=FD)?yFI6)(GPSVe z;;_^MwGzO$?I90Xne=&5G+;&Q@EKvpP%>UMh+JbB8$F0zV>GB-V?3x_V=|~*V+wZ7 zAj#m6L_A0w14+Y!#4(U0JV+b^Nx_4}F@q`rn+>MiWImW2V=<T<V+nQ)N#m?UG$bfk zQe{NqJRD)yP&7U@n4ANt6G&?2(=v1*wZfor4y0ZfG|qw441>lwkh)>8<a0<$9xSeb zB;>*38b~@GEUtkh<H6#Z!Ig?3O`O3JHjp;XU~vtkkuz9a18L=uFd}7SZeb1{!~qQ! znOUNZNTtQ=5FC**h$U&P$jI2#&;Yyx(A2;HW2~qtU67)&B16&^JrLm-O2&!?k!wJ= z1rMIE0o|)Qcw7UzRdw*V26U(D;BgJ;M%6)+!6Av5ltBn0GB~+QtRQK4kT?dCga?UZ zASrl|IA%~KV9<TQgC;kDE(0Dkjse{SJZKyPx(1l~MKA?pMTVq}6%kSDQ8ZRGn4ANt z6G$0;plRqpYK1}L97w$|Xq*G783v7WAaw&NeK#V~4%v$qAt`yVxCWAt2a9VU>3FcX z29k^ii)#i~Duy(1220pL+Bk#7HIPQmU~vtkm6J>SG9M#D17l0jf**4O6Jta4v7!fZ zOa@l+;8UpLGxPFGOY~h5OA_P#OH1N2^Gd+ys944dWrMBbG=(lIGQhT|$OL4EfuWhP zfdT62>By^!66c@Px01zrR+J;yGJ{wlLg%v^SXx+eaatPdnOK?`8JVM<M%9?Q3!4qa zC5a`e(ctshO@v4YJwp>iLvxVNOw5f;EzHnH+B6re(<cym7NoV04UH{~&A2#>^$f9{ zdsU;hTHlJ?uCGCCv;k@DV<H?w$w1p6a*g4j3LB$A<r?Eb<r<Sg<r-74Ye*S1AtFza zo53N8c#t>-l7<I~V<1U*kT?dCf(MCX22}z!8%(*$d@wo2VlX+z66_dK8pK2tdSrLN zA<Y_6Mkk1H4MhWO2BeMq(bPGRI$_X+4y0BXG|qw43xmcvkeXr8I0sTU43>NjNy&r7 zHIRfnSX={1$AiT+kYqeqTr;>*F{FtzSi%O<#u+TGfi!Xki)$dQ9O6g5u-qGnJn|*D z#0%TF6lf?6GV)~@OUk&Efw`f9DQH~E$k^P%*br@8s`-dMp>Zj5QbzR*Obm_9agXZd ztv#cMcT~?PR;ZllAw&aXV`B@D*9<@`^a0Cr>Pz*kv_Qdza@u@Jd1icaeqKpYeojtm zk-m$+pKH87Xhab@c1hHZNCRUdQ!_5aFeh55T1hU{vywp>D~%6|_lFOZ8pI0afPG0d z%Vcp|W=LP#4C(4OBf8pVOjp}X=xUoOU2QX?t8M0VwatRAw!tS|s8*KXDY)pGxsB*r za2e6pZ*(oVjErG*I6V@H5j`!VtItg6=`&M$T4qL1%it|adZb1RdispS!q~{n(g1fW z@~=oMMk~^X{8l6pmZ7yGku4*&6-l9OBo@XL+D2kwOrdQgwjwFCjl@<Yg|?B{ilops z5?hfJ+D2k4l0w@^Y(-LN8(j-7x@K-tTalCnB&n@P3T>ln!9{8-k_f+{bv2MH91>fR zlvze%E0QwHNNhz?W*LdCNXjfDu@y;~WhAyDDYJ~kRwQMX!3$%=03+^olm<qIhIrOd zY8?o`KjI2c`b1e~XkclEdw6%r(;K>Yhj-ygpD4==K*!E;npk4LC}EO8CVtD{NuM~& zjE(X5%<3@<e#^{ag=)Y<y2M##WNri+xHdL0GBLG48$E7dxvpaczUdX;z;#MuNupC_ zNh)aQI@+96+YKPL8yK5_uGm1Hez?$bl~mhF^}7k!b|W)03-GnK$hL=`+)JwMrlk4Z z&>TD)VrXe%gc0&~c3q^}ZbG8%reMDtnwc9Kf)*qr`~C6TTvBZ}Ced~S@Cs!^Lkn{Y z(7}<&wlf=Rl4`pVX|@}ffYZEziMfF#dYYHFZPO+(%^Q+vJLvLb13d#nV{=3F)!DxL zI7qeKAXdnOi_O0zBekd)_Z`omBM?A24Mc+vL*SI)lwgoxP+-+yHf3O7V6b9#_j3{Q zBGM$pcnRqGW=ylfoSlV2h%^g)2Q|oD&}H!`uHr|YP6D|wj7U=nxDdr$kZXg9G#9UH z`9P<YLJuwlIX09Uh6<n>8gD2>tg!^b0NJ&mAPA&}Yk5+VN=r)e^NRIB0YGf15^yQP zP>?$#so_o$jQLoQd!vaont*#T4F|cqjD%|0zyMr4gR%uUyCN5m;Owf2;eNFFWY?e| z=yY-hk)Fbvw3P|j2MWF{B5fiNd<5+Rg=Q&%`@29vhjgkitej$%;6#*phJ-woR9fs- zl$z?CR}u}r(92M$m>6r(Ly~Mu!MlG9h3M^9quBV&c=}swJTTUp42-p=17ocj$XX-1 zCn>PC#zGB{)4qx8n}7;I)S?2T7$hMFgZn22u|jp!EQU$7-!OK-gTQFuonSohPB0mG zCzuYr6U<_TN~xJ0Gze9wDZ$A(po~a&Yf}=z*3!2PmXZ<-wHI3MaqAixnwXnd7@L_` zaIrcTSLP*iahU2^n46iJT5xe18tPe?n3`CE7Ds|QRVeKSyPVXt61U7E{j|)Y(7eo& zctd^Y@<AxiE!a0cKQA>Sza&05C_XQ>q9ho!g&5>-&^Fq7a71Hufq|Z>sfmFZ_<TMS zQxj7I>N~(NRtS#+O!Q35%|L@}9A<iE1{RRPAnJyI5ncxv=$Tnsm>GgcWK1oM4ZuBf z>N>!ffCEfTj7-eHThdKTjV+C+9|b0{Le%LB5K4&D><SRF3)B_Jp-#6$l?c1y4TXs9 z<e+x~P{uW|*p*+9T9jClS(XaT&Y)1FozKv11BGKFq;ZC|h#<0%vd41x6iKBNzJijt zVu~^+AR1^`gMqk$iZUl48faLZKwMEpnG+BVG#pMKrm&*S2_~^ZnMCGmyg5yUV2T7~ zv}_`+LhX)#DhQNpP1rI}KFg(!WoiU{7Ut|6Zzx2p-|z+_Hp|e<5KutU&~ND0g*iKe zhOi|VBp4+a6&Mtlz?ezsgER-T&}(Tf;o!{lyu=)Zpv00?1r6VlBK=}bE;dyKkIeK8 zE;hGB(AhCuJi$4c$*BrZ9;<UsesVS!mrs6rX0k#^WkIS?0jPmyVr*bxYR<)Bq-SDb zZenK1#bjj4#bju}B?KCr1>H{-4`P&OR>d1|F}t}bNU%ajvpFR=6__=cOg|j%G_z7c z9?eERwI<paGSU;D7jFRSAaa=KS(+IdSy*s!nClrB8(A1waxod3aWNSh6K~}mhY&L> z6U<5tJsg9B;)_djqm3a05@08kf#wR(+k{-qpo4Ol%+0Ynf!)nb!6!5X)8|)(oXo6L z38vLRP#d)dbh($Av89EDF}O8sU}R=!Zo<WA&c%rExCx=KRxL9%vm)3tFHX(@jq8C2 zCXB$oZeZjB1-!X2Xf-5iz%yE6^)%L~wzM@ivogivVIl%P3Up~3Xy_7jB$1`19++v! z#bg3Xa%NcFfHUAZZ4Aw<IE#u)^5Q+6LfwQ)@kOdBX=b0*RWY+t!Ax7Ibv-yv3%EE9 z&GZZn4Gk>}z)W)kLo)*dE=ChBMkBbb1S2&=Qqjyxg;4*}6_ko%LD6V#X=-3*0SZnt zb2D=b11=_GBTzyjI>$cFkv6juLe8-T@h0(^c|y&Mpj>HYXliI+2uiOemL?`9rfB{# zB$P<69zAMmWl6|CpgvuCQDS90<TNPA2q`$RCUJ2Zg42hY5%O{YE=EHTBHEX$=WaK( z5`$0Lc!oto27keJ#Bp($>4CaM24-BGMizP&CPpSE#-#c*ac+yLm2gf`a!z(~UP(0A z50DJkhCRc9QidTsWe^O>7CjDAD;whSTTyCaiepMjQEG89#36=YkG4|9A%<apOsuRi zGX<7>kHa0wpp<KD3`)D8lxt#QVPs^$#b}D0QwWB~o}RZRR+7jG*U8z(JKilZCkM3g zPpAY`4xo2;xtI(sxEM(+VfZ9Zm=IO88^sD0Q_a>TFZP)bQ}!6e3N<ofwH1;_SY1kU zb1Sh|*KJ)djICU-q$$(_4wOA{47q^PReZE@tk48TP*fV4m>OA_fm~y5X=ZL{3Cg65 zBqZ`#K8K90+%VG?(e6P`?x2bevoi&C624&J654ER<xGJqAfW>3WN~2jWQcIWvE!?$ z;RI;J80cA88W<RWH}9Gm8kiZGK%GEz0ouNE4uuhe)bxX-SB!=i;q+QEEt@Lo)ev-; zA*dr@W@cyxUZZ1RWN2z=!Nmj$8SKRa)<%$dg^{t9C~_g>5)WNfE0hDu1_tJq2Ik=5 zE(0So0~4qX*qb3(ZMe5W!q`d?)dtX%cV=Emv=O+Hft2mBVB5@0O-w*%Fk0$anj0B| znp1`*pn?@!JmYLp{q~w;WW@`wER*v}jD%{z#+#TM8-kClG_W)<Ff!$0v>>U)x?eBR z$jX>dV*s|&F4`P4hX?UpCD?jnBMWn5D9h5w64cHirNOMT*20MJq9<?@1U#AyIlQ9~ zY@q?#HNad<M#jhiOR!;Q>Uvk-N)^NJAc64wqLgT3$jm#a<N$T_pj|#tcaKSdQ32HP zV-T8d!XYm-$%Ko;Q{NxlZR6qrv4Tqy^HLIvQn>hBiZaVm6@p8OQuESFGPt-r^9o8! z6aq5yin+M`OH1G!E~m_t%p!;czo)-~kH5R8vqG?Ikc(?D7l)_6f{Uw<V<Z<3s6nmZ z;~%aN5FP|GEhM!lmrDR@nrCi7YD!{Waw-=WxKF9zmzbN%#SLL=_<B@nV(*`Fg1Uv^ zE-RNH)F{`y#H5^51r1eAE&-4o3ND$&2obPLP(+~GeDV`h6f_FlG`YCKl9My@N)$B0 zA?(D`3NV{DEIB!`v?4w;AIudDOHR&L@Xymt&d4lK01Jt@R+OX`fjZG3i!<{QOEUBG zxJ1DU6%_o_(x7TViiIXKaWQ!WadG&BIs5tt8ylmpMgx^$23(8=TugrXT+IGyX<!CN zP)JC;pTD0g7YmpKU;T{QpH@Ix#bL<BX=nsph0Dbp>=Fb?f}ma!kt|4w1JZ!Bzy?V# z#2KIx5Ud8#i^pJbV2FT*gE$rBH5g6zn75f(u{eeJIg=bJ%#o?ZT<F2V<?Q2aXkg&$ z5rrBWTt={4vkkbQV;69zBY6ss7-Yr)>{m(y1T;Ah4g%DGfdz<#6(`&mLbFHH3TR{q zo>q7glj9RplH-d(WxUWF^w0sNdT1Om8h~3UX!!_|Z25v*g2KSr2~2Pi&P^s}dWJ?8 zMiv&3+=Mi|NnG-QFH<u#hqM;Ju7v0{GBq@UW@~r?L`@nfJfsmbWN~ovxoKr!ZN;9L z9G{e&lP$D>Uctj*pl4)iW?*1KY3P7%wTFiRBy<c63{9vMI;x3P=2oB-l#)pI6vSbq zXJ~0)Y-~z-5P=)v;PeA4i3|-bsT4%%k6A6PI1-cN!NU+jbE%wyj1i+f3gCfDOEBQ# zG&0r$vn*i6Gx<5pz!I`*9UMZCG-POOU`|2+;VYo?6SrG|b674Yhs{I@A0tz6wF|Zw zT!lmGa+Hdk+yV%#I;S9bj4^`8z}V7|gy6wf0Ck7nwX|Z%Nlh^l8Vwsn@eD4U;bCJu z8a7DnAJnih84VkpVPh)PNu~0J*-zgQQZ#}JD{!d=zWvjHi`6kW($5*GD*>xxK#NQ< zs%Chz21%Aa<%`*9I>DJv%!QVsq!U9^a=MsmpqW~<E+$k6X{-XZpT%JanTa!iv~q|_ zFWAx$4lzjO2{#o{zGATObvnz>tTwS?0nPWJr6FU`WU3L&2cQlFxDSC+e<OOFxH=Ii zfrGSw8}4jK*nk!>LZ@vJGL*204;*|IIC#?xb8&LcfQF5!5oq|)46^eRR7BxO5X6QT zRl_DFQD_oM{u&*^VJ<E=8VwY1fedcWfXB6%i_48i0|hfsOhy9*Gf+&0ny65w5Ny{G zTVTS}(Qz1<xwzbHG-Y6>40G5_4i=gbE{0%%7FsfZm-vBZeZccR3LriRGr<=BDS%io z%%H#|G)stsL1?NF7r$FkYGG+=UUH=ZWcG#4sWdGuwTMd`G9?4yDIm?Y@Iu*;RfJqz zPN_NYWrD$(C8@<++>lj+`XC+`3wX6)4-4|}4>;MPte^#pFdBi@b0D=T{q!A$LWt1_ z9(@6u0U{*O26Pk{G?*Zp`9VXa;DMYpWK&t3Lfza^o6I0%z~jk=phXJM770iYtJyy! zLM*JngUZlN?$CC#u~0s;Js|y*SfeZAWNsx3G8@er#3-9k4zh*BdW_9ICqF4M2fbAd z-Vl7%+S=So4As*7(h}qNq|!93fl;$<rUfBau4Y2&aT;>r3K(qZA%-ZO;Kg33DG94% z!OdT!`ImgEnr_5C$J|N=HSFRu^N?05VRaN(8<L}rG85%0Y@t<(qLbM40E!Q+F022z z(b7s0)kA4X`K2YG)PR%<3s9^D8AnOFkJdVck)j~Bf?NV#Tr8A@Vk5C0#h38^aU90T z)M+4pVhtJX`CL}$sUF>p$B2qYW^;2BE@mUp8cJh53sVDg6EkoI5y~dYi_GTc#vttm zpsOb>%s|6DVC`64-TCRM6}E!QP>A+17>_#EAXG@U_Xx%!X7Oc+Ga~mN{f7~e=uX|w zjC3e0ICQZjZ)QUy&;n)f>UdLg6B9EFuu7p!WWBf&Dzl-H0T+{r8E9#}nSq6wB_s`C zjl^k@0$3x_1XTMVE_$Ur7kXjjLQudUjenq8NP^$+$Dp$XmI}xOXAGXv(Zm{qNDh?% zHJ?D30o0&^Hj)^?%VwAq7=#uxbBGDeV@6$;!X1#2Se&X5oROJU!o}y3S(cfSs^FYo znpcuq#3kTcnp2WlkW&d4;e)iF3=|+LxuASQG`<lU-x!T=g2p#R<D0?wTmkvzsYMDd z`Q>@I7PbgYW<<?ne))N+pjHlt8GI1T47?P@)PRf0&<MnYjEcd!2e83mQxnLfq=kuz zxhZ<0L~ceXh-omJu2WcNYb60HtD)_d+}z~c_{5a5_{_ZG5>O1_!r+);RDdjKf;4YI zB)B0f^pBH+Md&9d_Vq#}?`MfeYUptoKxQW`xtPr?Ex4Es&52y$$D+YxdO}3S+)5C6 z{atVnX!Sj)$%$B)ZfXEqt^?Y;!e~O=vTl(1?(esoSxKTSwu?4ITAWU;tr8%+(6>s& zad8@gZjLrK25liQ(F1K+FgGG);XBCGI5rV8^o8$`RePDB9R!A^W=5b>*i7^cERD@g zEJ3U0kak2MwVp87D1(fjCo;zr^(trQypnjQ<ecni$dM+XC38Y~p!ha4F)+0>2C+bg zo>_o46A`}|0%TVmtC^{lGIC^t)~UsN=7Cm}qwHQv1I@pf8C!t2@R%CunVOhenj1rQ zUm!NoAeB+rJ-1``P7^CB&>}#Tvj<aBa}q0)^GXbaYCyg*F)=bXGvnekG}SXQHa9b} zAZ6tv$X^>(mzj{ZRR|J+;3cduUz(WfnV1?G7@32*bx1LY>`4}ky-^_R+pI1cTPa}o zrE4B&ttB`P!L}Kgf`Sqhx`xJPMrP)urp7P6LPl0fn0A3KPtS}-+Wb`xvIE)`M4pc) zVHXz2Z{RM6HimsAsMj7LPFOO)xw`Y`9!?HMq0f7$RRo43PYfc>WH6bSf;aYITN4aw zRiJF@W7J?W-P%=WVa1t~nqnI7587dc(_mwBE+!)!WvidQBZk4B4y8j3HYQ-Oi6MAp zHqkD2F-n0LY(l_bBV+K65TXq>Ym9&xY(&6dV-gJxwK9enYz{FPw0FT$&(g%y)Yu4o z0IZ3niGiU37n3<?2|11(71+YKT|&>o${2Gm1A*N-29RAPxSfF5(}q%lV{?L+hpvT{ z36@<Zgx!$J#fezE2VxqV7#o-xfp>^9V&9>OH3{1;`)+O}fn2adSJ^>AI}}G&0d3JD z!K3*mx6Q3MLF-{5TM<E#XrgCnX>M*|0ggl?QxjuzOD-l5HX^~`+=PSXWJIDNBoe9O zgiNcI=Hx`8VXROx7pJAEo~41AktrxGo9kJc8XFp!f%ah`E#D`Yj5cU@np=q@$058S z06rZQw0acOKL+h7Mf8(Ei?|HAV7q;=CC^<Jt>)n3%?y$l>cLS3Ue9KT+__^k2334S z7ZsEDhY=TN&hd#UDM6{lr8y<>dGVH@!}pL%YtVVjV7H)Gy9C3_MbX0CN}X`d_lY*N zh!rY9_9~M7kWpNseZ9=k)Z9vgh`jC-ZDayI84B(}N&-8_QI*Kli4odV=_!D!NnAYz zq>x3v!w{61kXlg$qf@Y2k?4@Mq*{D_uuve{R#OyPA=`DyOS&fPGDO>I47Sz864J+m zT!u-Wts+_CMB9p*V<?H&9gBsDw$%(=n3`DXnH!rJn}g~UL}7}1_bj$T@9ixiT!pD2 zXcsF|34p{Tu`rm)#!qAk1S$(k5aq53a$!WGr9Z`Zhz(CeOR9Nw)@p8Iy^5MmAa@Cn zmtb#S;Ud<nplex>3L;8ujZx<$+Eznw=0w!S<fo<mcbJH^)zBOzS}7@r7S3WMHd>8Q zETtrXT~vOW5m#{=nWKj<q8ubK+kh=4vf_q>FTyH#6;5&+rS;PnV!dh+E7ZZr#bKdm zVQOY-XpT}s7;-Tg;@DG*t?;{Y>XR9<M=2D828e@F(=&@pQj0*xBO62;nxaHCC53<2 z^pC{)-z-+B9qfN|OG9(etssb8#Ym$6`IJ7G5qW|G4)3EDB}gs-&sq}QTJ#itZ$@Nm z(bxc-)M1Iv1W{R#oaj7=x77%Iqys#CQ&KOTNPSCWRAW|4Fkh4G)ze(Bh_n<`K@=lr zD!8X<X(>kiK$%a8E5AV9onl1#p(H7tB0hW}DG8QUC@D1h+ny5}snl!W^bl<+D5oL? zFH(yfd=wHXeV%TjEk!GnDXD&WZ$2e5cn!@U11yGm=4J*)MwZ|-WoT?@YH9+W?PVfm zjA!Zl>t^H(uo%S(fkw$J^-L^{Elth2IE_s7%)#RzptBiB3GLbYE~8IH!N%s}K~r9! z;Vj%<C1FgGsr9@W8N*qqISBbCS5o`ZvFDEw8^z|JE*FQfo|%b(xf!U(WvXXnWMFJ* z$;D)106qnR=-Mvf`Cc=mL#UuPh{UHPfdV%HeDsuog{7e(WRT3v#M02r7;UD9;3$FS zyj^BiBH-<x$$2Fx(T7@RlU>j*>DfVSoejz#)R-p)jjj-yCq?Q#Qc~h9HQY+H?@VKb z%DFg=OkuO_$ekNAQ09ZB4CEOyti#jkYd4u$5uQZKECDZ2040|OMsQ&R>Ni5imMtyK z4NT2I^Z&RGT*B5AUZJp>$WjM&;LO}W2x*)Y5#pc;JMd~fnBNJe*wsgu6IU3ZIlu^X zf+SLq!`mxh>q!ZN&rLJQ3Ij`s6A(EG>I4g8V^X8xi~2P3oL~YD1*j7&OreRw*uc_) zR405Q*9qoAvACvBz)?YTN?5VH-^@x7`G^R}G)gKLr;(+efu(_wu?0%?Mp|b>k*61T z^=1Sr<~fbb^vp~wOhDF{AV$`}%@(445vJB<hGUw-D3%)Mtb$5I63$u81D)&&I(pv{ zluIr2%#18dK+~Q^MqEh8eG)99X6)}U!!oZ9KP?F~gAZ;cM1z+sn;Tk~n;IaOj*KWp zBEe#z^G&mv70Uf(#pR|#h2XOW%#F+p42_YQsB<#}J;OMm$qdV3Ot57aDAgvmVvdX) z{*SeZoMO(>1a!I|r-`ASnW=?^87K-Z^-PV;K}%{NQ(HtQ%voktL>}0RTGARC7z?F= zRxUzMz~D3iEkm&|GB5)#cVRLlVIFoVOSu{9p^dP^Dj;)jpsa4HXJlq-YGgt|Rxe;I z#hulS!PPeCL<U1MLr|j~)+aLnFHOR60x!18^HOyoDb=<i=o%6ZOHfRj8G<?s=6VK3 z=Ek6zP!rHH7g$UZOukItv&^it2(39Og5JszZvaX{pd(IAEDbCy!P(OibRQ;YRUqp5 z&ID~v-kE7;WkSg2;?gAeogDCT0n}nKHq<jPHZcH~ua<ho=4O^A;3|TY+S*wujkxok z(NnRBP$g&)wW*<*u?47!ZK-DtTJLBIswhFL_F%zJuxR3ZA8lr(OT-)};>c+sP^kz% z(hI502wGu)W3?c*6c;ir9HUe;76P3;Y^rBsWN8L!3z}KL`+uZWkWzQT@EzW5XbC!x z&jb{qXf?h$ya^0C!<B@ASksU&P>o-jl9?Z$2|B1;zqs6#N@s(Es!0mY21gY8pfCkD zu*hj&i);@hr`R_)Kx)^Sn?k2BER2jvZ(3g27D!&x(n6?$I{9Vl6JIkc9l{F{kg^Lr z^eOXu;#?<kLf_Its0_3^5aWbW(vOA*rNXNu91RaDB@y)zv_oNR2ri^ZDJ2!B+L9OR zrr?B!?gVo)6Oy}@WIMr3s1m#|+04+w(87d^)6hcC+|t6_!U$YHldx=R&r1vJ1vTn% z!pPT1fqJzt&qH&arKKh5z1n3x7G$M7W6+9UOFfD%2LPox3N8o0)~CjMUNE+bYMG%a zS?SN*7}Qt+r+ZUF15oP>RP=(D0-J;CX;QL)1&b+}?Okw#3FHP-b2C#TNSoWj*uV&M z;w`wnLUdE%<O*UhNkDI{7=rR4rS~*|Qo>-krvc;+^vwrFpi2c{>D<CX&(hr30@M^X zCTZmC?mGiBD|Lbm(&U`@kRVS`kW!^9b#Ip*d2LW*<nje+l7i&?wX#}|obttdz#U+M zBLu+tij?e4W(ZgsVRZl*RV=v<FvaEoaw=GI9bg7>0H|2Cw6vsX$Z#oniO|v<?f^3b z(2NzxEvA;BV+=_g(on7-D;HQ=LP`QlJxfX}nOFW~mIUwyKE-uRB%>~Qg^?ldZX8M> zOt3?o^<5jI8)qDEAXEWq#u*zKgEldc-He;~SPN_afy7pvxe3Wl2;E{$vPxM)p#&~Y zLlZr7V{;QTV=hh;b3NE}9%-YX4GT1|PUjhdMv_7M!C;+!Q!_my=%g=co&HB#)$n!t zjlkD7Ksx=Pz6r=DMn-1Fpy4Y^J#*0Uz9g62*YwrMOR$jn7DHn_BLf3dLlZ7eV{<)I z14Cm2BU0Ojg)3BvbwX}wPKiJ0(#pIPqiA!;l67kI5H@6~kkvxAG^W}WSD<DY30GX@ zfv)W_H8(Ri1qD6mGy@aJUK}&fEH$i6OmGZwrnV9hGYLlEWewmwWMpOtx|j*Etbw!? z&Z?n^dob7>l94F9xeFAKB;4EuniDtHvotg?1MTWWTCYKx$5u)zm|01oY#m0-To@X} zg7)tlS?C#=fpRGqr-=!ujcsU%o?i(@n1`RdnUyj|8yj?ndweFsMq`MD=6V(erWPik zUX!_=g{7&XDSG3UpoRDL$q-|qX{-?Ccc6iy4(A<cpb`%wuai-aY>kv6E3cb_dx2Ex zkZ&f}2`Dqn;5kIdRtwU{L^hG@1W36LY9(4y*kGI*MqWf%T7ni?8Jg;unHXD|n^G_} z#j!;kcWGn>DUD3^KwIWP``Ap3$sEhCtq{Xot%Gk4f>i4XpzH6TjRy{6Jwq@Pvcr;u zy}VD`M9oldLW1qiGZ3QuoB>cS#eL2|K6vevk%6Tpc!Qyto`so-Iq0G{a8XBe#iYAR zn3!S*a^V`dO-E@<=slA#nL||IEWrV~*UQwx3^b5np=V)a37QpWG6G$qNx~?p#A#mQ z8o5F7sQ3ASvV@U=o{5Et8EBx6?0PKr1PAUcVG=6@sV*%{4Gk;|Dad*HfoyoHOT$>u z;sQe>J<x3fpjlEQV?F3P0}>Y(R5!5_x46I<yn2+A8k3?5rp#m}B=GtI>LetOOH4%8 zau_M8k&%nj$Ux7`$j}H}>{;p=nVDIdlRW2OzwNszahKAfkGGnFTGd8+#zw|wmX?t6 z#Mr>p612qAf{V!zF-J?V^}=HP&D2T*qq&53A_REhOf+PNHs~;E3u8kQ(9N-CMtY`( zMurx6uTI1^;yzFL8_`}z>C+g;3KfAn>gHx<2B3{4WT*VXWnWFL)G&OGxva*>bO7wM zq?(-*sy`FyPjjl-`Ec`F;_L)1zlX=Cp}7$S%cLTHzah>}(2hH}o#<%@rBg#N_t+Xg zC(ce|Ls$>d$jsCnboe{j6_E7KXQpUpFd@1%7F3JE7>=jJdCkZSQW+V7Zmlu}b*0SB z^o-1nEiDZ|lOsrL`UwUn`-#V<M6Gl)ixr9o9oJ-LZeb3pW-Sf%3=NGeO(Ewsk+3K# zXzc@2D-n!BptvLnJhNwLq-SYiXbx&HkUe_iz;>U!q7K^IN34}GHY9V{On&-ZQ#=>l z8-WiRg0!zeb(^6PXi=N7u^AU9xPZ3=1ptYI-9O}Sk>_g*$e@*>p`L}Ysj-PMWZcXU zJc>u68zS#qF|{%wVkw7nJW3s73QN!yM#g3owXX66E)$oaO<-198k(CMQL<X}Rrn?1 ztTc)RUHWRSXJKw`VQ$IAX=bixX<}?>X#~!-B;=u0moJ!FDPqY(i6yC!o68|Li-X1| z42|@R4b4nIjTW+#R$tk9vZB=@RtR*txtX4Uk%=K_QJs;Y9%$V!=-zvjz8AqMgou!n zrdHNOuBpS^l52)kNQ25OPO=M3=`F{IE2IrgknA)74UCZ8ZsOWNq@AGcsPKB$1XN6u zZRg`3M~U>OX{=B)Be-fXG&KaBV+-19W@2Ju3R<&@R2QMdv74I$#&KU)j~+F(vcyQ$ zXboe~W#j2ZiIwq?7BYCC!VtXZ5L}QN>KU1sTYzQ_LDdIYg&6CRqvRE&)N8e>w;mxb z^o=ZHg<8R(Z)#>?W(FE~M^ss)WibK%Bc@hXM3mh@@mO|!TS8KSrJkXwrKO1hc*lo< zshN?P1$grv3A_I)79BLTvLGU>`^Og)<tL{W7iZ?ByX5DkLY9bwHo=?gS(=-IXV#2O z^o+r~9Z8)5vYE5rl#(+5Aj9j1#(I_pCdQ!TXk@5oWMOJz296Y@g$x9nXiZW3Oo<p? zH$W<Gz{}Rj&iboZ_7Ru(KpUl@#f`bC324@b>|%9o>0To3G(`5NA!xvd+<};R-o)Bz z3F}H27+IQt*87s(m3SMy8+TX2GFB)AG>2wsYG!C?4%(MvXli0<Xh7;oXvL1ZOs%*I zN^^=+O)RoKs$e5zppB`h8-P*zUMK}LwjqQ~({`BRo=`H16-wgbG(;SFYhnpI^cGJm z5?e*EdhT{pq>ZqiVbMqj-Zn9U7KVWr>4VmO8tIW&$|yK*H?=Y+T-sqTWKy{}4UP58 zEe*k^ERmfW+!t)Zof;q~;~ARj85)?Hn1jY}5nIkkJ8JGw<|b1dTh5Fj)d%QAXcJR# z$|kpk<|eX^g6hL8Rwx(LhOjU+F*P>j0u6eC=NSyZwFe2K)k>XfOs$lVPXTm^4~q8( z9ncHvvqu{l$Hp5(TS6M97JBAJriP%VG15c|xPXRD3J}Z_r4}nqDL5;|7*evB>lvGy z8<>Cw7RjB)UM#*6cUm$9_ueh^49q|o2W7ztY3G7PY+G)MZU49tJV4D%Oh6H6Vn$|5 zUv9$^N&?gbb_|ZGiJ>`YbvW5EJK^JEidq21unwjPs80*pnM!U&)mJ*7xDF<?8*QLx zVQOw-YQaT*H>c|QJmRdh0B2lFJ!4A)aI4$GQqRQP(A*Mze41c0NBqJ(Q!E=uLEQnz zXfx2(B12<vGauC4HPbUS1Yfs}yj6;{jC<Z=E(PV4d8`n{r<a2!Er~n5ybwJ8Zed_) zW&)a9CN~{Tww+B}q(X~FGd(i{V{_1i3*rz1JXJZiCc}Q|*_8C#5#!_L2F9kQ6eMVV z>zPD0FpZEJn4km3LARHaH`AxBL#&<1;}eDkl#Izw)F#qS(1A|S#yh3+Iz2?&2_1AZ z(6cl(G6b!YAiKk`^uY|`(y}?MLNKwkFfaj~&;puA1g(W6wM%&L+6;;+1ZW*;3MwnX zTUS6UUO^54-$RSkB_!DBFkCu=l3Zm9tE^2;jV(blBPQeqiIF_WA%t7epsjnDqjH8u zu(&ZYH8C+TgbX}`&)g?9Zq6T{PEp(##tKaW52Juqq=Cj}4Ndhd4NXkUNZwi3+%etM z${ow_1?qBS&@fhhUTQ{uNj&oYGH@H!09@OFD?4ZowJ<XQ#S_`NWrOE*B1=D{VFU{U z&@ObchY=?GO(W7y)EQ<<T1wm8iL}!Qc^CnGMiQlWMX=$rm1sMSA^FZk&&<#ge1HLH z!5FxkLuzuj+cTAt0>A{~5OX~Pb2AfAF>GW3Th~Qm{T{qz3MCFfOg$MHnOK54x!^ep za@IHKq)j1fjsn^PHU|X&cxIFAurRcnLP3kt0v0ErOC3OGH<LYdIeYVDN_tQRkm*2b zEVto!(@$)iAfIt>XkZFG%hbZi+`!Bbe8~fO^F{}w`YEaxOu*e6b3HRd3ljs-*%;&= zp3tM%N5r@Uyk<7Ev@it?$ABg=$f^*J-Rz+x5kSr&G1N0QHZlcuBu$L<jLa>}EKRtW zK!*bvk}z3$@J0{O>z#~1hq!W>=$V<CTN;B-Q#IE!H#Ic_4Vr_G8HEkY5G<5GzU(ly z(gbxLQ7%qGn@cKA&T-Bw0iBnY0~&WVGc-2=9ZF;dS^!`Q-qLOcn#3a7*6-mRxaVcT zJ7pmAvT>j#wH9WErUsym3l@47CPpSE#vrRmnJ-J6+hS@Z3_Hs&8axvQF+T+~lxAvd zZeai#N&{_z0i_|dp)`VFIH#k<)JhT~3_<Jq4M8i@zzc(oP0h?eGrJ~cdZy-PM&=|R zZ5G<uWNIY}-=zS$U9>1QJ=!QX-UxKc0%&22iJ>JZEr6~fgZRS)G+|D3tnf=WnUZjN zo)M%eXsQP~6bqF0K?@^HKm+k0TS>W*M9-&`^rm2PX;D#XUJ2#_ITOLBI~$l9ni+wn z*T`+3djBmjwQ|F3vXj+31s(YbT3Kvi2tNDL5;X4y>erFjirC&!U}|NG=_ZT|fY6qW zfHtx8GJ-Obv8kCcsC*_n83sPcGqrLe>;(V#w9K56)S}ds;Kba59LS_&v>_;S=M|U4 z8-N!)n1dGRTNr>=(vUkFd#ogvl7^Kfc(aY6fu5nU3256qXbGvArJ*^-Ng)JF0p<-k z#7sY$#R}DfPG2`RF){{C|C)nt6*4s=eHX}M<}6~{3@A&83}Qh?*%|7AHj{#?4s$a- z@G=|FYIj4Dj<RFs%fvPk30`ji>Ysr+X7Qi`uoQIpo`H#_8R)P%69YYS3k%Sq3(y^B zOr)#`zE_$~bPZ_`E0h9G@Ww`_pjAtzhI-%&6wxP22*#^LXd3R)-5A^k<gm~)H#7p> zM$1WdbVhTekhhq^06b-3qGx7gWQ?{)mb3#GFPbD`ow6{F6$018;FAbZPYXur01_OH z;mC?IwGxKcU<L68@tJu>@tJv$m@+Xi0o|X8v`Y*1>IH%^6%ZPQJEn|a8QsLt#KO`T ze0rOarKPbEcr+YoP>G;5LV{7mWOPH2g&Zb&CI)6kpm?z`)H5+LFacfM3APeeEfWkj z@8=<Smq>y~K_El^+2HYS6AKG7kPl6b^bAc5jExP!4I0GC5`sRASMV{llE-M!fCP}P znFOs^GuE>(F|h!hF$g*b5Y*@(d5lixoEIepIArq&2i8^yIGpiaS@yrj-PFn%voa&P zj)xR+kRsJY&&1r!)WQ-}>X;c=7@LrM&QjxjXHzQ`Le2>U6{(=w+*HpDbO|=-3_c4z zBMS>dW0E)K7nR#nQk#RC(s|(895mTvs%LC!Y!0f_$R4nrlx;`B(12+y{HQe(1ITp* z=B9?A+)iRUYeAf~DJdrt8d-vNSAmX(G&3|eHwX19EG*2x@d4ga56kNWb6%IMrKyz+ zD5Ift$8w9)VHGN*@}}s9UeJ6R@i+7~GJ?8%m`R&p=2E?7Vru0=$p9}TcNu|OOs0C~ z1|}w;fmZOym!*L*IAoCuZh{4A&l_X1T1+O8wI3#WW)>y}pq=Jq4@aIjYfM4%Hi;GL z0XO1JETLxySm+rTnpuKQw+F`tESV7uiJF5(rdE!a1r2&X0!Jf07JNfF_+SzaV?9$t zGnCwBM(QAK>mLKsavQYFx6rcyojeNKAy00H>Ycd0sg)U)G>RS)!B~1!<<MgtO+XzO z&}DL_2FAwdoAe3BMcM*AQxZ;f1a;+-Kr6e9jg29v4k1=Mk+w=rRap<$Y9|v=9|3gm zijjetiKPJ-r-g-{sfmdx#w-uP0_{_?F19`bsDy*9Rxki%bD?}tp=n}h3~H``j##iT zGchqFd649;vNqnf68NTbNL#50)G0Bx0IiAxpD|+un)L*q<&AV9E<yj5duo{yJ~u5h zuOvRGxI_p%5@ca$2tLQh*iz5j$O6>qB(Zi1+ognKB*<I{G|*t8XKZR>20Cxg0yLy$ zXly|8<lMQZisbc1AV;$s>wz!b01dww!PhpTq)vhXYqd`S+q^X>;e_WGrNFAg_-M%O zryPcQ2IdClpm97y13hCyV-rg=@OD#D&StM_RWK#zRAxhPU(-a-%*+TpxMF0iX98YL z4Q_ZN7UdE2^vj9zxO*@Lu|l9Vo#eH2t%K#MQW=AnYjYUunHw8`4+*d^)-yH*b$&@L z^l#hB<IAar;Ei99oC<1N8tWMt8dw?{flEHJ7OJym$x+hJfF*2m155DwEu;wt(h~Ob z>$1cpYy;5w>Kw*;MivI<pcy|COFa`)BQuQi7zoxd_XA~2t#pW~<bvXhOLL=*!RM<R z=$RWBn}9}$3=Q;5EiBE9%)r;(BOmciFhMUqBT4j#jWKL2#mLOW5;UG{1R5H%0OcqW z3&^IQ;^dWHW)LS}>&bx|Sor#qwwdD8=u3jfDLBmZEDenfK-*MIE%i(cO^raiguqT9 zx{Wu%Rvd2z1D`?;nFfK?y@sGIvZfY#rlyw0pw;xGS$NV`44;KY;Bg9wg|L>BktJx( z(o)ad(A>m~<o5g_B~g;nwK=#WVWDSiYGeYknC$d5^OrDL9SJjNjz(`Wqptxan4`J< zg-B{MLXKPGFa`Bs%t6EdWcQ(teHS1vYng!SNHaZiV^dQ@(2847Ee%@JfmU%4EK(}> z^5d!_jX*P$u(XBJCm}h6Wvlo}31QH^%dk`4O+bwhaCgAa0%LhE!Khuz&qrEk$O8MI zhZ)IUCe>zRBkVSl?&rlM`WbW|S_$YT6;Q_$)Xp_UOn;k!+FY<gl3-%;=iw!O`r8mW z`$DdW0uL{d9MZZ=c}PlJ7RVM;GOk6c#g+qPG3e|K>f~b`5`!9i7ahi+hM@t;ajZ?6 z#l|McnF(~%simGdS>p*>Ad5-3RRuJl02)m;(=#%(1Rc!|I+4r7*vN?VUSo0^HzlLV zpv`M};L+q{Q04~RaARVL+~6mzp}p-Z7p{uJFdC&QhUaC_&6FgzH3dAmNXg5_;L{yJ z(?S%^6$BY_nOdo0Y1E_6U4SPGK!XC{y59`6uG`pL&&0ya(vswns!NkN$gBGek<&IM zfqg8MgQUt46xxVtnUa$8D5*9ZAp4mTn~#uaGw3`8tbQiB2qV>I&}mfIY_=eIT8dPg zL1!3&rX#^8vzl2NfW{IHjEyWn#WpyZ!x{uA%`a?QYFqR;h+YSYdWv6sW<2D0g&feZ zuz`^|=)fVwIgqGx*(mP7wjXF>06P)OAPqq0T!MxOKm!Am9L-WWoekTdL`rH-VkOG` zw}#+8l&PMnv4tt<%zLs66VYBavieYvX?1fw6Ekx|&^d1=CVJ!z72oz|#oJv44;7<! zSCP6DpmSnKtSO%EW+J7gFa)1X&OwEtROJXpY>|sOl#0FaLq;biFOZQGuYxuiP^Y;^ zs>Mdw8@LwWkq=Vl7IR7Sv7vD+XwfEU^32o-v{u8!QqRo7(87}B$+I7BjHXuFgs1XA zJBvzlLG7M0Q2AtPU~X&*X=a)knVOlCTtr*^VIaj`Q)u_!&<s>Ab8#9Q=~;kJ$R)M= zF9EU_`(j%o(837NV6l;&ftit|g)wL)255+Z^kbB6A7G$lu-Fh9yC!CaCeTagKt~gp zf$JhtlGUpXB!x0$kOeeVZfIx(*#QfihybT(ST#tnU!2&>fUE6n6b+e>H`Fr$-Row` z#c61!XJTSvU|`P01R6C&+*?S{n%3UGCRWCvf(T`~1KR3y&_Zgb%97M*bI^V-Q}A>l zWFp+q$P|2Ju9=aZg|UUDImttaK30EC$e#!Yjc7tTEYvBz{`~l3Lc&zAkvWdii{#Aw zi!_Tt%`GeqJu-U9KS36g&{+j_lR-J0@`j#a*dG%j&!fQ6&chM{WK@-0D!)ufS!x72 zA{3j=q*qzmRbNQ6*%(KchUAU~$u?VpYY$UB6ANR|QNo<KwtNvRaPB<#WP)R>hEcRB zv^7of+_mk&PbOFn3k8*PsB_minjfT(pjt2eNJ`0JXbE26VPv9bXklg!I;+^sOwZ8N z#1M3uIcYV;P0J4^1dgMC9N(D(T5n-#Zeng>%*APGre|(pX<z|4hn0j)QaiifnczIp z5wsjJ9x`WK0BYn=9Fc8;?}&>?W6-tt9430k#^#o$1|Xk;mc<%DZo)<^06^*XVe5YG z>3M5{dat*WvyXSYTVhTQ=wu!tto01Zt7I78z9A{Km_VB|6wgFQvA!`Op|MJ}0w+)H zB}qjcYRw8dQVMj*wV4?xy`p3flnBMvkB<e}Ov2o|CA5ESVQFjznvDfr)?r~}YD{t& zYw`P;2{|XsT7oxnn(CPtSeh7^fzDO~T`q14_BB$=m0(FbHTS6r5vTE)U~j^Z-Vbt` z`H++}4LTZn0(dc{k&&UHxfy6nj)l2}u`$U@rRGcDGofss7--xZI(CGuW+%NiSbym* zX~`Y7)C7HEn&jT-T9D1eUr}uY84WkmGcz)<0BsI3HPtgRG%+-{1kK{%+T)2WpKW}8 z#{}Ow(x9e#Jf!OY84b5EFaaIH3c8)vlB^8Yw(hnGu~#IZY{0UN6#{Kh#JY|PT$ICl zX#@+G*Dkk7PI@@EO|~$CCS5Eo3=IuUkSAThIiF}JiLJV6LjK{XMv#@(#(EZ@UEPM@ z!%@KpzmUAEB=7qT3fy5FD+HPnGu1OTFg7%REHE}SF);ug(n?x*6n?p8Lcw-P&>$qF zhY4PzV`d22+QY?ZhS*(Cnsa8&zJNEIfb5HhwAX?_$-&SPa(bAFsh*Lsg^>aH)<shC zC3nI(6DxM`-fI^_$Rb|@6GL;*J(i$Jdjn%*&~@abd7_8&99~a=_L#;)MsD-LdlwAN z4M2@fP+e+iX=zDv_xRF>)A%ej1{W?63$ZoOK(i2}`B0JJ6e*P%=zJh-7L#6cD3EBe z8TcFm13fcK&@O#04g)<?OJf5=(9&BA&}ppL$_;imHwB;25X^I}`6N%65H+O<={6bZ zS%B7YL2{?DsfB@&1=?UD!2;B3?J*NlRz@1dLY8ow8=9MgiUniP76c;;OOhK6<$Xs? zuy5u!0A&(0J#zz7bI^evrlxw9mWH4eQzXtd{4qI#FU=Z(`$~{B3tp8DI#mXInFP6e zN?wE<#^EJ1th=&E&lN$92T5wyfU*U38dN0PYysP<V`^b+W)7PEHP$mUH8M3Nxgu*= zbbx{pO3;WYr2c?Z?*;}Y=H@2kkBeWEJ3v9TO0^lS1e5*5^~=p-g^EF?5>?8lB`@}w z5VJiKQapj?c0lXXxIj%z^45tZuh~aZHGpfK7|ET-L=r8AO&n4@-(g)1@-dcGEg1W; zAWPNZTjDGX4GoOVxj4z*RAU#ohk|?tDor8zj5-BQ@%7y#6?LHXr_^ZyXnfg8N}2<m zjtQAiG&G}ZHTS|#J4qQEG6(OtHPACNwy*#-?o5sJOij!!&5gO3Ks!S%xnNBXl#vQ- zV{SWk?=-QJ0u9chOhUodJ{W*bCjj+O&B0e?lfAd6MQjJIp=U$TCZ-fnQ`Oka#MIb= z3v?qn^y*U7Y9GZj*!sawj%>qaixFsJM+&G_Z)Rp}06M?P$c)T0G46Zfw8aoKj82_Q zFj;pSX_)|Jof)Xo1+AeZXAw5ZHe+83O~xXTNhJCiv`U0Jp-r;QxOUr<JZMC!&7iS6 zSYc;uVF{^Vjm?dXNN$EFfI^#u(OE<VOW~@GYjWF2>Ufwz4-+x4FaejcphE{Oz#D{6 z%Ljrz9@qa{@pcQ4w%tL3n9_A39b31O<Wp1dTmu#QcIS*Yky4)+f$tnM)-y3QF*md1 z;<PZ=Gd43YGy$KXL(0JS%$FOm%}{~r7wC>>$S@?V)-(Z~8xGnoVnWXR!t&+~r1%ni z+!g4Y3Pb2wJ0^NY=9XrrB<Gx|$JP=~N09Di1!y`9w7<&`v?9e&&(ze=4Al8E1|1WJ zwU)+KvCZ#aZDOSh3PqG!8f~eFYY_Mj3+N;uBn6`$PeA&<m@79|k<uapZHs}$EoG(C zQjo>OFYU636{-ORwTX$5IrNkYBV%(j(5Q$x&Y;HDAlj(9%*4u&P*6k9goNBU0A7<* z2eRGN(!j(7bR>->=o%*@P;irW3gKPrMI^5YG6UNW9>*}X0Ix*iG$FUc{o=|39OD?K zpurzgJ##Zd$Wfo<9=h||XFd)aOhAJ_;Cl!yL5KJo8R?lB8i4LyA+eOt+dT(g?lc4s z^FnfG3aEQ*Zfay<4%v!nVs2()3QjMioZ!eeV<y_XHRN=!_;`b8&{{`u)EF6Bnt?{m zOw9Gj+h3ykZaR*DGZspwia$0?nr>nxfqW|t!XKvKsaa5gX<`PtGLY=U6`IPYlQlJK z1YQMWtY>CmU~Xc<#c6J;2R?BNeN2g9C*kUeX}CHG2GM3<TMYClP7@3}C*e*LhTxG@ z@C1t~XhW)rr5<?`EN2!?!jUGx(+!~Q^A;B14X5UMW(MX4q+baBvu6^%%mf+zhpalG z%D$yJsuOU<j$yPpwPI(=>Rz0&1G*lQI{7Kvu?J^<GJv-93@i<dj3H|*jLa=TM*xyo z+Iie=CZ!DozAuc!P|w8J*c{Z?M!J3t<?LjFZE1JgW;D+^=at0!6eZ_mgEk`Pg1Xv< zmS9UbjP#6+j0{2dPNU2O5zMNByPJqvMqv(Ke?)~$YogeI<|jk}NVT-L#=Hh++5-*R zQ>RYanNf|?7SOO&HfX@b)XdTn)L1e$)-$m%HO839As9h{j#VaB3K)$g@aU0iUVJ8W zA`)~zg^`{y=o}48E>3eJ&>5%}80*0a+7{kWYGS2^VH;X27!qYvsuFkXD#n>23?b)b z80ndrnt@7I&``IfxuuyIdX+>lFEwWsnUHm|F(fxrA?2q(E5PYb$hvweSn_*)KF%}= zT9iqhlpo2Sh1(VjYSkd=7MZwhp<02|e>x3kv{-`s>?V3<mS$$4lHAfv&%_waM6E#x zW~&(`sRa7$7T_KRbt*-JV<|Yj1RLlvG%^EU=wJvsuEf&9kmNzNRLvB8trkP@@h^~8 z3$B$O;N29k4m!acZ1g1wzS6@;s07p(G&42?Pkw?{dO&X{K)FScV7#nSOf(_-b^_S8 z6mw%kW6+fl<`#NpCZ?c!n^5;&60|joCBcO7F<?oh#h7Q$gZ3sE>KT|Bm>3#@Rv?47 zIFVc!`mo2DP;iMm<mhWElvYO`M&r)mX4GnOq~3|dnH{KBSiLEU#OW2#_QPCodNnXK z1$9s@E%Yob4Nc9_w`&nhIg?jM5Lyfm*&|#IY8z7A@Vzl5f~2uk$T@XXNEnBWLvV&B zX#aU0C^QXCL7Rj@ECW*$Q_wlvD4CyNfl}rkgtr6(FPnv|RVxG8Vq#!nVFVe(03E|; zMDjAPrA>jP^dqR2&4i=;afhY><i2<dJ!3O-bI{IqOVDLh2B4LAr1d1WYj~MhSrTeG zg05RjFG{S82cO~(x?Kd;f(0+71I@A<g07Rc)U!0O0Ig6*t!W8HYQ=dEe31%SmISGm zKnD+l=3Pxdt7gb=Sw33hW<uT(uT*PVZdvAvvxI}sJ6Kv8nwfzX-hwZNKu^2`i=Gn> zuGoqm(B8@TOvt^kkO4o?LLks#nU<jICy>r3KuJvm<1wee#f1EIzId_@${8;Noe}!k z8fVr4EiR`{dwQv)IZj&)O~D%`jP;B_V@sBhtxZOz2IlCsKEW95x^IFl20=L%I&owO znK{Y<t=}@WurxL@17GxNVq{<dDqc}ykf3#QT-30&c_H~8w4MdJ4mcOIHNx1`&>VEv z8rioNpL?cC$a9e7328xrrlc&)xi}5Y^~^0zEiBPjb`tcR?0gjyD=Sdg;hQc(EA7C( zHiT3u)M@G`NU7k=`Nps{f)-}x7NEc-do`(Vj~oSSmq7I>WbG2f&qfB|BcG9m#Zb;v zBbX<{c1of788IvdJH5lq(83V3?a+eUYk%TG#PQZ6;3e6Rm@ELz3>cc4n}V9;26_gj zrUvFlTuerW;O*)}_ivud3*ocT7`*BnQgfA4r8)BVryxnKJA+suXxVOL47&dVbR@E= zr5R`jj<k}&xSosLyaUO@$sqq585vs|;68$ZU=DuF%z<kd+z2!b4(?$Z8krkensad? z^)SJWc37+uv}HvX3-LWnWAM<4nVy-6xrwC(xTrS)jeDV2)&#SN5)X@sl_dNooaDR` zqi91=K157h8|fKaSeTd_b8(s*>seYFnVEvS<D{%aC_l|)Vx>c<3@i#xNsP~g7WSZx zNd|hR#wHdfCZKF%Yy`UU05p3{%531VlZ+-LU+s&1W0DCZQ5)$Qn;Bbz&ik>j(6cbK zFvK_ymtagwmoSpv{sm`hNTSXMb=iy!%`8E+F4;4)uMYe*#<Ne&5L^X8EClBwOLKDz zOWgah2>QCA>W4AT4ew@<z%|gbFg7tYH3R2bV-xUwj^Jf4MCYQ$MPH1`tZgABW*#W9 zVVQA6DYyt`tMq3djIETBXK!5+OA_Nf^FVv25huo*fi}N$VC@EzX5WMPFNv|w1hV1J zK+oLB!qUJJ<W(~ROACx7OwfOmtX~?FoUigyD-f3?MuTVmA!87r{uP#;JCF_??4)aK z>qFYQUKms3Vi#j-#Y3O$ePZGPQhb~0nHpJIm>YqMZ&PDKP%9eZXIOG47!TzeZyRGT zz9B6RaMfgtd9?&e--ck;{gHFSnDF2yY#7o&C<mOdpv$2_Yb;ES3_yb*IBjLYx(ln# z>Y_2839X{kbns9(q^V(KXlV|*{ma4vG<{}BdRt?k%>`rfMkT0Oa9r4SnwSJ+7%K$Y zGmfKz#Bt06w#95Oy-ypHx@R0`{g@AmcOz3X0|OIKSIx-O0(6QiiAnm+om0kEsu)G5 ze`yJ<?2HDra1B5c+tewnt?iEz6XvF|Lf~~}#zuzbW~N-67N&ZJrpA__TYzyUY-Vg> z9-n{6nDALs#idE$QT=G7njaMBhI)o3rbZTKps+T#G&48EyQc<QsX5E%5Xo_lT6Tlm z29UD55>!_k8(A8F`i+(rdX~_8{!r>Kf+bAh*}cYAdW0H?F8+S5@&528A~?C38d(^D zb`V%vf*OmUCO9K$gS)14cHwOYfg8h+b`W)v8;kr-Vv?HyWCyy5o~fCk0qDMF3qw5% zvaX3tGucXRn+S3v5_S9?;<|+xf15)Wn421!7=Q|N(1~gWrpBZ%SUDHE+1N^jP@2jN zPDupcRb-$CIz-OQ5R|V>OifG;z$uu~kPBY}giC0%F%_<DG^AGhqvpm&V#3%cR;U)_ zPeT(kP{qt)pl4!gX<=f_#bjuTG8REF<L+eLXiVA)T}Z<Obo2#TO}=BtS5qUzjlpB3 z26~1j<_0F<{)T~tg{2v2B*p}JyEwrBICx^IF_u%Oz=M~FhCbwU5feQ_LnA}b`T#>q z&^6CSpbJsK$&Ba*UryX&e5I8UxUzsWNWf!g7Uo8l1{OFcfC+jpAZ$MFUYiN56=Gp& zU;whk0JKWj%*X`a?ssf8Qv1$16xK*!e;b0^gC(GpZDM2rx{VO|3SSd0MicCN@vv3W zA#<k@(dJD|Nh$IJb?sn9h>4Mj8F;+H#MIalJ`@fsLa<p>eXpMy3ECu92-1xrqZBKd zmQ9szlp*vC24gc5P|^mCg@Miq0o`DZa-0moRJZ3q2oZq~UH1>Y6w^S@$kNQzz=8`o z&PmH$jvT(m#7re&zl_oV>U%Q_Q%f_@SrA5gMkXf4paZkO17@&1PSE#n4*3x8doxHg zfeOCoGqI+?_eQZopmWa=?tq<fg10chmLYg2S{V~_9wzo!0L_Ad+bf0^ki%U-2mBd= z?vdhRH0NSO90^OXZ!Obfg}W{V_dznDgQTb)H#NY%7@nYo%t_|PL@l<#;dAgpb^|>F zGc!Xob5J2@U<5v|g9%h1o571d6n9`Njm;~JjIBgbdSLNBpmuj&31lUwv6-<2s0V~J zF$3PV1xw*5Heicl1qE$m)Xfcui5ck0ONfL-c6i=n(xM<i8$vRck)ENEC1~Rdr-_lC ziKVGIXiEx8u|hCEf6>(>xBU&N(WsQZZc1uUlD;e<0YZg{xzwvlLBtrx3biwWk~gfp zC%dFMQmSBVWkbXn4>&TM31mf#p`N9=u?gsqZ%aKB3kypN^f_XJ`JwBaj4{b$PvA~C zq%}>Y1hR9n3?&J~0Nw;4y%jX$y%bfNASUREgY42{%PuL35(h?wNVZGXUzDJvLNrFN znaOs@I?5b^5h7$~TnZgx0$#0cpl4uiX#hHd$Ux7)$jrdRf(u+z;%FjZt10iTkTAAV zM6D^o+kW#(q9F^&s9J!p)}tsP7*H)CEdDP-NkL&mwM;r+OqilfinA^uc_Mudg)T9q zTI7ITVoi3fW&+;4ZJ=jlVr&eW=C-udGcqs+orwxsylx0@MiOlHJm1An;?NLeQ5k66 z6%`s86aMj1(8!=(w~8`%n4>qkU}YxB3AB%*@UTF42icWKXAw6A@nTA~Y*M+Mm69@y zdI_ZP95V$0Vgep6H_$UOHZlNRN)Eb@%Fu-LCg@%HA4d46-9UqD@zF*WR4cqIG9DUH z()`A#&&bXjV3&|zWI_((G1N0LG&D4a%nTZU;{$CRlwgq=<oLjdm~~RgIq>bA;89*f z3sY0*9ZIl6iowG!M0dy^9JyhHt3z%K8|5`O0Z-#|80e9=Xyo6v8%CtgHKWcuBCQz# zPyQJhS{fQc?$|RjHzED%sOkyVh)eY5=!qVd)WE@vv=okDS1<b0Rf-Zl^$I|+OUO_3 z##E~j0u(M8kw5HeiC!bXqK4!e(ih|oYr+?p;TR2otSAOGoIryBpo<?ZEG;dKN!~B1 z;CO+!M2X>Zvg>61RmY4d7<r^#nxxPn=8%Q#pfONmQ_u~wCT4mT=Aa^x)KmP$vUV9+ zITF!`2Hj?tk(pK!l$xGdT#{M@n)8b`jg2=niU#dJ11(N6(6cl$F|aV<;<U8XGc>d? zv;dEmB9$5hD~9J2w;7Q<Km=ZS4q3U730kFLXl!BzS~p^(XJBrDxh;fX(PbI9#mGt? zV?rGy06t#;GVKT{m`%+sKsP2^=oy=U&Mrf%2nkxHd3X&`c>r`hcQ<%2(7=qs7++Sm z#>mQn+!!~Cjkg3xILtK$7N7_>ve1KVyhT|fL@)rNR;{4GHK1da%%Y)3KT{z$Oi5co zL2j^!jW;v}2M*}2JdktDjX)>uAl;osTHu7pE~UUZpq0(0(V)x5VR2<)09v_kVxR{* z=@ezYj$m9JTDio?%7JjbfwicBL>1_)5pc#av@`~<?K0CdG&iy&{qzc<_$5ST9MBEP zREmv^k~tK_hGlHLp&2+fVnJj224<!vCZN4*mU@=vM#d(VTug>0xTfuJp5Oo5YmSi> zFUqb4p=^-xMn;yPL!LMc^b8HmjY!|f(0gc>5td88Kt&*QXPhOdn<NBFSrjI8E7e)# zr!2GBctc}w$aX<XNNnkxgtel=i8G9>?8!{$kOI;u78W$7#ztmFT%1OTlfy{MbfN{* zDJ~!(OU(@Qj10^SEsel?87<8%F;WG=0>J0^6yh?S8KQk+YH4W1#c6D=2Wp*wu7xGd z`|7omDadrtLdOsu8)n#?LqgKH(>Rg*glZBSZ)g||IzJdzB2nm?6w8T}1dc&$yb;(r z&|GY41{(cDURFX{b=77%fs&*e8*dQ}TGar{#U{p}BlnCE`xQxZjgWdj`MDSpRhF?r zRC3I9^Ijt>dx{!emhePOX*`v2_EHc}2C?ym)Ql&Ik3HnalX+~sp-D6%Xv`?Ah<K*- zke|N|4P)aW6%my(RC^EQt}%s<n;IHf8k<{!4q!7hGBq&*uTw+nnGr1exjA}>EBg)L z>D0{3&<J$Ops^mfYidAh-}Ddz$ou4G8b~@dM!1H;q!GQXo8nw!fGAKXbWLeaH~F~+ z(ndiz25TFIgj!MOb{D0kn>p3uNjJTd{49)Ur9-nYr40tR-5nI98c0ML!cz^U5!E}f zgZxxu92;+F0NMvmr8;rx_jd9f0~vWjD)=dEN;Q?Yk)LXy1wSI5C>^8WD{mz~XdsEk z1fFQjC>){@kpek}qGH$rx@6eM2y{>z7ifv0v4tUcd=RBOKyZ98e{-`D;T@XL1=H}V z&(y@i$Ou&RSsEKynvy(~VZXAOxT+7)>!UQxt6ZBYC^jIiA`3*3N@3>s{l1a>%mFDj zK)s$$X!o4LhW)4K^+r~9lyuK6p$F+18<`uM8iM-61_s9Fq+ez-^HIGK>fPZ!L7>aS zVF!3YJxpn-opgW#4}+UQNVO}4ZL)-^_2ef7Lr9MkvPsB5&(O@=)YKAm;kB``CFrae z5_iy69jYTPUm8P~`j}gqS%CLYn&=st85m(4Oir-)IpS1nM0gh%e6tLE@*3KhFf}#@ zU7TfPNakeCZS7hLN(D$QWk$79VYLXzHDu;P=%5|-MrL+3*N~quAq6I=jDn5KP?$~r z=+sa$GGi1QZvwu>0a}7kTAf&l){tLhf=dvj$^=``AePG!>_|?VT}^&OK?n2@y*d+9 zO9NAs+uKMRCE}h8at*nS8%UOdUWo{r@S$*S?pkjZ1<3}Qrx4Debf75dZY2fIfo3XG zc!5Kyb9UyGlb>=BuECeZNl3^4>&hqy9E^l)Mq#U{H@%eNy1^1YY)@%a88MYm5;%~7 zG*h^9%qX1Esd!UNiF1r&<4r-Qg$cou4uyj^c?&6V4y5agJg-Y((wS^nOhM9tlseQK zDxW4+M1J2F?ik1<Gw9-bQ_!YxV-rJTQ<BF#8|M@f)xb2Q-U@_WdIc1uRLHaiXgv;< z#%N|g$R|HCAhjc+P@yyz+g{11AZ(zW52QXig_X6Pcs}{n5wur~sH-WRxp=ZUhl0R? z){aPH_Y@8pB&^IKKX9N?g&0qxwBw}Ol|#V*HMjwcG^LHL0ZhVZ`DIs1VhWmY5G`P= zV~r%VW4K+jDTpbP*myHgs~lD}Qrd9nvCpC)8AAgH(d4AG$YD#(BtLK<l@Vyk6SV(N z>4X@EPX+}QHDp{EdImD6vqE8`>crx73Ze>H;vf~r6lN<M&2;jk3Um}Kq{j`7DoRTn z$vLSML=~g}L@IJ9ER9usQz?il=(<Lvu^I|f&S@V|;E*|T1a0FO#R@?OM<|V|E2^m! z4vrv=sZp4SZyZRbAQ3~lO-NP4K)ME+YLLnsYPg2%rRvbtu*UFdF-mKyO`j9VZyH0& zVx)SC!nWwz!bI}Z4YWgP0zE9vz{K3x5PW8?fu(@~Xja4mbR0Eoj}^)wIkwH>`}Goy z2p{_hx+cS^vLrRyJT^Wv9<qHLTJ};p+Nu0Ffs(QpoQnvIcaqTDD~SNPhRnhak!oQ> z4-{r1uxrTAMDPh5SX5D%Zi~;wlb>#(H33o|io$wn%H22$s!8bD5hHjBLuoqZ-V#H8 z2?J@-Ad)eqlaQBNV<^Z}kfyLXJZLC&%@zR)f(Fv`M0N~i({gz)qA7?c@Zcg+Q;)(N z)l?8oK^lfMJV66U(8d*|^DCXU(d0L-z_lb&_NK7xyK7BJ&_GfR=xTLn*=K5CXky64 zX=s7GypfcSH^@0;Z^VL3ARt;0l;)@swP*_RH)N>KoN8_IC%>a8Og7ZZQAeIcQIey; z`5Tm@V95sCh7c0k6Q(v%6hsuHt%tOKl)^kEC`n1+K&woo9t(wQ)#i#(5;*8}Cf3ED zB;@eK%t-QEH;@btT9W`xIF!y1hMI$1L-tfRxao(O=ApFv^hzs|{7Mr%A`99p0t*@n z8-Dkk!pV;)NR|Q(^TFEa6uL%!Z5Raw4s;9@X<&`QJe8RnMsb0IXopgoisPPzQs5d$ zfrCiJls0dCcZ5<fu>fn|z$WV`9OXMz1ab_SJx#D%K&1`LF%+hn1GhuS&)<-qodvwR zKxv{$I1O?PxkVqiv_V>GPT}l-Q%MK~DHsw@)Z4o;!xI!VWF{JTvkz7dQ`mWtJrhhp z&=`Vu5SqZ(8)41dBvjvLw1X&d4R~q{X&F9+t2AD)2a%tlAT1k2xkqV+qR26j8VV^x zQ6pr???{0g=!l@f+L0n5)!gg~q#&NaiH3U1wKp&YlHagF^mt(n8wxuMVAqg6_=q{7 zKw*6u6cs>0I}18AYlv8+HlWUdMi!EDC~SpluJETMbRbKZ5wmoZ4u&#F`;$K+0InI3 zniCYZZNP3J_kajUGDbKC>o5`t;{j!R{m3tGAVsk$yrD%M$B^650=I1tLt0q7-z0=g zpO_!{g&(A+0BR6}ml7J7TN)aef-WRAH8(Pc-<brP6eGCm{r+QL;+7I3jayMz+WO4$ zr6dD`r@@ehJ1Nb;;hnw|w5A{l6{#CZVI%xU8^|?eHhUpi7_<TxRxwi8?lrNeD5@Z3 zEu!H|={h5+XWkS<6}YekB^)aCBu^K5lV89=szpR;OKB>GIEJDE4&fMMb5ro<6(i)s zaY!iOR(VnoGLXI-V(1lXyO#vV{8{ToL85`g6H>#M!u<VjF~~J!=5OeT9`!oedk=e3 zFiZp<e?XqD#B!n(2@y5d59An%f(9v`C~ReZwD2Uqj)peDk%l@ctTMONdXVoLXo~@9 z!4rjP_(F&W<rTOAqJM(TIV5y!DRd6FXA7z`p<_jqP9S)QQ4m*<^$4ID1n?bN28L$F z2B4#AEMX-v6J*^JtbK>F=n30RPmUkmjj&vg2tF_mbW|$nw7huGRlZQ)Q@Swu%R@H` zN?UM=0-CXaR?(C;`?oo}l3ztbN?Sx9gwk4Zi5AE)<W|w()(g@qL2Q%!Bowx9e>hW6 z@`D|N2pLKfjm1}xW5_J|!EOPi8CaW_!jd2C7_tY0ATtCY=fE-)g-!6N5GV4Ben?vi zG|5k;4E4y~iTn%&aShV$NeXMlU^^!Y>LF-Ri>MYUE%?Q)DRB;DBpg)oLlX|xSppJr zI5#6G;gDV2fctKUSprH+;`tXH$xk?ts6rZm!Pa6RA!yvw9Vy6D;Bk3Sg8`POC_Fvm zgbW2i14%YWgEJJCel;A96yz!B00dIQ7u$#?32i&QG6xEp6OeR+7@VOrOF8FK;u^?M z5h6=bIvTS3hdudO3OuBVJQ#v)u8V|-ns$l;$3T`8Atv4^Es66$jv@O%aB#_oR1j0T zkLTx3dkWGpB-NO~N5Ux`C~A9RM}De-bR`i3MU)0j{#gnf0~wkzgPxgXU}<IyK1Ckh zRbn&-9qb9~DG?kA4=lFBc?AzrrloK)&D4ehA49rFNK<Lla17Z^T}agfnu&n6SSTH- zYrbboaYjL`AjMjUkWiv+zGh27O$aH{kV_E?53vS2hwQ}!km4TE^u`)EB($Kwt|2?+ zK<Z^s;6R&Dl+LKRthOb;P=j<J5xYn!ZR*x6v!Ngv!$%#UnTpc$7vxPrt|7N?4XFzW zjMb5lZosY~JKaD#*NE|NN-IN?gVq$J8}Q0wL}f_nC<u!_1&)DK4M+`d3i|<I$B^BG zg3Qq(TtjI)fpMu71z8GG+#s4zly(D@<*X<eI|IiQ(&ixw`?4ROT2fF=L0Xr{omp(- ziX_yUD;8N&kfXq3@rZstr6n=gF=W@7koF~#YbdP2{fjKgA9aAVyg^kaY?zb6bQ87D zf`W7dZTlge%R>$4kR4T!D%>Des1kI^kb$w0g}E`5Wocx|#b{2_Msc0B7DiV3ped5f z#GK5k)Ohd(<N77#neoZ_c_l^pIXS6C`o$%QC8?k(lxX8vqzM#C8^NrJ78De9kYs|G z2Box}*ZkX@{4@)l21Vo`N^8i@H59l8F*XIuK@?VzVAqh{mVvbMKobRn!a0yS6673M zyA#`h5D6_k?FLX>Q8xTcy%C`)bs*P}*$ReMlGIDbr^G054YcS*G_EMkRS?&Z8&i;; zr4eE_pTaSTpZCousF$F*3aK+k;o!U<n;FH$F{0&3>5y;Vep3osSKw_Zh=o;DaSXX# z1Za7K<Qi-<1tgR=6RS)qh$u+U66v^13Y#<2+)XJ+#n7tCAXcaj(uy%OFoxdAXk=ml zx*yMyi_wG&Hnd4_5s1!F6OvE9F#)X%L0lF{*+~>%w;59qIgoY)VvdW_MveS*V+tY% zTErk$KTz786v{HDpgRd}`XY5FF^XS9(A~eVq(X2MyfDIug0L|(jg2=4U)=|5)KECZ zuN6*-bD&XWjCA}1WlI!2ej1X$*#lftA}^PuEKfbWYe;@w0BO=7vJ|BQunorzDM&WZ z%7A(k8<aT*(uqQv*q}6YCYTvg(2IhM!BVeD6aLYFg2EV*r;tt-q_Cf0bB_|&K*neg zn<TLg2$L{;HT|do`I!nb=Ytp!rgZp9YdQsvfrJcFpM}DBI$)|#L8^h2H<s{%7;8L{ z(As-vtWRM<j1)~2b`f@H>rqgDgKGt(>_lNRSW`off@p%Yv=Bi<>2_?_US0CD6QmW4 zNHdg9BIw!clAmV4%`Bv7qHtAb3YRX$H3DK$0;MaHd)DcYA5D;g2C*`k(h19ZTogD4 zJh_5Aok8KDR;$@4a13Om25B&s8jc}*j2zO%MYKP$p3hD~5v-N2O@5*QXD86QWY}mU zh4~5W7;?uVAO#J`HLzX_HC#jX$S}0|iP$zt>6Ai_j5Z~80=WH&NH>(WYMT1B$WJ$r zM2zTrQyMgH-8IQ~45ZtF$lsLaC?g9^3gQV;^dV9WrNhE^c|fiqbI2T;hLMJaDV%Hp zyN2v61=)E3n%#gdIHEM&oV}z$L7NX;`XSN{r2|MkM>Hr%H;`0}NH>(`@3r0P6u1V` zQ9xvHN;?XRqtz*mD8%}4N>h#BKQ#)12GTf3Du^j;PUv;2kze*fGC0C9l&0Z_X%sjH zl4uY~n9?TbYZp*Fky-RX+QXnWD6BPst>`0ROhQ3Hje@Z?$e;vr(T8n?4+-tQMVC}5 zO*Yia-oH*#;221TLS%1B>+fbi74kcEkPHQCghHz*N=v>#3l;L?36i6bf`-Cj39w_x z?z%u4=m^(PTG*I!f+C9C8E;4iH-V33QCeXJuU001Tmn3Ngjm;2=}7gj`O1`(HIQ|m z)Vm+ePE?uvGz=f9hGi%UM?fF&P~aFy_9ie;O+qPrOhJkKkbyM$sF$Cr1(Yah*MQei zBh}v6;)#U%^3g{{N?Ze8gNleKN=F^@Hz|@IQIPH~(li}LQ-ZYFUG*YGO0qX(b{FX) z5o|F<LLz>#Tb}%|fm{iJv_y=;VSKS<c?yyZq*6c(5L4P!FfymaHIT3|j}_`*ge)L2 zGPSVe;;_^MjT9RgfcC{Pk`PbRcFIv$Lm^hHQCeFXG{{j9O^^&_8Y=`oJkQX=z{Jv& zi^EjU+|<;_%mlPjf{}!hMo(3asKfJ&kP0_!3yVmw(wIY*{5B@EFMwFJO=&~Q@PiD+ zk%6>!1!J)YX{8&>AsNa`H^hoGtR+7QQB^*dlE8t)74?=5&NGl9zpRCfEmH5Oj94v7 zVhWOpL3<%!vj-H`L!bSnDNe>n*GXfWJs=_7JZ6)oBpHL-FG&4J%sft7t}6d7MSjA8 zEC@r)RAM^D2vivny*zEQE+viucTW&yG1d%DLbZ7Fx+De31~NN{XfaS4G#ipBaSf!4 zjR+e`$3j+uT|?#&8f0JwDGgKFnwsh&NkJM0ANYb8uBUYQ=}{X{M3EUZkaz->eb8Pl zs6}VW#c4?HS)EhZK|w?ASO~acKvbEO&N&&2Ns!;Vfi%HEBb~5;S8Q!967o0CHE~J` zVsN(>G!6`nDN2i*h7NH`r!o+w4W*-tnyTUylzouV5b7<rUnwO<K}<o0Ly%&M(mWMi zBT9a81Igit^)!^$3u(?G<U0mZNg?WQO4D%oHDU4{0|^;K8m5Y4C>)eVxQ5d0G)q<s zlVA2h#_N$fF9YftXagP5U!ZhYdcBD-1^FB597FhsJ*CSUxhjOn9}fXnnaHaeDch2; zBb@@bpe;n8w3!8V3xyfVJXR<NJZ5ETYGMw)?c31U%*f0fwBZTYm=(6$zQ6biVLSL1 zbiH?cX0$2h<=z;L1=6}nel>#RXJ1G+2~-2YdbHU3kR&u+T8$}i4YWQ)l=@h!AQF1C z*Q5o>FZCe<Ap{&lLeNm;7)a0{x=EC_6s(sEP|%Emw0M!4-xQ96Ox(gxfomZ1ZHNJR zN=sSFTmxw=Ai0LZ9OXR$6gFh`+92cc$Q@b=7hxR#$45b509*wjEyAEM*@*H`;uvrp zM7?+te9KFIISk2A$niwsq|((ZyyTaBkX{mE2$<6L?u0lB90OTGiI|F{bQGkFlb3=f z9W+BBZEvD*flA(b9tw&+h;tD8X0TS6B-Bwozqu(*Hi!z-n6k;goDJL*gbbwZjWlJ9 z(aa)k0JvX?f{+0hHAt;2O6MZAHgHi8G?2bEA_-HPy+6&M#5ItJGK2S#C=Ht>mpRGr zBY`6dDP$--d5b}bll(dX5-^|<S6JJe!X$iZH9PsPfp+u}M<7xfPr`QW<QFxNfqFzs zVn7`OY4IVY8VcK3;E<uPH%q;sxp1D1f_MV&;h|p8fE`12&_J3J)LXQu!OKcPQv#Z! z5Q`Qmt-O~?uuu?F;K?RL2a_s}Av>lZEl{MU1cevFZ4;v)XdneKVqhF|3WKx}o7mIL z6vPvFEgWJAC8aZ80qo2aR|<$$7Nx@yVO2~NbT7eck`bd4lqTWV@=O%8vLK@phzvz( z(A0D@Q0y9{fpH2)B^ulrC@=b`m%o`U8OYC4keD)tuFW$rHa0Lb;o`Kk)U&j(urRUU zVl*XbsmK<d|Atm7;G;X^GxPFGOY~h5OA_P#OH1N2^Gc#Yt?$fuWAG_g&<R>2V^c!| zE)Fw2BU1wd(pO1#-T7x|MRrjOt%hP@M{ZF%fitBD<Qg)^s~}N<G@V3gZ~w-TzlK)i z?$3t02GL-kifhQ;ObTgdB6kQd&fFrcPdjHR<$;4}zF_S}k}y~wX#dC1irh=YA%pdZ zUL=KM54lOd4Xy0SU7P~$MItIiN|!WEkpwx0%p3(Cas>@6f=~1_G%zqRHU%xnwlpv_ zwE$mKg0%3M;DLULhQAE0ObDG&oK#vI3_GM4RL;dm6G$Z_j8JW^{7FG70WXa~Or2BO zZ4~+ZgMyM5(#VCc2{$nU9k$3}qGxJkVrFbk>YDHe&wdzMsp6`3K<7n6qQVF<9Zg{- zDP{XXepEn4yb+m%(#G2Kh2JT445B$QppJnQ^9aXKI-S)%mx7Ri474E4l2BOX1m5~a zetirH8RYZvFt#O;Rsova1i6NyVji^Y54zdK2um}Lg!=F3&##77b`%x!@XNZe*2N^0 zvR%i&lAoI(bJd8tn9@?Ve90FI0|qe~Mq!cH_~#P^1wJJG8c}O^ly2@P3d04lJBrfY zse&sd0RtalfsS-jnqr>vQQ{g%nMbuWW3c}N1!)G-NHBs=k6<fgNXXbJ&F?8_*g_hU z@FUkL?G9yGzBaTXyE_C48KmwIrK!fy?-d0R1u6BAQVq5tOA@MscVC~AUj#$CLx`a; ztl66c$B3{$qc~`csa5XH?|Djoxrg32qj37ZgzX6hu>{^1gqXd@8cQT(Zja)}6gUPv z0e~3grgTl_gtw2#cMPPaM4a+NY1XdkrNA+eMHYxjEX+m4q>cZtF?m3K8yLD`6LBgt zrDqOZxN(mH*MK|Jpp^}I;I*>`7KWCFT%0EGyHJ^oOt}~>h`%vl{``A}R!SHH3?KnV zL?4!FUD#cxZjzr!A;W`6N6k?<rrzavlY;6HGPwyF>4A<aQ`(9BDSCtauz}<m#91|z z7Hwg#uaaNpqs<FZ#Vuryw}Zz2O`;K315nr_$-94*(gqzO1!FeoNGsWr4_u`r1%szH zK@GZ2s+=Bi?7$TY5-_-Krd|iBGyF0IEhxw&4We?UG;iNJc9Hy`fs}iQ0V+!K)3wU; z<U0nQouH*2h1scA{XE6dM7>1wLH`^Du7Nb7s8-Tk<v2%vG(md!h~ZvJTbH*Vo~6_= zh}I>gxv7bpV#k<3XP*rX&CEd)G{$=7#s-#_2Bgjc1ofXGY8Jo{F{DeW@8>z5p&*e$ z23x3CnAirLB0piki&@w>6t?xBBy^iq1x`}XwuT(2fi$8(*?Q^+|4vX6PgJfCmuMZO z*fogp4N5yf4qivdk0?ml2I>UC_Wxs?Cq&w`M7#7s3Yt++=O6_Rg>yDP>JLy5Rgf`5 zM30Ta0nDgd`^nGWkX{MZ+;YffANg*9lr@NcJf*EDLy>(H#1dpM716-N){G*d;QQaV zn*zr`MlTU#-q;*N!m!xt|GOyYB7r-P_!=&xb&-~=0y&1vSw6_%52E2hX_~1sqrfrn zBm*n;C>;K%n6Z<BQV+7805tpot-C1=n;CC*P?CgUqp48WVD_v@s}i=iY$Lydf);y- zmIS4(?=<mk<QIGp*O*glMl1Q?R!Va>Vs#RwiRLLE$T8&RZt!3#)%t5fnw!awBuMRq zR0Lz2%_5-;=26&8{`ehu&IVC8VXnU?Eic7h+(>@FKn6c7sFiwWz1Tp$TOjo$qB%oh zJt=i|J^5~d3^pJo7|J>;$80HZ3uH`)dc!b9Kh{!G6~MAJbeWK$v4yc27l*N)A$Ykr zXl*&J^F{ph9VJ*LIAKtMQG?0!ndBPsa}u~QZa}TXo3mmy`3VLxUrxRD@3NHDltdD^ zvyCWwDeR@NIZ_ZZ(3u?Qh>(G$p_zdx7l)CarKyRju`#J5LYd!J5;r1*IB1a4Jw<aC zt)#fIf@pD5S|@*QTTW>zMeLiQv>nI%b}0poT*zP<(hLcO$+U3hQu2caQpzH=ohU2u z?`kfkB>#d7SwxYKIY&=g8{w6~5(<(qxbY8Kr%IiPtS4s|k{?ZwZW^LurgS-Ry5|D& z>mP7S4l(phX)Mi8ol8lYiH)}a-x*JxSaJ!TOMWatayBBCD9qSGaumA-k+CUs%bIO- zD2XIUUV^V|rqD5N{uDU|yqz7<;HJ<q;{4OePce{ujc^NvjsM`;)5v!Vq)U%Ty%f47 ze&R$5+yZXNAvGT;tCqlSA$ze0WK;^_7zz{2j>&x#WF>fu8#+}^X<H_>t(Ssm0vEof z)ar_~#e&>I*-j2>xn);h5BcE&Nij&-n!<q>{_-C3t7~v~5i#(BE%lNxDe!K8HwCE| zKG*?Gz1SQ>!hqDrt?d*z1~S@#h$Twr(BlQ$D2yef8Fb1DJk3eX6a);UQ-IjwLSZZ& zE^Q(|T)>S@sx@Q2Eo-D8T%eP(us*$sxuua27l)yqnSrT+ImxR$lJXmf>(e9hE`?R{ zF5h|zd=D8kMe3bl?As%45^c?<8VY)6kY$EO@VGEEGP4B5g|UU9xjD&qG5z~pMO<7U zyie(B_F3mEDToY6V-0br0p{8X(jp@vy^@k44M=Ptu7<)qe}XjU7{`=SoHp=e8`2ze z(GuhsvYRE~u)*J~CZYb-lrAB^T>@!5B3iN(mM37hkln0CcMOF?>N3rR6yzsJTL5t| z9_9cGX=!HO(LC~_39>=}X@mvih+Q%qLv}pDT|=EVn~`rG1@Q#$Fhi&0F^}aSEoe?& z$fmfULArwuWAy|Xt|5CbB_yIit0$miVHCF8n1r&)Ps5Pbf+4JaHZwCfFaynh7+RWI z8d#D#{}FZ~leqdBu{?r8&u5inQjkpHzK7-+3d=U5V@VV^2GVRrs+=*lOOlpKU%pGE zz%`Imir6oSEoexn5ABQMDRB&VoCz_Pip?=3^hX(I#E_q3AcF=}bBm801#W@NULxE= zY1g>ZHk!gNAfjtbVa9fmj-n*NfTySs{ZY&_I!Mb)QT$Qlrx-|9LOKZv<Ggt?97AC{ z0ogU^chivOnrWZHDTyd>vm3F>lEPGTe;p-`fn;sONE3y{%%(pfl&+RDfbZj{Fkl`p z2_`>hgO}wZR*ztgWs{a-PG1V5xG`rMD+JvIZDMF_j=BrlkfdGEFJpp;*aeN~7*d!h z);{+kza)XI6hw4?D0GXFu{#A>7w#BnGnPWfY^!r5KVTr^EK~~^uv^G4VZoITqE@Cb z>-Gpx5HOHNCA@uaYGP_&3>ts4FfubRv>>&8FTi3?T>Bo;44`oAVsW$`1xXZrrk}zj z%D2&$f&v{pL~a1<X&ISYm>Yu5aj*oPflG2vOL>khaWR3&6PP2Rq!rt*1gt2?px}BQ zajpkd97BE%g}H`0{l2uvmXst?@NPduae*z7lF-vyu)>6bXrgXMiz2r`I_LPqg@jHY zMQ(u%f+F$~g+==R5MzoHjA5)$F{u17Fg7$Wwg9utO)Wu3XoHun!fsn9c+Bk4Z~BH- znxI2pG81z$t5V~^$C~PwlxM~#=jW9a<>%z27U_eoRCKB=Ni`IrPHnI9MUVVc3aQi( zBPE#KUeZdokO(bGq61u*AZk2p`Im%rI=xPX0>?nQFjUJl6@tp-M-yaz8j)!z3>ZE+ zB?<xto`b2Aena~uDQF6S*LqMbV8Cu6zb*u?v!hyySs)4u7&3QdL8c85on8u4%nx@_ z@;kk-<{ESc19N3OX=O}_kq8B;7czuIwJj5Jzxl||){r?k#Ml~zk<?|+LqWj6Qw+2v zM`<)^=yH=EGVoZ+2Uo`iW=2L9T%3kRddB9)=4Qrdms=35nYXiZ8Ct1e)XX4(@cg0_ zP@^O>-Vk)sxDeF$6h_7L*Blf?1$ui8qm4vbhB?6T$AJ7R3cz(To{>D#+_Lh=Z%W+) z@Aw&;m>HUYy5NSU78d5Fq;|m<&iiCQ%+d4sc0`co`5SjXQtEjlSX<T5%-8_j>oqVm zF|e>eyYHJ|jxck3WI%jd)c`s~Xk-XJ?B77o+`z=xkmRoR&8~+AxbK)j9F%AYACfdO zG&H~%k~Am&U`EfycMJ$0%!pVxLt(bx^yi8J`FGkt##pG<elYVmPeEz}Z(yKW`vL40 za!-i|51J#|codd~8cX(35=VrJL((>EMJ`!LejGuX4+gNzVrXJ$Xb!3_P0Wo<EzC$Q z4rhl?Cn|GV#0uqrY%wr2GBh&c;xsbRGc_<WH82Ek2}TUB5G;cDCZ`)%DT3-?l<qP} zz!6d-n}ciQO0aDvCWhwbko&Dn4a|(t%N~NZy<3)GV5J8#9K|-Y&bf=fpKH8-JlaiH z7^MPf#lG&wD2h{@L99>;I2;U&jZDqBI86=oK$mWje(hF~m#u-7BzR;3de2v89%P^* zDB930Rw$P$wmiCSV_>C(Y>P{L5GY{tN<hVYw2@J4yg{@HEa@5;8d#d4mh%Q&j3kuv zUTT^|l=CLBLKzV68JSrcP!Nbs;_3!gvdG@^jL*y~C@l$2%q_@CjRx&?rA|PWKUN|l zAPr-MqN(DsAKi)uRszT#3ye0Rmf^V!G8l#%QY*!^?vf@VpkUV)85kH_7@C1@lrk|e zvoNzDwd~SZA!%Txicy+?1i&2%Bjeb3SdW4_31L^QBoY2IgBKGNrtmFmBybcHKGC2B zchJHJ!xr$}T(A*S!}#Q!?D+D`s(1q~W^AWIv|bj&ZHqa)bTBrC4$B%C8yj14F``&P zu;kzA!)t)0^M_XQr{w3QQmOF!D!@ZTR2jhPQ3Fd*j}~-uqmhBRg#r2%S_J*~S&t1{ zJz5O9%PiUulnx=+Hd3dAaK6Nfk%<jrg_3a>KZqs)!St}hjlsZ50=f8sRZc$9=F}=F zc7Ok`Pq=O}g8K=h!X>TtpDXlVAG@D|qD^sUSClkPFyLa!zUpJI)qSEt_fkSvcwl&i zwAQLf!DoFdW#s5VtJRG`wK}NsgBA}I+V`#N6AoVmMH^aBt6Ewt_)Z_a&NHP}>?^y! z!5RA|xMQERc)4`*HI8ud0f!THY9N-R*F@wSbGWA{jQpOn&vAGv2wVeEr#Oo@dWsSG zCb2?=kmAhH(9(j7)5u&8w6ws)n2X5>JoE^w-%yHXH#Y^0Q;$qt@9N`gCKwxlif72c zBX#_f^zI6Ve=Oh?se!45C2BL<h@@tA%cZmWgojg1;r5vug3bUk&@%$9(*zfrNY{1} zEYx^Rj_VUMTx3qIlHjAtF^s^YTD4=_zMqKfV@xf-ta06o;TL0g-o$9PkyhYX&fA6~ zZ~A~ck$8%K5?TX?cdx-=OHj0-IqnkL2sHEo%cBHS8Q2!AZH}O53%Dg10R~RZL|ZcZ z)j}NAfKN1@))VzCp<2576)_bfU71lUm>wrj!Wm46Dj6d#NQ>}!%O>Kqglhe#_Kkfw z(ykBaE_GOY8KaIQEymO4b>r|#5a_NJXrr8lmLOuDLQDQUXu#<csx>K2o~ytSDM8>! zp-!=d*A`=HWtCTJ(r^Tr4`^Hs8ub`ig|zgQ^ezOaC5F`U2|i1VVudQ8O)+C*V<^ke z0DZeVN<$3WK*OVj7W#xoe?h}Hu6gm9@kZc*XJ{Bwn6mk&nBfS+Af%$7hL#{AiiVaT zBFfwVG*xeDsb^_vWN8deZ%B<kf-#k{%TV7+7Zelt#_3&yz@y2~h-!vhykuZtZe$5b zBL-&1=u5H*+Pqfcl%AC(A)DQTedE)M5-Z~&<165CJ~MD~fxE)e)D-FpOJkB#YR|#N zWV!+}$^)4#F)*?;HipcWSehFeqR)*J3=5$Jd3uEN3w*W&l3$?ROao(Ml(Br!a2=x6 zN6_kRRk3=6`xs`iLa^{QGB7cMgtwu&A<3g>ei~|IhBst(suW_ifswfZh-GYGU~B?z zkC2k+pWb_=Yo$#n>A_ZxMk9INz|;_y<Sh)r%T-CS*W}=NUGkE=DLBa^JP(cmV*?`- zQ<C%Dy>%x@@w_>F+|<Al)SI<5)-$m*GcqDM<_|~i*Tpjb2+7yraZ?jiPnv*Z*vQPx z0<;1NT(ZGB00dLD%a!S*c+xah2o|=6reJFg&CEg5yNsk+TX3g|6l;x<tTh17B^w%A zm|KvXuA1enNU_!k$y#%8*=cBLVnljM`nX1h6l+Zo*20Pq6LSMgk`wR?*EKpM<X%HW z(Pdy{25r<B7@CqC$}O)~>5!LuEx-vo8&qI{W|56xjxaDVC3PXuo~1K&u;e08Sq7cO zhvcF<xMkp?!obkj+|Ud>m19i&a-PjyJvvq<AhYo`4q)XZXr>wD(Rffhs~Tp%i3PM? zw6ruwUnD^=8#7+2(6%xl<N%O7)bo(0K`O{{LrY_0Q*fp-urxEXAo=(#1_lNOE9sP^ z(vp(=ykh<2yb>dW_~gW*qRRMq1CT$3l0fIP8(12fSr~$r#hIFzk+CceY>fm~Yx3d^ zgo?o{K0tF;1{UC<L<>uEV>6NqU$8CepnyV&$&}Qb#LDEn5;Tv2EH&1%G`9pz|AFr5 zwX`%gwV;`$kO5hkrAB6^kZrM+mX@ZZmkz}G6w-!*TWV|u30_M}a?&ZWmO|Q$2un>7 zmRiy*cp(J>lBJO5BFLw-vlP-`DFCNlb2DRe1JFRYg`TmgxrI4-KE+&5jGlTy`32g2 z1r@WPLI6Z#EZQMh?0}<__{B>QzqZ19MFs{2TwI0*h!sO-phBMLrVH3|vie1q;8Lj^ z$=@i>Fb5U?Se=1wE(Poif^Foa(qgxw)KurZ5(A+|oB;rCpkuWe+u#A%W>bu^3T*)a z!e+?gHAvQiPP~Gm9Vr+X&AAxOh_Cj*4!}%qXbwmTPR<d6u9ru*&lqP=<Mc4*>K1hS zQi4+waajni+DQvxf)=J073CMf+r^+%&4EbMmZXO$!NgP$ZxElE7jFP+KR|W}g2ELo zGns?d4w2?l%z6(kXp2*Gz?MR%Awjkxryes}Sqa|>39=E*pQg036uzV#i>2V<6IukW zF>D<@7E8emaavevg2z%aiX`Hak?BBKY8ETh3n_h#OiT=+`xK0fFcvovoSg#~ea=K} zf=kQHDM>9#O@XYz1U3Fa*CfD~;eqb^Gt)BwH;O^+WY|4MC>?6z+~Y*9d%&ZGkk%#0 zIR<*h7M2!f;0}?YrKzzYI1wNX;LzAP=7`k?ebkFB7vc^MB@kVp<U^(CawgkBNbv=7 z50&DJeD@$lSQJu)fK&~lq-NsUl>)`dIq{zUPN8n`nR&$}`u;-HG&>~`#cT;i2}TJ9 z2vlHFU{YXIU{qjGU=X^<#33wnhKY;UIVV3kTftF5!`GuqlZy+&^-Ii6<>K-U^$Af3 zEly3b=i>4Wa&?EY`2&hlbsbYuQj4Gh+`*aYd5Jj+L5U@)Lit?Wx<-a3<|Y=#W+oQk zb*Nm-k*UR8On&)b%xPkw2ii(zXac*jOoCH_RY6#T*>uXY4l64?B67QPd}2yUP-<~$ zPKi(fr55TFlU|W*goGxTWK>`Rg(es?39X&U$-yMFd?qKCFj9ypX!w>C=@)Bqv8gI} zWTt0uvAHGY<(HOl@dW2&CZ{Sud92`&=i>6oPtQzN2&pVc6$%G$!8C<bnMhj@m`qH$ zn2b!}4O+a#7Lx{(>DI0~3oFi?)D+WrP`blyu(3H8lM$k&Owiy@hteSi8xt_t#E^^0 z$b@(oyBMWF3^pNPu#qtrlc5Fi2AefTKnyk_V6ZWX28UW1Lku>D7~H@JTJCOXVrpt^ z1Rl6Cu{1F-G~i+~x8PzjLQK#Q4C8hQJqs&iA~Ho#d{Js*iepMjQEG89s0|J3kXh>C zasrVjJAl%Umxr!}l?gG~1j7xfT%0CmdWJ?8Mi!v44l_Mt6JrBYBQ7S;><MDW3BgdX zUH07^by;s>a(ohKy*oHXhvLX8j3&s<Xo9xno7^_H;&ck}bB_0P3Uw0#MWTtGrKPz! z*!7lrMy4jl=9XMcAZ$cJ2<9dnG$$hx4P(K*YN|LP(`uzTIgtpS&*ro=)w47(GcpCG zWph1n<H-!v1!P1FCld_a4ceXNR^rI(nVs`W;+>LnvO%fU16LG+>sVHo(%jriaCL&R z>=TqccUiQWgNrvaNY<+d=Qr^9mLbZnBjOi%gN&WLKa99IL#i9%^WrTHL4&r44P%h; zQ%g(GSR3)n{y+|JQM53(QYW1AA*+r{kiCjjTQHJl=`ur8b1MxZ^14s7kx48lhrm5Z zNnqzVsuGzxF+v;3<K*tt1BJF=wIb0Tw~Q4+vX$JeZ6I4eSSS!}t0{`DsH3=~MY0LI z4AHh4gKaedEieHmVt90eM`DP*losSykt}hdZM6W~3d=>5#Osd5!bIB&US9|Dpt-S$ zu{o&1LX>qV12P25y0^E4aFuo7Q6HoXio_%_ug+xSC$fM5Wz`Zyv1o!^R*-1vPca^1 z!_&|bG#G;9KO`oJw$573O{`Z@vkBxp18`+Pa)P~mg^O6P8X2G@SW0Y-QRgJuRzq}K zVL8SC)b1fAbMC*xM69i##o)z=6huiuv~U(9vC#@1LPSbHplnKBw7RJLG$XEFH8MvJ zUqm@bVgd$RN@Tri4$d|RtH}3i>!&Zodes7QVzY&wg{hgTp*bRc!87Mfh9vYiubld1 zW@SS}8zML;zBnT@tt2QlJ+rtZwJ6#EwxbO-eUY82yQY66*8gU)LhX!P92R=!mWJk_ z?eR#K8gh~3e?FxTW>(gO8*aG0Po>s{r|^3-A{%qYkOB;r=u8lm1<A#x2l2KVfoCJ& z>6?;z=|t*VBBL6!T7vnSWUrp)dPStApb7#hQBzVukYFiB{Xm&di7UTAdqs;8>4%b} zbc*=!g`^}{rlq9C*x&Y?*hr;b1E+^*OF=mmDR|+FWh^bhgB_$)`rSlZ3fg5%rR2+d z^C^+R3pyYLG>B!WXKrR-WCU75VyS0nY-nm~!o_4_#>GU+5X{o|*UiWo|1gRb0u5qW z>X}#?TY{D*8JXyrg9l|nll-KF_UwI^&8#F*mU@Av%|NR*z-#6}qfNNIO2UvKQ|oy% zGDe$Fa}XuH+Sv2Qh>c>%#zJE~GZO=I@ER;rJtHFnV@pdeCKChj4qzeBC|`bQ3Bf*B z!t=dmR$}n*g|6I-Pe}p|FC}ns8d>NWSXdewLdLMnOe_sS3yV>f#SpYZbKWj9E0LU{ z<ecne$l*Hh=tHfu$u4M@^z0zE&IaWVq+T5*CBag|twh^u8Y@)J#c5;;I}!=0QO-#G zLKM)5K>FHEW`vjWCS{g5=a=S{fTFR15ni!Chh!}+%?(V^SC$ft#}x{zi7X9J$D7Oz zgph_85h0GTB$J>ERv%qXTqZ?xfDw4i4OY(~wIjgeq{Pl<gF^pv(@e6$z!KsFL<)zt z-z<#5OF2k!!WZ>v<T=3v912h;SeQZ+g)!!Ga)R;jiCia`3&r9cvL?FRTCu#}%t|mZ zIX*EZIldUQoEkFwkjlkrWT|IhX<%dwIu-#@?T|Lur^wTbyV?PtyTWN?re|hiVFI$o z1To?TZcY&Gi!ik=GaRG)M&J_)O!X|x%}gypdB#G|%*et7G<RoY#KmX{pT5I8gAPiv zGxm3wVcD$&-b8{@mqv4O8XD@E8(Nr~8X%Wds09?k#L@Yt+02R`dCH==+*GKLi_^$h z&m43Ui7_%0wDk%xDMZjSj1!v7upCzbTh@x=A8dsV8TsuWYZEzzj-?4`9*Wb%P|wWN z!omy`g_e4z#^y$*;K2b>a{Mf_Dl;onV#Wqg%RNH_W1%$21&;>C#-I#us%K<uVPs&& z#bgYcPb6V7aVbl=8S3#piOKQcIvp~B1j=}(dPZiZrbZ@^70l4~9%&h`fUy*J#xn+2 zqJ~C#riNyQpr$RNL}xSwwJc#7k6<#oR9#3)C29!XhGPkeNi#!GliFO*z{uR#2)s&} z5iv(k&|aqRS!PyRgx2X61*ar}Q!-?Mu$i8PiKPK(BblM09%!$mxdC`=g~`x>_);Kw zXQmn9eJRDINx`5)xxlvP#T&rd*qp|OdIrWOpt~nP6_>HOnWYKIb*HmZnwgae5koxa zsn|rQ60{u7)X>b>0@M(-)H63RF*gNo83j#>!=jyF(Zu;a+RRFqn2Zz@UtF3SZEOlU z>jZSDH#jk)mWn3GOVkNk9WpH(qf|5&D&XQYG}SXPvNQv=%*-s{y(H3djnthmGu+3} zL>pRyR?$KBG@#bM=I{n7C<Bo&Txl8-2C9EcQ!?|RXLJ^qo5mX;HS|Cp0=G5DX=;jW z4<x6|H8((N;+TUDAqBa>!U(i6A9Y(I!T7qeEs(rcmIdfI0Ao-QMPXu{`o!1FN{5K- zf=H|I8jmu+C(d;uC-f~Xz@d*QltD#>rKP1Y#^!E<p|3dAmb}n6#p(oeGZT_~J7hb- zOsJBJ)6hWA%+SKn!i0;{5Hvh)VQyiBb|nD8K-lxr0()r%y{acPFS8`xP(L^*J}<SR z1YB-|@*gbx5pztWceIxESdf(hjIjp4C3u((Twf4fR4+3$B`XD(8$+61mU@<^h6bQU z0;mWuG&aN7eN8a@Em%y+Y<7X`ZBXhrH8(Rgf;9asj17#8z*QD0O}~>XjLCF^p->TM zHJzEUrG<qt7pH}Vo~6051*pkF{7xHC_u=k412Zea>-dv%;zNQw!O>5RChxsndgQeg zjFGbdQcoYeO@ow>Us<h3P8Kj9a0i&+2mx^ZqeBQ-8ew$+8MOeJQDA9`%>m@p0pvQs z4CDY%xnpT*Nzp*dQt}d^r8(RIW(J_~caU35EsZTnP6NsnWaR=&OGrsTg;MyHKba)~ zye&v^Asor5OI~4Qh&J4X)Z9iXgb7wfS>LrWn%l<l20|5(mWHvBF=)j!*)5HUkF~Hi zHA!q}n46$)Qzqy!-C|9$N?Ajp1TIcP6FqZda}zUTE>073J=o*~%5XYC2Q)0uz&bf$ z7>m|9Gd0sQ0`~$*T_yBrs~Wz}nGw=CF5r=Rb3HR7BQs;rFoUI@xq*Qp={@ah`fB7Q zSjZ@~p|PHkfq|)^2^Xiaxt^(kp|Jr;+rB`}hQbx9#5y6jG^fPBAhjqlFU1IShBhb^ zsL_bskflOa6VlQcbeE8co~gN+xhW_NjP)!`O&}}q&4@mX78D3GwUvk%dNl&imVy(r zk(r?x1*2!I8j83_&&*?m(zrMcjrA-I4b03av1X;Df|->Re9{nf%m-q?$j|_E>oI8J z(8vswc)2)DOh8RfLqqh+hu~<Lho8Kel`_U?8F;im6Jet<#6ojD3j<RN6Hsg0T+hPN z)X)^Y%}3C}d;4UFvCtH<h8rV|l2QI`jg%rQjhY*RD_EElC~4?!Cf5ll^GV=23dn+I z(z~0R$aMmwyaKguEGca0ObsJ1A}lRIOC1bN^~_9+EzM0S$h;g|#Bpa{Ggx(G2Cjs- zI8BVn94oA?5W`y?fj5*w#tIX-IN+5Yhp`@XJ2Gg*73pXQf;H^ZHc>MxQRMj`*cKK8 zp?vTP10w@VOYklkGd&A46LXBK>Ivps-BrTG<Xa=~)*x_OfzlfGJ(Dn*{c>=U<uCx1 zP!?vOd~2a+VPt7w2s*mmlC<SH5~q2IYdoQDF*63&H%10}CKe{3YpOu^W5HJEkT&id zdx8UZk~M+VHx{Ob1{Q`C<P7~lHazu>A?Pf8&=|d;v4J^gmIN`+NaEyTbrUOblZ(cX zghY)=5d~9bG7}Pbevvu}$>S0ek+l#;N@`@};xsbQGcz(YG61D!OFbhqGt6Vl2$mA| z+rFEUH^*rTI&Z~D&)CS=%+eB4o){aLT7nirS#U8Kk}x~RV*Sn3N&}<4QG$9qI(Xtd z8nVq0G+}OGY-nO(z{P0>nwK;(v_QZ2jiA@(DSso{>nLqy<5;00a0k%b%nW=x8QCen zaM@Q=D>V$CV@|6ZnGS%RmQ=HILiJ}N{b^1$J0EU-OPrmcMXK=lG&BcYTnJw=L1IZ3 z@%s&Nc7pb$!0kj&LnxI2!AjWH_&ITQ8XJNuAVU+-ashMDA;3t>Ls9G`n02IgJ~PF+ zJk)|}Q5eJVlsK;$nL#QeL(ukZQ&3;T+)U5N+}P660JJ^<Y2_=y;AB7X*p#T1E@rVp z@mw6{dS>Pp=AdfU(ooOP(8$u%5<K68*lSGC#-OziOszyP3W4I1B=D4qp^=`Yg(2wt zYEH681svG!lULN4!`i>FxpHGeGKXX2r{6WjGgoe8ikK@0)oq4Gpi~MzFB)9HTY>_B z#JS`j^0&zIwFRW3X=tctVQgw_VhkCNF$9kek?4lVJ6BAJTzr92$C$zrw1tte8AYwD zJb}x^C1~*FMxdJ2($L)8h?3P}ufi`8XQdJN#Ab6n3v+V|b4xBxGjlyl(Dl(q;9N^W z9$Izzf+?1>W+80`BT&+U9HI#t;{x3kZ)j!$YP67@wED`<lNGHNu|jQ(pzfW4k%=K_ zQH_zI9%$Vk=-@~2I1SMgwGkmFO|7hnTvLO&am@^=kOq}moMac6(p!!ZS4e{{heWFL z%qduo$hCn;J3*Va;C7mTifOXjYL9;$CDNa!kZy{hp`MY6xdmt*2vl&BReZ1>IZ9p; zM!oi;dg~G5{B2|rD+D@O)LhTh%)-nJG=ht$EJ#b-0{llzt*nS!gM*p2Eg{EfTk08_ zT3VVIfLA^nn3@@3T;@u!ol~*spsAGw5t+<CzMv>SIkmVrGcVmGKQ9%sI1{u<(_GKe z+!Q=lVr-&k4DRoPE}<f2LfB@`ep5>Js6s}DK_k!xCZKbsIgJeUj4Vt|%)pU?<Pw5S zm8PhDrbLVk8z2=f;02>(XS!7^`-n??ppAUcjBajf0-8P`yFgo8x|c{h4Uzq62pVc3 zx8pF+n^-$7VU2hLBTEy|Vn4DQ@o%Gd<8H)T#tMaSahT{?nwo(w7vM0}Gc+}^G&CUf zAe~~zU8YuC1*JK~sU{ZL9#zn}5DU=8DNqeS?oNSC({`BRo(nOG6>0(>Y6V`p3R(<i zq(@!}py0gS)XJQ2Nrb%sNCi*)m|GfxPv{^!rrZ~7!W~nPLyrti^$ZP6P0T@KL5OV; zq@5ykD07o3j%^XfkfPm8&%nUM6rAeFZMV3ItfQc4hg?H%u4iFrVhUPWPj+`isdJ4f z-m8AW7uH%rnrjw%=0>K5pavt-@ISclB)Yj)YO&Ikf_?Uov13DXJ!5lo0~6428@U;0 zvG_{dX~`7ar?t>CFau>Al<9ra&XkGRw%iok-a{jJfSQ?@fFjVujLi10+=eBT1gHt@ z2nACULvzq_QL<xp!pFrF)y~GSo}LM)rw7_SMQ$b1S2~}#ZWpw3X`p9eY7V-Vhy2EW z)%AJAS!n^jquWx?*wO&pBDS#9Gch+bw?rScCD;TJzc9}f%eE@e@Co!{4MWKJ>Y%2m znVzX3WD5>r3n+;l`12lfDJZYZA(3mKXJKGzW&)a~BsX#=+s-B~q@iV&nVy+}u{mgN zfNZbtm!3^Y7ZWj*Yi?j{YRW}^D&x1FNo0%62&qM81ls&XcFR*+hgdt2yV8aRlnftF z)F#qS&<P#T)-I(}5IscO2^}9Y(6cl(G6YSzlik8z`d|ieY1tfBN}E_(7=W(lF#%0^ zftG`j+J`!LZ3ac9G_>L}1r=c6<?WzFe;|jDysOY~=?qG8l_{(SH8nK`-{ePjkQm8> z974Ehi{t1YBUs!RnVOgw7(&KKAqN1HTErfoPEp(##tKbh1P#T5Rv&?e5e-fCEDcRe z%t&7L-`p|X)XE)8e;;*4Cg`Te{JhkR{E~R&T_B*PQJ`a?GUFi!xkGcPg_#LxvW4v2 zvcYpYk)<C}zuUq9v|*C$(r>cgG$QRpoeZU<`LfNONIQ*?``zf1XDD4Bf~|?IMB8Z$ z$#*7tW`>sFy|$pWN03E9Bqn#eJyR(u08AhbG1oINH!}eh!$uacB|;=RBzVabN*sci zB{4EGu>|!z!Ncj~OvUS@O(API9oj852L%9l5{~S!FtnROK{F3JtPh&KFf|1o#!2>A z-|WqkDe2Z2KxVF}u`-0?O+T@50zctCJ}=(Tz!Z8oorRIPftexrP;K(2I1WbjQ&caQ zfcqTgpdEoGpi8}ws%+AxyL%M-h!`w@*UW~N7N(#v640nWSry{3n>~~y0?4*`Lp@_- zBUA9TZN_>=<`!m_CR|LQb1V!=m{&V^qlf6FIYyuZC^<~@%uLNew;OYsn(LXHnwo(| znZbwE!Ny7m7Rn!Ac9>def_ii)Hvpoq2TIOyh8(n$0~)9_Gc-2=ou6R_+ShFg-gpW= zCV*&LzlV3=o<am~7Jy74rhr-+rpD$L2Bs)&9+V_S(2sLET1>4ZG5iQxV{Hgp<OE&= zYHVs|2AU)^G1D_OH#0IP`OKQo&L&eUQTU4S{L&I|8^tI#-bknnG!1EFZenPOdX%9F zXzrEhP~(?wG9}?yBqK;u4RXE;C~bn~*iAqq%OG1xIiX$8r<C-jT5@SoQEDD!&n_q= z;0Iz&1RtkqU}|V)1ez}*xBck-x4_iO4YNs2R`U^b#u{jms)Zr=urW)}L=vdqMPe<# zy`#X?$`sR0`Jk;IC7ETZu>H)=@hB@0KpP4`SKFBB8Jn6JgUU~`lVRY4JX0$ou14~Y zPeZ+_33Oos<f^8;;*xj+@Z33QpN^@80ccSMxzmfsN^&V__*jBBJ{TJ485)~_cJ_kS zOqf|3nq%y@Cs+zFZ^$8LKFusvs2+6OtFeiZF=#&19CXWqsTt`D{vR`E5nHFDqy+=; zsYr%;p#5&fCg71A@QMx4!f8X2PDNto%fvQ(2VSUw$dRxb%)rFb%n-EA%s|iF!U8mZ z54!V(q{XH8O4Et1!3<)BQb465sNw`IfH5`HGX*dFN85WwFkUS}({PvW#^5#@==5Gg zBQwzHy<|scG)D?~s{;(c<NPL|9dE{H+xSR3N$a9XBGz$!<5;0MP)LFgwL(3f5v7Ah za0Z?uE6UVL7+!-x?p-vB&&-3wl!<`}_&Qv~h8)zht_j9eKxh>1m@<N8bQ41p3rl10 zIbBAUmc~ZlxgSy{&V>Y{h{@=NAPYH6^h^xQK=*%hS{Uk?m>8ItppTLh3^woQA$V8Y z8Gx2VLB`3m!AqG<EG*1GJ~TDbGc++UHZ}w&dBj1U1OqZ&!N=4}9-~165^&9f<!o>P zZmefvVqyV0t_pOd6j=>qnR8y06yT731RPjfA>gRRcZJLU9(PkKEcXr)UB|;49eJR3 ztBJXpsf8sdub3HF7@LrMT1n%5XHzQ`%<3K0If0-yC8#zx)iX0Q09`Byy4%di!otv) z<h{d1<@S`+=AeyEdEnX{G(%&mXKZS04yx3UdS~D`fHgr0ru9kLb`*5oO=IB)2bmZ^ z&VM#HH3a2$65Ck|;;c<cIpoU760}i=1GM+b(A?Y{)T^+tFayU2c-Jq?{{(Yhm#n3! zl?*7Op>&sWi__uR4s<fHi5_NB0|zv|#IAbF#MBDgwT-CB3(tTkB()oXTQH`2<_0Dv zpn*y7NRg$1G1#X_1u4PE?s;QORtv@ivVg%v&&<Na0JIC2?BTl;XN@UHZYHrpp!+?| z^h_+FyPPfb3=GXILC5=oV*?iL1Vf_cppmJSBWA`&Z`b2!RL6p^bOfJezyUrs3nlfN zkvhN9`p1B@)DJC#E%YovhsuF=50l%$c_*%KYGsCH&>lS`g0b{)%AqIRnSeSJCYGSh zoyNxK8<+{kMcM*AQxeV$19h#EK&J#58yiCoDnTsSK^aCMXtAoY9<C)jCZIMu=&S}K z12Yp#1MpEqrY0t)7_%Y-3z$#My4c$6pb`f*<!%7V=AawD&Gk$SjX})^(Dri+GZPcg z<$0tf?7Pa^c-t-}h_*`+7l)yqu?1*p7x-8TBhV}%_?T8k#2K3e{a5a(WlH#{rOdpN z_@LqvA@InIg`pw%=nrE{J#!-qP$!VY+9GV15{{7>b0JX2-$c*Y)C6>R7N-UDC<u}# zv(7zLB(Ha11lsh$VXOx}bsRJtVx$M2=|sP%hhV^3?Nh)u&kIU8;rT@=u<9v38ge@u zhoPQ<xq&%oT+Prx&)Cq|#L^7BlaQ2ScdJ?zOvyQL(-7SEGto0MGXf8G7#ZuCfS2on z8^R<UmHTp{JnkNa0c2q!c`Z-tV0o%k#^Ck99L9R)#s=X1-xkJt#-`?mpfk!y%c-|* z<?-cIL-3v+NG}7_)-%>KFf_0<Gy<1=WUYi|&61;}-49FH<_4DFMMy{k^eDwH!G!(% zx-4-C+W>TQE{Cz6k%fUdXok$hQqRQH$P8nvJ;8$hexQu0l@2kL9Q0U9@X@&jdgcbk zCZG`;Ljyfi3rjO2&`lJk;44jG4Gn^xU3^B8=n)iS*w}`VnTaK6eAfsxRAm9mQ6v_S zO+UrSE4|DhP9UqfYnv%fjlLat+<?PO&(hG?0JIOn)KbsH(A3C;<RW~6tvKEc20maG zGA)r0>Ohzn8XJOkKbl(TnVMP}gO+QPmZMMFis7@+2s~~8u@KgBGO`5C@mcDb8=9M# zk=&j?q$EmGx;6)QBrNodO^r-I7L%R6X8sZ;t0Q3s&C#ZYW+*LYl80!x{e?(sGnzxv zwJE3vV-6aQC%X@I?7IMYS<3`mN1ExG8=IOMT5xfigKBBe8VI!B0>L7saxXuwI?@Pq zbp|YLQ5M3oRs5ucFzDjR3V3$_bZk4QJ78#mu|}0(VZD@}kF?H^1@=J*Gm;Y(sWuxM zVYiudKQAWH&yWjYIE+BI>6n1dYDJnZHUqV}h_0Xfd3cGRE;dBYzLdnV?ou9-5|;(C z#gvRQk!rE!09gz=R)ISCSck-*24DJvF{oi^Kyq%<Ce31F6XeVUnzjNJAO>WNCuo5z zCgH$*(0~GHbk|JJ$j}mW+%f2|B@<&KBLk9~9LZ_il#K3z_FCtGM|YD!H(-D+FEFu0 zZt#=V(BAfy3s*&97>!aD!}BueYAzDnngX6&q~v8|@X2?eX&MU0?t=`uOs!P0H0n`D zSHXk#pg{p}-ERh32W@PwXJTPS#`)ftCUKBg_ZuRoZAt?BSSklel_My$5!Es!CFfC6 zZ8kvmGbJ`3A<<^gR(Y&`Cb<YB)n?E^L)dJ#AbFaIRGUG^)8v4fUj|0zpd(xmC*Pq? z=@M*xO$=ZsVzr(Dbdbvk)E}qhu#3v+Y}m#EQc`mgD^c#<GX!^CO!Z8SElfeDQ<I&C zMSI!E>bgK?m(BG|%*+izCuf<M=#e)<d)u28Z!Zx%LW|l<L~6-{PTnA~fPT80iIf7` z5PXU-HAXO%BN(woF6Ia(_BIC@eTTe2MpC>AS_@B|Ru-ui8)0u(T7U-}NSV^hCC$f% z#<8Hai=a6xQzOul1rtj>GYdltOOofTez-B3T4@uWK?7}oDa{2nH_AZelc|BZu_>f= zXJ%w-W=?X+Xz_=E6njmfy>3G@17jl#E>2@3Jqz%eprrP?B|!FKUvp{%S|0!!qczeq zFf+2WFa|A10QKxiKbYqB0R~FOXbqvUYhq?-0=-EHw5{EY<T<%l8%PRe$k+yGhT723 z2(nQVHvSJz(L{Gg6MGqOHCc_KA@ksddM2izldZWp4bAjSOiT<6%(+0fiZLQ?f+9GC z(%Spi#L5^{5TVTaqb-sKttWP>EJ=+v2Thupf@kR<^UsDxrr<+J&5ZOcj4dq9NglcL zvHEL5{`@m&AQ93}pib%a=f@ut5@ukH%yE=nBxmMdq*)AV<zQ)Fk<mH*39^`kJ|b%G z6sa{!a+{J%<(CO5D_uZ`9b)mb1?g3VcGVZsY&OQxf+yL}B-?BWF11bdOe~B+hoW-g z+7U!Bqu+V($pptv0i$SBXe*ZDsao5EpG>eEdk89DP^W5fRQse47+Np=NJ@@1v;?nb zFf!3Iv@kOVEt@qn1D#1@2)dS)v=aKJ<p&c2M+iU;;?4mrFR(N>F$dk`WND^nZeeL) z0Xb2UggrDnyWg4MJev-*8ZI6(MOpxATu>a5ZG!KJi%9UTo*1KKWGsAReEWu^h%|xL z@D$I9MzOvzA))<7wXz^j?IlU25Nc%uI-v)2lct#&C@G<&T!LNOSdh&m%mQ0NyPOu5 z#%7>-LC}f)7DlGVBo}`czn__qbBv`WcnhAXo{52_iGdjxhp8Uu22)e8uaWu)1Pj5b zxlc`qIC#tid#z4-*T8A!LsHT-==|9U;By^~j0_FU%|M$qEX*xHm;aL3)15DU&xEoa zM4%B^=mvCbl`iQ8_4-S9NlWgqMGxpxq$GFH)`Dy%{whu*$hfSLo|%z>1!&udsi~fk zp^2fnC1^eg=@4Lo`E29!J0|!Js|B@Q;~}kS$hfS9fr%mL3<R<>SlhbWCd8h@j<Pk! zGFAw*c@67|BJh4eSjUB+r(e6=COPTh+)&rT2paykv@kR@G(jHz2j_gEog}vErV07y zKpH{T{2J?7fcE1Wg3p13Eo39j9eLkxP~Z;ZSRv5ljH#Znfw7?hWT~s6iHQN|JWA5s zQTXMW2?ZPJK;v?dP8WE!h?ya1(+3wP(*4JzIcL`F3wW~$$i8?;Qz{6Q91JZXhcTJJ z??XpDU!7nQ<xV(fV#N-={@le7vfR|b#Lygc2^(no*uWTc_b-VhZV%@<yq-YX`kN2l zuwiI!0BR3{vbv?Er6tKd#!DYg<Fn8hT)5yIJ+&Zx8dH(s6e*RNA=R4r3M5(#nb0%R zvjDB_f#efoQwswl3$&3gg6YI+?J*NlR?8X1LYDQK8=9MgGN>_VS-O#hCCRg=<$Xs? zuy42qUAn_zre|(oY7ROS!PHdG($WyLiiX7G`p4u5zSLs`?odEd4|t_A=wuGib-c#p z?lX81au|n~%&_j9Aw7KtH6A3XfdNXO)M?s~Y_kPy`-Z87v6(q&5XD%}(A3D(l;mTe z8WtU(VE7C)oChh%Ar-BGfr+`f3HgJz*W?aRP{C1cx+TG6KXIK`NJ#;j1E6pfUh<lK zB$bW0mhq6>qe>*vV%P)|#pB}E)gT{ZS#*H0T?Vp}7`|i4!qCvb*qn=#>^%>5fqN)O z@}PnZlH{qA_lvLZCaKf`Ef1v5ShdENous5W&|!a&i6=ud$`;!${Irvl0TXlZ5GJTQ zWe&cSl<X}LEn+)x4PhFB_I{**+EvD8CZ@&~T%5Qz<`EntcyeSLE?bPEjbVN<Gd2Jn z6JumX=GOlEo;YnW1P#YhCvi^J-9}pCL|L8#s+T}Z&&XN3O0vz^SCEo1$v=riKZ9oZ zsT0~H+l*_|Fv%kvq}mJ`Xo3|&#um`KijB>UjYw{(CV)blgrP)4#Yf?+`!%_3B(=@W zpl2c&SeSrIKhW7`7T|pqB(|Jg|8K?Haz@&%1PNkF=lMIfZY9a5rr?2bDs+F&8E+z` zoHqjB!egvwVrXJ+X2}KGacFF2U}yq93WStV+L<pmV4LXxO(H=zibBTBV6~YE=y+n# zrdtzo2E&&(Zy?2&;8S}*+wBdZM~0Z_8JSy}nUb7yrXE{MI2}QHU=^SVB+#}PL(qx> zLp@VdLo-nS2;~-ff)(5R{?#T{x}Z?RH`VVN6cq0tp9!5zf}~*7Ju0McC%AHR6)7zh z&~5@)+)`FLEd^Oj{L&nYSfM&lP@7sBn3x!Gahh0yE;um)d6=~0%<ft*B6(GX8F*C% zxU+3)0ba`ix+R#rUg3)?3vhI{O+h0Rrh4XPhLAJ;EcD1bz2mjdd>l5IfCkiz^o$M7 zEJ3Gr8yV@D85)3YJs`21&D%W(U;Z!zj|xKaM+z5*fu6akk%c*A51EO%nTe@6sYk)_ z&6sIoC5e2~G31z__;`b8&}uPo)EF6Bnt_IPOw9GjTNtnUZaR*DGZspwia$0?nr>nx zf!!ab;F&y7k!4~Ay2gs^UExjT)5)62GXgiDjrGh749rbHM}V2?fsgJ%ANU|xnq56H z4OcVWAleLU3l-7?!_G;#(}W>-xDPxYZwlH;XJV;G-gx|(MU!x(3GnDNXy31e1$d{N zxt^JUxdG{y)c)+5gfBBe28$u<2dJ{)VvgzrT(M&q4e1b4A$F#$?!_59pex&`lb^C3 zdvN9_187^zz|z3T7&0YqWNryMON_+Q&f|77DXkFjZ9N=@dM3uk=AhoLi6#7O1(ZWl z2@Y|)+cu+l4s^Y{Pf>DCHfRTBE@Zw1YzYVGmS!VE&|Rn~^E(8ys^IP>VrJdVW2saC znkY7)`3X^wQ!VYSF|Way_CO=c)TxtpW>n*}1vH?O4I1(=HM6t?oke79tY>0jYK$@6 zKrn&=9ji>N6fjzb;JpW~dGVR?kZKlm5WSI}G3WpQOD;}xBhX=r78omP3ECFkP-=qZ zwraE`r;sS4Qi|BIs~BgBFobM~HqtXQH3OBbpjMxyxuuyIdX+>lFEwWsnUHlvDkL{k zp+HQ3R)Eu=kd?_)u;lmpe4J?#w7iZwDL;}u3%4y6)T-apEi!T2LbU>^|8yG8Xt4zM znoab~EX~Y7CAp=Uo{2G-iCTjY%vLi>QVH~$Ex<ht>Qssb$5L>52{zPXXk-RHx!n+S z&Vr?dA<4s9shTPHS}lg)b1NXN7F-Jh!0XY8UMOPpB?-PTz(}YB)E6`}HU!Vmfffcp zk0D37!;E0OtWr!gA^I3{*us8uV?$%m+3)5SdS)i3pbJ`1cdrq&HH#&|gz))ANu|ZX zC5a`eh$EB?Ad6iL^$g4mObiV{Ye~VIL`W_Sec0nnD7aV{a)vGyN~<FeqjBeOGio(C zQtw3K%nnp5tlpGF;`9n=16M9My&4#rf;y;{7J3$zhNkA|8(s*eoXM*r2rX-d+)Gmq zY8z7A@Vzl5f~3(+$N^haNEnBWLvV&BXya@iC^QXCLE9`rECW*$Q_z9ED4CyNlc3B! z2yY1nUS$bc+EfOz#l*nE!U!^m0Xjd-h~!m4OPc~o=|@m4n+Zqx;|@&&$W6)?dd6nv z=9UJag?t9)W(Ef66#~Juxn0A{#L5z*p^DaY1RW!qUX)lF4?Ye&8XU%u7A$z>6=?q1 z5OkTVrJkjM1!zGtYE4TpQY+4T;EPnq+8Ic-1UfMlH1lZ!TG~N=%kt40Hxu&CrleZa za?3JToFyE5<loZL(98@Jz2Fn((GxGh=sn@!imm7Y?LLdoj5Y)9J%hv}XtfIHls`+* zIrd09yixk41miKMz{Q08WubVo4$9#a1f3E3*&1io0j))*PJ4Q(q&ZGo3{Ao7>y7n{ zKx0dmT%4dyDn_OT=IFIP!5HkiZ-OlbK{*yWbz=ybyU779GBUNWG&V8=-veM`WMBX) zUQuF@pmlRx)UdUAA^9G((gV6|G#9jz-q_U89CWD?*>{GXd!|arbCBc-+5u~*2bvVJ zFz4blG}kk?G_|lqUw%l?ce3+UOsuRxVTW(R2CcLM``QpvrBJ7-pCF}zGv^z_7P48G znOlGYm+U2vzCCgjtm*-k@sL$L5I-9kfX}K$8Wux242oc$4BIJ%=4ZsP80`E5GeZkQ z&;~LKa_<j`3lYa#kAPS3LSnK2G&5jmYHkW@k{jq5n3@`x8*woi8G<+b65YRfE-!@7 zMq}_IWk}6cPL<}!-=BgcweAdJg`j1-kum5Z0nk~lrj}-)8935P2IG1za`O%(4=02C zZ)9X_X@GkZJHZ_Mn3)6DFt`zD7#!TgG&C|dvNY#HzUT_vXotlrL0eXIu@K+GgsfmP z(=#(MH?gz;7xgBfaWC}BnqU@D;$bndl7wHWk(^gz6m1B~hlr_TBRyja3lno=E>3e} zJxfa?GgEMPoRqZy<)@iUtaJ#KfknY7iSe1x!XC7b#X!&0*u=ub1e9%zjX-C$lR6uC z>?ETJ$#-~S-^XGCNz_Jq#%9Krpc7LpEc7f4L3huiEl4LA)6yl3q_=;;nHrL)^FduU z(9A5T)+Kvp_SJ#E#(1_h8G@@Ih=t%>WNB`0VTpVD4nbcxRQ)i<xpmnL61WC>7RDx~ zre@$=Yit6(xDCAUgy>w<xaf;9nYAsX#LNRFHY~HyC<Pb6Y?c1(gRzw|^6afkVo74W zXI?z$<nW@@bkHDPJZOfKI`%!7|B@K{Od$Ki4D`&6EG!KyL0&a8u(TlkEZRxdFO5mg zS9z%wh}+hp!88AmF$n5}L7(h>V!{AYbeig!8d+MH8-a^XQ)5F=3zozVWckM1#@LHa zNNo?U7K|~^qetmi5X?3|a&8zC9(aTe0UAInUL!+GbI_$a78an%Btz0`-hDO~jLGYx zQ!^V~*mjzjC^d`~0&Td(QC%R8YbTg(UwWT5CUwIt&e|d$l)a2h%?u1oKpht&Qwz{x zj3g$qH+N1MTd86cB>tr(u#zMi)ZQ}yP4iMG8(G^QB__;GV<D@ojExM<%}lvCEll+c zO^q#0z&Cm!ZLcMmz~l1|852Icrnoc-JjNZ3R1a2ystIEwO9N1s#nM905_(q^N>NSF z{=&0+jji+uwM|_7{anE->L7U=9Ji)M7Dk}u<Cd17b_%HV$4J_^qUoGnc&lJ=I}B0< zQzvd&<aZJix6tVW6FpNiLj%yAqZWpG7Gzy@mS(b*-0B!|iwJf69pbu$7=N2XR~MTa znizmePtb8l2ByZOua!6#y4l!Dg;1Kx3{FWDqK*e^ZfqpRgGRAJwV+bT(8SEZ$Q;Bn zF}1WXG3H`21l<E*z(sUBYbWbQV=F^KJ}ib@%L^X!gJhgskfnwu<_0F<MxKF%g{2v& z`)k6*Xhyv42Tv?D#&T#2c*F=X3kEp>z(mi`(8v%pDQjp6x}?(xbe$ba5kat8$cbBw zFP|EL%Ops>2OhYvFgLO^u)sO%MzBZ<2%C?)scZtiS=m6(#K;14Q4}ZAZHFdYj3&ef zV#wTSM6@gtQ&NijK#f=MO*962rY1%vX5b!~iK($Ae6SDSy<ye&`i-e@NgmAO#%3m< zI0W^yL7Ub=7p<b4Z9p)1_Z$cz!sF1i3%x7MK+nk1%+$bw3pu<=OGS<xzQ)81RAaxR z%K#efW)`NFW}xlRMtVjjCdQ!CTfp6MqI2$>Lq5d&-V8F4Oa<TbnOIZcd!tzJZIK9f zz&6~Qpmn|p=4IZAR>oG=gwJ`z9t)r`c5sPpXaPBC05ryK2)bT_i_x45>Bv5U?vUxR z!d+s6+jOwi`)!P%GLz!u$DCwtOw?394xd9O*^SMNEkNx(q(NEmrU_X3Bj|Aj1#M&0 zh0TaTS?D1hh-gMC+(^r8_n5RONN$FZWN)NrXk-am^v-Ewq-SDjY7SbCj^Yl2Wz82| zO>!GRkiIdMV*jS31|_j?2?-D?M9igLRSF`;I98|~B|DH^4jd^}Ft)NGV&^lC>|g?! z4L8)YG&eQ@9g%9OXJTPtX@NdJL@+;eos%&pxu*>toP)HesFXl<E|#Grff&RJfo93c zY9GvaFJ(-Pdqqvq69?I)$Ch1E6eSLf>WXZatiLEhNp)q6UT>1^kad(f1S3Sq&bSmh z#Dr=gvRaR#s4<|LLl*xRp(N`XQ7zld7Zau^+u$tSNuJi4L!nCysTMh4mspcs&zQst z)qtCSCdS5~NheE7JtG5S(1CWKrKBWW$^Lv7KZzZ7$Py3GDhMi6`xE~0Qc&$vuNy*{ zJIv9WL9kMc<OJGBQFvIOyMydvy|aj$f_O2dS~jWN&PqucM!f`5c#fHZ05JiNAR6cy z85<dZ?luM8uVH9HdZX~J{0}4i!~T#7L?a8T72XvY4~-~k5M$J5WakaAOUN%WA%{~K z>X{fC8k$39CJeywfi_e?u<sV+_`rymRSU^E@Xb}=;XgwQQ&Z@j5U>*_!NU|pcaa|) zxnYE>i);*=>oPYnf{x*mH--Ce+YKX9=elst;DYBvj0`Oe4Gln7j~W=6n~;7LM)ibi z#3g!j^h6I!YT#f-T9`tx^A-K+Dn*H&dIccZCFCc1W2)5%0ScFl$RDP(M6VHGQA2VK z=?iiP`2%&3^?e4QK~f6~OHiV;u(Y%^MqjK>Foh^MULY<}V)&fwI$3|!F(V2_>Zq3{ zDRhWAWLc?!o`IRMDd?g)6Ei&v=vCh+iy#O_f>_orBP&ND8quKJc``E7N`g|;GmA@7 zi$Ie>(WbHShDOn#-5{V<i3WO>MkWRpCS07BmU@PU7KRq!@k^vqgJ8w*eBw4El80=- z>p&rsxtXAeYeQobGYd1&QZ55?3(Q5@1jEEKaEp<ZJjM(hNC3Rs7cw6ODVR;oEkGA9 zTId;@fX?zks|X2NrFnP_QF#D#%V#%uc#h&2Uskuq$jX7-7&nTIw**Hx%ryoUpa?gz z(1UG*BQ5<!ty)2WYe0vbm_<WR3#CGCn3A@Fg4|#c8*gX|4jj<kKOpCr8-dQfK)REJ zw7?0GT}pv-K<f-mqd|9;!s5!p0JM(Q#6ZsseCHJSxOPNGmtbN&v~r1&l>^}k9;`(L zB&t9=|G^o@(9+lllt<0<49$%!N#9E@6u*S1j03u8kxH?VQ8I^u*szR^H#7ssMmBiV z!N?MHCJKjvo}q!c5$WrGdk@Vr!gAXQsEmScB(VhbV}xK)Phm>5Qk_M9)SJb|8ybVX z-UThfuq8wi7Ly4l&M>mFCo>^JN-(2XSkRan8<`n#aT*~G+CiE1C73Hj3#L<Cf<czY z80Z-pm>F6cfw%rxnp<L|3W9F&IX;EBTw#W2K$uz@8gX$No5K%CA<g^hwUa5x70^<_ z5FQ(5*qlQ`(zw$&k^F>e5*u%57!5k`5>{kT=$aJEiIfD6L2SGc*g4Q#Y-$D?o<v?J zL0Wy%W;%hAq#7G<5e=HAhvi}uW6%jz#)$1bq`5{&y`TJC42desSRpDo=DK;Wk(E6~ zts+Z!BBnH+$~b!|h$n;CctdK&lf=g!^5e-oHr~)A8WA*R6jnq$Q+mkH--d><@sNs$ zN*SuXhjQ1LLI*YtjVz7LEkWlM85)_I7=agFA$6Symi^ouJ;as$2Jm!hW@cyvI+V*; z58QV&05=FpC~OZgfV@v`rh%kWV}xrcOd8SKx+%^z28aTMLf4e$bd#TJAZ-+cW3aYS zNQfw%+g+5FZst^rC*AZ;^0P3a84b<Clr|XLc6U&aY9JA12v0SXMpW;_4)RltacsPy z0chJKmFmQ$-`mM|3}k2sso<xuDb-ZoMt-V+7W{~KqIB4due_D~pn)VB6L_LAqi_sG zL<-~>ii%+i=o(lfBha}<T%c8X#ukR)0X&rM0Koyg{LRfqgf~?|R{+DSK2sA5BO_4N zXK8F;X-e{3gZ;{8;;KGGuaD9&uX1gspxA)4iYyRCDutQj_xncjGY6#D0QGu0q1|%| z8}^@`*Be>cQPMrPge{3RGB-9g1oejv42;c5KYf4Zqk1FMBa3~4oPE6ElZsFeQ(9^# z9iYI&;ARj~?Mh*rEMaOr`ANYL(&L0|?=a9aG&46fwFF%!YHVx?I$D9mEp}Ch>WIsi z#?ZAm=9Xp_;C){vdWL2OMi}S#5-fg>IMo^v-aG@}c>zxd(8h$RG58K*BSSK0Q*LY5 zQcx;DYAG|Sl?tmxK&~M(A3_K1s5dgRtGR~!gb67yL1h$dWQM|Q@<*qJl93ss*mx80 zq36&NgwpE7O0<UjA`@JKAXO&Vf`)`){%NzT$&V=LfF7b(XJTq;V2X0x5NV@C+>=4B zA-8b@$x_g}wLmj66i%03>#d?7*+BCY!a0-<6eZoQq`*1QOl1l$a42=o&YW`cQx3v4 z__8<&>G*$L83loZk&w+OY!&sUmr`6eSi*<xDUB*4rV>g52QrXm3U`hfg)=%8Z;B~# zj&W?fDd=z=Az0F(aPTH?Atla%bbXO0UMWmElMRb0NIH;Ghk8Th)5MC%@B6|X1DOQ| zT`Oz~+RkfiVrXni@|b7ioI;`+n1<9_BCt!ZfP$0?nYI8en4!`b&FlyH<VOaic0?2^ zl;&dFEBO?J4Yc!t)JLbVvbGb?C%-y^_KFd8HKj8bPd4XJ5IE4<5ozq6!Xbl%l{w@G z4m7F|<7t$3oK(AVC>WpyH-M3*w6QgSNf<4^>`F;YK@$$51&np9k%V>(w`(>9F=Y}P zZ-%@kiPDBck9`&e$ru_qh$bhcMGjkPCi#H_sf<8tlA!&6N+-lPd@?Ags3GIR&?7@Z zofQfjRVNmwQxH|q5(lX`rZ8LCXr_}NRiKj-Aw6zrR8d;uNX|*6AgUk*AX1S-VQH-5 zn@T}cK^Gn(jnz<?a!&hz0*B0zBWN4PC{_qMI6`SuT~SS?aBu`^OpU@seB(ee1&J8a zZ9=LV2GTXqRD)FBP{TE3uO){rS2c!Di&0urZTg%@e$yCI79-VD6t+dz7ABIPZlE1X z6Zk+4rNfQNj}s^<{J_bWz<?tO?X8jskZZ^+Z4k)@*7v6{6@y(vekz8~pg`*>tgSy1 z0;l*~JoyO+S`;I7VkoQ_rreFApq_#*-Y|k^aZ1xM_m&v)vpA$lfk?)b&H`R;jiDe@ zLE56`@Svg8HCqHI2pUM+57{x4&5Px|h^8Q(z@vLeZ7m9m;--RV3eqs7<p&zUfwpca zo#5!SjV8Z!1Foi!vNwfg-(71;f(DXmKvw}n%RW;BLlZ+TPD2ai^@XJLd_m43d#e&; z1Rqg_Q<|en)S@ZK-;l93bE-9ppZt!ZFxgNqM;&<*MM;hV=WkGsf+ZVlJ2FV9GEHrw zD2OOXV+(1AC53rPP?D0sfmWGFT?Psli_I0IByiB{OsuOfNyy=enUUnTZXg*Pv?u_Y za44Ok3pEG1hU~d!aMKSl&q8Vc<&{<>`IRPkNEEbL0~RzCHvH~6g_9pqkSqlnXM?rT zDRhne+As<V9Oxh<(#RHtc`7qEjN$?Z(GI0F6~{dbrNA|i0tb<bDQ({P?g*t|WFOYP zfz6gtILvmc2;>+tdzxUkfJz&fV<=2D2X2RupT8kJI}3Psfzm{ia2n(oa*IB2X@j)Z zo5Jb$rjif}QZOW*sJD4yh9@X!$V@cwW*@8^rm*uOdnTBIpfLn*;X{lgVa?nmRNrT` zgD7zgcy0=59Xy50Bwnxwk)NR;EgM9+M`?zl$T5%_3MoTTBV@?$NP!#Zh@ipRks=}0 z-0TXZAfCX9hI;FzH!uZ~->^aScwr423Ofs6*N{E<h&iH9VSO1C6+l5d3pzAwh*%{y zpw59t7Ls!)Y=vsB@TVknAZv&b(`1wmhB8R|lRqK=t{IS;6BM>>z-}S;ga$}5MmPrR zFcJyl0cCsr$S-doMX@Qop+z0XklWA#w`~wZT3EZ^B!o<#m>>CtAEc)MY7m3h@)?+0 z8XA~_PF*rJH!?Q>ALdTV{^k3ReTiGkhcs?QVQK3#%a@W244wu<8t$Yt1BZ9|QqY=$ zBvhnsB!!LeA8jDlklE~oWMR+}SXjkKVY}DFo}#FNl(mS4FQp58q@H<G5LMv97L;(P z)RR12=uLhB2dNejr7fkY7~&X;3OIygjLl8KyGM+WPp2WFfLrBBLC8S*Zit~*tnFSB z9P?+b7X^t15>H4CUkda0zr`TekeR=sBYM>9WbZxfNx?7?c>Do*x)RHgP9#LsTtARw zC<+>+c%rbC`O(6Y{5l%i1V<X`q_E1|R_j5&YoIL#q!mXLrr`@A9+X$$28jL%Hs_Gg zv8B*C;GQk0&V-H?Q96O(Ax1%5LDnOHW)Q%)G8q_}85@Akim`;1#7v;=*cQZJistyy z-3ZIga^Mr|K!=Hfj;o6YUA77JJ*6vyzdUrKptJ>-D4-b&XcbLqvwxejEBRG4q_jo! zK`5;imuP_;Lv9rfZoMEa)5A8&PeNh)_J=bCB|q3Ph>)Q)(O7&1Ifl%VAM6%Tnt`=> zDJ=QHjv;$62r@$eat<s*QP>2J3UMO8=!dkWK$HAb%21E&oygBn5Z54WU!<^B47PKk zpdNx2wTNnw(t=;yniA(gM#4cQKQ!TBoh2Y4hjTN65)RqL4Y==ym?faJB%XiKk^F=M zi7KQ47;G&D5`xA(-I0Pk1s<0NH5g!dio)Y7PRLLYG>~M2G&n<H=~u(yNI{;04nQC^ ze6fvalF+u(D|4WrIRQyGh`||3vy^i#C9Z)C6(O<|rK2Icf7p|srNBd)$b%u+=DJ9T zsA;Dta13Ng5n|$v(vmn2<QTG#%m$ZyNCh#a8)<&-w5K2qLsE?yd?cLGfugo2cI2lT zNLLauP(*3a<e#O$F_57dGw7jJ29{>V;B(gDT_r|i(8-!aUs4lTY=`rb8l+51;bfYr z4Fx`ibdQjx(x~AWvYWb)ss}U^0d28RI#Sns&z9nhf>=R{wGbhpMB9ALmV%lPQluf5 zA{3q?4R#LMiwPjbJ)-H2HE>91L4jREcFKX&%b>u4HlZk;QFB>sOMamS=|Cd3aZ=jU ztyyM6K{AGqIzTfOr3WC$n}S?JZr>VG7ZMn&BO%>@T|;)dfp)GD<KL84h9(ECDM&Zq zmB)z6kkU~Q7JUjF1F0I28r~H41Hg_Uy9ouEqeZxe(slylQY#9w6r{L8G@&T%1}Mu} zQ80D}jwz(gLlpL9KR&gjpqhfTE|EL4*v1t}s5MtCvZNqKfyd$z{d`JGVz6V#t}`L+ zOC;A&ScCf)S&~2M0BL!Hs!Z50Cxz)IYM%uK=?2>NLpm6T8qOg*svuQ3!Znn(L|GFp zC@60rafO(Rq_nZs{M(%Tgae(6L?mNMtEtX46u1U4Km<$16xLH<*O1+yfHby1qxFNr zIgpA9<Q!Pz58J2=3C%6-22fm4HU><+VVNm)AlH!D6ouAQ)Jw;w#3*nLwERP~a45}H z5Z90!Q;_bR5n@W6!hwOG_suA%9H6-hsYgZO2)Q4d8O6mhqWMSZxNF~jQwo|m;JqM- z)lF1!47u%eXnBL=8f?S$B$PK3t4t|~C`k7X>Ci|D+Z5B>O({sl(5lKHR;Uhib)bQ< zp@Fd__>xu7eXF2b;w-rsO^9EKp>x#4$jTVB@FFuYC$lOwKCv_<Ghe@?JTpEyKd+=H zKPM-(NIxa9B+;p|BsJPRHa;`n1hhN@ab+H5$3cAEW=uikK-v+Ai6%-LHS*JqDTo|s z5rbHQKxv;&D9f0FJ{`FIiPWdVD1O1~vWXswE{rguAZ!dxW8=-i7udlXH5AUXYlTzd z9B5P-Bb~uO**ZawpN8b`xB%Cb$ZHlU%To{U8j@cZK$<j&EJf)kXv1+s3X%=9GN9h< z0%gvDbfS=E7bp##31)^A^r9dGq10>Agnu-kpfHByDWvoDDC{TL+@r)bkbx4!PDQMv zvLuWlO+RWtex`y<ydXwpDIG)7nofaZAR&X)XQ42j4w&jwkZK_1jU~Jw#u`r~wD#T^ z>r+?|BSjO1U4$LldKA>(;93DGJ5ksS*3{6WAeta8Ekw{zx+mJTSC{<k1Zf2$(hQ{| z`Fi%c<fj>MGYcu2C|p{Z!lg@bjeuAgK<V<}o^?9pM-!x=K`alZbk^`57X^+1&u}2m zDNuN_)M_>g90M7tK^lyuhGWPcsD^ZL5$#V(&#BZ(*Cs#FfU^^5aWHJOk;420b_}^= z5s-og<QiD7g&M9Qdt?~e{6y?sq;yIlM@E~HIsx4NM5G%^TQyDnTI8o2NFqk`y(tZv zx9*zcI|kBiLF8{rbCi*VCI#^XDf$qphSFi-yF4J*kU3-yO~XjT!W2%nfL%j&mVzw* z2hDCkSN%|$Zq8oPprFkMF8vVchSC9~o+BC*q#H;oMx+}`^Y_|rbqZVq=_nvFIHeth z#nI}NMigQZH>Ih@@1Gh4K?7-=BNfCHHYfBt)yOaVAQ>Ft7)sOd!!!yU14%T9Bur@& z^tB5pp2#fvAnjpL8x+=>z*h8;Feag(phm&i8e~ucx#+{T%!Y)n_o7RxlqMVMW$#}n zDR2xVLm{#^rS*5Sp9=Y%I!J~BHA10P6s09!poI$g@dU|HNI^s4umspKWOrR44RnNS zC@pMEIYALc?u<7igPXv|vM8-EgI6n)KP~|tK0++6rgWtG*L-D4${NVxOX}ScW+$pl zej0|4RKqe9g(IL3cqniTBzqGWs3xHlKBk~Ve#k(YeALTN)dEVCv}?eNnUQL5Z1F@w zefj94A|<W?F9bzI6s4n%`I{8Uk0?lY7ipRfqbWh!?5=u|A|=@yGP{d(n+LX-A|VmK z*ey?f*g)=OKw2V3;V`~fvOERJ22v>?28b!`Dj1nl;u=WUn8ylrFhUlP7@1mFa&cJd zfkuiA3_zRH7)gkyX*=a8tf3IA)hMkk4I1Pqh$cveGL01ipI~QbVPIlu%Ee)-XKre0 zWM;y}NLqH%Q<Wp?1Un<7!VTNPA`%KWV-8vJ+nCV40AjH+r41>=4>A--2GZIUjKw0P zMF-0v8OlpH#ELYmB|iyKRX&%Jz=6aS^_C9KGms&_tc8p%Qt!NqSS?Co3X+LI8xml% z2Nc#rpZ%pNPR2-=Dr1{HAR*m6W|O8Q8H3v|Nc~96JWg7!D*rA;e!_t)2t&+NVmihM zR2dPyJZ-WrC5{1iPY`7>)(lQUwRrQoBn8O^GCPQ9F;E&b8<Ht;4Wx^W2pdYrLRNxZ zL*@_~WMBp<4O7~hn(87+K^g|1x`G(4r*!%0Q5#T1kr_0QcmkDu&|WR5MQ6&zX-MvY znN!$7K|}6X2)JTERGE~{IT?#dkl(t2G{He5ov?vdY;7$P@;A>laY_neaJLpT4h)Sc zN{gF@4slASG7zN=rK5|Qs^S!seUQ-*>MgflDJ4chOhJZ2kYb9`JQZCdN`7$z$>E6g zG?dm0Y0e_#I|fooA?j~R({T7TVe%aV2^mBhrix=I9F#`5hSKdcOI8b$U-m)9>p_Pq z!J5PveJRo!S`@hk+CWG27bqQ;UT-2yLH>q1#}GbZPwBEot_mUY$3wtXCi1FA%C;oz zNT<LpXbTZ2ZDxVpLScqNw6id0aY^gA_|*uKpP?Wf7f_u5%TU;QbtE(<T8$}i4YY<r zl*3pn1rqw0*Q5o>FNYyR69gPXLeNm;7)a0{Ixdvf-PX$mC}`L~+Mq}cVhTqlCT`)U zz%`H=FvJi!rR5%Fu7OnFNUotUM|n>Gg$<egD98voau<`rWf8~!@lnt^2UiM6%OWUD zHljR~I0jrRP%oYY-|~`Q4nr~&ay(Hu&2#k%FZm@Oq~C%Vl%=!<Iw6h%$3PY}A|?kZ z9hWHM<fWjI1<g=M8;&SkGLpBRhk~LH;vB?I7OWK}33XJ@Z*EGH4Why{rffPcX9G6{ zAp>a*BTeE`I7-s5L_x@aiyEX>7NxU;S{t}12pULl7m<W1&EB78P~sX$M47>REtH1M zlFOXr_gcUag%mOr9!SNY#7Tag00|h-7$~f5PGJ&0wVIuL*Fd}8h?4;+jVEC{cJhlF z$j~{WB{86mfwcILQVoS|EO5wB*uSJ+&|EmrMnOD*chyiYXuyskJ7^$H3F<8))Zk^M zpeX^(QHW)PlvdtLC0HnkDe&|UqJv2l$B-RUkQOLXQ-Z?#(zc0F5Hyg27%^0hIjKO} zh)wKiW(wj7ygCiBsFBjSr~r0miYo;~D~r-$iLfdr3c8ozRl<l-2}+ajYk4LLT3L`$ z2}FjXG-ztN87OuQ(oi*pqY@4743rmr)XU$@mJH-)DM(BiLs!cg7#kaynLv)Avaql) zvEX7fC28@-7M}lxRx04Lr{Xj7@=HtfT@p(Y<NZrZ;xqF~qCu_i%y?t)xl_<7QzK(j zLjx`jGd&|y0|V06Eq2}cXJ|!sQ46hxVqu41Q98jhr3mC2GRLbRQGqnULuqgS#*x2< zR^;xOhPnpPV4#X?$ll%wX=fsL2ry2;B5n3-&Qi()2hn`N+KnV(us+cKkD(R0cWXli z>k++33dbIDlYSdo*^|3m1l)^6REm@?GMXX@atxU{3OwWr8dwCMv1e#tU}9_vS|V*} zU}$OqzP|(MGHa9g<ecpI^31Au11@GieMbpa2~HSPVA5bRO*H&vXk|j^h`prJ;^30R zl2pX?hXhgy2_saSD}PduO2CU%5R=)Ib{j=L|Dd4cg*0;EtF%pwK*t|)nCO`rnV1=y zle$X#!LuKRR;swF9Yj<ZAts0^%p|33Kgf>?$cQ&0lTg}No4)WnrH(-~M+Vd}kYXO; z7)qzJ+UHUbGLV55q*)RQtDL}F-^j0zAt8f&VjadtA<`;9lbaydP*lu=7UDs-pI~do zkx>5~{rT0<%8sI99)5=v*1DL4Qnu^(SMqZcWUd-f7gJiwmM{52VZb0p!ze8B8vlHv zpumTuUn6SmSJKV>L}9of_A61^J5_L{Bw*kpEYOi|N>j{JK1y5zDf6h7W(@X!pdiga z8VN@5=@D#&3<()KrTIMt4O>WK5`G9erQM+{%h!fhWOs)kA%oN%qBPYQ`n{qcq9COn zQmVl=WJyAG@b2q#@{3?dcL*^QhBbSW;2071XA}pGF}2FQ`8`j`FZa;<W)x1}m#{sd zAeO*8br7@nSYwHV%<WP9m;%RuCjbzm+?1}8obdJ$`Hq3ql!$Y8D9ze6y%abGvd984 ziG{h$m$dQ!H6{<pZv#VDY$DD{##}#2S{wMnje8Wh2Hc?rt!&5x@4z;&Ftjw};xvI@ z5z1s_%Ef3w{2Bi9=if85Qo<Nu00}rE`mj{%!tOeCll(*q86HGB{Efmf^)APo6jX<h z$xYBm4|G(S(oXD8(HrE44J6MXPLH9qXbXFNmHaXvZC;2fZXtWT9W?%L5{)>lpTZtV z-u<hTHs}y37_&h~TFI7t;3_347(BHJYS493<vfRD2d+?%fWdV$^*TtM;g>0BK|v;I z5S25fdHdF}i{u9lq})RcP*Iwnu2r5V-!bs)1TFO_%ucoH=P8aR>Lr>F`sXNc4Wto8 zwUXv4$2sz&3DUzy4EIvny1f1HETxV?v@R*lO-<YsJH`Y$`)p`vW)7O5G1fCTHn6lb zAaxcXsQ(O6vjB#OAzey+KhN<D1&I_g*h0O+#5U*@`3VDF%)-W@u&w_jq1&t~aFT+y zHROm3q!9(m)>A+DcY>05qH=w>MC&NUu0f1%P}&J{@H#?%L_x|nP$vksBcH-usqNAS zDQHGPor4rO6wcZFs6RkKR6)iJ5j{2v2QZ^<?I%BfLwY4tbIT!{edN0ZQq~~)@szfr z3`O=)5KEB3R73+4TQiD;g71IdZVDU&8NEb|d1G@73BzKm|L>xpiv;dG;%m5&)<s&f z3gj3vXZaw5KZu44rD>+li~`5NlMJlXqj316V#ZDiN<GMW0?_aWwC<)fY-YUKK}iyZ zjiy3fgW0ntZIo_%%Qo^WC}^>VXh~4o`c4zyMt;Euag8~(X0(zIZlyGLBUUF-nrNQ# zfgD3_?gkI0Qmwxxq`8^=NP^T(NJTKV*(?&uU>=3d<d5Hh=WGyl6XyDR((+R5#f{_# z3}o=bf?BC}){70~y9H8DBAPQ4){|0a*OTuS$Y29ff}yOla?F+jw?M{(s5cB#^kXe0 zRRJtpLzf8|8e15fad8;y8G@I4gVvUla!Tzp$u;EXByeNgfLe(+XT@sr6AWa&oO<ow zWhtvEi6n4m8&URB*h^t^q#$IVGda)^Ap=W8GXqmD4kJBFQxj8TV^T+iGQY1RZbS&_ zNE!;4)y-M7lH$e+qQy;Vo&33NIi;x-v2TXbb{zBDr4%%BA%kT|Gb9uy)54ic$qyPx zDT~y0qO8QftGSet{0lB*5k)@c96f1mgjWVjC`iKK#y@DCDs?8Zo}67sel$V4X^4uM z(&fbIo(ssYf50s{#LzRPu{1w*E+uIuHr@h!i#v5<$t8F$`LP7a*@#%8Fk=hJQS25( z#-`9MYqrgyB$6O`3BIzKLdUrIQ{))%c6LOAn?lEk^G_!~#X#~k!Yvdw{)1;vBi}8M zE<GakQs|cWi4!Ss3%DhR)O?_<S^~R;?8P3CQ7MFDC`>RrCihX0mEbLI=u|nSZJE@z zUJ9ZKT=<$&t1HqL3vvr(J2|N3mR)^4<cA9+#UN#C3I|^J%X`SLuEE_!#J~%-)Jwvo zz`On36r^7GU<WkyVsi`$15zKiwo~93$Y=*5mMEP=j~8sCFqV*J&?zhMG$%Dv5HOHV z0b+{_g|T$Fw2Az10XH_O){ObKtdW9nflkW8`t&B|mPSTg9EN&k2Brq)B(L&F%5Na9 zPmjpE6jsT*eCsLjJ!H@nsdt7kz(Cq0+L}!@6!gv@%M6X+abaj=W(kT5V+%ubbCPdI z`uDqvxVS)gpVHOrv(8si5E+oh8sbm`%(WAwMMgq;B_%@|kk~+6)`WTf1ZmDOjwz)$ zZQ#i^q&eoICCD*kH%q`_gTGl#Lj9{LT|$1l1k!j!v}7qPPrz;=yIGCy7z&5fWts~q z$WM^A0ODXg%mEhC(#*W0dE`eEWQ73I2n)s$yJR?q?0AB^hB|FFBi}p<;tAeihEB<2 z9?L;m(44%GO>sekbSoUj>IpJjL-t-uNJN2FPe8}QC~UVe31yR?h9Rv5Ls<Q6W@c_+ z2Acmcv^2Feuq1W<BkV*barHA|c?5-?&nn5JAeq8_56v?amTgAIk|=Ntq}hs8Ib&>> zBrTP`e3wXpYapo<v0oBf(2!6c+84!B;u!Ea6JjnEn`21mk221PAwS1J1`Vj@79TkZ z+ya@sM7V|0u5qVrG=*J2MAw+YjO`*FMM;7IPf;QIqnKxOkd~LC_@l^AF_5f;bP^KA zdGlmAhQf9NvTM+9iy_T5(>{e$5>eo0H)53~g{kKLI!YV^$=ZmKCJKw0O@BftT`gw- z-^Wj3z&u_OOn%M=FUv)&9>E;TCN0IBz7#}pW6m^I2)YZ}#L(Crbr-ZDNxPt5#sm?u z3mVZeq%cveeeOekNdj3Zi0J-M=oTYmcM7sD+%eE*EQOBQR_925z(B@Xs1`6_w~$}L zf-4_HtxRFo?Gd0LU?7c3c>CVe#MHnTH2!8`WM*J!L2CP6fW@A;_C2B*K;hWM;%GYx zk|_F2KZQw@Z=)>*1v+?$+yK_oGBUR?Hw2yIU<o<{m*k$7@*G>@VgivTFh@d3E4E(= zSW%Ec!Sy`iTo0-^hWs1~a}9O+eQA#^DM_T@-F}GT0$U;_p{KQAg$V`GMBR=SMQ(v~ z&hdu}37tNQ+yWT{MdT$4i}e2?#uO(Q!&sqWQ2AkCY-nI?0cM$-T7r(y1}|HM-G5H- znAxM>^bM^vL5IF%Cgx;TrN)DgHPtUE&x}vb&nqd)&&f$G(oab&Npz|#Ni`IrPHnI9 zMUVVc3aQi(BPE#KUeZdokO(bGq61u*AZk2p`Im%rI=xPX0>?nQFjUJl6@tp-M-yaz z8j)!z3>ZE+B?<xto`b2Aena~uDQF6S*LqMbV8Cu6zb*u?v!hyySs)4u7&3QdL8c85 zon8u4%nx@_@;kk-<{ESc19N3OX=O}_kq8B;7czuIwJj5Jzxl||){r?k#Ml~zk<?|+ zLqWj6Qw+2vM`<)^=yH=EGVoZ+2Uo`iW=2L9T%3kRddB9)=4Qrdms=35nYXiZ8Ct1e z)XX4(@cg2bXtUV(%y>iO%PlC3is`R8D2NL5_8LYTiL?xJfa8w=`BxNx>tsA5d8D~z z<&WQ#x&_|xGd3|ZGy!$N4NWa9%uPw{f-ju+$$*%n=ke``AkFhP?tY}y^G2|?s-c;& z0l3#|U}$1sVZp^{0@{*CbX(QT?U4cTZB+y45TTJF_^^KiJ#zySV?&a=+Bdr%8sNTT z260fLC45NI$k5OLV@T4R_=6ce7vC`;d@v(o;S7b@e$$^T2ISvq0~uqXTKmDw<2(ha z4ZMMYYV8NGTgW{n9z1A{XyZ{>8fq-rM@bwJDh^58uobyvA^C9xX+9XhGK-;!p`kgb zx->C2GPN)xwK$v|KAot{X%Q=w1G2@y(8$oph>O$6M9<W~$kf0PRQ`~1D+}M`bOS3z zP#uiYT?Pp_LTY4laE)9Ew#~%E(A*qyzm=(hnGt%~L$C?(ZdrnXl^)1&6x+}`=Pv$! zuJQiyXg6J9lnSI3`??#WC{A$(u|g@}a4;}7GBxAkG&RrzUAjg3wOd7Awgy&`;E@UF zJztr5kb#PzXhXADp<Jri^60vaft3=nEiUmvpn%OQ0TuJnMn<vm2GJ(4q-$VkU}=V0 z&Kqzsl2Fcjsc8~X&YQ#vWk9@VWM*kVK_E7Xs~cF!B74s>J~OYNv?Mq&w;(4q8noAy zIssY!Sc!;$G>jFBri#aYbSoNI2_SncFxrS(hUYTKU>I<rXX~OPYv)f?Zo=U|?)v zXa>4b%EZ9T!pwryvP)xyq=A(xMri^P0Cy;ij1fHw>Li3+wUR{m&kSBnP?*BEtdYP` zO!!2D7TiG#BMe)>cXPp7p@xJm=4!nxhT9f%c<Eql3>}s=Fg7-}<YGjzgkZ_P(}&jp zOXm-*<WI@ZOQllb_f>$0h^R7v)uRTMpdKx!rKO&cfw_eN`W0FP{r6dq4O=~047$rK z+7OfuA=frir-X36#EOxL4Pu3oaTh-%%((1uV=%CiKrVh@m6K1jIkifP-QWM~6Rw+# z;C{lWa7nBE=L-GT$L^<~Xj9zT6(x-m%t$e1U-hxq>ORq+dnutSJTSaMT5DCL;IqD! zGII2w)#}EeS{+pRL5l|p?fcgC35TzOq75ymRV^(Re5a3I=b2I~_Lbe=;Ea6}+_6tu zyj;5Z8b>(!fWwJ8H4sbEYa;TEIowkeMt;xP=QunS1g?RoQ=G*cJ;jK86KbWbq<2>^ z47Y&SY6hkjmZ)uBBa+&@Etk&f6CT?zh1+Lt2s)6*K+g!YXcAn8A@$Y>mM}af$MuOB zyD_I$;C)m%h7ovFs{?G?_Y;wpjj83AHLiOx{9+8xC>V_>(sIA$ylpr#iVwI)ho>wi zp=v(7dkqd-f}#!0aTk|Hpm7MI8-!q6ur>gKqAlQ-U<4RAH4|;g>{knMl*vBPc$zZQ zvxI8t>Q}^6jC5s2tzdebJPBtoA?inrxF9XU=PjFv(-Nw6X4*IQ;Yhnapo`66jaQ5c zi?kR|o7auQD?y-(Q=lzm8d`#gc?vE0^PmBzPpDSEpFCHABT|CEkwTqf3$HE4)XFNa z)}-MGFdxt$7c}ZIvI=SGE9qSbPD>1_<r93C7{v-zK)QK`#>U1_mZ1Uq-gAN-)<+91 z^a&5eg2qx@^Wrn(jliS8&@iMhW%Exl!x4r-NJT#lEkQ&S4J|=Ll(_+D-rUks&(hM! z(ioiHkQ#jiV=85rp}v(aC?@a?n!5&phjyV6)eN}>$-uze$P$u949twt*GChyd9B1L zJu6E>HoFD;#-|r0R>ngHJHUf(X5i!kcZH>?Dby8~#w4fIo`Z|YbOmI{1u}JEU}R}* z44JyHG&eLvpQt7n7D5a1^a$q{_|yd?zd-wZ2FAuH1M8r%GeoP8pw-)|V)Y33G0b9x zVBu|KU}6LbZ$ooKl83VVG}OopZ^%?pDa2|6BXa`~%h<rc*aX}jAtlj2z4uDjN}Ev9 zgDvBXM)JIYsUa-MTNr}Zj*?=p$-(ow<Ry7iaFR!O9vlP421X{PB<H((>rRm3d2{$6 zrhz4>H*0CEXJTn)WJGezACBCwi)AtplCQyom?o&6Gy%u3k(rqVXgLtLWP^182&QV6 zE7M8wq-m@WENl%;!PXj@nS<t98A-FY;7$`M)*2&OYXF|uH8iv^w;(xPHOpC%VyzL9 zwdUZm)6mkyi1d{7ag7Wq)|w!!g%u$t<_4A|C*T*ZYjjA+y@rUQ%fQGC+Nd!wG$lEd zTVAiyAusn@fD?8$sK5eE=^DWtVPIfN>Z+bSOK0j}$wi>D3_7I_$whT=%fLm2fuXUv zp&58y#hCcDHJiJ7bgWE3X5(udz{*L`v@gh`@t}5AHOzh!3uwJ)X=#qWVu4^bX1r9P zZDl~n0U&v(=OImlRFLI{md3`W;7ny;X=Y|Y@>y043=9lb(kV%$B_;WJ#rny4B}NAE z$%#cpmGSWgAb$!afeuSIurxNaFa)hpGc_?IV~rZv8VRh{<i#5Z6@!;wfF_U(EWksF z7MAA5W+WHBU|ZBd0fiEiDXBS$mC1P}XdVMuYOH5zZV8%;16`VHX=!X~K{HDs%Q9h> z8kw0w_NZD~TAGqxIuPqqNE-@nsj(R(cr7i-NvFhG3TZPUEHy<~YDu%;g%k)#mO`3~ zAfM9CQb>cP0GxWw&5X?rKm*|xdd8;a7Utyn6mxMedg=w`7ijktRLp`30T7L`f`(wR z1CCDOR}w+|+6wCx85kIFaTyvQmhYH>3VEWNE?~>a>K9ppOQlAf?f|!wNppuOMv;Lw zsh(6?>{gVT>YP^sS<nSZ;n2xQQ0ODaf;ktX8S%9bI3O@%9nAqL!O1y7&^6@f_8EgJ zJkmUjx#9!ezLemUL|hhvYgj5<m|9enUj%QSf)W=8B57HY9-;*MJq7Uw@tJw?2B2yj zvTF_$u4rl69JHQ>G@oKtKWIT)oSFl+v=AxHAo<mdR#w7y2V(K3DXlDpFZ0G?DR>lv z7J+LFTU(CBQgC~i7M7aev6PG=iMV8BIuMqc#R~O8N?#)r6GQ0QeIp}`1wRC*V!=h9 zGf^AE(lT>OQj1bkAnOuAZE(=l0r1T(pgZu)^bEjlQ&4jicDD~ozm_=nIFaif@Sq)} zkq2^)fu6C2rG*)|Ut(x!YHSEj1W4m3G<J?TXu%Z3IepZNEf?Yr(<BgGpyWfP=yE38 zK}hihau1c_i+uMWMOYN}ssSZ66W6>GC{E6a_w;uPb&Jo;D=yLZ7ow)wDTyd%OE5|> zN-#j60+Rxh0;2+>0)qmB&>m(E5uxqOT-?6C&b|uyMG65vK3v?+Ir+)i3L3s1RhnGf z0U3$KsS3dvnQ0|ld@h+~nJK9X&iSQzC8<SR0=}g=C7A^|m2eS0h+YE)h)OOf-w=&& zgvK{U<C~!IP0{#fFg{m6etBw<f=hmR9v4?wa&l%~iGoJBCYYUAS^;7U&1U3c0r?N9 zRcy$`;+LP73R*e9VFq94ZpOuEz{O;0z{LbA6AVB+M(}7HxVnO|Oids=_$^FK%uOXY zC72~RaX|$!4QA7I3hQjGB$9Ko;|=w}QJtHcoEx8*QU;EANTlE*6&Mtl6c`j3p-~2k zHV6hqB9l=ABNu}ZgA{{OaZzePeo;w$0XQ^t(=u~X6~L?L0*dmJA)@g``K2YPDSAZ( kB?`I)Nf^?_rMbC@MU^mR1xX6JMFkZY%HXC{=z?kq0Kj~oMF0Q* literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..939f3d598693d12429ca71be3f1fd941c4405e62 GIT binary patch literal 867 zcmd;LGcqtV(leRFTosUCo?4`kn3tGSS)5s{kXDqRtKgYikdvC5npcvVqL7zbl9O3n zqNm`PT#{LqSyHKxl3J8mmYM=lnw+0kTvC*nnO9P*kd~Q~TCAf`oS9pilUS0Op9c|9 z$S+bTOHD4xFUm<RE{0pBx0J1~q`~eekJ_mR)>C;I7#=u06)HG%+IFij1A~H7tK|IX zAnU7=3=9qqOXW54R$6_PXJBZsKdKrX+G;gb4a9z`&A`CGuvG^{wi-=&#$kQch=GB@ zVX4Ivc4@n>77PppPDd?4?5S2D_ERg6%B|KQ@~9n%oN5mupSpm^t*#)l)eS^m1(U7b zU^bXs8VF*41(QdEK<uf(AaZFKi2MpBkA{QTQzJm+Q!u$T62xwe0+Cn2<kDym`zx3{ z8UtcajRlcUW7jFHv)vlUz_7}xH6A2#6-+Ko0I|P<$)kxN_S7U0`4miUO$M=BQ$XZZ zFnKf$#GaZCBA<fEtr;Np(ku}96-*v20I{bQg2<<3AaZLth-|F@kypXw*GdrkXcdTT zt=+xsoa54F#zkv#oQ`%f7J2nJKJ91x|G&PzbsD3+Sct>YIgB8MhZZq1hCf)r$k4E! zk>Sv8MrNP<@<#_5Sv)e+Gd3M!6mUr`&P>l!$ShVU$}cTRO=&s-k-Kz)Q7yDMwMe13 zAT>ENEi*MmAveD$RiPv!F;BtLR8=8AO(8iaKRLU2(K)c8pUyFw`NCD0LsVqu6_l0~ zD<l@BD&%Gs7iZ?BE0iJ`nhZ{phpsTP`KG31mgY{n%BY8CDL8?GEj5A}S&~|mmzblF sm!Fba{OA_Mxtne?%DAMKWhSR8<mRWO=0NNWD9TSMO$Md=hKGy{0H5Fl^8f$< literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.pb b/proj/AudioProc.runs/impl_1/audioProc_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..3ce7d52e579049b70af0eb58705abebcd5156caa GIT binary patch literal 44 zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgX9U$1@a648hs6k literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt new file mode 100644 index 0000000..4e101bf --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 1781 : + # of nets not needing routing.......... : 557 : + # of internally routed nets........ : 557 : + # of routable nets..................... : 1224 : + # of fully routed nets............. : 1224 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/proj/AudioProc.runs/impl_1/audioProc_routed.dcp b/proj/AudioProc.runs/impl_1/audioProc_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..b4ce50237038ae9b13f53dc7413b6e9cc0b00df1 GIT binary patch literal 612709 zcmWIWW@Zs#U|`^2NOxWn_4IRLN;VS%Loo*f13LpJLrQXiUPW$BXb39<^WiCq8GEOB z7tb~jX}$h+{e=x#;;J)tluas}#MqJ?<-o&#J2I2ygek8Z&-z>c_o*mOUN)g;&&#IX zcb4~KWM49UzJ1t^l~?yvOX~GQ5mQ=j&%PLQ`{E15bkDHHtKPoTSQAtwwb!wF?vSjV zwYo9UDTjU9s@(_Fs;hKsCZ}CKeR^fVw}^O_Wu5a~Vy{LsZ}qz*QDSt!Xu~qY4JQOo z7)iv(%=KTt#5=)J{=_7k%3~IKO^wgWdqOWwn9=xbqh8;^=P#dR&j^XP($rk#SKg84 zGHuB!o_aq$wfN()-cv$K9c_OpRmUEP@;YVIIj?owzK`!qq}P{R4?g3kV|%@3S~>TU zKH+B~Ym5&rQAzZgx+{q-==Ixez3<kFUKPESrL+3Q!==sZk|wT5P|MA@wYFSVf8pdw zS*N@HM*mgv)cnx@Gg)O<_KX*N)pZNF{+G3#Ud4BGnXQ%B?~}q6W-~pXC;!}Y`g{H* zp;_`tWre5Qi$uaVnoYaO{&a~#^~SxW|0SQi>RWl|yJ6>M>uDP6k2F2gwET3?Ea332 zN3)o1yt=+<$UW+qeI<F$lVe&zF*lMQOqi(jOuf?k=*4Q0jdv!_`6OsocE9e#k3Ci< zVzuVI+iSk{TFpp0v9~h*<?Yj5A9l`tx|cna=j+skFK>kD?47Ilgl~!DWi@U8rfub6 z(hN@vD};`@Hrh96`W-oNl+8I+V@*Z1#l)pEJ$`@W)Af?~TXOT)uG*T*AO7(BE1V9x zCv1>&_AT=y9jzaK^ki;C3Kqw$dM~%>qX5%(j*sCdE!4Al+1Xy3zfXwVwckZxYy9-; zJw^iGm`(@X`4{bf$Nc?rEBordb9YGg{$oH*n9tdC+LkdgFzjV!U=U#7WJoMc$;=NZ z%1@3jE-6jYD+8y{LsJwpYA5;T-!|ZBd;d?=Ca+=ol5_6nU#_UOi+Z;!WV9zvZ<;v6 zZH1ELq&X)4>lRHqb}5y8|25~y>oqPORy>niUG%C{{K2!^*KbZwyJGUeJu~%Qc7;I_ z!}qAevxIHdoSbYuK_=wzo(b7I3YflsYH*v-;;uTUl~s0%!hd_^vZ`B}{9E!5bAAge zoAqGDA-<^BOddi~vTUimIiY`4Hhg|^aK6utiT$kyqt0@j$~nn+$Zc^&b8l_?+R_gj zyzZ_uS*V_Ik-aG*QbJq!v|!!j;9L8ew$BwVxu<8fV9H7*!zzcvibtoe&_CJy>XKzy z(9NlvA6@>wJpQ!QqIHw?CY_sXcikZV-yX?cx%xkA5B^NZ`ruwSOG>Nbz2V`O)Kf;u zJJ&i+&Y9FV*>95H<a3jb$>^7ebeb-xxXJx@nwZ8>Z=SZ|h(}W&l?j^3SKc~$_4#gz z&}DC#%6IEz#9mmmpwjWYZLI(8_8(Vle<ttCE0TC_a58b$VV(A9w%e>~`!)+rPVPUs z`S_Dt$)DaDT52a(N-s2?FheyiZ(qLVe21CkvaXWP8mCM&nYp{G$L4?5ReRLP?VT*c zp3lg@5YEEDAdDq)Gg2TW5IBA($NL{L5IK7PyXL#aYI%*FE8cLj2R;gDnjsNCZQa@n z0nwMrPVC$Jw&#y$)V*7ut-pV<xpU0$NzrAEZ84HB?%n_Tc+2Ev9}Zdw@7A8dG3UU9 z;u+S>ZI)L<ay2~{{iwKbcE>?Eo|9snKAb+1VM1;trwy(?Z?ae|62$9s>~W#k)mht? zKgl)J>b`#5Gp=m+-#8<kE}gCw24_qfvJO4*@#OJwXE0vL6VqVJXrmLyCBY!Pf&aw6 zgElN~iWAxo>=u7=w0QgFnRc~RD=)cKH2&i}k?F9X@5SDRI^hey8UJ2eUF;R37%9zP zIQ7qwsh@<yPy5Fh+8186aY?>c_D!+LiR0lX>Cap3FFCmsWF9{o-*DXF!odgqE6zPF ze!5UBZrf@<zUsX99uGy0MP^3@URbuyp>A#+OG-~tXs%A4OJrfo^;Fezv;C7M1*>dQ zJ}oz0+T|2)@$8klBBztDXHKZyP+P&Eo;rhVan>wX(O9F0UFjF~Q6tLu-m==g3=9lA z7|<iCAh9Sh7hY9>B8qVbgZux8oQg0*3s)xvFi0^6QwoU&6MwZ`+V+2^(rb5xJr}k_ z=g0f!KDsHE{px-1#d?G6wytuSJ$vWr_N0`_Ty<Z4@b1p{&6?lV#FcHi^;zEh#rjig zJ<o4j^>5L}E7F47JUMOokHn@X>(6tndo_2Xu)E{8TdN9x%-Y}_u-|9#!<|{dQ@+VY zow=rQRyX4Hq*KeHt$Wv3ZA_hK{LC!vV3xc{svPTTC&llVa$Y}FSs%8%$Fnc(sT``e zi>r5u#DeNbMg|5+l=PVykWpFeUr?e~lv<FJSP3tM9&<h3#Bo~3+vjAshOv=>(M97B zk5wTnJhaZ9@eDk1KA6MbH}He+nh*n{AcHL%jLbG0Z3y{L${EP8QgqS>)>RBArKB_^ zA|fPbMoLOGr1*$R>{yYrPO$fkY3nR*w31Qqu5K?Q2LpqW8hV_hCKlyX>Q$s9!=1G^ zDmVY}4#9u>_9tz4+pZ&Ey=`*L`giI_!}OeFZ%>%Adr5n<qxY7xv7T2ZP7yBO_<yhQ zl=w+^4{w{nEXC5PGI`E%<2mW`7UnLEzT$TM(hgabj~Rv1zK2{*_Rc-{JZCAF<)503 z7ng1k&nr-sx-KG~JlAyTnmKztnk1|yNG+VRL|tK4%uDX@@`7c?pGvMy<ck-Y`dViC zMz!4mUtPm$qHI>z>sKvb{my${E#u?0<-5h-zW?6de5$?ng4*;n3AT?<ICI+awc=Nt z+NH?*HvWR@jOn*R7EL+4|J?JB7G3{;Uy6TleT^a8{d-E&!`808e^2&ZrfXoTkRRK< zo7ee{PA$CPbvS+d>Zb*Aj;}B22dwbov#y<>ztt?yVy>_Ah9vFwizWXiG)+{JKbC0l zkNI`J&XUbHQw;eYzj$7-N5c2t&KYdq6Pf-d=694|+~WT~FF%c6GxkgM-ru|HC)$U3 z-`#QaV=rHOe)@xo`s!I54t)Ik-tWWz`+gEN<%b^J=A3?iZo$vDEvqlH{3z|6cQPd~ zLgVzBn2ws)&R?dU-pA!-H0$ie^8Uwx(sSyhXD4UeYWUN?@u&Chw)+CdWsexzKbZM^ z#;zwbn>N2;?kU-8oVB;m;KkQE7bA%;zovg!QSfkjamT7P+>U__@=1lZ+GoR_#qyoe zmh<UbnLSU_!~E2*R)xz`g?D^^k+if(W|<4)qEn3vBvj`bSSzS36Y+c5#r&CF&1Y|w zv|>~1fs4B)&zx*&BKk?grbPI^6#K3FGcJTYRrzFG$?|uqY`M7OmAvK^87_ee=h)a~ z0tyxWooI>ZNI!k+K>c&cCo^7suh^C#qq^8r;BLF&X`SgNwU3^hN^1>JWxeUN)bQ1g z95rq2+CRm*w~uEldt`^K+3<blnW_f{%Nf5bCS3DswtSmty?7e0Dqmi}&oy_JaX<7{ z%Vx=pl-N1tP4JV*g@-RZ602kv-tA<b=eqFIV&kb>dG|HFPgyP<@#)TqC6ARq2e|a_ zs|idEe$>QwoavCpRONELa)nn?iQTD+ks3RF`aJDgHvePycz;Nx<+p-sr>Kt*N763o zc7Z=KE|VXm2_5>a(5O+#f3W1tp%Y6##xMH9*L0F4uesfG)*NAmiXOF#Uj*MBve;8; zwXFEj@xMYx3JrW08zeq_Qe<^d>g=+|8og=3Es}QU9vd9&eo<%FdFZ3%nIw-(3bh{T zABFAa^{Mec&iEzFtvdZ$e!-EFX@^vH^<OIJIrMAl{)95_6P=5#_weRjII8)`<?Ovg z#}qN9k~6tId7SSLXTF+SCcQNHi^`oxr3ST4l>*BzzDR7!z4=FR-uX!%<nJ)qJqVky z`Cxl(U-Mm#D|JV1cz3Q25;}bIv)jAZJH$QRQuuzGEn#|mF4AGAse5Q-qiyEaHm`Nu zTc_PPF;{p>*x!W8o7#MT76-0*DatLk{mz8_7kcx)GX|(sS+s7O#_(*BNVca@-@Lk@ zCA~Zgva)!(RoU+pC8sEMET8LUXMSpuarFC_&JEfjSsKq8Rw-3p`Xo@a`s_xZ`TM)R zADq9_sN>x6Ie8cR-!h9i<t^Q~^^CxR<Ikm8ce%N|u-2~6Pq}Tmhu4-{Vf&dmb7ihC zicsWIKj)dWW{UIqbz9HP554$E&0mNwZ(qUcGvOx_XJ6$y8qvqa^OfIhp6^3GN#$F* zJ56>udLDN=xz=b&h5_%CgKhy5UU@sGimni4I%jtLjM>}UmCsHdXWV|^!D=z-^{E>d z%N&fEI&ZV!xp^1OnOtYO98kKy=+v2$i)UPsnK1nhQ}E*S5bxgV&lcOKteN&^Qlw49 zwjEOwL>3oGu?llMTQS|OaeAN*OU%6c?(%1!`nK6lFt{}RYZ*&!^EUnUD;_!o_CKvt z4LKO(K0RjD_N1%V@)vz?yESLk*>BsLKWOGp2%P%SwBf@=5ivKtn~MeOA3a{$cD`cC za;LQ^$IVQ2J$`VCI-Ptf_S^K4iI|Jt-P?0ZH@Sq#i!y0ybSY`1_VMZPb(~w6waJO= z%a#)w{GxWriBF3DuAXpd;UmYE3cVAlu`lNSH)(niH*;ykZRgaag-?oVSIgZ`D3yM= zVUszl*<*|82G4_boV9$*v*=z^>x9$aSN*y%V{UohQLB_c8y&MH<xgg<?pb$Ysz}YY zPdgqN{gu?*wDy#Aj&j+*0}7Y_z3|UXZQO9bpC@l$&+{$XYG0i{Xf1eeUy^twV)@Gp zT$h(>s<KLIPdc`%v+IZO_W!#cg>@(2F9@)9X+17{U$|Y_`k&_a!t9gT-v!zA?7dzw zX!jVT9e%mv`25YA_8!+&a$7e$Y5tn*Ud_PDyQ{sPe)Heh^`^F>$ke+!#;}{?wAgm3 zCFOxkJDvs0{4IIT@3AQGg@1{k`q6^_6P6SRJIO3_G~qoiu;Ix`(d{x9HnPvXP_g05 zPg9na_8+_i;|_82P5u(Ot>VjB!_u=$AFWs=y(XshoL%6`Agk@M9xLaz@5vO7s(N(0 zYmp=0lrNTiVyTys&age4<$d8*i>RaBOE#mxN~tf;`QtQJ1YLT#{pRVpdM?@-M;E3D zo->`k&Nw!xacanIt=BycvbnYiA{TkLMtEF#60tn{3Qxq3hM%3EOeb%&uK(2DI`#DZ z#ovx}Cf&(nj$)Z-eq+<N+2+Dq*Xx<?3XPm%yF~q)^wsk}zMYnpy(2cM)TiL=;vYQm zB}EsR-felw_BU?(_1pEw8>ek4_@l@2`Zw?OJ(C=!?U^qo|I%1$^Z!e&{$?*;Ty^^0 zl=olaMgE1?k5sw!*IRAy`FLV}>Z||9|Jr}p@&9f;TGL@p|2mEm2?mC>?2HV&$n6@` zM#J3P{Kwm-9l!s6xxj<kjofccmZeyqjET_^(LT@r__fX5oInn_=*v5NbFK!Z1@Zj4 zJAI1C>?JD%|1VGLS`^%q`T0-DiO=>+RxH*z`M6}O&*ad5%gb%$j=3)vZ~yr6>P7YU zzrURRqxthk?eoH)b5g8#-k-haiN3$}#iC!I=IDINd2S_BEx%{>)s%VakMj4NJe{-e z&dJOCe`f#Gy7}jRyUKHUU$LL<U(ctketE8B=ZT_!r5}^SPrjai^KQ*FoyVs=r>U== zAD4c4|DMpqBmVYpr~HqqJw5xpol*YRS?k0mKY#MLzR&IPi;|qbU-$2szg&N{D0^4B zr`i9~kEiQXKBYdg7dkH<KkeT4Kj%$;{&=79Le$OZeda!adX@Dri>B7w?3KEEuj1yD z?4ZBzwPv2N>*GIs;)3(?%OCUPo=1KRmiu4)=<h6@Nmrko)Sj?UCp_-ojEdHyafzGE z{r3HP(mJ8g?(UAI-zOEGF3R8c;MhmLdhcaF-B)~XxbvI+eX3!so%mv(7`BhaGtFZ^ zO^e(WbLLrh{N6nq3Z5<f?8-Lt>Tl_^kNY1bui3Ej&7A*!&;E+<cRQK#E8@?;{}q$< zYcdM!izXjA{{OA-vO8bQ7auMEochXEHGZ<X{~wF;T|ch+#AJQUEZwNM!j6;cRnmif z-LXO9bI*OqwETN_x86F{D-Y#XIxlVK-~7|$`@a4|4=XEbB>Mf<?<qWQ{kNo6IL`H< z#V5UYZPVwkYi{^|p~|P?aCh;ww{i3S$cyEE^Ze!%yWySn7suXN;_ZTFHzf^Ow(b1U zCRy|5yQqBq^K1X^{C%o_=4g+E*=^l-w<FIabY}`~z5Bp%^+ErWXV`m}x}Gvi&VSp( z>yVsjxc%%6>+XdIdfzr4yR@i$_QvmJ;oJD7UaNh&e>?UjV}_3Io9&Xn4><R>ZrnX% z<3H;c?f#ef*YGbYD%sOK-6p=tbESXxuUtL52O_58*(*;RJbP83WaI1x`J0l`jmLIz z{&2QV)^|w0%kt;Ml(koSWt%}_?#m>i%)g15T=P9(Zx$1=tnEVRwAc1m(zJE&IoGnh z7g)v|HRD~$y8UNAzf5jwz0e@G;kHdphT+A{`7@fCqqdko`!Ua`e1}n0SLXelg*HpS z|NHe+KJNLK;5Xls=LN+2%m0u3W1F^Z_Kx~9y?Of&CCroG!TI}m*kVSX&&=k5|69_Z zyxrCmS@%Hg#r&C@{>)mNFiCz#=g;TM_Uug4p7EG{%Z&SJua{nxU<m$m&pN}sf0=BA zyvflQC3dkgZgD2(FD*YgKcasf(|_B3?|COL?~>B_*`+tn_j*;q#%j^~8!VFU&QR}k z5?>`IA?_=AYiC~Tg2>{vlX94^Jx;i5@#>rC2GJRzUoxyN`IYfcOufAPzUus5uKA_k zMNKZUB`<#IXMLO5L~8TRnvIFK^^ZT^zwYM@o)Eixe2*7rhFaf0@t&zB-roO{>3plR zN8BZZd@tRa_)cMZ?cB3wa@?mnkJ*-0SjxoBS>3W(lFj3?<BI-&)-S^6FN>dh&a<TQ zRU`9Wf00es0tMS@cL#p7sGfIx_4SHb9+wX7a-G9<>Y;+^!3T3P)6QRhxG4K$cyEFK z{^LPQlIjFk=^a0u*c-Uzh(@gD3)xnqshZsCW`WBjmTH^w^3TYZSW&mX^OND+7nTD4 zuT!n=F(nr-TUN^duR`dPi^C^*?LXzYALR4f1$SM#{DFbT_@>V%xz}RvE<a3L@H<BH zwFSf7>HF1!rf|CDzgorEl_sI+8+DuWaDW1nV%o>z^3BSssWnmyGuQ<AG7jDI`w{T{ zVLxZ^)D35@ziwG1>E_|1eT&oeSG$1Tlh~tK8$&<K?>J~tF!lJI#U(4f!p|I>^*+EV zyz`8#*Y+Pbr@wHK3SKPiYhV@5c}CZ3`l^z&`wL699ASOkJk24YRzP)6%ru?&4Od?s zn7XWO;fc;1Q`76$Ro4W}iSi2Bc9<hJ^VQlLvYX_-9xBQ$44Qo<KUZO2Y<O3d^TWy0 zRkvpTy~g&qc<J&QM<EHfjKfVT;-`5ZPwU#Fp&6Z6vrgpewt0eoE7;ae2|an>iQPq( z#l_23Sj^p{Bq{Q4x3s+6Rl$R)C)a65);g#!n6>4*??+a@8|9gsZuyn>Us}moec#09 zVM^_EwHI$oY<47+WNnJeE5B01zHIaEBO#0C>ao1MlCpP?Wzc6?uje|YmMe0%{GZ|H zd+qy~eax3{#)fBlS12f{J1kdwU7dEnv7~y-5|EEA5^4pG?hd{?>zmu3-4XrkSf;wK zS$B2EjHQR3PM#iaIa8Otb}E;ru7O#&*Qv*%i#O+%?f<7YUt5>=aP4l(y&Ge^uAJ74 zO+I|-i*34>)S<^gNw?p;{u^r-9#wTzr~I1t>8Gw87Mc-kkG`f$2>Uv2w9Bw!`?}FT zc(R|m$a(kb!%unc1m9Th*`2AQp`CX)F!im<jT9;WwBW+mD)SR2?e?F%Ds1-c{-)yD z_Mc1bGxU6)-#W85-~PynvvYkjrq5UwpKEqMD)!NG(YoHusw-LRubS2!JG<1A=Nh~2 zndI6Fhw_#iSH+#%<9<&ivNAnlZewkfgxRU-+rqN!^J>MKysfXPx|K}c`RkV7d6u(T z)ndOcg{4*cs3q++KlnGdNv|?ux|&p&PgUEMBO)F?N%bf6`#;w1+S}QFef}e6PJSE3 z^SexHHy%u`o>pPY>7TRkN8yPki%-{=8(4~0%$ir4>2&4X*M#alUv%C`3*Ryos<4<- znrU^lPo(YI=IjOQWtE?KdsJ%(`JP%c;f<QOc~!t|^-hV&3%&(v%zALsA<}PUQo+f| zSs{L_;$&D~7jN1l$oxGv^1E!ww9-r`?lPy4u+X#o8~i#|9eR|V<s{0hmjy25>FPe* z@%9+|g8eJMx<v8b+ivnh!nC5hXqk?~t@+;s+4z$_1jcVWyGq4t!;agcvvt`PXCHiI z>@T$>L2-#z#ci#BZ;khPpXn&|zBN<sNZm};V1K(+N`8SSeYh?Bm8O|zrte~(@rx_? ztiQoz?S)kg$L6isw{M5-`d^%9e|H=W(wXt?&CHaKUyoV_U-dV*T+N}hQH<*v=f<|q zWxJd=T-NQHF|D6hr~OFCPR?^KuimV0KO(Z<^~?&_kf@n*ER$3x*A&m_JQJDLl+R<F zv~pV6vJVbtVy)Glwk)<duyf|FL;fp7gtT`>7_@%#*|g{PqZ`Z4w4Aoy_`%!yGOxk6 zl*;vHyeakzgFM#oUp{BTHY25S{k5D;^Aps<gCyi`9Jh77cl5K$s~V@J6C!etwRt|# zDf8*t({1ZkYIo4*{lY!(1E;l3e0}MU^%MKjpcB?N7HiAeBu-;r7_WYdao<_N%LYl| zhVd3169Y}0MGXs<cBC`Rt!l{sd_`orv}ehVd+h7Be2kbQux&{&|A(pVyAQ8e{fjr$ zBdK>z*(TuzAKN|Qa<8AItU8}y6mC^{M#pq%QHMyQ_WZXi?VPVpil{%{cIML(kEa5i zYd+na_sV$2nW<^>7*Y-?N^kl!p;+SWYCGqtlOt{~pZkVKL6~pi39b1cp_BI(3kg*B z2RZb_aKA8<x!(}GbJA&pl;2^8AEs_Pbu_u)^mO0EN!)73Tq;kO$=vNX-=4knn!*b0 zr+b9WXRLAjd_6+X;P8>YCGmEDRfWaQIylT~XqtOr3(uPt4ehyy{}@K<3YDbn==#e4 ztY_oD8=;fzi?~1k_?(vD;r}+|xaIX;2dnUp^ZY+Fn%><U^K|tY3Dq^}8xDypi=EAE zuQFI3;v4XJ={#Mnkk6_euENvH)>NmcEIZ$6BviWYQL#XeM#kEN?c2(ITOO|VnU_@e zKbJk}uO!D)FRS-ww8b`W4_hC+QbJld+jqat1m&GRCQJ`wPCngh@tE(x)1sd<Htp!* znwH$3X*4~x{tfe^SEb9|2u^t|*6L%za53XmPNP`Y6FG;~;%hEkxX{br{<O})q;hLy znAF7!7ydG)AFZ>P^|B|*c-9^b;p&&SLWFr8OxjPnpO?}NcS_mWH|@ZY;}X+0U$QIr zC^+-=!HViN84Qzlo^p_L@ieJ6GPO8mY9wN3<Fae@t7axG>)xQRcDw?-Q<%dePwwSV z<5(c0@$^uwl!BBV`#Ph^>>>e{OlqOqE;C$Q@Kf$-?TzXy-xHrO*}G3}HQ%+LrMh_O z;_c7op8a*;hf(B_m)p}bLr&bbe!61Ct%eK}*`rp9)2=gGD{}d$OWmFG&gJ^z;0^ZS zAO9UJyWRi))cQpd6ZV8EtiN>1^u;dGj^|pp?%xWVs>dU4mBZI6ba&0}$qQ#r+uUWW z{JGCVdH$knPYdr)D1CfFenn5t^U9fL9X+BBY;QDSTj%ESRUw@7=G)>EUl+@!I@dq1 zoVQSUs+z^y3ER(n67gb{^ibYv(;#j%-}v3io`ZgEqR-RMWnJiTbUJMKZo}#0T9=P$ z)wG|Dl$|xP^7ht=7YbHbzv)V!P-g1%K~?umr0y(36>;OYJQ1!tj<>)3wew7=bI*i` z{$+DNaP_Qs)%ZSZ%BRi~TRJ)HKfAAKyX;rNe)jOv7>k*mu_g9$ar5)?Qul3TdKsf? zqFlKD+N?a&$uCYx{|F54)!)O}@l0#x&L)}dL0)mcm1c83IUWE?kmW0RoTi`MzgMH; z=oxLk<%R!U|NXjn#W#Dx<xn%@HYT5G=caOMcu9A1Y(6jf`)Y5fWQYm7V{EDk{{^2A z?JdTFp1j`NI~=Tw!`L!-9@a*mY+Poeek(L}o;l;ij7pvxoVrsFSSem-wzBNC`DnYr zI{Z>qzU*P6c%8|qsk&@6Cw^F|-dGcSDotUocIIBA`xi_vcP7~srG-4LvvJ#8TG+n5 zOhMi^{-9rm5qp`_l^N&uKj2kK(wz6ru`pSh?f-*YBL17t&d~5rk>Oo$zBA=2n@h3i zL-%d(-)o(j_jlpFH!CNd%saEcXcdE)uA^Jjiu`n$#XVn*KTnL<G%xOD#|zo{xnee# z?quvyywnq6-yvIi{pXWqf-TRjwI`UkeVmfcq|LwXKG%*+mPZ#=MBlV5%UR~VVntc? z&TTorTH*v|d2PK}m7e&TXHC;{;nUoWa|4dPv--4bvS^sb<?^u3=l8<iZ;G@D4Yv9D ztkClIDl>&y+Ix3zyn9DD%{xDAh1@%ypH*s~u1{Q&!7^!Q(9Y`Hw;c|>Naysmytv_E zmD|CX%-gaCQd<veB)P}hZi?S}@WQsp8y>Q~+jfP`h_zoSUV6RyOenWN&$0}&gm2A( zduN8uTh_m;`qVN1t2|2<duCeS)fI5rvROSfw`an~l1uUVy&-2EtY-b#cKI&jzG&4y z&sA)Gez`ttZ3fGsz3oxL-Exg{FStm(X;GV=pziYY)g9gWpH8mt6hBv2eey!%1Fz6S z0dKxsajX2hWWtZ7>nGpL=RMyUp5D47OhRF6T7dO~#v3b~8B%LC#eJ=0R_UM1625Er zn77Spj)8UFol^aetIQOnd}9vyWf;o6X%Wk|o~t3no)EsJBU^_5Q^Afeq1(@=tyY-# z;lEh>TnFh)#@%<1)lKf@**;P1{q5Y4@SBr*?edfZ^`df9e9gKZJ1yvq4iUe3tlM#N zuk8)Dq|Di2((b+2H}>D&anwTpcEwc-IrbgBTN>`>B`3eRc{F#)(cfXx`qIZA%Yh8J zl_O)`cf9tFo4Zx<MVZ5e3vZ@vluUInlkU_$Yxeq>hTAu(-XlxXW~(Ml5x8lwRdSu_ z?KRI9N!+y9E~#gB<dDu<x40QhKc90d+A4>YW^Vm(r$lmR>yIf5r?q5m{&2`7)vi0d z?tqxpq?yH6Pq#K+_7u|(_$}jkX6CEMm-gKkzGCJ6$SCM<bYy$Hjr%5}pwy>l_lTID zpSVC~w$hn5GlddmR-T-wdGMi_kW!Dbrlr}-*uqK7TMK4~#;0)m`xtJp=wOU~;o<J* zb2Gef8q>B56Z_^!9$#p|#~A&>%Uw$6$mf~4*W3d4<n)-;3rstAdrje@h#LmeKem20 z^PZ~lcthf{2dAIqhRICSwaNKlv@hdc+Czbxhnm^+AA3K`z4kvKWc@=aA+a;J*F066 zEnynta;T_swyR}|aJ#SCqfML6Zj##6ndp2$O=l{5U&+%AFSQ;WGAdBfVfPIe3>Ql( z><SY;e<s{eo2&J(o0ZV?&uYr9d-HF2xc?HGIaha`isBcGNiz<sDZ8@f-SBYND$a|r z)=#(0klnb(ZFOln+v~8FTEQ$=zR9(x-hN3g<nb*nPF-rTOZfUcd0yo}ab*o{hkU6f zlfIT0PO=+XEAI>aNxJO9@uo#hIYHe;@r6um()&f9=7#oJY_w(m^|VrJp4#hU=l_Ms zZ9k=Wz39#=U&jl&T1`w<ZcM(?ehJbJ!2%`Ss}?ozIR=D%UQsh4U7<M)tYk7<R%JxU z#<*`QVwz4ca8+H24DBpFve<uep7}g8>k{Ll>$;9U;Zc=+KR%!Rp;ms)Ffcza(B-N_ zO0~&eqj@jyP3dRSo{{P;Fj?)G*^FuJb5kFu=ARPX@@mEk^URkH*3VeYGL8oMt>lcf z-KpER=JbSA>j@KQ^lmYVYwB5fF5=ATfRO1y65VAAi&xvc;OgFZ$o|x0_NS{^ocG6n zSN`><aq}YK@E!7@$4>P(?~+e+3%_|@RjjO~eoDAgSpUQyj(7VLmKw~D__Jv4N3Il~ z(qpIk_wJGpbjy0%8+MG_N42$n%Jqb4p`U&hRejd7-KpyFQtRnX7R}(fn=W`b?ySq5 zaz|)M$<&WAx2AF4sPNGHd(7qN>`%5Hi6!c<Y+w9idA<MV5haDUOTra0S4|c(-dyp^ z^}E`c7kZC3>O9%>vH#bj%d0mPyr{d|pD@*WeiYNvsUL+vjtD&XqWpDTi)3u7&Gc<w z)y)6hoGf{I(X7YWb2nzN8|^w(p*J)2<MrP9kYk7XA7_VsS3dJX>#-%<X2y5(YdZr~ zY+74#?!{`Evna+cwNY0{S>?Fz<&$To%Zi*ij0(bfp2~#ux9+&Uzft7s-w4&oj(2DB z)HE0=gr#nL=(1UE>gIy9qmkd$&%M-ozms9{c_*2k35)t~M2dT@T)({w6wE!(5B=Gb zp`5(Rc$$}Hml(*Qb5m^+K16n0Rt^eN7e9SLmNTz`<%*NV+X)ZYJXSf2tz6BxDTBjl z*Qv^LIo>*XGD}4EOyWA)C3JR|@xj;$2O<sU6;Cj}YS|r`8P)!vXWgfH!ZEr}V+A%Q zss}OMUoho?p2veVPUj_0%*g5qz4cf+L@2c+Y}Ip_xORi3Uuq-G{=WEkVT1931GW<m zMBbgpJ;CUzX18SJmz92zTUaz>Q*Dkv;4}U{VbA}-y<P$3N;|#^T4+Bg?r9HY%?~-Q zJ*%N&-KROsXR2+|e;=HC^6cL)rQQOULze|l$#*oI{m$o_;YRDpj2n9bt)B@TxpR51 zru>^ggVWO#maPs^UzA`b`+8;aqI)G%-?k)Fojbg24`a~X`5_Fa%@pRZ_EA5Q)ZZ~% zD}LjjfS+Av67x4N3UvP<bt#-nZ`ya&(*HkS#I<kU73luphfk^9;{OG9;WK?%J{8{A zIJ)ksEyLZ;7jEnuD?+WGD00kvHQ|z6!#hujOQF+*8+Z5LarE+iU$i%{SZUYsjc2=J zR|z}r3wf>`CT05nV9mNuvjm<z-j{jf@XDV8cW>WF+iGCy$|@N=w{Ua);@^U4B~vfT zG-xNioB!*d@7dND+m4xWPtB~j@vMH;L($00v(*~+%(CZX1~D$Zd&u-uo!ib!*Y~#P zrQ~e3nKkWPLbLJJ52vQ?duJ<gKGdw3BklGbQ(pC=EIpTUW2W$vX9Q2oc-7--6r8Se ztFdQY(M*B(&=b!?R$V@BD&LeI(v%z4dbvd4v3IH6=T%J4il?5IX?WhVBmc=~&8Ihe zXZ=2~So5Hn_eISLX94}IAI?Z|Jl=UKp>4sD;_D}>#gY^Qr|!CD+mRQYvzcXHTgSb7 z;ey<<Ym~*#8XU<LyAYhL(Xr!)Wk8wUsmaH7aWj`ZPn!MoyNO+iP*%;c$d1oDPp2mQ z*L?rmAZ-!juGo!dPt{Awz1jEcwNKW)=8tny4H6ceja7ahmUC{;Rhy2yh@8#87PNJo zbXlb+_IZ6@gbA}?>{CPcA2K2Hbd1hBmaI;iEh=DYQ^BWI@ywFr@x@OP8-&AWx~QZz zmhIfPZj!$6#}!|nMNQ4u)Vd!K61SmLnI~m-tB~k~V#8~<9q+|$d%L-7*`BxlOADvU z&glp(vhIB|Z9n@XEnbPPrAgKvPp9Sb7|eRqJa@;XyBpWOTeiCA|Ar#o`+REU)rBf@ zg_YI3l~)B+mYmeP!Rh<sfM3U_%Rld*wNL0baecAkuygMv=A(bRRp$He>`%|xP*(qw zsmhEYSC#8Df6i0mdl{?mPhD1BYR+vuElObH%^Qc?xM!ab-*!saA-eO@g`C%c=QCbS zzc+j7U5gjZZbFCaHcvEfym-Q9LeHB<w@XUD_<L#+cDrf+PuVSPEctES@&t#=EE|NQ zBDM+06)w8zRq^by#py@qm+@|1Flo*z&JH(`=Kt)d>n~&j*xGcW85rc#7#a9c<|&FZ z5(`q{%Ny3zvL}QdpKA8q)K-pz?cpa&zrbC=YxO1`@myin$s4ypSUqyBnDnC!ie+L2 zn{H}Vz2E!&UN!qp^9_YZS-<!3-dMK#LH)j+-(Q^F&GGW?>iI_u?$0bvJ9owU>72^{ z^KLIW`EhTh{r;up^Y4}aaj%;mSAYKfzvXiEZ>Qd0=>A`B`?nX@U$_5!`~J^s`(NMo z{q_I*xtoj2`_t`jFDK_G?EkZG@2{_~es7Pnt^YGMW3rm>zP10eKP}$zZ}o?h`*_SV zFD*-3DV_0qtG$(4!Q9Cn^DMVL_t~tkzJG$fU)*WF-2ANQs|%x_-!FL+bamb1tvgSu zRr>DUx_9BLZT4SZ)V6zBRi4c^+u*+ccGZ_RQ)l-)F@1aCubcU<RHIqf*A!nb3!S^W z>_tWG?fY9jj(rMZlfGdj`F+vu@;BEOCV!uH(j{*5y1a<)E!lI=oXn2wzFQxyKDknN zZ^5i<CTE}jy=^t~Qcd2rL(`|{OuK&Qtd(!pI?qF8wb!$)x93kibM~~To^$5hoQZy? zz3ugH8m)I#TUi<u`D#~T<;k#Fz9FAIDrcn#iLDDed98A%+{vXinw6gSQs3S#%fG+P z`8Z!(=~cJbEZ5Fg&*on{d3wtt^KDm!-sZi#vhep-^Vo9l&rhCKdOGj^rp7yA`j!7- zrB_*|?onF5Q@r@D{av3!(?89sPCR^3t8(c&F8}JeNzd2qJgNWOG<CA%6|JA5vhP<W zXlVTmotwt?FuU?>ZlBo6KYK!QKi=NzQ#ZHvfVS)PGTlk{FI*J+v+R0uk4xOA=$v(B zq5Jo*d;L|GM|{%#bGwi9zP+<@vgk#tpL0w6FGk+Ku(tO2w3F|5*`7OGd2)4Db&Gq} z+&}Ze+ppjI^1IJ9_V)cN-+o;?`TqG<ALn56ohRitsGX=t{{G2(lKq+BOj~P_(%kR6 zrS<*)X{;}G^DlZiUA2DB^yvrhtemVCKXH%M`yl(>ojYwJCtSCR-K4cJewNOe?5UpS zC&gbrF&0{JDRlC5oolIY7j<V=x&NNME4DszlZyWO=DyP^+kK|ZirTgCRB!f79pfFl z+e0_Sm~T2#zW1lv)HMby(;bCpsoj2Z)b!yqzv=4rldjKdoV_Y9<M!WWeRubm=z0G$ z(LcYmeE+_;`Bqg=!cNYADfhJW9#h$wu#?%BrZ`Ri^m$Lr-+a-dyz#4_=o@LauARI; zr8_qK>c3svVt1A7+2^%A_;t$dFF(GBob}$eTDE6vYi2%I@YQvneebS+e`nufud)-_ zdJS_wO-ea#6YBlpNR*28%f8z?Pv`dtnQ!%qn;j`&dt&`*osMNC%Wm!8%>8M#PnX__ z^^p_q-(=mXR9Sm(soC0T(W?0;vg=~ozkJA=_-4}dSO0duGcS4TbW-f(y2_QWcWr;W zK$&;X#0}2TjsI09{M}W$NqY0Xg{z{E`@LQNe`{jq+&A+ai=R)NZIS!pUO{p6;`q-` z&Q_gD{C};=)c@Zx){sr9pSJH(ue|k2D`Vy!)%7#^JQ-)H)=!^)d1v-jUGuo*_G0_b zdzZeRa_uXBOJ?l<NQF`lRqfA0R*J@%f4$SrmS;^}b81bc@6YgS#p=7CRi^}%oV`Em z=hxRamO5X4l3V%lb^gAU!ONGOoTql>DcjUHQ?K7?S+(o_m9@pyu`BGKnP%VL7Hxjn zVeW1d-+S}K_b<)f{%wEutUtkVf7)i}zYMu(a`QItRCC{dv!$~pPySOJx21af>)EQm zpFG{N|6f|4*wjDWo^$5kD7#*sTOI88sc+AUe+SI`@6DWk<;tN=(OkRD{r_zhdvWW3 zIisu9vh@u@yuUbCTv)K5@u;g><L<0RzX$nDGY;HmHE8@V5OC3U>L2&GLk-fi)c;>z z{Cdl5_5Y_EHVIAsP=B3i?uY*z8kZjZ&pvY6D=%Z(pZ$Ae%p)!;MZTTE>XsPTIxT;q zOoHRxSIn^yA*YJAd+=>q&?o!m&?P4^Epy8w7k`$PrWQ|jj!mfuEt)a;`We{+=38>_ z`_|uRvwpK&Z<mUtlYZ@;bq~t2Wpk#<ynLd1=irp-GEbNMzEV18+P*0=Z(sbpEnR*0 z%ajWnUoCt6W&icK_9qhV=bJw1?%J3AJO1<Pdt2|Op4{jcr)@6X{pacv3-$M0XYWNm zuUQy!`ALPk{xx6WC{^_rtP$3+Pqfw_UNT8|?w$(ky;d(SSy`SunSF8F@Atd5yubD_ zs@(OOiDhK>y&L<AkBgnu%u9WFZN-a!x3wn)&)ws_>t020=yN@*<FiZrcHI^YD}A+O z(&duSoonMFt}To{y7c5rzj;}=cP3x$y&iry;Oe(&=kAvB#?P8Faao!8Dz9@_4p}YL z%0F6^Sv2jierg)4ssFjMPepm}KE2k9t-r0xq&~|=#8X9G=T7aiNR^pdUSaYx?Tl8g z3uu<zdg<Z)`Bqt*7XS0kExTgmUhDd{?8^Djb+ewVSljnYa+b=Z!|HFA7O2e3Su|^b zXPvP5gD2*lOO<?I{n4J36EtN_<?A=uhF?odeU>l2S9~Qmt97x}iup#X=e>$^KWqNK z{$k=hev7cMz>}vYHk`A0lqTo@vUErCzqA{LcQ&|Rl>BcIX`Fxl<BVNls#il_*|p!4 zEen~NwLUK(-(uBTt>D+PUt1RMTVoY9b6d&ht|!$yk1t(N7^v)LdZ*!GVarXyG&UY% z=C+xPhlK^7br={Y%#buV!{ZXYG-}uH&Rh0NUKClq-2duXX2&A!=dV)E@`RmxAF?y* z-3sq3m-}9=__y%C`K>_RD|+sK9jC4+?yEW$X#7U)<iu$ov{X0e-f0Lg6nxmp;jdzU z$z<x|%!SU&WYnfCixucnnft8j{}YYB@{_eZ!p<*x#c%z}&Gb&-)U36OzgfJJbDvvY zV!qqCHhgWG{$-_~ue7|s`#F~IU-%W*#8c7xPW6Pt47o?DCmOCB?qQMSxYjMv;nl<= zA;-qU&n(wJJt0IW<v{W`p_Bt3j;J_-1l!sf`;U7YsBs!SFs$Y@N~m}=NrAboo$>JD zhU1S_C8o1TeweYBMbf~A({lny@L}SC#~+1!Jeqha&crqGNX(Ogil3ZVQluiwW+ONE zT<J=`xbVCu@^b^;p5T{#u>Zfk>8Htu{{H+wZNkhSt5;h(+Pqrfm$hnf)av@c>o0Hm z)H7|fUgaQL5Mn;{f%}X8RoSuevv0k0G++NXNb1k6n7M1}CePm~F7tKS;xwzQU5o!M zku!`xU-Z}VZ~j;BwQc8qtzNbL*TkvWf0|<6dY(MJ@7wC>lON5T{CLNIGexttua;-` za!oRwyzEu}pVxh>%!HTB6DiGI^HgT%fxz(WsZ}d``?RhYXYd8@e<5xc_g_4&J|lhe zzWaqC8-Iyj7mBs`ZueYc#qFQAKl@GvyS@@TcjNxr?fdpldi^lv>Xzv5lJ&d4+RmLh zuc$=sy;WAz;{CB!ud{z-)}IJ8f6edEm$kHRVVd=;?67sye--hXTxOqjl=<w1$aDLq zFV{1D`}+Ulm+v^f{|)8eKR@MPOwYRP7=0z-lj&ja5>?|SdxY`NFg2Lyaqh;FY}2W0 z<SNVZW3Eq`>~*cCsKkFx=~R!nmEID)F;6oB=N8Q6{@GEa7ns(%V)l~5p%<B!FFL8O zeEsFORdO>X9^CQvyHWEDzP{88h6fFK+<Xd5ngfMxiet2ejSmX*9P|Ak(#&}zruB=5 z;cvIK3{xU`4jMdnUthFE$k4y@;5H#s>#{jLJD0Ap+xX7Ir+d?Z`I`<1itSL1)|?n( z^*QHM?lPX(cawUG_)ltl|5CDZ`O?$2rm^o%zAOqiele~3@|@<Ncm7g3<}*J(mV7EV zYvGY4TZDu!d--^18``Zsva{rAzK?d{Hb>PHbL3A4Bt^6dIbVu>(|t>?jFmmmEcC2@ z)4Eq>;jU{|$xWPiaMHo8OJmL~h(0w@{@v7|a~{(_Z7$I?U+(=gvw5jXah=lQDayuE zBo4ocQFSwXp|!E+*A6e8)C-|W4!iblUous~BWthM1WS8$=gz>H&AMxk+}I+t`O;fk z<5*$t75%G^T-zelEEUpp=I2QsRkw7FjYfB6v@f0AXcH^^c;&3sM}C$R=Xh&>tZY8( z`0URzNw1!h0ZD$lo}c&o{-^V$-A1juGFO-E@_OT;{n51<WX;rtM{e+_UR#o#6c^Qb z_2sM?v-A2IGgZr$O_gu}J7j-ko6uvUDG{fx#Hflbn;J3Uo$%+&UOax<8}Ggn=GAh1 z_UD<TSI_x3!kMaJ1~0yHvc;-SGkl?CsI&ISx-CM^V5jbs^zu0okd(K}GHm(Oh^b+Z za?N(GKGLy8X!m6=p8Nn#qvzXC1SBQ33B5Ps5AQ6OD47~DJ1i+~SF)ZL|3%wORk2A? z>xELz<<DGrWC@R|orm_vx~NWNo?TvhrmyQ<`0`}d`l~X$=Y&(P{jP}aJSpi_GbQX% zMO0^UrfT1^sS@+TlH#K}6Txl`ll1aA8IZ&rTs$>m{yX8<m(G4HjOq-`RP~$mO3QE& zD1zrtUwGsSkE-3yboJ@#K5u23-8yG+zAihuqGfhL-YGjz^ZRa_Yp&lvmTfd?-n5Bd zz7=krx~JE1dyT%|inUL~B}z_j@8ik)nP&WAT0U>{*J=G{eojx(Ftl~<yql?7wsh)^ zlM7ZK;bV(cHCr-u#^kW1T2M6nzr>@OmZD*pP_tI(D$g#jH{RMC)z=<bwneD;vR6(H zD1_ptEj)6DN40IqREarZNdnF5gqS5urrwwo28y_|V9%X4o-$+VPOplZevOYp(f30i z73}ioSsS|OS)=bR`(0jV)`u>7rWo`(wCT*x69GwOZ9<bTdHJMV2u)&YzNgjbxLr-| z!GeOR5fj3aOpm*R65;<Y9@S?l8iqD^We#6DYpA;R$dfHX{7a`s%*g9w_gov!Y4p5a z)46k}q*srRwxQD6BPYVMrb?V!u=<E{rmEQTsTDgw0a~BhCiK~8ip1QoB$3U<Q!8e1 z?)K{O`rcW(rXsvk_2sjOs|m#uEdQUD^g44sAW0**c&dcu&TVRGeQV#&NWSE?##eiz zNvv=iI0^jsY7^o%nj$eREXkmGoshD`ms!n@;hnshs?(NcCnbZ!a<!zF&Y6HDpQz4@ znW}C}r%FVwcKN#arQJrGwMVA0O;srVcim`8#FT|cj%*PUzU0;8uWe|y_DJIvA?>WG zEAHJ-IIdD$SJx)gZ9HYhscYp^XG{u9DvIj#%v6>0*WPHf_DC`~u-B(+Y*caX%zQa( zhGT7v>ayk5&rH~2Rp)tVZ`4|Q<RI7{bE7E{vlbp%vqi}GvZ<kRtnlT_rW>a^hId}g zRGkNo)p}#+&QwV+9k2JRuPrSX?!LUc)AZ%tBTK$WRq3u=Cp3AlsmaQilh1Z^8qP2| z`1MI{R+F@Z&&&r!Y_n%R5LtHN4$E?*8QD$VCoUXfnQnCB5=*%83ZDYj=CwWrs?C*Z zJ9-XYQ`^yX(0fVMtB8V`i@o<g__cK1f{0vJ-SaCJC~wXZpR!z{MEiwFa~|v5#0zT| zZ06Y|YErW<PilM9xrwjBE~xYD68)mtoX1*wY(cc+d*c^j7oLNJ3_%(aF05TJnP=OI z<rUBFg^Is_zN_Nmtw(E5Xx!Vz{N3Z@Hlr6}`P|KUAI*$kh~<CZQoXd|@TH@-H*DDU z*WB=hSiW&{-bc5yYjXcfEqfrAFW;Q^@te^LvG;G3tzx%V6svjtzRq)h)yllz`H8#o ze&?rN$o;=jW?ddgRQv7z-!E;K{XJP7p<cF<vu~Nz^qCK0(h7HS%GE`rGYZQT|9CrN z*C7^mze}C9Ct1GxTs^3Lw?y*KCeC+BAGF<0?%iC<6>oYa>)N^NJ|EsTN43`+Hkff` z{p<&JpXv^?uuuBsdwBPp(7(FEcPgKqo$+fMr{8{m<C^0v?uI{Nh3}m1S#PAtlf-qu z_-pEi-)&Y?4$9AX5ccew^WhDXBLAFV2{-tWY;Jz-*HyJ0%?Fu;YnnoXGMYBeES$*s zE^(>Y><0;E-kqH55~HWgdQi12I<4uogpT>atvq2n_V8>oJQ&LJ??`F_mwo9`i9a(r z`x3;i8$=jSIXGYXm0H)|LoDV-KfHx&QYYk{-KMsq@k-e`E1xxcIr);gWMd42tgjiD zow>VB&U*iaWc5hvvaqyuX4(8s&zGOSwOH#$<nMChb92H|Qw+Mg)yfO{JoO(PTUIV^ zy;Gxr{drQENqIq^+Ink?`Cs=5h$vs>IVYu@#+H6If$i*rH8T${kep*WTe5_G`NCbz z#fr`E9@MnG+%@_0={xB&4n`Vx^SpatqB-MW<mS1K#unMz3}5j137<(T%A0Lyx&GXm z1-Haj_-$v<R`=kp(O=AZEoI&9OOi8gvrcwYN?^Nx{zO8q8{7H&yMyzLF15e3)Vh)Q zi{FUv^7Ygd1H0I!^V!B8Y&r+|jlDM56-$1;5wM<ReO=gW$IA_pe>9Yvc_k%%Jnow> zG4^0R^WeCoWX_or2|>AR>z7IIj6A@z?%aulU^lkOmxWz-v7BX23z#tR;IkecwPn(l za~<;z@NgL$-Iz4-;N(AQyHqXWXFGN`+})zFcy``a;|+OV?qu6cc0Ao6DUzPDL3c4r z`R92T&K_VnJN4n8FH;k>Q`hl)4%wi6cvFwp?YfCNcWZoRMzQJyXS&TSOH29Sle_xL zlIW$S2Y5~y8{L>X@!;mIl6z*IdoZa%G9)EsgSB$A^H#}_<;FWq64-K$jBZSxc<?WG zYF(|V?cZ22gXZ}uDI3g{o7J<7S9qouR36}|n>F#^z8;=$*V7E_=Q1*-ec0T?^G^0t zqMucB;>(x%e+B;r9pJgO-1x#5m!gNYyU&|+tI1#gIpgsMk09Z*hC4gWo<FjiWvTG} zbK&o&mv-nDXWnW5nR#cz&&)eBe`elU^fU9$>Ytf+w*1Vzv-fA_ont>U@0|acdFRH@ z%sUT%X5M-AGxN^ppP6_5{LH*_dZ)>|k1<l_rFBx}<#kfqE9<1bSJz3I*VRdtH`GaO zZ>p2}-cl!J-d-nF-dQKLy}M58dvBeT`Gh*D@=12HZU+7Oxnuj6%R5efxxC}{m&-fe ze!0Bk|Ch@<1ixP1q5Spo4%4rfcesDOyd(1K<sI2yFYl=RdU;3x*ULK=e!VVQzOBwK zukf$QyVAd#?tJ-`dFSu1%sV@OU4C45!~gNI8~%^^Zu&nCyXpUU-p%>?=I877S(O;x z&b06SowZ-b{6?Kr`K>ys?RV;=zTc~pGJjYnRsOh6YWveVsqfG0q|9H{NtM5;liL2S zPU`!IIw|wdbyDSD>!h}Suao-zvrfwVkKL@BKY#zMV0p0oqs{^U#|s<gAG^$G-+P>; zPU<}O9}_v5ADJed#hE5ki!-<Me!iTc@_hNlsB`{{Z_b(THrc|yx2>d3O7}>Nl<x5u zDc_@iOm6l3%ruevd^yAQ+474{XZ#n(&YbTy*>bvDu+?<8+m`mdZY6b6YhV5`nRWGN zrpe6DmoqY+FTc3#%+lT!OE2y?<G*<7%=vD`#`e8s>2*@Mr~a5kE&7>hqFbD4Vp*KI z#qjgxjGSl7FTOhCzxe0O`EHku?0eZV>!ftg#7OC$kCE~{`^V(goS&H{cAqb2v_4yY z@es%bv*x=w8&7v*Hkt0$ZEWAW3}o_!KPFO(e`cDPe7>A9@7eN;_s%T6$ai+>#Sdrv z7qiZq?-p!g-)ojxC-wI1ACst+KQm3Ni!)8!i!-;_f4-d2@of1;rL+EvGiS|r+iYy# zdn~I?YVG+LskN74q|RRWV{!{*vd`zs84I5+zxeBn|KhW==DR7IOm};1GTklP#J)ET zWb)-dCQ_?@W|}yCzMQe^+475GXO~`dJG=Cv###TxrL*R{8JpVoe#@$p%Dwo<Bx=LY zOcT%IOq0;!%q_m3FJ~-yw)|q$S^vd1XU%t;Y+~QrmQyFCdpSl*_gajU@0C9$w?HOG zeZHKr_u2A`MrZvO+s>Zv#%wy>?Xc-|H*Zt>UbXBxsod*-Or$pc%rpu3d^zLVv*j24 z&Mv)JcXsK;gtPvOpU#@^CTwQkyDg_q>g|<3CQ;jeX6|7w%iJUV?bF)kW6_EBz1I)d zNxkp<YZ52%J9Cfax62i+Z<c@5yXpUU>8AO|Zl~J!UO!VO^?vqWlQ^5-nR^<)U9J#) zyZocyE&s<)x6D5lon_y9{c4@m`?Y^fZvEY9a*KJFi4?=H%q=WmE@ue6Sbov$g8$;X z^XI!|JKFa?tJmvIYt-v4Yp9drW%_Fp#r7-HMDWYyjDOFUU(C4RzgTpE_u|q8-is|4 z%y--EVBgEuR41j&`qw0i@mJ;+*)NwfcwSt}P<e4FL-fV+i#8Yh7tdWV->uovzV}!| zos=)fUz1xbyG(9z?J|*K|CPB#=*#5{y%&omcRSk4=Q91R*`o60^F@;jOE0EeSbEXz zg8$-;3+B762Dz)bPRf_L)+9OL#q-6j3%nOUUEsZV<%0Qcvq45T*GaAA_-hiy@hfwS z_Ls{U8ZRzoIJ~%&VfbSC#gYsDi+LB$cT0A%?`><UlRC@&*W?!WE|Xh=yG*3`er0Y^ z_;NYJ>&0Tp*-rNIZ(0A=Y%%)s`C`t6r5ER1SlxV5{8EO;i{%#=U2r#E)>>ybi}zP% z>Boyz7nWXJbz$kno(ukqZ5PgWJM1KXHbUap&kWla&ljIvIKSVmMX&c*t6uN5mO81k zTz^e&iT}zpG5K;i!{x<d$;;06^0}O`Qn@^_QoUS%O`<@O#$PUH<iA*caoPp{#jK0w zyG?eQ?iTDk-R-uMeXm<vozz<1zb3O}e`T6zez}~{@nZSKO&6A4d~{*y#UmH|7q4A7 z-)*t8eebpQIw@U{2bF(ini!O1n%I<NZUI?a^kVtNZx{R*3oe@P#_Vk0o7Pz;<r^6z z<r@<tl^gZPL~8qwOq1}BmowfyT7L1}QUAq^J@ehXCFIY}IPxQNOYg_a8GMhIU%Ym7 zzPoJAvASdaixqq4yLC&|%bBeG`1$I@^@iI%Ue3sRJbi7q+i}0?W^2NxxoJw8@V;ot zVW}v7;r7Yx;)OG!No=~4daiI?NIKQKM0M&y$;&HwqEsZmew0|BHr*{hJ$&<)Iquym z$y+5a8l31|a&UsTH+RJrk55c;w|?(1xy88C<lf!%3rZ(j=C`NW==DCc)zghFmD8Pc z=HurJR>zlK%sRgG<23VTF;BI>HYU3YGfj>enk3gwcI;M}{i~u!x7T}dZ|_3M#j|*# zRAzqqC?PC4-R-iZ`Tml<6<a(u&FnuOv2TY-)DekUhK(l|xK27-YjbSD(WMtJ99_Ef zsZEs1%-7Es&h7GE{I_eiJTJFV#>5nE`F=zDi?XJQX`09G-{~#tu1pM3xw%QN=uoL= zx$%nBP)Uo2x7v0*T6eZ%V_4x=-}D)hqMqAUb<Zi_jk?2=75Uky;Lujl!ptp-g-<V; zKE9}Q@|vAoGSj0=8B$3Z$HY{c#XRF4JvN*stJf<gucxc~{G!rH@4q%CHwrRMo)moc z?&s(i^Nf4;*sx4OulJi|-p@-#a=MfD+&P|nMmt98tRBzV8<8m&lstcY5Y<~ZS7iT_ zN4G5Bdx>B73%~mKOv`IOf78dh0k#+WX67_|n$1W%?XxjC?N&mT+ofmT&ySwjkZfmm zKazjWhJ%aam!z(e-*hmYUFX9F+0Q#=KX>weyPea2<3R(j+wAi@*~M-q^zgDpKV4~; zqi%4dukwW<H_z7OzP&k(iDhRZtmk`{ojDz5XDYvLtJX)+=;q1Kyx%qUzp3%NY54eU zjo)oU;kPpurmcV3xVUVY`rBhxna?LLysc^dOmMf;vYS6`vW}nGko@ho;pR6pn9I(j zm)Z5-G<^Pc#__i^^xw>AF7w$M`_cb~A@kcA>ThTK+?MBm(~$ekjOA}<w3eMQ4)Zzt zx=eN6#@o-ClZzfV_U9O!kO`<t_!_vncH7wvNo<nV{QNoQ$F$`na*o$zoqn_7rrq}B zwi`yz-_BTAc7{QkXY;}IZD$Is(-!c$-AGu%TXu6-uKDy=ayQb}#Mo@OK0~b{VcN#n z0>|F!oBB@;tyw$|#B8ok=ur*cd$&(A`}ms;$;WOQe(o{sWj}UX>dlP&jlLUF?-{w7 z$lb^k&zsI0cEf1*+Znriw|26ByJ7hKhT-KmEYtiqcPDIXp42aEe6KGu^LWj+(^@aJ ztkV|qhTTq>$b0QZ!baYC^=Fv8-^`d=COGYu;qqsZhNs`maAx1p@b!Rl*`?h*qCM<c zZ&pdAeVs7Nw(K<T_TT3?q-*lRPYC~B>+^p5<hnb3l?VDNZ_d*!yWx4?-q@D)${ge8 z^Wx5IF3VExc{+hT?B>e38%l2{f4|uOcE)qvnX^8Z^{(5Zow6WSG5^Ni@3qO!Pa3zs z-8C=e^q!pKI-8;sH}Y<~b@`Z>^q%z1d$W1A+I(nSd+^@JX=j9@)8@+--_vvImECOO zeC(aa={Ga<->}$iGqp(Fth@angY=pcFFMPZ%5NtuJ-h7|+v}VUdwBhBaL(Cw(B14t z%IP)R4@%C?ZI<19lTAH$TXoKci)pu$ny=>;m?_Ke{=Gjp{Jop?Gg<4j&9cF{&5ULi z8T>P=-^{rFMsf4qzRJ6OH@_==mzJxz+PBioT99AnWJa#FTJj&8^o>_*68m?4f6L-` z`|+10yytEt+-je8ZF8={UAE#JgTrjaxdx}%KIasih<lV{vb|$b<K8)UQjT7kv*Eh+ z?A)0>Gk%8InWn#;p}Xyjq;=YAS>u~=`*XJ^``zTeC0ll;yDZB(cWwN(<g%Ma%imtP zcAI;1<~Fw7xiclp&d6D(EtB1Rz0Wcyd&Bv@NTVxE%I%Q}D`kyO^+l!~@7b0-?Y3d^ zn;D{@T$8p`*7#gsWa{yr?a5)c4Tay#FfBXdVx6{D*7#&!WcqQRZOPYe8wS6b!CQ7F z#5!%Utnt~tn<>YAwkMn2HZ*=SL$~aV57>35`);NkKeH`)+ik<*H#20*&cs-!EtfSu z-*+?h_?hj=Ww$~3#kTB>lXcp9+07^VZl)jC*_OO2cf%1j=G+Ze*qU=ToMCIu-EfD^ zIcLKmHs{<8m)H*HY&gYsICsM>HszcR$JmU|NG@iV+iK7H{<i$$zh!59qMtR$e(vCv z+kEh`nMFqP&u^V)5{p~jG|lRnG5yVqqoDG@=WDlF#Zk8Nx9_)Je{;p}*5(_p%g$I@ zKjXFDdb#Y(>@uHY<qvhO)4FW?_az_SlX7}bcJ>6r-}}>#?@2l?voUyf*7MJevc`A& zEN3m;`uC_s+VPO^XhZqg(UK>xuo>rUV>FwQ+1zM0BemJlEFxWd*D1Emx!Vq!StPFh zypQ+UjU4A3gX?V0xdoT}9xzLv*?hC@X2L$+w%ZAfpp3pZcE?q-h>Xp>TMlYQ&s}U5 zk+QjW)4?R^o^1y+ts9fgB9b=uZaf$y-Lv(ek#x`IgKWDCvU4`vNt4cRHr{4+Ja@yP zwAl&GQ_W^%G;c0rn*3%)sM(C1=Bs8ilA2A;BC@BaFXmmAw&CUj<><M^W;4<@uibpG zM0(BkgPY@Te4cG<X_F)WHdSWvyDj|d&)r`Ab>_E1x2?4gwjQ5$Gkw0$o9+80mK*UU zzL7n>uxITe+0T>qCjUHh&%rkLv`uPr;<vuk^|f^cx6-Q3lJ93Uf8M;-`Ak-F=Bq}> z<8NMV?Vl}nE64fm-Q(@YbvGPKo1L$JnEiJ4)1zj$Gpx6&mYrd-PV1U`;6%^WU1!bC z=pADCec1j$U*$o)JKkmz2|m&`8?Lhj=NcSg>&|&_%d9eCf~@f&In~E+W^DZC_Ez)v zkDFU%J{?fBel}t4*Y<A-Rd@R;&&9FM+0g&s{Ehenn%@d9OMja%GxNC2=Hz9!_m;2X zwcGO9GX1&nMY*)ixiin_&V2qRuJnps>HjPH(yPu*o0%7W)yDdz`rDb0<aRst-Qwig zbo==Z+d22w*<O3}fATZOZz+>qKE3_>%<-G{;*-smZO<fjx34ht>X7bklqv4Nf91|P zbK~-RJD;iTTDns%FZEqc^z7MJywCo9_Vn4ck6gvuD^iQg`y6%lpA7XY{(fLm{*`#q z%y)A06c##e*;##}Q-(L`?A^&8Dz=h(*%w2aD~iA0;nCD@TfQ-4nd73J+0hNpq@I|R z*UC(MeN4hVV9N!Cn|HoGa`E<Wd$%A!$|U#QFaJeT)sr3OvA@Xeye79GTSKpKtJ%M) zJFa;5`W@SGhWX36V^8BQ+4!*Tk(j6Vm`mSOuIG`r+=EWubzg2&Y-rZ+wql8&Si`Yz z*84Eg^G+X4`+L7Loj7lPHM?)t$5QbbzcXu`n?s7ff0DTWg&}{zM_qA=>d2bt=Crf1 ziz8}|Guvn$E4Sr+v8Z$P9-o)bJKlHZvE2Wj^kQv~{FB|hXQGAwT<A7@;Qljj_9X)` z`?Al5V#{~jVm1kOZDh~*-|57EAw$GfZh@;pm#ssv^^}T+Z<QKf8F=ppJY$SHz3>jR ziL-Mnd&cfIGr0wU5_OLl{c?V+WIH>1x7yMlk^7?eZfQScD)q#yym$MD&o$d$T0VU! z{xi?B@@7~3v+BPM3)Zq%EbS_jd+=BGR&|3v--71p4EI$W-2IuO-Y*E=cf03~+rwKm z+k*>&+g{t0?%;P$=P!8O8eiG4Tldc`<~s=wtN082TcvFd<cjR7Xs}i<`O0AKz2hSD z9r=gzZ4T%P?y79qtx)ov!Q5`gN#;ARAEfaYR5#zRXt0+0bB(#A`<U*}G?v-brhoMA zua%8k`tZBlgSV3Z9x%qucsSqofVELbRYS1FKh^td1LIN_YTeIu+m-S-es9f=1y21n z+t*bxc0})Gd2zDmw#|XnCSSfVyq|L6G_y_harwPVD!=vJ-YX%O`Pg4>!FrRb=ezH= zp8ND;drkR?4{R*;-)>Y$HrmNOD75}J>Ahd`9+d;K^LYAS6fPG(TwL<!DznY{<NK>Q zKPbr6+8(%E^yM?dd!Y;V_t(naTmC>#zwNu`kLZ2TihCFj-rws}xwY~C2Zns(5B&Te zW-<ML$#~D_!G4<qzuEtk?~AtHQ-9!p#m^7_82`V{Jo}pYkG$i$>g0y3<Ia1y4=_0H zDLLS<$Yovi+y=*{iok}0O)oa|DY~4DxxQ4^nf0R-|KXO&ufurMyPogzj_R54XNTQU z562j#<S7N8WaJjJe&k|JR`I<ToUrD^$4{J(Rr-$qk?<EeTYCPDVWY;R*Z2M<EK<;$ zYS_7=a@}s5V=11K`#lr50x!pWH<1dq^((e&%v3slIl)KVa$aTvTcFN$+ttT>9Bp<V z(BYqXmMg8g=-4-gVw<yPZgCf@`sT$M_&?&A<-g?h(KSamI9Oz|HMP3zQtLU$C02Q2 z&dcPDFOn_@X$qci+7RM(Bv?*ovPtE-{-=vf&sT163Np-CI;HWsTZC$%$-<*qi|)i+ zXXDCNv6pg8T>IkW@%RmW9i_grHP)zKsy%tbF_Br&+gn&OXNu58A+FgfzESpxn_oCh z*31#~TgSCAG-#oz$hOXTCJPUhU2HwJ?S|s63sc0c_ZmqsC%l;?^l=W~ZdKprZxy>F zisRpiTF$$jIDh%fn^FthzL>noTa>nQ-EFRSA14%g9oQ?BexbJMMM~rE*2&T=HVT<i zUltTxn#;h?sm|N}aYIJh1!b3ATaMk3@8?oK+p;m<g4ar+W7)BaGtS36r2D<+II?Fy z{<28YU!XAUfsmAcRn@HOBpcTw^NvQ_*ytAS*?HJl==g0b8}B29r`!DtIy#i+EMH&Y z_oQM*_w&vh?`#en<!-9T=oV-FxInC(_2UvTeNQc$RYy+?xt|W&<22<|=~~T?s}yc| zJTi6MQgh^WQ^xF0bDI<$(~oL_-7(h#MDi<7+*t4^cj97E*?WSqJFXwx?J|cwv!ngH zi?P)GhaC!eSs(VDxG2OYFR<}kg;djGA@{`wGQyTpO%Hb{NYy`db~O2M$h|4!Nozmr z#SPr*EEi{RtFvBg;9kyhF@t+K>%{=>be4-2-07?r6}X?Xd<+wwFR)R(K)l<Z^<#?k ze9lD|W$eT)^OhFQ>sY$fS!SO?lJAAJJXZzzFMi}<X1Qp}%glPQlDC=VA}dcb>qSo< zXO@qBqRyQwxOJrreI8{T?ReOfk=-HKlp)wLaqCXu-c@HCGfV_eADC-;jAN0k{4p-| z?GGf>3%5KuT+#XXn5?i$-?o|=8ijW%lZ6DU#mrC7(3!Jk&0&#;OH5^#aV=t%UB<cS zq}np!jn7`rX_R!4`Mag^pwGi4rrc?qk2Z>@dDm^(dQhhGv8vrOp~9BSJPe;%KE9Iw z%=+=6qRxanKi>$62^DU8c-SIBrErVu;iS&T3mK<*yt83E5ahT;<fzfb*5eWpen+Af zm8yq%$n*zo2zrt+u`^W2UE61km`k+h8o?vUd~2qfWa@U73b}K8t`T=x?U5tk;_Z>6 z@+iE`UG#=Z2iv9_K1VKH(h!SgxhNnO&3f^N=xvsZa|Ldzvdj4=womDJ*M38@u!QS~ zo@2?*gLz8ZOk}Gzw0GS1-E}iz{gI0zlD}2#rEV;86Ub#Q*#6}BZlycQ9p+X$rgtpv zD*v&=U*LU7fxTe%gzY~n90c;;eOyrRNsg7@Q|7(YhYbqzjz1Q3v^jfhaZ`n4^WPx0 zxQGW03UaR=8#>zjIQE$B>CSy>l_%x4hkkuo9X;Rvx%Ju@`{{dRe0N<9&?~l$_%tiq z%l~iu{&}{)zAN0UxFAu~KX2=kuyxX16aRNlJYOoy`f1Uu<`X|OGfJZ8*DP;+J!hi$ z>&vUtH5Y!IYp`L?g&heJ5pEea4m>)Bo3?JaapIEHy#q><S2td)tJgQ*$)%-i)b`6| zsmrlD^G__vx?}JusrL8R{f9ZcmUv#N5D|DO6TN$$ai#C=`2VjDH8n}E`@Q$##S2Mq z^Il!Lx5W2wiOiI=MTS=M6doxom}xn0%ChCQ*7KB-!WY|G%@cExF0oPWXm75V)?xV} zh_PKoRBHBPOQo{vSuKw%9Zi^zb2n9Fc8I$g$FV=!pb*FSNY!!Ax5KBKDi*efvwU2| zx1Q_KSD85BBi-hE^g5>Jf|gF-_^?bNZtg=}$2}s4Z#Pv4ww1Gd?BagU^=PZuJ>es( zbz}sOcx&y^@3`*&!$DyEoPrR6^)m~61lCV0h!I#n`NKkmIFX0Sj(eC69dD|5+brBv zalLD@i%iL$_@kPRdxDQ<I_}XuD(Sd~{b;1)p5I3-9rs*4qTW<7xznHZ<2RxCoR9XZ z*ohyJcAq1DB-*V;vEzIDioU9j@-_*Dj_)lJ8Xe{h5-J_#)e<@#-zz1QI?PKYv^usI zOQ?027fI-Kl;=t)c5Kg((Cjczmr(6^FP3B?Xx~wDQy`h;B7<ad=Z*E5B|U{rFN(WA zHoe%{#o6@Yc2{T9i@#l-O)ob0o@}bv+@k3svwhF;10jxkW*jt8+Gd@fW)S<RVtu2e zOIosl-{P4<$GgSO2wDEhO5XP5_-?5)ijO#!&$oQ$C1bnS&|jc-yJ5V*-rXA=1Zr1q z3=r76cB6;D-;En11Z=l$bP@PFZ)1qS`q~XXB@5@9O!JnpUAfUopmy!XAOYKH8@&W- zXKjoU*gJ2do51>&8^Vefq#IrHl=)k|F;2j?VWXo!ZOg_$fxR6YJq7M_986m@ZRa~} z*&9k7?^6<1E9?tt%x(G+#<Gq3Q8w=l#g6x?3Ck7Y7A8*5IQyM@L-eB`O$^^S7V+DE z<60zc`;Bvvymc1K#mO?|+>7+h-wC?pXWh~6_;+T9zd*eG!M`qdcs`#Mu~Fz)Kk<R1 zW6fa}e$Gd)6)GYG?u#5$Zu$|$E+=}#+-^g>fbE(>2Z8(L2a{daRPJMFKF<14R#Zmh z$n>xeEeiXNHmS3I+$v_H+L1r+K_)1kja}Ac@0-|^uG+VL#e)e7`!+W{XZg5Q$VR{8 z{mcifjx`@y^tm3**09j&(C>br>R40Gtk3=Ev`mGo!2N><RyX|!Vv7?#@?Gu2E`@zD zjpi&L|FZAV?6~)&qPwG5w)Wl!Gr|4eN~#W9yUcn0BDA$!wNGtjMSsU<ZeOp$mX57Q zP6zGL?>OfC!@;8RVCUjVYWMFRG`!fV|M|fVg?+o5_*p-uiq)ue{JZ}nV#~6Xf_?&p zc8d%7{kRqt^7(NtvgGmOUS!FAj$;uc=Q*xNs)pw{7a6kI3A$LT*J$m$yoqBz=b}^r zJ8_q2nK|Mv(NZ;v9oMv1Jj+!TT<dS(An?}KAV45~^-%?-yr(aAG#qqU!)f&}p+g~R zPO^sJ?T)Z#5^5c4!V-Q*j4qTjD691KF-UkGF<ZoI<>o2Vd%<v4hpwBvO3y@#U$Ya| zDEyj{Fh{{^W`d1iHDkQ`L6(b?^JNnjy>L?J^_gTbt2trQ3nyU#A3?ua*OONjTv{S` zS%rPp#bhhN{e>pY$9WvTcpdanx~9<k+|c{dlj?mlbe}%%-akXRBcCaGox;Ap?n;+C zdoJ!`{jAEq>tRA;hTC~H9hD^C3$r=9SuU<->t?+;na$h9c$Y%r$|X+Qm34$&!j*Nz zUA`-=5pbEVv_{0mTxpGvOTXrtX@6d*wwgA*kZj%B^n$mwwCM$7>({0iUt4&$W-N4* zX8rgyDo5yudG^Lgf%x8|VvaTJ($TCRmqz3WANjsvW2`{@p<{fGHRV#)oe|zOg;Kj& zE(-GP?)2F${8lk({({5O--I^mU+m=i-ErgHmupVFPaLkx-SJI1CV0`d>Bahv>ek8I z40rf{S}ZB>PTZwi%0}VmMFVDjFPZxWN1P|A#Y-PK-1I|EQBLqk_}>pJ6!!gY|J(G# zNP&;z(e1_xAA$G>haWfnaFgfbdZb-f;UvI2@q>zBcIWzk4>da<->$5P5{SQg)Y#?E zt<QUHY_vPpzkVbjxc_L)WbyNykFvK{#0lI#ag@91$1j~Ykt5&Z3nM^Y^ltj`P9sk2 z$aSxeT?+e{yTVyNeht{8*73gRQK@6iS>b$+N7gedf(7EQABk@Ik*9i3%;mQ39_J%b zk8V$|2!HfrmC8NgBil6!T?FD!9R2OG#&KVCH$Th8CT@3C-+jg1$wJ4&Qww7R?$15i z-t?nN+fK+OTfatU<%ibLITI}Q>2^$Z`BV0R)p3r}qd7;*{}x0&`msp<9LFMVzH>r_ zB^TbZJ@2?t{xYlCU&UT(;=|yFOE&Y`DJRWe{+`cny2;D+&7YfIEN<3kxv0;6)#QTW zqVGIx9FMHEB|MHa*V!#<a%}qH#Kk83^vSOG^Ab7~?6R5`HvI_UY~y_7tR$h=alR&@ zMZs=a<HV*PQ`p@&A2G{H=ys@mlyE+x&(wR@a9YRKBiC;j`aSxw%<>rbqt(x6D0IB9 zPgW9)Zq;u|o^<3RZ=sLak?>=Nt^)TJkB2zcES0{jBD(Kw?@OWM*Y_Jb3)JssFlPOD zo!7uyp#DF@X4a45LI&;vvC|C01!`*y`#U}>%&jq;-;ugJSzk<GBmbqD;@q7#)PEeZ z5cAY3VLhJI`FQn)2&JN$Xi;z0iyA_wJ8r!Gk!LhbxUlD=YUmn)Bj3X}1_{KkJ*MT^ z`aUXotHM6MUel%@btc!i9<4qZq2BRcD|xTNzCAs>O+V@k&A1+|K6FE+BVRCiv%<b> zow_b>uGcIVj%L01o$EHsMNO{ToffNJaJ0%cz4+5Ix9P=)me{5jPg?Fay|~e0+w|g0 z%ig9J2U=>IUTkTRax4isbhqinoR(^qi;A4zxfTfvy%Tmhu6#$o<D6TCgMe($hXo2& zq7M}vOH>XWZhB$U;?8n0k)xk$(PV*d+>4S0_&63B3&;t(uq)W;b@<s^Xms@1RX7RE zt^Tk?!RpHcO-GZKqnDdrTxw2dx!B8oo@>!-K0eMxyZPn`yNJu$=y&8<RyYd87JgWy zu<QPV4GL9<AF3*S<E%RHFxBx()nV<X7cbkwSugJ9UC+75T{=$OMc-_XV#mDfieQ1g zS3gWss9Nzb*YS(jVe6(Boo(f;7k~4-=UjALvX5iYbjf?-F6WJE6guvuSZH;~rC6wS z#3ftkb<9ip5g@Sl@P~;CRV@#b9ltOg=5+k>=a8r4mv4tO9lv}yl<D~8)gej8FHa6d zI)1r#$kOr4jYH~9FP66YvtBgjp3k|+T+B|~g<ofmxXXI&8pV!zVJnvTY6-^fGi>N6 zR=K;!a6(6I)4ID7E=Oig+kds`pv#=rpV}G{N*(7d5;P{xZ0&60T=dhr&0DJ`hFMvq zFFzw;$r7i-^*xjB{A^=&^OmXq$d=sk<Lttn_Q$x?>vP#2XPtI1i4&;b$R^BkQBzL1 z(_-`Gc)7_c>~<VYCl^W1|8+pbv1S5uFzd&S{64}**zF8r1@;OVG@qDLFLZW_h26cz z$fh69IMTe|)ugi&vwUO~JR^D}+}XfiAfD@Bjbn{03pdM0R{<T7BkGPDJU%^s|1-f* zFuQ4gPP3}Z8vlQF%+rKshU#22a{QCaq$7SLp8Wuq;}?koUP{;0<G&r#>U>=NAVRl8 zKR3BoVc+@QtxZ2ZnO@^ubf4jx@W%ZgzUJgiu=uCOZsyhbW3}uJm5%+d8_zcVI4yre zsbl~A#<fj9xTSBXb?iUb__pbXw(JeXj{T*LbDLh=YlwBx(XE$e-mTiVe_o?)(~sX$ zH?%wU2Q}6<{kYAuLhwj@{(-wqKdjkyxC_+3WcbeY=soKLJI6m$8Sm(Ky#M}~(Xr;C zJU`c?e1->#jx~WY{KAD#KHM#^aY{*3TIA09(Kh#u_>t=yKrM`S$C6#%*wzF}_j}9S zzjVyevBpr^pW{*Wor<6*Kb$P*O|sbM+_#+d<J4js<vBIMGUvTy;)RbZI(}JpytwIw zWB+s3i`jDe9E<F2;zV5JD`do6_LuKb>Dc$KB2wV*?T=jwzqULMb^H=`JiF<|!oKUQ z7qw;cIToo~-4k)S&br6-NZh$_*AHfb$93c74_Y_<s9?OOnzVi~yYM~XBjJ1nE*3vG zG2RnD!k+$tQSkQM^=lujIC60{*YS=U+&@l-Tll4fJ#vxfQlB4m(0vkD{bycz;f<j` zPG?#ucJv!OT(ZQeUSQ6oPal2N=1epB>78Yv+_8UQ+vcVpuQM&wJNB!$`?GwU{^Y|- zg@0`_cEU&E`wu^F`XOCtq2IBeuU((zWBJ1XUvr*dZx?2kkLC9b0tDu6FzDz=T_7&T zCcN?7i=0+PA@_7mo~agA9*Giyw>$QqZhYvn#_``SRyU4E@8c7eDExC`bK`uJpOLUd z;hz=jF^)&?!xPphRFx#od2;-I-+_wG$LmEBniT%^F{*Jr(w9w`r0}myKu!2#PwW1B z&6-U=ep}Aa>e!#qoZ0k4+GvJe$Noo6l1)FV6=!I6>|fOs+4N(#+6>)}{m&XLn|@SF z&Cu@HpV3&^^kcTr4E>J%uNqj{T)F1BeA@T0`kcw*ewjSGsnx!#K1{n;TXp}V{Li=5 zcfKu;vp<tx|2+Qx#qHnU*1wt`9}*BU;r=%N`8CYHpMHOPb$$5#{a;`GeC)04JImx} z*T40iN;~V1Z42IctUcrU%(csl7N6W{$-46W*L{o2|KGFy_2u9BxKP`7uWtT6xBB=0 zz2#SP%kN#>|9)=muP?8vOONN>U9~Ih>pHD4!!zBY%j<4TaM=IP_Sg4c&WTH>X@=Mo zX?y-%;W+d5uPyr**N5JZ+x~yyf*{objo&?7cY2C8D1O^2qBr5?_5WeQ^N%#VSh{lB zF|TLatbcXa`F@<+74ncZXy2T?y{qGw@7r6IDf&O)&8ejul*>Y2y%y(~^x?Mk?{6lq znp$f@&Tdey@?6E58?$QHw%t2}L*HBf`Wk*VFl>6s`MBDuKab7UD|MClK6$kEMSt-9 zy&B1mS95KDef#Sk8anafD%L(%DWB~dwghcGGV#Ut;QM<tlC5Hw78rD!XlsRP?-9<O zv2jh%(}#O?Bu;CGX78EJn(VoB7t8zfgEy81#dd99eBbw}`GJU)dWUbm2oIkAeb3i* z|Ng$;v-tj1t5qwu`Egx&8X>teBK@+aMuNj0y;`H{_PqPr8;pN#4tdGiv+v*amA`pI zw|{^8v0v-*)6^L?ob1efy0JgQA9Xf3?b=-<>3{6d%9XD_X;;|n*kFC`)YWi9ogEua z?%WYn%gdg0->dd1Q=-tVSEmd%N7<=eyQ#Wl?Y|4hWIx6q-;wb;Bya!z>0zboWRKr> zSh#R%^p^ZZH!hsgxwSpu%jJ;HnRBeazN`K!U;TY=q<Va4#n-v@Z?A55|9`Lk_0xy% z-mb3t^5*8+@cp}geOX>5`s#MXWG?mSb^DsHuH2=iuz1?OZf0Koc}iz1MB~mk1)gWQ zf7qMXSnRdjpV~bU>37o&UitlIo|9p5P{}s=kAS}WYpo5pAKjbtU-?W|+p3F`n7$^j z;lD1W+WIOhheI=Z3D*Y0B{>_ljx3w7Np%&+?87IgiLFd}!>Jj)#%qK5lAKPhqx&Xo zTDpqIR5A5*h~+yz&FFPn1^ym4xvsK(Z<~_4xUT7UkiDlU`*hu4`=fs!ZpsZ_RM+-B z_-(NLX}4|BSLLg}zr4RXfBn3>)qkF@uibOLYo5)zgPkGEujo514}5oy&Hng;wEZ5T zDbs!K$JthGdwX+p{`$JVDXKrXdoq?iH!wc`;^e<`yMM*s1#MUjjeUP9b*q^1{v$me z%{5h@a=Ksgetx;s$J(d6#<Fzf+49=$->(0k#k0zGn#;afO|mz4pNife@RIMv->uzl z;w$?ip1pY5AE)&?=`(ZLR=#ih7H?SfeQo*Qk7ZXmj~5*dkDRzmOxZbfr{?awpRWzH z_Uwt9SaR&ehfl6kUxiBu$Hmoq)c0;YYqhLy-rSn~_Fw-c|Igc-_x`SbdBS=&pa1*i z9!t;eTGuUYuyEtb7g8^qI(vOxuX~64WaVg885bz4nZKT}YnkE7kX7}EuDGc!%3dnH z%+yFQR`agm-ie(rtafr5OU>+vYrWeO+3Vxt-@O0e8#lE@&rjS>^4q*-+tH(G+jg_+ zyXo!Hn&SA^I%n69OM!0h-f!H<s1|#({y-bsj4#t(&EL3>Q7!iI)ClpvZm&*R&9u4~ zc=YY*{Ry6Py_1E`d~@aZ59SP=G5u~J)9W=Jf3^GK_nf=4H+bc%#ztNdr}M$vlf36j zrRm-KI%79){<WRb6Bc<i*>2o_j>Y)LkIpx(u@^T@S(t7RSF!8zT|2K|%61*B0>WGK zj&IC4@*?f(JNbDt-f`3)I=yjCUy@fyzRk>|fjwbQ?-$rS+1Mn<QGYD?=9I_R?)|-e zzjC@s{L)v={hI|RKl*?0^|bnfGa?oUTS%ssKX~i#vvT%+YeTCE5i7O7h4=6)J=^B8 z^jG;Fxd<PB<Jyl|35K@Yza4&<Q2Mg(vC>uf-J2~%E_MId7keftBz?uZL(6Y!X#RC^ zyMF&epn+;?&0kq}CyrP1e#%#vw=BqOtA8+8psC8|zxq8c#TDv*{vVnhd3EKPh`8nd z^7qKQ_*6ar{~*IGX>0Pq?1TT0u5d`Y=l1fyfmxWG!<r+atIG`ZCd_$xxizbynbYyf zlI=VtAEFX0ZRL53K6oWq-hI+6yGLZV)olLaPR;8A?B`uKJ<|_zmbH=p87CXhE0kqF z-KJOiSwi-g=PNgHThywqc)euXh6vvB4QgUxdt7=8J_JnoRs8+^(yS%T>`kYqt_<W0 zJLV-l@5`OZ1(v#UZxa$G+)4Q)_4q-rLeaWuu32lEJ8zyyt?B)GLGJkjmv2ia+u7VZ z7<}`|wfF0yjl+C|OXRz^avD86SzfxRIhIj%??>B{2Y*F4zFO6$^1JN)O=s>YD^BuV zJ61R0tk-G>-@*?OF5&YNKlpiAy{`XX6<+_Ir8E8aviJ8c_NPa+PMNjJy&-tYuextR zS+klgBRf9uIk_yemUw8gM*4dAv^--Oo|8^plh>)5UOu===gQ_KS9I7X&#~fPc4L0J z^^dRNuljUCie+@pIJvJjTJboBeev8XzcV|w8=O2}ToAmsW|{f)RX_Ruy<f%l)9VTo z`{%h;5_k2x{w>idF|fQOpq%d}ZaG6z)9>$sMlOSBtCjDM@*T5ET`6y_a@cD_R&M9K ze{*y;Z8~x#h&_4J$y4S!(_ZQqnnm8+o3XQb;mwq%uh(nK@SIlDuI5-*VqiCWO$~F_ z)VS#uZ-ed6zEfG;_c{IUz16Zj8Pn!h3fw-|(`FrW_nJjb;9DggE5WVT4nFcoe8%v! z<=(q(cJ+D}e)RAC^(toTzOC$gvgS5#yYCSjIlbk~^VhO}z9#A1OStvm!MXfvXO-^n z>E+vIA?|(b_P^Ysg5yUc_LM$OW3OMSul{%I?MDfpU5h_mn;0#-W&QM}9=XLAmhYSM zCE(t>gvrIRucj}I-h1KqhqnbEJXaasUA`#jZHd8-$mB~Gs*lB1IbMD<U6F6|()Dky zDVxSH#rfY_d^~5d*TrQ)Z?DF0FYv1PTQI-*;n_N=XG&{au3AJ){}nl7_J&D8rQ79H z9=3GfUQzvJlG>aC&z-xjAKW(KkmxHr_S?=}(^vlf>ZUBZYLoX>X1=nv(>t~}*schl z{jkOR){1tSHy))KXO=FVXD?S)V0CrH&%@HD{T3FY>ok_Oz6xaDEge4PlGoPz2X`J* zT~sc^E;A=LM(OC(SBC8AQl`^i`JZ^qXO|lywabmqYDM0(tJmhFzd8Dflf7N}I*aY{ z(*4~>UwN`OZ@RhWs@#Ff;Jd+med+s6r>y^Qc~=hOl0E(JjQQSiD?F{0o`3c9qKH^` zv6<|5cU|SPv=G~S#_08zSI;cj?{7WP7QfzOUik-I`^e>=nws-}ovd23@5KY@x=rtL z+huG1UJB{nfA8w@`1O;z?Bl=BcY8ivCZ;r!Ep+qh>9Tt!t)BT)VrDAex2|USSSRU? zO5HzJHOosUZFH?Ud+=&oM3P{9c|bwxs#5#C8{fuc2UnRszADXk@ATiIS3>bJ&gLu4 zWn~N}ZvVQ|;FB)<`)5X1&v;CJb(`<r$-il@PXC*F@#`9?mx}K#UepB2t+(Iv`oXRI z*cSJw$F{cTt-cz)+-A9Gzx}!Lp!m%*&rDnOSvK^}V$tf$Pk!@RUD^KJ*qZU|_r~UV zU*BA?*{4(*QvX`|-shKbMLzk>(sw?KzLfm1qq&pU_|?s)&U<^aW(2<1vb!Wbt4;Fj zt@p`$TQg?_>YMn#uuVJMQzcs#^j<(Z<w@jY&AplVGXlT2UFLIh-52fde7k3tPWl(W zm5z3?Q#SL7nVnefU3BhXlgD9o`52bdZl8qNFK@Egx4~sc|DP)dzvOINQ|34SrEB%! zy#e8$pYttqb6UGa>q;fNep_^6h{y{4FUxh86f9iT_h9LSL#|m4Ec)#tdST4U6{<@L zI;BJou2yXIzWRV8j$1QkS)0p;Dy?p1p7`t1QU|-L{Ll3?yvskVv|`Ql^Rsi?-9PAm z{ZuLcLs0O`is|P!@061~c=qeZo$7_HSs^UjuNlki5k4SfYSqN}wO9Jwowh<Bmf+WG zXDYpKHZyCgUuEuiIDFADSA$LeSoW@xlt0+}RW0L(*Oe9ywyX0Ol>6BkHm&=rmhozr zi*Hv##a_-+>ZL_2b6@@X#5F%X+)}ma_N(O81vi(ueQ5u6W6wMT-KP3=%SGPZa`dmb ztUN<L^Ndm4dfhaa50PH}f5mm|YrC)U#qKUKSr^!vmBunT`C#~`xbJgC4?fBXJ87Hs z{{Gp(U2=OjPRhBvWY5w6b2!Y>CQmKZUm|W?tXyHWV9LLe^W6__HU#bZ_T2r0k63s8 z+N(_*b{%P3?`oNdZVT|=SbDD|ElMd<R?PK-+n3wo#yOMHqGb+h-ttH-IkoEbP1UUB zlbp7yH1&P`5_8^ewTo_Vg6H1H=jW8ZW|{7KVf8(hvkW?cr<G?!*Eii0h!H%P|H}8m zY{jrwesTFtu5s$oqK@CIe(qTnDeJhs>gS%7DZVVRuYxZ;)eg*7o)NgeDX#OnYk~9L zntN+D+cmv@{aE{3)uA?yx_JHhGp)L>9OJ0FZV<ommdHW**P0uwnZ9t`mN~eGE&QTQ z*{wytoeuX@`Q-K{_#N)4y1hxa>E^_O*Hg{1s#)?|tr>C_m$`f>+tC^{n`x_YQ@In@ z^i{D{`!cTxPF#2Yu3*i)UE2iXxbI!KDSYs!+sj3>3-Vdwm%Q5j{=%<!m+yD~3iHXU zzp(rAERM9)jq#h-3%|dn6!~U)jrjEMFZ!k*ooW(pKk4FL!I1Qc6IBoOyz5S=6q77` zH?ikke?pp=WTeuW7mXa((iM;HJUyw(py{gL?2yDV+XeSy>sS75uvzl_;~j@dMjP$d zq_-zbGIF*PI(X?$SBT@cN%yLLT;#aMdTLXd)@}KN-yTddaj_FPc(-xN)UBtJ1#N_4 z0{Z72SFU*Vh&|`tq2CEC|H}g9*0;{<c(AMcQ^oZ;{%#*uSDnB9#p>5ej<Rd@9LuwR zyyVz+_570bndwS5Ue(;*?`4$F72(~O{#9tl!_Rw?N;R5pzy96Swe@$gV93?e&)@dV z>whq<>gOKS6WoG-%sdXClKOa*;~49!maWw<4-5KmXuO>IcPWP&+mtU+51(?Vu}=BY z_EKBWhg0L_)i<jJeYiDVu6-2Oolv#lq8jtdQd?j4(?NVv_b$v|(=aJ+UjD1|VGf?_ z1MgkR-rg`NZt<SA=7$+o_a=VyW8r4<s_Ole$I{K@Rn`6W`xg;`HI{q-)w#T%)07%n zZ7pzcQ^Ta9_yy+o8CCbLe}9o9jBSd^*~le*FZJs-aDAF$D|c{KLeso;@@^Z<W-Pc~ zZ+FRiRzg$U_n#5#&6_!^_C9xEnavcsdbi(&`MV|y=5Q*myw17&%W~b8C2<;auEn1d znDnc-O07v&QRTGO)tek;trK+ChdfuhA?viscj+&croD}`E>B$(GT&{3e#NvspMK~x z%@UZjE6}e0!8{Os&b=U>!*lP4-%3rtojqQ;t?YYrP+*ei)GP-P{?-Xs&RQCDwKscz z+Ls?7(%&}W%333fE@nlQub)ahMEYAne3P!`gS*$BD~b^DXA!?*n^7U+&nA9lag~dR zKfCyqy$LBI=h?)s%uNUpX)oGrSEd`bc<~Pf>vqvk(^nV<?umPDsLQ#|d-eBNY3ZXc zGXBoF*Pat2vUt(;C0?5~bDr(=PfVOCC4cloh{ww!Q^hX9#HHF-j%c{GP0d@Z_Ohtm z=KZRG$%`%K{VH8mD|_hP%f0Ots|%mY?)_Ji{$J~E=(`tR<^Sd$kGRYbylBVr%;d|T zu2lyw-m(5-W~KIl%)mRhUu@aqXJ*^QKI>KAy@aUHP}lJ9>w=6I-MF@;cvY_KpMS?* zMt!}$ul(M%)$#l9mEXDNxO!rp*SRM)#$Cd`&U2TGt%?kslO?sTSyW{8&A^&VCL!$F zIswItEM(1IGntxq87D6FzOqNdj#oQ&#WVLpvo$3XqXdrb+;C}WR-(xK)~R~I-HU$M ztSQ+UC3E!WhD)MZo+9xrQ}Y&2a|@Ks)6>=oIlV|ipiD|P;Phe%iLhM(YdewxB3>$O zHR?K;xU~D~Dvh?5sd|B{7fVQm?Fw1jnG|t?O*<(2>vr3eYp$$Wu_DQbyu??14ea67 zj$LBrVyL&KWaBM~qdzuWQq2k$5q1iz+;~go=#dGBRI|KACWA_uwShi-nla0^xf-f2 zDcE^S?&y^XheWg7MJ_vWZ4b0wJVWjs-xiOzT}f^`dcNMcA$#=9ghQ=a{vw;5xWrfP z4LrlA8MEe_tD*Xmg3eW)NqHU*gG$RqK09$uUs=6qhJ4VDn7h(Pn?NnAeHvnIB6*A7 z^(O^dJbc9T)j`DDiA#JH`{D?xpdB8zB1bn(ICS*YLyc+eB6@-SE*q7W6s)W=>6)t8 zdiu&gjj&c>J?#MYFY{EF6l|>0>1uc8n!e)r;tG`|1uLy2jxGkN5)ORBuNkv$Ue6;3 zkB3}eLqz&pMe-KU>wEOEK)$EwtB(l#;nbM81@n3zbxt_c`YJ%gzfD9h=)CL45RZpR zUu#7CK{o5VeDv{n81yws<b0cmUU2(j3z485F?&Uh7C&s!K6UkU;2y!C9Zq|Nj?RAA za$96o_~HulB?S|!bi2Mgafz?KzSu%2Xot^U!J`)^9D4fdtVW;dsixHng7%6YJ^nSS zU~0&!?Tc?bh`FM2#Cl$}dY8R<%2U_VGs?e4S#xXa|E}%&{^g%3x3Si}!mbm7dmo%S zq;N`Tq2ra`RU4<cx=oXu`pZH5_(%JeYWKIy?X9meMBX}?7DO(z5<A-bu*yAhqLtiH z=Z7t)wXd`X+Q<j(=>4V9B`)=R;_BZgj|a~2Vcl7^veeXa;rIQGdbwG?m42_*#yEJs zfA?d`JwMjTBH#Nj?^@0_<;$74b1$w|8k|ge|Ks<XxMgfpzPxcf_i}2|0&V$^Pk*?a zS8QZSZTe->b+)mjv^pSj=`WqGxr!><T34S3zTwpKoPYLn;2mkFO>2T`7f!!;Q1#{g z2-(B`1SVA_$qO8Qd{BDno*>?yhcoZ&T6fmSR;Nqr>H>+rPq*GMo%?k+YEPe)Mcd7d zI)5@G^5Zt^hBznfP!F5)%ki+%-_^Um8h5Q)XgKHeJH-vRe@QeZ8qVSU8xXrtO78HX z1pjF}zecWfJMtmUz;kDml;q)aZ%*B~Tsx;YglB%r`)cLW4Hpe_x?``rckMD%Ydd>! zwN%xL@cttk4Q(zMB+vFT)oc5`_-E;#1?S>_-OUq`mOuO<V}*XyY_lvU9{IM|%$;lF zmZ%;3AlRR(e__KvyT^Bp{JIhYIldd5&rT`g@pkr@9T>4@*^v!aCnhY~7o7aVW>#qV zW#=xY%SkIv?y3(;sNyMSe=+}FkCAel{;Myija19hAA6Y^w;f+}bNSn?7uQJ0oqQ+j z_wQQR#96Y3Pv4wrI$?jr+0KVk-~9Bx5@Y+_ZBx~{4H0L%A97Z`jX9vr?0aqV=dX7{ z-*<>u?_o7Ov*!AiLvwk)sMjU(d`~^N@_DbT;hozKuU~!<J6^DXQE%=wp}!wjn_s_` z`tXv3o7sVvYm25GHCWE*x4vxJaf5w~e)*X@mD*N*E$+(vcuFG8<iN|XS3(Wftew93 z+wZ+m^?sWlT=AZ7T4j@VaOHH_?5_dmn}YQpUOT!$pWAP5O_coMdpZ_hZ>2i(RKI$1 z=F7t?Re3!Rx9V7Ioi{tF!j#)@?ejF-w#^T&#Bb3xlv!82AhjrL)mp<jnHHYwM7AIO z;B?sY)wVlwhhMfymWsaZco=fHXV<mzV;>3+_x$SK?_IC<T4s;RmZ_OF<vf>PJrok( zE}?e)@YA(D-;Nn9JoiiaAdmEQ)|DP_yA$*F&dF21ymUopV!4_81i5MUWeay%w;fcp zyL^x7vE1H-?zov*vA-ubm){G$?f$O!;lmT_gWmr7@@sMa-$$yi7yinbvS-zP{Wi^y zZfZ}j{`tF0^s&8P?ec|7Y_gZLe-YCAw=^U!m(lva+mtGUHrX$#dkvOyUrV3aZ9VI- zjmY869|I0m>HaU^(Qg&e3+jI%>HsQGR?PEq;+nqVZ`Cm_&6rhuFFFmE6wIvBX}j*s zHGTE*<O<~_1+7*Rhi5Cc-d>@eRH6Ova!6spL#3}NJo)XXcdT%*6+LXN*vftNvf&<S zzt>4$eR$+sCh4vUIDhOzq{U6MR}=18wM^303poGsX|2Ugr>`|US33hXxxQ+ZU~8GI z8>WBEz+g_!N~<;dB_5`nzT$1j!>Jk_v?8uAF~s7g(pN8@#fMLdtqxC?5cb>VvRCZz zje<=}UwxH0);d`?IRC}cI*Xe{Uzf$rZkfC`ApfX=;hY@luVp-wh3q{=S*PiSzJK8w zz9Pq)`^xUBTtUBW0ehE!_k5VreMNAu0H<nn+@gE^iLoF@HcObbuX@H+YSN~=aMN0i zfa6Iwr2Mu;$X?f8Td+y>)nSRUw#mAo@<%slhlFkolz;s<ZAH#)krl#K;j=TQ|MC>u z^sm`IvU>iN)2CgQUpaqR?crSAIhVN49?Mu%uDbkd(Pl%>7qKrT_rCD?qZB$XRH$^% z*V?f4*3KuZ)y1EGxnw+L&-TC{F6-@_PgX~t^8d<YvZrR^FQ4^}hfmD@^}M*JN>VCn z--e*M*S#-Z__WKoeqs3Hg-^S+LrZ1et642uchYO$Ir;o2E3Y(I*m=av%DVV&ncmyA z;koR`J|14bMDKOsY`LS`L%+VxjH_SKD=Xs88ML<K&03SZ6<_NEn;-8uCi?oO^N~v% zoge+UDZ0`|HhJF1tx0XiJ{FrF+@Ipc)BSjP)NZ@IRbr7>9u`j7bw#M#N~y1CdQ@JZ z`>~J0)1$Hi-H!%73_7jz>V?Iv8~hU0%DFx=_qUzA#~>knoPU#*^E2kls#!5I`l;p5 zVuFquzxr5MGsCvNbC$qy?wfN1r?2WPw3!-vYw5BTlOO%CsQ#y9YT1{*`MG{r@FR;U zAazp5-EU6a>f_t}_~n~Rdd9o_`yab}`*SqQP3F8y-Hcyh&41RMm{}-ua?(zZvt5th z>dfrE`o`kk$w@n1&UQZDYxQ2EC34rl7~7LB`zk-i+g>&9oF#tzK}Brm#00;;dyYIi zzHz}l=lxNKC$kpzWHwy>-KE=;Tvzs<Z_`$;tBWlB+-lsbjTVR>-)Q^fVt8wm(DBa? z`NUuNEj^xRb7s;S58camO|RJPUE-kIpPaJdX-wwED8b`j3O1=`rOFsPot!p(RcPTF ze)Z^OVaGQr&dJ#sC4Br?!6wnHT$$NUC&Q+!EG>M)ryjlT+VPF*b8=d52^_yyuqpS- zF^g}llX8O>nH?>xx43Dv)v}LWdb5`P%8=+U26;Y0OQ&Y7mOInQw{m{!RF}Ej#&b`Y z+4`%V3Jy=7ej;{jeoXFl<LXUHp%b>w@!4tpWxD2!1?J4}dmjHyXu4Oj+gsnZnX}5e z{cfQQuiA^dliqYbjxcaMACaFgbG(_eO8nmMFG_kd7HoH4dr^B%Less{A9t%(iuqjF zExX^n-eZzu)tu}9zQ39$rQy6YWxsx3@IjWnGVl8zml!y%KW-~<d}cyZTz|De-{ylX zPb-gGoMRRKQg!EWVU3W_h1Z4sM?PkP)kz&sKghCIZo7To`DV^4+jkB!&zZkkte2GU ze%$RKdFt@={^NmnO(rbZ^G)J-LqgNMRsKf|4Q4F3{&-$basb$brQwf3CVVfEadzSm zTbcey;+Bbr@hRiUg*@CU&!>I}kU7kJvXZq%uTQY?$&*O0y<U7O>gPj_8EQ?KV?Hmg zC%HtyGT%b>+U#WtMMVPNf@G4LP4-kXEMtxDOO7cxc*yIkm(1g4Zn4l+;g4qs#BA6R zv{&r-kq1pxwm+(5CO2`vV!b0>=)<QM5w`MPPqJ6R!B1Xa!(@V+xx+$MZGSvNEM|l6 z(kl1&jLhFHs`Os|jeo%NbKafm!ZSR2D~fG|j<0#pwCng@`QvXubgjVgIUriLH#x7s z@$TckqlWrB7Q8Dw{&<FbOu+XY{Es69Ky?432njI#I6`E{@t0{fg2x{nko>fE&*VZK zPQ4S~H6BMu#Y{LKcx<B%NPGID2sx1Q&4p_?^j1{g*=Z5RmdCsHrF!8SE}i9~e@*(X zHa>l^U*!0#14UH{HFC#q9Vn`bnbV&fn_y|Hv#%q$Ho?+XYnAYg3nnx4ySFRJO*n9` zA<p35q>2QlezqxJt{rI>x*@mv^`3~noTWF})C)ExdWXmUQ{=3P=r3Kh{h#!#14}Xz zUsa12{4{xY<E%}`I@LB+1JyO6nbM{<jqJ(yJ!`)*WftpRi`FV~VRBw9o<3PJCxyx1 zb^p{~pIUR)@I2lWy2MSjz$!?7vY$_{UqZm#=U?|J7n`azGEeLdUmYnoef368pY!in z_8pi~9>bKMQoi5mpOUFv<9AT`AuLp393=N(dBonb87r&<C(JJ}<z2jAU~-B6+VwN{ zPBgP@eEQX7Gf$r;=b9D$XKzpT@m;XX&Md#%RJU>J*NrEWJ2}^^>pv^)U@TOz%yFBN zsc~cI*Nv687e{jPtZw&RRbIyAZ+>K%{q|y^ihYV^f1l2rePA;0#+UqO_pr>%?(p>w z_$*wpNYU)CWaNYc3)^md2~SRBI&Wrhi2LdymT9T>x4f=AV!3zf-LfqKx}FaT|2d?J z&7Xf}@%KkUTSNS{7WTdE*1lqNSNPD$X{(=BedAHT?Y7n<p+duXh0s=`M!|)fbag{l z3(b)9+vc;@FCon0X3<u)#+wgQw6EM^dDb#Hckwk<1M?8m=_|i-p5dOF8`*ek!ht^p zn_9DynYa&~6kF-bsl%fly~a#^gT|bk&RdfXJSy0<G%K6Q`_Rd0D|0z@c-5oVZF_xF z2UIF8v|sTs<@SoXzqF-Sc~4s%`)jh4*6mMBTchhG51$lUW&2B7)^D4~Ti*m<i<?PX z^&7V?Owr~F^<}yZvS{~LJ;f<Gvz<!)nZCD7)(!fuR*-LTGpRJ5>3i#B-O%sq9~?k+ zxC0Y^>*Tco{AwQxKo#;r7CFw!NWHK*{I7FUPN<}`{_tS>E&Mjvo_97&|0emX(R1CF zE}XvLw{XRpz|0q|&l&gH`Nqut<@&;8&)GA{Rhri|V!!l#{>Z{-rp3GWp52P@6TM!} z>o?86V13{1YUlRa%AofuQkSom2-`0$cj)zO+JAYOIdkvE#P4Ng=U>QrC7WElJt<wd zVxytw3*FNTS@_J)U2*N-`&_7E{lvC2R#Tq~TU<I3Qo_7{!hzqtKCat8c5}+)rf5~^ zS<E@G@mT0Oy$jx)H8*y+eDV2E#3XO3Cm5@_UgbmKW1)+spR$<LrKWeh`>|fNU{zt~ zi*^62n3hXV_i$ZWrQB%T>%GKxX_ax~=1!e?Wvl*neo6Wo#&kI;Qoi-onk%<CYo?w3 z9T=x3QmNi}ezRr3>+<VskIj$edvxz=aCE^=rLS>J&lfX3*`pQW{>4T@#a?-N`?cSk zdjg*KFP{8BO0znC9>0)8^+olm5uxtF5)$iQFEM@mc40DS&xv<$+U@TJujo_%khM4L zUJ$dqT7llIuj{W@Yj5utx*_ws=+&es@2t5A6J=!U*O*64T)$Lrz4jz`U-d738jr;P zHJ67}88wzpT)#x`Y>&w1gTb6{_Be!i6<Ia1>kE`h`y8L=nQ-1UskC~YZ^C!iq^~j+ zdX2HA>8oAsFLr<5rM&gYa?U&P<xA#?+Fy=t+ok+9=ljlk-B)B+PIrC&Rc-k@kA02P z58N$v|8)ISQ9y9HO8o>K_TR7ip5*sEO~_A{-~U0XGJsFb^xH@7{6i9RnooXw9khRs zf#!dmTL=8V^PD``7?Ad(H7kQpjz6_6K4L-J(+3G2*BhBkZP@)=MD#+Gi$0`yJXA6@ zV)t(q(F<E#^r6J#p^&K+`}r0Tz2M}c0*xgFJEdkfKR;wzyijU-v-siEHNQ{%kdfh2 zj|o|#_T<6d35SkmCGqvOi0B1QF8WZn<Cj+8<l+L8IXNq5O=?aCHEgfQ$ndL2uUqza z|GBfV%M%qk7O8ABKBx8|`dqre8yk&-7AGbtdv8;A?0%v&iJMF6U(5H${EQ!$CBA8u zpYiTR+}yjmZnLsF=a;;b5kGR?s$6{f5q+)jWskdl#6Hh^JnKj3^S(moKg)`l?+Deu zxFb-0WWH5-Q}rYLxz<OSKW;xa_u<4J$7LngN6Zg>`ZJUDx}o$7uSrX8CJG(3E?__A zyXmijgZra5_U|Mw>jxcFmATLJ`m1Ni)za!V{m?DbpC4Cbn^!2t9@ukM?32V_u`UVQ z#%HhfdmMIY9si(nVyYNZk50>rh#3bq8n}kf+ZrRQn<nw^qRhT2XP>cpUUBGL8;^Rz zw&NDLWHUwvhG$F+48q7KY{w_%Cl;lk+y^>0FxLC9fk^H5@R$qN_40mA*}kzXKqC63 znue01cV6s<>{Gt0Rww_zThb+b^Kb^olY(OVbC%Z^ikse)I=Owr8TF)D=Y%GnTh4uB z^`R*zzUL%we=$S;?&)LZs@-d|wnbDPpY~WrDPp3D+-{B&Zqlb~WLv%bE1U#>eNfew z|GTYl$&8gVweBBj|0%LvUFBNXB)K<RtQcf1dD!0kjtgRO`>Yso$-_qS`DXPDnXJTB z??vt{VqV_#MDLl+`zW4B_JzexKX^@(?uxjscwdwm9;~|kME)k7{>y)Y<k&01cmqGU zW|TbJTD7yN{w|*_Q{$mS_qLmUdYUp(bz?=3dchT&mP;Xbn|I%u^!%kwbwuOj_dl39 zmp-dB>O0S~tMA;LoXb{=Q#ggCkF>3AmHl_V^H+h)*GD(fFU9Wngoif+L%Q>ts8fx1 zEkBAeGJr5Ia(L?%q$GjQGe0~<F+*dk{@(>hYxx;uUNfw^!DH-WaH{eOBLf4&7OsYE zTnr4ZqFvXnm&RT%oqJvL;`N0ML28PNrfxfCwr26KwW&f~Dy>WctEPO_Sg~l!B`@u@ ze-s#MJuW=4{y4$w=rm6@24)6kdFE%D5l3c5-kh1^qr>xN_2(7g&tvEAFUT!@w`l6s zN>&{S?z#CZHC8O=@=Z0mJSj-%(vK4>Ix_OJr&_(O+20+arpER8|NsC0_q%EYEO2Oa z@&*BJFgV?^Otv&g=(5`VpJg7}|G1R4w&Xmw_ELE!>XOB|_lY}*{4aLX?|oEM-65~( z7k*yedTPoOt02*hm$rK8SMCaX7_{=)+ASM<e01LYd3yEe)}>QhOI=M>S)aP-DVu8c zPM+4+)78;-#7R)8U~|OUbKTq_OFyp<4sf%}=8B!^6kbtLR<@)lc+#tm(3WR={9dZw ze^Pp?*w1-s(Ag**@7sy7>XydZ){}cXTN`UDOAB)|Qxjt&Lt{52ESoi{tEs9eOKbAX zX;UUmIOgu=>f)TVP+`IRg6AebUcW7}uFu;V`Q~cWQoq`&in5ZTg1nrpjI<?2hI22Q z_&irXch1-9|K9Uf^;HWj!WT{c0|hOI9~M~19J`iiAi=}deDJ~h@6~Jf-+zBSs(x?W z`s=U1R_(r<xAk4w?%1rmPm6ZO=uJO8*C|}($Ccajs!j*zm%m!;xAyGHtrlC&s)N&W zU*-7rE?v3N*z{Xf?8Ix=L}%`jy1ZuP<qX@{m9+_3^Epb-d*3~Lc=P7PiysF)X9;-z z-~mIx{X1X&nVFgxi)w7~NR5b6yJA=xd%e^P1h_!p)aRcycJtLiKn)C(l}zF@f9`5Y zacOzBb@O-G)@?O!-~4@T_4kWHAhW<C1ARS4hJZ#V?$a%zD_S_T0zX;rF9tD6xlVmP zvgF9k*(z5ip0l@-{md0V`^%*FF-wYH^u3$5-nYu%v2xywDHhd}K_<_AuOLw}X*qjm z9aqVuyFbh2-u`*%n8bO?@6+7(=f2<bUpzPH>`m>dT-_cvVO35mH~3!)X=906>;JM& zW_hvu_j`AiE<b(R_iXlAZ{1yDw)2}8g}>S&mCDU59DKT=Os(%-#oEl=%#E46u2=W$ z*mCZv2AlE5%@R}14^23*cmCtMm4CMGySHwwS@+kM4{!d|TgMQ$`t$Kuf7V-pQuY6O zxp{MCWo2j1u+jp7RVzV26AV_a00E7uv$R-KUsYb3(qy)HK>!%|gMlw79M#n)Edzsl z^Xva>PixvMv3eDEXxQBPtF6mVgJM~2^2rpVnXR25u=4wT6H)I5MzJ#yS}QfRHqXrR z%E|-*PcQ)6s>ulkonAMMdz-qtnr=mF9Y6l~V}(tgr4<Og`fI*CO|o#_oLQ%y6iuFd zdHTu!L6*uOuy_#&D1pJEg&?4)m%wHcdw9!{Ek~VhM=kX$oiS}&NMHBSq>T|eDj=}M z{Q9Fv2W?fw-ied8MP%GQo3z!_<MH3>{FO4YA|Sv5246mdz~)Syo;?c|D=k)3Qv4YB zTwiT^V@q4tF&A(DD?htm>IVp3U$Nq|I@5yB3(v*tT=~iV_x=CB`~QFc|Nnb|g$!T& z!2|;do_}?q4DtGF)!w+NR&$z|>gLt>dhI!vxBd3pGVAG}06Y7(Z1>$f&n;1F!&blj z`+G{(vpC<D55jEq+rM-3b+>N2s}m;8R=>CU|2?IfIcBrZg8U*@4a#7vuV!tHTDy0D z3y0QL53zNcr|)L1ms@?e=%~}(bM+vvUDKMn`|Y2tkvY%*|Blx(fAkmxg4c$vzN$6V zYiZER%P&i;=6?J4{_@=Ovv)5CgYWV?=V`{S4Sh8wYHQT$E1LWuaQ++!^nrmN1eAK2 z`frZTwX?OcwzjddwY9OfvH$~fFfaoHQ!u!pd3DcJCuL!7Y3tcfAKbYy;k2oldD6mM z^X{vUUfen2k{B10ljZmC|NnaLrx{?71_n!lR)%Ozod^Oa{Z>AE6VaFErlqXhJ9Fm5 zQ%4}cW%0!f6E0>D`1}b3Ho2||&Z=i#fBFCKdQfuTAF^(J#KxqfT+6vcwL(^SOjL61 z5&@O#iH|~7hA&yLqGH|>1I<d2y-$vG3ak6gc~JxgzQSrgGYk?JS$j{>2wddS`R<Qh z?``G6@<;F99l5vvJNS-`{FO55j!i6_LMpq8k8}tsyY<|d?SEhAy^ooi7+c!mb;qWJ zh+LU``L^oKs|zl^oM9E!!Xc>S((&S)fTB|ihaeLRhk$}Z!wbKE`=2VEU$8j&N^GF7 zr=#V>(>@+0MrC)y+W2CZCm(q6W&evW|Ns4$e|h0x<fVn*r}4|%)%^I-c(j?FU(TlD z#kpmZ-`7}&Bz<BkNoVJiu_$<OVBvT9iAp6GllqRvbc+@1Sjmcm!1lz$ZM@QE2M&Tj z=6d@u6>x;CeX*rW<jJSS8J7YdPGag>bXm$I<H7<*=QbWmqm&a3jLd925(aOcn>?@E z@x;F*YTaeEWlI(<0A<FMWlPl)7hRTj==7WX;>&Fim<<NeU?2?!w@bWC^Vi4kmAaUz zsi9splhe~>`G4n<s$3B81%uw=%rh1_ffWJ~elm}&G<Z8(8y;DeY>s$a|KiL3cE8<w z80Nk^pqTgn-u~}umewF(3<jWT+1uM046Kc{!Ql1t&p&JIzW-CXt$B6LUoNwhWRty( zZHrAWL_5#i^U&xG?{?ESJk=nhKv8JDtgi<Ia=^d`403*}*JeuGxp(8%m1_oTmhN4+ za^uded$+FLxNzsny*sz=+^}|K>A%d3x&Pn){|icBK|42qfB_h6SPugF5Refe%yq4$ z&U9hi*>xbG2L|ibf`Bd<tbKl`>#whHSy-A|nV7V-Ft@a^_2kB>Gv^*Zapcs2GpEj_ zBqt>%M1nyC7=(jC7#KuGgolNKfw*4Gj)I3xtlVNc5gQWBW}i(fet&<x?4HEh(#qVz z)XZ9uE5TyZ#I8@f6ncHeYWCmX|Lv^@`QNZ~3nVQHsd!9KaBN~=1SiVs%VFmv&z?(3 zP7rZ0NMYjCx*_D^uvuM#iIG*nC*Ys}=dL@x2j?Yl^s)##Y^Z+^u2<Eb^~>AW{rOQ? z2?8_0U}aG9vIT!C=EX#T0oc)DL16H1+1Fo;3|uM?4lrv-MKm<BNCZ5PPl!6u$R?N3 z(3sD}r}LqKnRkc5h6W~1kEd$jdZ+fshlhvT`{it_zPz{yN&O!h{LB{G&AK4xtI_|g zPu9BZ%?(9xogxVa;ChAg=G>e66POhpc`O<lm^N)-6S!o%ph4Y1vxD)mq9GGY$<BI* z<@X!>>KPdYJ}{U3YAyNIT=J_P8o!36{a^qt`ucjo0F-2UdbGYKb9FubyyWH$Zy&E& zJr|CGfGZdr1s6^(U~uH!9lZmLPgT;MsZQ`Z?dg5$%*j*UXXIx(=;*5(Y8x9`|9yOE z)1;zLA3)#&7=Y5#h7A+I;KPLt6AHipTn`6;lbL}47)*%bRLRj<S1fN^q4D#@#h1@7 zzI*|S+55l0->dHa^A`k4m(K?QdGB}-xX&VMRr2D(!emx0#U`)4+dIMpH%~MS`XqR% zkbSZLVwJ^@lU0_eJn3^k=HY(Q<Al5XakpbKmPJoaOl$^+0zagLxdF=Sw@)8zPX;#& z{2}T6{jpwY^SnC;4uinsUoR!a+9G^HY+Q6)Ok#9wd_sa^LR@@w?#Sucm9Cp{<E8tX zFAEbN-UWfVywYYlH#Q`ugFyIVw_YjJtUEV1tafZ><CQYWI5WdA`B;x+DHs@~oS2~K zd~90Vy!iPK6cQfDC(2))A~I$2{e=7S3lbhAC@3b(e{g?6f<nUb|9^hH0D~lO@ysJ( zkZ_=Z@$u1aas9YG3%VH}w{nAX&c#Kp-D0{?XU>Aa#)N~QjCA+sdF$(oZhU_9_|~OU zmy35bPT&5$CRxUNy)(y)?(a2sWX@lIdHiGb+`7HzUzhYuUSXnQUF6Q-;OxlUEW?n% z5yU0%V#OOq5e6m?EujoMJ{}<s9>16vg=WUarU@no1QZw;nHfde3?-PI<XWYy@2g~* znRe_rdSZk8-s&<I?h?Z=gG+%GPG`~>*RTsnrtJ9lAYDgnCEMkAzXMA7T28kr?<m|9 zy|`MSBKXSMm-0WjSC|_o)mc<sF3SI>xjO4mOeWKX6V5g@l>w~G_l~`?`8<8++o11R zcmK>g>*}p7yF+K6`wp&p<6kwa8!T5oYyI=`uZQ4+XD3w8?0)6^#{2&N?`NO?{rl|m zRe!;%Q)jl{?X7eSf0=sB?0@s&?KgMV^uz>RuJz*HzV$)qkw@2;Tr&CWdawL$OvA%8 zv*O@1-+2r{SDpl3caL(<vU*@W$53FVvrNoG|BSkW)<^D5xhOJWamS-qP1<)f*L~aQ z&~AE8i6vNNA=@L3FYL#raP}&6&-x?mxnrBEjAUkE>I3UPpBwdL`uTHSUGi-e+|{{C z##OrPl@+VJp!nA>^Mekq)vGviVPUMf7lXY4FGG-yqo9R=|F_*qb0X($yW?}J$xSbq zBTja;#_9jPhh_$xn8ldh7cx7uiJ|Y{lef(uS*Cg>n?<hvHowt$n$O1oJ580!iT~ee zRDLr^>96hlXEZssaNbM4SG+mfwlN=n=kuLYbEB}*pVj$%XAFy3Ur(MHb46V4$qdnL z$7hHx44FM=+7*YRqTcOOXRX-xk@s_vwu52&ypQQZdw;q9I(_~B-lvcM*M0o`rF}x; zlsDzO<!?5vleo1sa{8Wkuir5iUt4p|^}6PdjvXtO*H3CG-+n;dNt8vhR${%d#-7vR zn%UjV_wT037SB+<Yt&!ZAkECZ+x?b_mdDL0#y>8k9A3_CdT6EdgGH161qtnW%i1q> zs(=2k*kyUGu4<C&7Sz4`eShDZzw7%sTx)eEs`Tvd-)i=Blh~0TzA^3{a-lz0HEdse z)pkKgf9%sYEs1w7rC)uvbWzhDVUG=G!WwV-G7I+nJm>f~_xZ28+P63gr(f|nBI?{8 zs=DUQ;i?>~AJdZxSKNHQ<z!p=_tk>UjSPE#{Jk%I{K3>oac`7sboU=+`nV`&?!6ak z^ZZxck}6@8R{rM8{9jjcO5Tk26K0d|nqG_CwXf#Hy_pSDB8yf_)v?@<i`}qdVW;Ve z{Hpi&&G-H{U(o;W$I6bBjZEKF-`D@X^!<PB%isUi6nhUGkW*i=R&xG?yFTBZP2v7w z#{S8PO`JKLQ|9KR)vQ5zyn7x=uM&_EJw2gs!dIok%a`&zd|^<rRPDct=(=3a<86<6 z{cH8pcOM9xEOs?v`_Dc5Z`b@=Kl9#|ekoSQ6HNCutIcMQ`*%HhH}}U^H>9(5V#Do^ z<heO{o!uZ{^XyO0MybnJS9z*2%%7Ij5!~+4ZS^<ZbH_GQ8EH{_yRX(Wud?(@SVc7d zdiMV0eOC3w{Aw>wTXH*BDlolX@RNh->t0LFKgGKeK1^2I`&|3YJ)PFw!h2T+>|VH; zCqKlw@S=6&U4eN@`chl}?k!fym78&t>AT;FMa8){G%p+MSb1Vb#_8Z?s*95yC#_#5 zvYPjr(YfRAPh^<MSr#6>>QT4y+egD!Vh5jX-|XSl%O|uhY)x)ITiDK9=d29f9&nkj z?vP;FE4rQMU$B;b!y5^X{Ott~jptakq-@E4lI^o}#i3Q@LF{+VRXj5bH~B5d6`*!; z&Bq%i&C{4$#NBTjY+ARs)l_2dm%sOgomF;u+zVd+_U3Vk%&=daS>iJf)T(codsEtS zYuUZoDOwYpX5X%e;5>fntIFLkOYWLvS$(l7oqYMd-ebvd;ZO2zFF888r<z&_NqAVO ztUvSb;$iPqW>QNJD=^6Jj5!i1Y24g1JAGl2)rWu4{5PLYx#)gkR>m3i#-Q%%O)CF= z<<@S>zhWfFa6<g|1W!}nt~Y56S*E8nrd7nStSx!2zi;jT+>34&B|S^JbtYKJ3UV$< zjmz{9QeH2>;<HPtO2*3jmr{D}2PUO&=U;foPdC;KwB5N)@Ppx}*)<;~+J_!G9%*oK zx_c4l*9<N>$%9JVTYc7V=-e2iTjkXm!^$hPqHhIXfpFrUgKH~hDE<AdzDrJK?@Uv> zmH(!l6%+sERsL>8w(gG?kzF}cZR{-8mY5a!pW9ctw6i6~^KeV5QRb!C)?TH|OQp#I zA7+crY4C_@%3A!?>Dva|lb-zUr&|tQ{F`_sz;|(<Vu$LL0F!uk<NN#gg!8rixL%zu zZuzM2<J?}UFuqG44|Cno$eP%@@otIQu8&71x*x7inp*c!CUyS)0twMeGnMB%{}X;} zt`cv`q;%nA=6{hBhVl07lgp?3xx701nf?5Z>k4mw)z}G6U);)Ea!Ga1?5%$80_WE| zM;EM4<NfyL*G$j9-nX(&oDh}!xGL<}AqNltV%?T2&t0ysZk-`6vBzw-&h3f-YtI#v zSZ`cuy7*$^eumK8J3Ng+*%GWmpZNLr-cQP$zU=gU{msgXizK9L-<sa-t+}W7^tOh| zi!bSa1zz9%@@FRN4wtpL6HZKV_p@T>cPT68nRWDB&0iVcdwZ=Kmk4Lsd)LXFPBBv4 z@TFl-X!yd})BBoSc$Y?Nt`oHr`>t2J^i3>V|0_-vuiKuC8y{M)xLMW3VruNXX2ZE- ziJO$H6QrlN_p$f3JT!Q#RH~V4={8YtkHZUwi*4)pE%lAl=a(&RSY^I{>%8Vki;r*~ zz58fgUwF#G+lD&kFIKsnvO9A*y|1GA5BJ8{nqKWIeajUySFuSpp1!rj>G-AgJh#Jv zC(276M8~WwyLz*&wdYprJjokf5zpsjKTw-se&oWNx4-v2V&XWQ-lnu7k#z#sF3xU? z)#7|lLfRhYHa}Q&QOY=0TTS_q+ZTz03cqgXykk!(JD3vi`b$9Wmw^1bz3Iu1_<4-3 zCj9Yc63&Xh*Xw;{a=OGvqswPcbe`Y#rG2aSqnJOBJ7?Q$KXEEAaeDBZZ*#SdhKbeY zv`_C9?@Q|rEw1C>oq8*V|CX=+E#Ks|&gWH)Z?3H<m{S;Ab?VXr4~ZN0dp<<%Zneq1 z`?$fRMCPb|#LF1p3j#tx`%mVu=64C4mwd6t{P3Re{KKj*9~T#huH`!w6M1urujlHj z^Bd(<P9#k~JN<dmEM9)YC4ZOfntQ8HT`|RrTiNNszlRI*vu(N4QzOjyDkW;7OBV%L z&uhJ~WZ7KPhU^ZW0F8ni$BG*P!N2ys(~oVgKU(aeZTz9N?n=BRm)e$Ddzlm#sy=$6 z6SzUZZ(BfMR_XGKr=+tRPj_F)(%$1GaN}FHUfrpJXX#&SS^h0-`!CyewJyvq@=LH% zRriq~#i%gtuGJo!mjC@}5*)ca*>Bp`g43NW3DcZ(wk~~lGb-WQR8^(0%01RMPHy_? zJGu9m^4nAE4Q5$BdTH_0@!f`1>u0Uz+#o03SZry%W$Cswozos$zZ#f$w<{$q_+D`M ze%Pky-t%@>f1Z%~5*qmKjLPnYFBx9C3xv1q`Kh^+_t(K63Zl0Al%nSb?G62$(>38o zyN$#7W!JbQYhPKOy|qk0>b;ZTdq-uhSAx@wqP{jiD4(!jf&aGl-U}Z&Zk`Hxo1Hu} zI6vgl)U(@;PAI%NeU8Vh_zb_Oi0PLN%lj4w-rvW4I9K1#D=WF!W7Z;e8UDT%Y&&9d zO{(^I9*^wGxXQm)swChw_v2T$3adEkIX{K03Cdk6e~#y`;f#3Q{YL6{6Q#FoPB-m~ zPixyHnLp9P_)|m3OFsvrGeIt^>t3w&KW?utx&E}UrmYVr=g(&LxXt=Awx3wQliTxW zl7&21;m*UaJ0I*?AY>;MT~^DUF5qt2{aB;$LUoU!>lVFrtNOUtZRtoZ(T>=A>)LXY z({uTKZS2>czczE-oTGX29A-Ulo3yhJ@}}u?&u-sxVCp*!hujk~Cc%gJ&!*}JdhfUO z4w8Ez^<Z_}icT4~^yX97bF5a)m@;`u7Du*z^woEb5!-$StVmw#bw=1cS@_7N(BPT- zPhOZOHbMHAz*+uSliLPd55iZSxzfCjC#AXX!b&NJRkyah{u+O<scm`E`|EaB*n$ho z*^HMw>i1$*l4yAGV$Zo?<`9OT4hJU9)lI#l!Yh<#D3ueMlpBBM@y3JuHBK~hN6xR; zxjk3${;6#r9it}j%v$zes3-n%?>r&)&ue)yf12*)Vf1&8wVC*2{hB4=$tzFC8ib|> zu68~iySKl4%^J>A6MH2TwU)0vX#9{tU&DDTV~zIzm9lngyWWSp^{l-sc-=Ka)u`)E z#{;&T;-_|hiHh-%p6@&<WSyz%A*adf?_7D~Uo!P|W^_{g><(MMOZ$!max>f5&b#fH z{mHsRx9HFcv1Oee%OWo>pF3^E^i5G)vewyOTP_}=u;=WlC6VW+RPO%#VzQ3^RQ+!Y zZ+=j+&!6NYJ#C|9<qFq{74LbcO)^?1_NH0n=6w6yXT65~Z*BfQeDHMPf$Pr_)LS$H zo(oEP+ds`YThjaeKuzx)uVtdOiz@%cglqnOb^FuY?gKZ@8GjCIj;{Ok{am5RtJ~#? zJKkRM^sLR{@(eDS5mWuY_I;k=^!lIEvbX+=U8bE8-#&4>t~&SIhsHc!s}j$CyC-UJ zS8)HDgcONST((&|*(!zCO(+nM=44Z2zxViV-HFB%tn)c1JqS~JKWEB!1=j?X7lLJG zN7Wt{%5Y5I`tT$RM}&rDYtfrKc><d5)p6H(4j(W!TG3eedG>=%j}(*pKk6Gs1eDD* zoflv|uWf>+u8T^?g$Ru+`#Ngn?`~<d`Il6FF6&o$Y<R$5Iko$7bEjPCd#>o>#ebo* zgw1!kl3D)A*Rt}?Dmuo(hdy0o_Ii8PVcln$sXblMwwI4*@0@Vq%FlUTD%bT-Dr{}p z)5ELZA@8~S0At7`dljxXJnR!|1dO-@4{i9)u`kBSO!`OVVL_7?URxJ-!<L1cj~4Za z>Ub`xFn<!${($qxF-CQc<g$CAhVty1<p)D%7D{j$9{tVuZENhMhZ!6t+m7~45ZZZj z*4k53zUA&-wM}@Y+s)F<l#lX<B>B4DeXHN2$XwF9=)c{ZRVRhIm7hsH*)A+Joi}*S zJUbha&r5gn-@e7pnD<F3_R;@2x+^mDU)CJ+d$J~-BX&FE1%?+X3J=b$+p5AZ_Iv%x ze4Qr==ijV~JK8++rdiatGjhL9|GDvV*H7h`zYY1{euUbm`DL9KGg7Lqul+T{@nGc2 z)|uW%rEI2Y#2UmV-k7tQ&DHC++l0;kwbx#Esn~FJ^VatX`8zt(#P>wR+Ohn)Zpk9u za_m&Z)AfsG?e>}&{oD12LuwlTQbrE5B@R5y9Z9lF?Ry#3dzHeL{VKlg*p>Q*Y44n7 zj=$nDy)XX1J9YJOqsR%{&yDkP{;%1!wr<s-G(MJ%zPn9yC)D1`(f1Q`DlXm5_iO#z zUv0hrZ!wyLR2=KPto7j6$3G(KzdSE}xm<kpyiJ__^0RfjDt~kSe79Wef&V4J`m);} z&)+=zyXnVrwf|pitM>VC%Zk*=S>&XDIJi@A`G!9gHZc{vGi2DzGrHd_S-Xy@U9Y8K zZIoea0aLiM;JsPDwro4Mi~FQtk+R;dccIboH(d72IKNT8ZrdBajHv>FUt<$k+H@n0 z=kQ#Z{yJYEX#N)a;A@LEPWQXY{n;sQ;eB)CurmI8YqWe7=H<T-Fg9{FmReja(H<z# zUi`x^_{^1?&3Dc)W-Z;{Ty(!bFeTT0k(fw}eAs50P4?Zg+5Fk}8sFS{7diX!e^LI7 zhGv5k0oUB7DyGgfDAfA7Ug>gc)8pi;eLfeLzMT2&pWe6U@^zE%ZvD=@XDh?5?OQYh z6E3K{4tT-e9(&=_h7JbhtdgxUmuG;7=|Dug*pw%U(|6hIiK?5lCg1z&L;aoBH}vup zZ+3qRPg%KZy>-p&AKT`f4PLx%_kaJ`poN;bk~5ilm?krs_*y5dPBoh8^K4Uuj#zgk zXc{nq4>UV5eZSv~2~!mWr5zX|7#MzlW;*UXU3bgaCiw_xPVT(YnY7I}bJj(dtZia; zli_N1R4lL%VQU1<AnAc;kZk1ok3TL1j~a@xvFUfrUw{1Z*D|~K{_Vn`2^|X=zV^eA ziO2o--+%wTHd=H^!^Z`uALg0Q(Vc$yZVq?`5;V24y}K0zj)IKNyX(}rh?UErYQjO& zJ<m+$|2&ha_j%U!InRuz8wT6~&B}y<W>s#1CR)-qg1odgTEb*U0yD4il>%ozxz+dM z-+kh#w>*>h_42=>>FGID`C#~d?{?;G;8`Bf>`E4xm<pO=xw0$ty@2?V58`eX*YoxI z!vhpqMf?mF&Pn~3aQPX>sjrux{5l?K!h94o6XUlOG~;2bAl2)(IFNZkfQAUy+Gvpl z4i}l&*f{w!6!@BVJ~R;jQ)4&({BrQr%~?<oUeo0fy~oI8cEP}z*Zj$cuVvmpuB~s_ zUbidyf1Nf6tX>5IpvgWhtyQ~R?b-4gx&KQiyk*+(>VEa*U@$1{zPE+NQzmCYVw?1i z(6?f<w;n3E8@4tgw`}&_q}5Tc!)70iTl+P9=@JkC&AKgGG{Z-2^2wGa5SY}J<YWs5 zmS6y$_AxaCgR8o`!X9#8aF`z+^4LVH)@$LF^e^qJHA}VrKCBd78s}R-!ENFBrC<Px zAib$mKwuIWOq=HA2@1pzB}EXB1q1NVuH;qSUCSI==P%fKuuUpshGSd)r#UJ;3Qinn zS>}8-e|?`3JWvW5m}J`l23K^gSlqhu53q-SQjNEoq#YUI$SN|$&BOEbuh`5j6Q`6- z5_)+e<8t-o>U{PbC2+b63jqW0@O2b;06xNRMueuLlPVang2B?fi%L2b%x)DA7j>*y z;oAKxQ@?1@<jIqT?LP{GfO+BMNs}fC>3<aB4yu`(61bnA_pR?_@aXOv-_-{SK27;t zF@gJMKXYaO?=>st`!Ti(S5?%w{J6>a>p<h3<Mn%vH^-c4ZV>vha(<co`<3&{<nFJW zzs%e>f7U&dy_vI?WwLdFCPS5#!SkSykWy3t19hLCDGCpjm^mIOGBOx2Eop#^;)161 z`1`>_+I(Qpx6Adqc+PHPD+^0Aa}!f5Q)5db6Eh2QOLJ2*BMTEt3v<vUyAfz!*GFlh zhl)@oD0715-lTdxpIqFr5d;Et#JZ1yWjn#k0<P)q@@ovd>LkW2yg4~x8+WVI!T`uJ zfij+_7rMQ<y}M7BeH2S)WNLI^xBBq?_uoIy?dP9=UOfM|LBvMqV_jZcUR|e7dHvn8 z`i;nxU)6i#&R5?9%?m%b%-??dZOwD*xqi!w=f38!NL<9y$;iUN&=6+pbcAo>qi3v7 zmK;fGQE_?V!gAz^a>|mHBP}i|PZp~jS<;f?qQde-88q=~2`WGyg0g(sX3)f{Zu{<= zCSU-XRSg6AZn<SHXf|c@F4xO()?D!mf3{Uyw3l04nw<A#arKXj=3Q<o$JtK`*t~St zw`!N(d)U(ErHB2ljdfLryT4xkvh1br<=M;3ecAWj{}}h(M(IQ8{?~tN9OT!3-*x|e zgMIw_%Kggw`atRX%4#q`lmScQe3xF#Fp=tYS{R@q@(YpUwTiCJ-}@%Nzq)t3?b~Ag z-?z@oec!Zv@3H&$zU|mv`_44(+xF$Q@AC7jd)M2(P1nx`Isfu05CD|{t5$V^!K%zq zS4bWOr(;!7FgTiGG}9-IRl>pJ^pkqqH^%o;|4ICesgt<*NAiEw^~3kRZalB>|MZ^? z^?P3%pL=E`30h#m_39-EoCE{VN`jRuIbWSz37Y%w>;z5pXJ%%C7JhhxEn2$E^}3cp z9Q*R^-X?tA`yc4tuzpu}IP%d(37L0kwZ|hL8T@$tRt>CF6I6P3wtzqh7))8>Vr2vd zRUaRL#_(fy6g)iC3L3fJl5z1-Q-9;Dtf%j`MC(j5t?rH781=4f`_W5LJ8wvAud7<! zu&vH2dVliYSJyygmy$j>s}w1L=gS`Iw1Gg)iS9WkB7a=y64eg-!4<ElqN4J|Ne{Fn z;EAIgXi>lu2fitfyc5FKFA2`N@^ep-+5F2ISG=rx?H2m2yc#0aYB$$+HE6D7zC0Mr zp9cbRU@%X9{yae&);C}Po|1U+1PnSL)3Ga~MQzx914G{VeAimJLQ`$3@#-Z;2M(-U zCS)<OD=Dukzv}&2hmGJ>7a*s$wLJraV_@*CrE`{$N(Ok!JS_`cI?mkXYOUKe??K=i z2U|;1LsLsz+pGT8riK?4lfWQ>Y4<nwtonrt{~7=OPyyApoi0ifJ)V55u#xL`1EqYm ziSCcVrn`YFL6EEpU;E*Q1rghwcJTLqHg~)K+4}rv%k`hl=YO_X|JnBZXY2aSf95@} zoO}Q0oTv9|&VQ<`dtPeyyzrl!h6q=yKB!^vfW6T{LEy&$P<8$HeXD%Uga0*l^WWFL z2UVQ!Yx|Es{y6XZp1XP5f8Twt_9K_`|0g~F&!+mHjrl*Dz5itR|C8zaPX_v*P3%9L z+J83F|E%Z#*&rUoHIn~q@c)yZ)m*>j)v<chPd~K-Id#YJyP({izy9}~$NxYzWq$SS zwzjro*?Zj9hOMrBn{6W1`)$ke$Pb|cHK*6_IrTHtAt7?*{IG@RXWyHBui83c_Sv+} z^Z$aXxwvnj=FRUL#}f=B-X!t|FRXds9`}T0>5(U%L2)kMl{NG~si>-}X{c$c>KA=d z(NI@Y-KG9>qIe}}nZ|z5!l$q6&Rfhs|NQgM`1ikhz~#+ZaCHX?{F$KA^l{<cMXyC9 z93Q=}nDD=%@B0sdx$i+#KYL{#e`VkIiV6QORQA8G=tHb6IS0zur-Z<*As#u01_p+V zT2Nak8r1%}ZtZkLtnpFm9-sMNek?h@?EA9s_p0CT-Cn-?ZXUEnlzQM^U*MxggA5z7 ziOxwAG;G+~T^}D4^weSNJ-oPZv4;#-Gsx*rL7`X%Y8FL7io-i=%|LcWyaBChSr2Y7 zfr+X!e0$%qzhUjFUy*WnYmNG6S(XJ24gt&F*oZJN@`~`8Y_Q=`(2+2huyGF$Tgzb= zMyWOhMv0zA4WUH>eTqdVZ}LUHT-Fp;&i5tJ*{S34|EG-2W*@I@o3M7l)1^yZBo%T_ z+hz7JB65+*1oN!w*4Z2ltsNq3S(>5`IWW0}#(y)fetbs9|4iHOrVl&&^N$`~VIsCF z^4{ibvy|E84KpfPx{|p4A3Us!;0v(y56U!|sc+Hzd+FSihsi%TneZvw%sy{*&ZRZG zV&VS=BZGs=Ph?^QO3$gyzoc{Fx!3kRz8t>qj%cP|tY_cynZ2*YRnk~`2V2jwbNo(5 zr%a0uX&Jnqm3`0jL8{!&MJG-PfBIlQoqNTZ$zdYV&$x{e>I~HW%qq6zWhyxL)Zc^s z^g|_ng(tfjmVNyB{LhL-??QH!SFs)VxBN$TWv_4fM#az4OKY-}m&~a=c=4}R!r!C~ zT-${no>yYsvGif@nVVa0^B1l0=yS{7yX2&f$mCt$7}mAjjI~j&N?g7F;O>*cujb3| z-_G}Onf}KFM(@85T6iRl*1hwJoWgu=GW)El_WM^b@$dS*Jj?pNLUhyJ0M-Ztb^9gf z+%CIxygajbf0S7Nql%piPT6>-@~zm~Kfz{!9j79PLQl&28!8%G?NtuSZAr2y);gcx zt^83_S9Q)lb8bVEZJLXP+$U6BKYZo-)9bzKUrM%5ZQ-r_ET;B>C*gg7hh)_f(Vdxm z_n+%j8FQAcEi(wZaH{QBtnf<tj;xN&Eq-jVHi!FuHU9EglpeKU!QKl~7}x$*<}-Vt z|MHo6hho9WuqWb)Awdbh!Y|E>S?uSxxc$wum%20S11rM*w63~x^1?pXa9soT+Qq4B zcPf8O(9CA}y6uT2+g-+7*Shr~OLkqlzj@aE0M>_-Hk9z3>0K*p*ZSv_;S|ws(G9Om znoXbWo>#3~$ydv-D*N<zdywFxKbFSJcFgK;3~I7^B5S@d#hw2U@AI;Dtv^gV{{)np z>91})@o;gQ{tp2yQHL5Y&(6h)zds#~PjZ{v=Ed3mzn8fu>qx`<XFvU>yi|_6cj!O= z0&m%$n{<+&=zQSS@tbJPweaG#Q<>Tax0*M<U#zir1LL+xYl)1E6K;Qh>7Qp!zTlMi zU301m-+uA-DsdC$BkZno<zH#5lpYb@w#-|}X1cMJb%WBm1G_YJOSna9y0|x7-Nf0< z<je7TVeTCN_<vzCJpPjv9?z(k)X6y*D^lT{m^H=XLIrmUr$W?$hdo^HLyB&wyx|j7 z&}*G??%<snHxGyR+?F{kwQ(auw6AnQ@~_uBuPfz-ybrvjWdBct)9Bo}z^eZ{?6p}e zea>{wJrv0+7rkh1AnOzF$US!_P1U_rd^^3B-(*w&%}xi7w_<KVbMD^b`Mge1<D9To zkMFXk_K(4q2h!(lX}b6*TZQ?Ye)6sLa}Q^#G$iZ&ceV`T)34x=TrOHNi{CEQt?LzA z`-RE2OtT-I3^2@HnjgN(!z1j;bKx@OAD5h@-iLXtY!IJ#Co&*jvd8FR5o6Bnv<Gi< z9!77-KQ5DHcK_CK2KmjADtmW&T>3Ko*r&HLeI^e@|FZKh)}OU#@BB$D;?Hg^n>)qy zN!+^yOTJ$DV88wRX)!nMVyCIz@&9xy=HK0Net(#4?Q_3Z(+j_`x`#L){q*s(il_U$ zdGB)mzcKoGJ%W>O_58hp6?cy;+LCA&^#01~sTcN7N)nm5|JZsFFVnWKb3bZK?$oY6 zwLCVbk9iBrt<?JVJ2g!emzSPxnxXb+z4xPodi@@H8T)RiZEKyDedYI&slO&=tb8gQ znB6V1^+DQ1?U>{GUpT^MOe$pxaTR`E73JT*x0ve&Ys!VopLR!<d+OBAe!X*%kGSr! z&#OLY*RQ{F<m=q86I!&V3Umr}PS*J6k|sK{s5-()K~k~8=HH8RzuzdYd26hHV9JyE zvm6htzuo?@rHsX4^-JL<k8F1x)ypjbA}ik3f10)8w)?~>bv!RDXPP?XKkpH&UwFZ$ ztn}=`1un`=wH&uac09V!_o49a@z}d%er!)!<e2{PzbkbYUd*N#ckALNKmO%WW_Jzm z{;U$rvDK)XoL;>(dUuX<?_Rz|=TF<EFKWvCaiRWzQNT-1fg(MYFs9{GUbHRhes=ui zlV^S!QhT4)tr0eVv-$aJ^)u6Q7fv*0;*4`*I22vN9<|8S%GpgXX3`03<!vvFnmN2> za_+o(^0sx+Beh+x%ekjBdQIM~)pxsk%fUI`v%YOmoUw5)zv|6bt96(Zozgf0%ljO; zcbw?=bG~u3SR>QVr#ODYpT(&h^YTufz1Y;-{Xa=(!#d$aO|N@8CKFhhm2Otd`J}r? zig#tntJO|xW(UsnU3s;3O~~9mYPLI<&D&GvcxJYQ!oLG7i}H=ce0*J{+y6X^*wQ(d zbIRJM2Ajg$zcny%i){72@^OZMZr%CC>!!G^ee}qf?}x#ur27-2E!*03W%gJ!{n9sG zz0P_;)W!Rry;H(I6^DJ64pHzEnl|TP!N#qJuLs=c=`%WH#F!hM_Tku{*N@kG<}JL} zyd?S7JJnh4MXZ+G7wa$0Y*4yq+rDv8+nJ<S_VGv4*Is(IE6mhERgKkJBj~Tf4bJV4 zxU0KT!?~pS4(xiO(X*k)NV}#!erA@<GCj*zu^sA<*RK#0wzXD|>HBmm$;RQV#St4x z!`qe{RHq)6`&E2=z3#y~nejF;Cz9-AE`)WN6e(ViT65Xs>ysv(m8L;y_l{Kd+3~uh z%#Z1*FW$AVwxCxe^u5`h2aG{|;vTZ{f2MVE{ri-8|6^*w!~YD9XId@f8I)wbmuzM! zoF?%6sk6xO*?Jl*^Sw8S`aHA<FXR5MWpPXBO&eFh^w!ho68z5GdYFAAzt3^@jTsKB zm&ryX|ND9;dt#WzdyT6f9ioC-4=Zil+FZ_D(7{wPsZ~f&cv0A!>2K?q%u@@pekOD1 zngr}Qvs2ggP=+VR;pNL(dOMaZpJ(}Q&ps}LIkO#18&1Vs6e<wi^Qu}WK=?tYkvf}^ z7~h=ONU1aXcAm=m%~i1d(Tih6FOL+xOghLn@232b=<o|gZaL!NpYwFqs|&~WNZs@0 z{w*;7;}KE!$??rR7LS7)LM&Ht-Yb3jTkW(#bN=+jVV4RXh0J2V{K}wzmVDaM4cmmI zJj@n2{nGAq=<Rkow7z?9yjMs0zOF|CS2oA!H$0kiC1`7W_I+D{cEMlGLDR&)h=|Gx z)OfLU8u$LzU%j{R!z<~pRV(*aJIEY+!S5vzcOp2(<j@BVQK8O%3q_ybKNuNv@BN## ztXXekWy@#qRRtVXizvN)V1=VHQ?2Z@_ET^9-+XYsnsoTsIs@ffoNml_8*@cp9Gd*v z?7~TolpZ79W1-AF{u4DH{>T@77ONqC==?ec<s<he$7sDYf3Lo9f#SLqwt;U9Pwjt~ zvvAwIIjj30WjkJ&*0QcHXpP2C(Rq8sk1c%DB2c}3b6R}YiJQ|-zgV_m!eZg>#lo!S zHpk_}Z`|H?%D5=)gxxOF++!<}gb(dv{o$+~^(9YdSIIhq3$?p9UikY-DD&UafBH7R z-yibrj&pmnyJgG5TXKT?6>9}1WN=oTdNZr`zsDN2y1nt6p6^jS5Lp=27s9!JIZw{% zoeByYu5m^&r!p@6_%=e7-~17Cvad|Yg((uTr@KuxS}q>Xd9|za;9&+&SM6t2Mzgr@ z=QQWPO5xx8^;%!vT($GRvvU?(?tdG6=53F2<i;6q7+B}^HcU<Uqtc?4e|=Tw{?PLg znjfDyHtM;FFe%TAVCvy|cj1AO%tnciZ_PL6Ip?eG6W;LQ_DUgX+gS_N3Y-m2t=+9B z&6+0``%tUqVf%)xP(OwSksjVpt0WYiME;i7zb;Y!t*P`Q-t%8W^3{as3(-dNtk1su zw&{{xWU-e(&!mM4nYz<hV{CYz9x%D}py|n5pF{Fiirc(Im=xP@EM~paa`EX+i=Qi} z9GSiB(u%2j-Wp%vOkpk-_J8)Wso?Nb*JkdkPuhCF?rxFYx-`s1Mor|T+})!mmS%_v z*uHvoPNB(vGiPpWT0zEzE(iW7-sdaN=XW-L)YMX%vrnAQAS-?8fukN<tlos2*y0#{ z`To?VHDaICt+xkm+x$`YZ^J}}X=(iN3-=jIWFI*ybYs)a1HC_|ACI3rr)eL1aQ~LS z!5$u>2R>N|UYO0XgR65>E!XNL+ig`29WnbosXEJQHn;qnN56gtrFfmc|6xLU1<$J6 zyg9#JQk(70Xm#odMW}kGi=XbX?|0u6`}$D0<eQ1rw%v0z#owq(-w5RlVPpJtMnccm z;auS*#(4SKf1)R!hriyWKRqaZy-E1&`D<^!>gY(cJ>O`={B5qt3f+q}$>+CZpI`Oy zPElj)q?zs<ipg)Y`AR=8WmqYB)kabJr}fggL6?{gGaX|}2ZJmy&^o(CL}ZKbWaDQ= zxBTV@KM%3$^;>xPW$NuSAg~4ud_3JipzLO!V&1WCadBOXgpWpb$G+A{uI=ju0myhq z?6$~WJML=v$Gf^jG}K}}0vH;Zm0dg>f2f#)RxEFBpT`H@MwFeH_-+M<kKKz&d@m=y zd(nUH#U%Z>C=;p9n3R$`2V9$vyB&A+IOcKU_1}uUveJ^hI&trxy#s+~{3Z!tAhQn) zqV|A6#I9YtcFo9HZE`RuV4t7Qo*Ae0z+m0(tst=U$|VrcItlJBRy|Y>5wR(X$cXUB zsHjjmxnR+Qg%2klQfcn$tn?7D{P3Y8QSsq}s~|8H48Ti_Lq)|v;M&yCsll$^x32yS zSQZRkz3uA>2AYt)7<#v_|5Rg%j(Er-9FTCZcgF&jIF}1YI%D$Xi|#V7w|?;T+y2*Q z&-`t4YXuD(HzkE-+O2h&zr_E%`ise*SJnpE#cm6I*?l3|u~j5M<KR=9j^CA_@r27e zC%i5$@;hE$Dt(0YWASe5UAuPf+o4dy6La;u*p5}NH48$|dp}s!SH4&F#MgbryLY{Q zTVnUTNbdTbyK+@|-~n!7b~O-KHVr(|tSSaxQmj5TEtreza_32*;+;8}oyDznul=Xq zJhkj|0%R0A54>3UQWtx~6q8za&&%a8+wyN8s_?RB;r{<&;jY`a+4Q9595`@<m9Kp6 z{X1)C@0>Y1+SLgJ9tAXZnk{78VD>j7_m?2|YW^Db<7V4Tub#Sm<=TxK>$dNXx>X7q zwKXpS1)P%pC-8`rdJ$+Fi=zCeg`x~5D@=j|{CsA3e>3{up>e9u&~W~|sWT@o?3~!U z()`?+Q%7p5(%Qn@o&|3-p1+FGV}ioYoX=9VZx&ukNUda8+$^rI8?mHf?fG9iYX#<i zjp+D)`HREZyMG#=34#H52$*00w*PZ?*;6@B1;MN651;<#%$ZqnHF{@M=+x~SuZFU! zdIT68yc!)Eo1Y)8^zW;Id9kta`c^Oijm)*QG=o9Tl2*ILe#$vdHpMRS0Bx~|*%mH% zQDIU*knN%sSJp3IE*J3X%i=mOE@t5nfBCOpf`eXtS-fCgRm}VHNA~W2mc2d;S_XW# z20Wh5YRSR|0^1mvKtKq*TS962+f?g?@?XnNOgO!b-Ri;p`}gPn|2ZGDe0_(2_@n2~ zpFgjAS1$V_@w<=Byq8w`J5ByyDit_mvt#DW=(n#yV0VuG%-Y#<Pd2Sh`D%I0N%Lh! zyI|18$9sD3-}kBGS#;j=k)hVCFUr4W_b+VUe*E!vFaU3fSw0;Mj)TGW<<pPHJhJ_` zs3OQlJ+7Pm=;7Tt%#d~S<zP?-25aL)vs|BcIyT#XaaQDzs9ET6guiNmP~J1pFx|6% z4#D7s{O(|I{E*gJ%ja`S_^hs<J8S#=ncnSFLf^hk-WTG**edL!@$mVt-!bniKR#N- z%B~Z(!lUt#%j3@q1q|oE-n($(?42`b&zzB~iUIG+>2r<&gVX(x#rQYNmVc=&Dyiw) z|IvE=XN$O)Ee{^#-+NXVRMU6=c)`wv#e44`yT9Z9@gH;AKb}1PbN2SkckkZ4%j388 z0&nHn0S*K3ejamkdC<0#ht5kmr#F2nOIlPC9a$6&0^n^P(zkDcz^qGdAG2%D9=ADr z{)0)7jG5ami@Gz1|D3s8VN)Y16|ZLSp8rGgy6uk-+vu5j$rTxwgJ)Kxz#yulO|jtt zBhR6OhwPI&o_y%Hc_?l7P~L8mpwg1Hn;DP1{@Z^2_ld7&Iw~@I?zycq4*-XD^^3%A z@Q^lSTojTh!DY*hIJ4@h=ceV_UF5o5qcmlqu<*hKq8uRb;vabXqDE~E2vmWA-t9G4 zT9@l9S-8A?aMYXIPD$Ns!i)xw4n=jf#_PWs|F^_EPfL52_N)#(o+}4gM*n!z+{Lx( zChmPQ8tQ6iRCjL%f#REao)Hhz9pWe02{``H0~P$$F9Hi69B5=_=X<`r{;|>Te4EAE zJqqQOZ#h`b=e_sSZx)r_@nCMo@#D9vZFlc^-RsUU;dSlzvg@}O*ZeuZd-v|yyLbO& z?(OUAJNJ4I9|)X#d<O*n^g<TS7v4PAXjOb`PI{jaJ8SyW{3)Q#SNWgKOtY@6_-MM% z4O~#4O^ueay~to6d$*IzYr+cdi<?qj&X_rSr#*Pj!G#MOyf)W5Eww*<{=zncC_%v+ zf9A~TW-U^%d-tpV_1nh}f1F)g2}-+ZZPVA5Ok3lhw$7&a^r}l=EsxLp?ihW0bK$*N zx4!1RoBl90BXQ;m9_!S3MgcQLXP#a(XNJLaoy}Ug(GurO8|zI<-}>YRPu%uMN0;T+ zSG5N|v3`xSeleew5*8NzY$(sn%*_1RfZrIj-rqPaX4`btx36kexW^w$-S#Q9rl;R9 z>Ft7sh?(bmCfY_sNX)n~xp(H&pFEK_B4$M11Z{hbeb4@T{p-7T&fdLq_pV&kJMbVo zXy2cTO3G=_=C{Yn-JluFB~MbVjiMg4xNVy#Bgy#_G9-Tk3{HSS#m#-IIYZ{Y30k>h z$CZ_O`g-g2^h&v2Z1)la?WGc*tl~LI#Zw5j+hdZcq2a`vogNbuI6?cGa&y5OigL2S zVEWr-vx0qnc~>oKW2#-YclpXQe$Lq!7i9REm~1&+*16@$vNcYxC(b&wf~9lu>s*s9 zVzK$WT4$$BeNnaEdG&>8&+_%)x!zfhXP2b;8!wN{7BdZ=HFfLx96e{n=5^=t=iW@2 z{uVR=w{hd?Z&rs4Grk5kHXV`L@~<V~-U7!|Rxig&s|Bz3{##>r_U_rgjmM6Hcc(-} z?6^72;_#s<i3xriG$OdwSecoFn_{;`mu^+P^YY=viOk3Q&h^U5n3qUII5e`Pgw5cS ziAqUI%Q$l8!jE4d0NQT%>C-DPcmxKwCgoXfUle_QZ5j8jtxK1Fy>e+%mgCk%QBi7< z7j@FZ#7th#yqcD3I6WdFNJmFU<C-w{>rJ|g&L7Qs{A<?cM=|mFVY9Zjv9PW_8<o5^ zGE>MQVXk8XgVh=nvo#D09GA{)+H@`^K68!H8l&tpT*Z5L@7%q6_Ipq}<*Fz<Xr5bp z^)&D#7j&lkblGQ1Q`Nay%NGToTe(t1M03TIkdRlJs;Z?)LQ6}gt$Ax)t@>)y-Z!e% zQ*}2l)Vm#igzNh!P$8=ww7_DaBb%Oz#ta9hWrDAJUh^us=1(o0x>n5L00R@tg~s_N z3qZ-*|HAU;lRtAleYxrG;v+W~v!CkZEIw(p+Hb?=@YkSHL`*YefyKsSGv>r3x6Igc zFMIv5yJybcfh5Y3n|Yw-CwMxhDAW3?gr=tCPfv-t7nXk8x#bA+F1u(sn_DU;+&xaX zySgo1K55dVOR3v}f_B@<ztY+~)pL62?XS;Ug~dVwnvSsa^<7u|aqP8h6D!98=611Z zyxKkce|U=Q1g-3tIrBF&FIbErBjDzRb?3|9Y1}Nl>E`6*6r>cpEmYHQ(eCLJUQFUx z^sMn8ctOZb=l&}2j9W@dN(zgqQCDMP%i-RF6(Q|EX1Oy=miFLaF=SY<WZ8ySA`Prs zZh9s@Rzeygd{P|_JWUB7EJV}}D{wF~@EkCdaB);Px=7+F@BIJrXO&lVg&QTWId=Ep zl+1a@uLqkXbN{lO&E%hKD`4TN_UwF^ljGspA|ZnOzx7r2{(n{5n7R6Ho;ee52medq z2ebNJi-T+b1iM}?x~fyM<M5jYUsn9;W7EF9)oJUj_l>m)N4Ir2o@~)P)jwhPhNg3q zpDCI<&a>aUxkJj~v(x;q93`u4PWh!JPWm8X&nKE-wf*=kA%mAS<$9lvJXXp5GJn3~ zS*>TaEkAB>%nEpNoJIAE&Zfpi&v|z!#AIISRC72eW&CshJEP!Voev8v|398OT~mXX z`J~-PEgPwzAfH<b>yt!Y9e7)u>cVooRy6Ks{B&ie40V0ZHBt8$E6!@1c!J^Q6{*)B z7oKj|aC_n3xlFs)cHCQ6>2tunz&T&4WN{VqlegL{q+M;c9lVq$rEFfF8*;zCbJ2&Y zsx0f{-%EL9@<jWh|4z4_^>0ss-9?eTiRC9hSuw|i&Q02#_<hy+-<?9AixmvZb|`+y zOA|iR6!~0FGG2?N!1dJf^uFE4Cg#bnczoD>na{1}I*Iz@HW#O?;8<Vvyu$zT@i@`; zCwc#sIb@FP>|&Yby<>aS-lk<g_*Y2C`1={>Y`DUg@y(~ZW6iE(bxe*@r+!*(+?8>P zSvp!Hgq0;Vqxtbt&Z{@4-<~<Mdsmc}pH$Z#WevXF<)LMKyQMaFvwiSCUob)3(s<FM z>31qQoQgYYm#O%f^Xi2-is<lLOyc;qe2=zt!F!HR6OT{N<;{7i)i(XGw0WT7_H`jM z+|rn>(-nkeiWZ39c+9(L^}$r$=Bh-lLkUdQ#zL3c&lGd>g?SnUy(oDfaF+4FqU}!A zO=qUPo_+eEjn3h{^QNuvXqIQX`e$YI)movQ^2ZZr`L8YRUN?=UsZ;T+<~jDC;%n=b z8n)(qelg9EZFZt>0b8m2qPv|1!U}6<^F~Hk?GQau9M5z~KT|jC$-jqJRJ7&ADlVOx zJ%{U?i_!v%lOkP{%JY_#axr&%o146`x6qO8tBYuF-f_e``jKJtT8pZw1+&YUopMY! zFN^$>mLAT2?|x^a>xG6T)7D?~+^v1!q|oaVS&5GsjP)*GzES1)spF#JEXfIaN{s)5 zuH_UanNDBKTD9xoYJrDSYZq}<u6%vwC)fX#X|EgqIGb#AUdC@S^;Vel)9(Ixncq53 zuH(_Ue65#7EF)U?-ZGbcK?hWAnhwY$RcV>b&TgyQePQ0wEJ?*@ciYSUXk2MaG}5Wk zd|dd{HS)&X<z6-);!UOp3H5beym`MgJzwqj5tHf1!i^JsjDBoq@fIvIFFpET(!<}s z)Nf9i6(&%&n&({XqTdzKYm>RkcGyZzU|RBsVY#N+E`@l5UK1BifvsBXb=p4)F77Zs z@J?uj_3!5j_aB~B3R}j+mQ;Rk-;T@{jt1@B=PzhHc$PK6BPmFB|LRVm`^yfkJRIGe zF!KRVX_<aNjr2|j$DUVzL-Zdmh-jIm!LaYe+g8WG=BLk3@hhx;<zX{NyziCX|HX-S z4l@=lK08_NoWyN4e)VU)0*gMpmEoJy{wm?jQ9b9pJuVAnZrlhmanQM!ygYXL--js* zjnmC;FZ<!V*Y=LehE;NHOIbShMIWh)+P7A`-s0xB`USfxid$z}L}l#PZWA~7Tjd&G z70Th&JS#+C{Wnglm$lzEUE}%J(ZQr(<27wwy4@bRFLx@Uwj0SMU;6RwQs>=lHRm@4 zGgA1KeQy2Mu#4zVnEP_`&#skM<1|;;v7hBR{H8xD^Z;*S9-CjvmXL+=<#%Sa*RP(_ z^-es!v)%rRXxdJ<UFHI|oOVURTt4S-y$W;LW%l-?%HrN-Id=E=XkDLZn-J*pyHvLO z#GD;MpKh>m%Fow&9=%JOuTpDn$%pc<-MjKOzHevVr~CQk^Eq>PT2}qh{~E>TxLm}$ z;(%0Pt;(_;(_><<8aRA@bl%+2{SC9J>D`_Wtc8iP9@!k57o3}!71nxf#l!N#EwVQ% zKmI+h(>|@@B*TZTrnd~%8MHn*mOA^lX~*jt?)}TJc>Mo=cWcr0)ePUAFWk7W$5%Ld zN#4nayA91YJ5EkpV58(8JK=vf|JLK3SAMjrCw}hwU-^5Rp_{G)$Lms-vR6~LFY^zT z%JI9#{^7&Bz1u$S%?OEa_A%tVJxe3aJtx+<=<fo<d*5F*XBc02^Z(j!$NTe`Gu|%i z*(!ERt)S`3)emZSnA7S!MJ5Zq_x<NkJNxfM?PFWly3K3V;5OtbmUtUvq*J-bzIE=8 zU*|aHI)o=4(2#fRY5f#bv}gC`{+&y?T$n}fy?33`earq(`>!i)oHx%qrSd$QvDsgE zLE@72a|@HdC&;Rs{NuX(O!3cN7V(Wae9eBkF?aT7zW?FEa(T`tJ}L1>hdMP?ekQW} ztm^JvcD?$SUGJ0czvl5DJ703ZX!+WAE1$PUC3zdquy}S^rIK};`+bSSO#(V)Mtyw2 z>tc?~KEQWFF5_rm!!@_~snb<=c1BFJ34XNalU|Bs(A-0fOWzoa9roAOJF+?7Jn&w$ ztnJ2<Fjs9))t=(k+(jo(7hbn1SetiU{>ydeidRz(C#daHkKMRri3$Hx!%Uqy{27e# z(bfkF4kdKSzq#PQ#6+fJ>%#!S)BO`Vim!%+y*{$!?16@eo&EEibGe>BV@}I@aBya< zn+OMM-<cb#YupamUFgjC$YgxiwDsVlhiP%fuBWxlgP%n@zIniv<?Oip$J!+=ck9J7 zR{h?(>Ft-GUq5Eflv^acCm|~{+)ehu^3~6!PCs{i{pXGQnHw3r7P*I6A5J^WeaBGp zX>fVekypRtV-BZ3`^R_pa{0MszSakS-}_p*$S8RBOCQ@7tEF*=IwTJ7pFc%+x@fZJ zj3R^P73sN00*<B@KHK_-QHg<d=Z=2yOWzV0rUyLTbJ?Zo)j7_?`z84<i+-HHg0WS` zO7dr$<Zqo|?WP+XnrHslM87{|GqELzd579`{R!^hE%X+!D7E!h*(|b=e>Csb%?%s2 z94i0QbDOzw*1Y4f{mt2DM1u}k8*_L}I{)T#-KU>%7bNx_ns&3o>0ZwNQ@vc43;u9c zPM)w*MA}uk-e=2!oBA#h$L)$X2*yqo?L1?_X{?pUvUJCydmc#(?R%7jABuLKyJwVq z&FJrZ*Fz>>Yggt-+-cq)dtP*rM!rg@xUvw3_|vz_|9`&zP**UIjpx*iSw|vU3fCNM zn0G)f@rQou8sDzOO!1XPD(QCYod$nJZhw*r)Y-zO*0OHqzw_o#bVNApf1Q<m-pbmf z_g%~S^IiT&PK%#C%HzmAG;?=c)BNS3ymnX3cTbD-t4!bdB{*rpUGp0;X<Kqc%QapK z)VF4;i2V4zb%)`e@OLNH)Ji_ByLqReDdec0@ZFD=2Cko?;}`t*v7E1I#i4J#D%p17 z<=>%q7q5xF{`NzH(8ZqoX|2Btt@2*7yYFjko;+bnVNz4atw`||%HKLo1ed1IQ4Bo! z>#&L2C62u^e^Nx}#(sJsb)o)HMfl5-tuI|A{|N4p?~Jk2lP;4zV0iKA=Z#ZL_{IHh z@HAA<+RmA7JH6`Lfwj?%ds6h$Y9-!@A6#sApZ`&{V8NEUqP8HBm|{+^W8RMv0#qMw zRDH!LTsUKP(c45G{;<NmHcJ|n+AN$3!YmhSXCChP>|6WCIbmn>!|O($or=G>MQB7% z=;$}(T66MK{t2gh-(KW)q%fz*S$tbCX`_wplKJWF@4}DyygTu0-I8UV@1`DC*`^h6 zEbQT}tf%?hYSkZ3H7xw<wtMZ2ZTopbB+DN&r+YCd+v&MUa50~`p_g@hAER<%pHj5m zQMo*(XzweF9G0A0m;7%+=$BJkigO;={`Xb*w{dC9udq1^R;GK7PKb-FD&}0Dv!DI5 z=-c1fb(d=+A9YN!VOtk`EGu_~@A9cvLzCPjE<JbPy&fBvdO33C>9$R8O}NrK{Pgpg z``7VD>K^l28y@|B@rA0dJJb!?u7^ClCU84A#q@IX&*}?a9H*;l9q+JeP6$?U+pnH} z#A_yRb942iB@>RmyDb^)61<-Eot5?x@7;kS<y$ppHCo5UGlW;?To6B&$Jvo;7JcHu zb72cP-}R;&?iE=rOXX*-R+?kSCwf`THScEQrkoSZ$v0Yz9{k~W`9<$zR9(Jr-^Id7 z-ufrht}xWQNKLnBW|(_;)lx}2$y;lmGtFCI81{O}j6=GfZQiTw^&VZ#4V<>{ykg}% z&%z^5RxXIpnKolhIBS%YeWA5zl?G2{WJuA1hcA3{Bhu<he>HABcze~-NY&e`D`oq| zCb?EE)LnM^z+a}`JpJjZPWj>tZ>K%FqV@b4b9}G&4P#y9oC_ys2k>kB_rCWuJhfK* z!oTdrhRh2LG#L084-4;c_`v_7_mOkqgmwGt3Ut$WiWK+#$gDlLn(^WG3D=&aPF?6I zQT)y??DMZJQ$I#MJM!i!qeX5iqxeSN`=^~{7yaN`Y&n-D@a4iIb36=JmD;(SJ;1r& zv{k-DAm*bj(}&{~wp=D&kEEAsIg|*#kUqz<XaD@`%w__-K0m%1b^HF)llvxk!>MBP zA1T2vZ}M}@Uj6Y4Utx5a-!))cwK!W-+p7b$PcJxJT7Fo^`j}-q7yqRF>QfpNKj++* zxcXz(&KFC6>#N@>nNc{K#iJpxv98spPA$OY-H|$1aiIwpf4hFwnR0lURp#4y*(Lt# zN_S4E6F*gcv2oXqmp=?$PedP`eK+b+W_A1_uEn=J4dv%tU1f9PWbu8oV}G??<w-W_ z?)85jT;Y*ztWl)<ZeN;Ik9b0W1Xt}wm8QbunR4m6S9uyba@>Cz*mU;&`X#bD>RnAd z*WA@9=RLSiP3gXO@%^el`xNKRG)Z$inY3Ri>qGr7_9%wOFZ!m*<))jk$FAsF=c2Rz z_v)5uAG42!^eouh<o4<Lvh+XewtVzI|MpqEP1y&{X;~|6kBWS13$(m;FZ*Z4o%(+* z$A9GNIRqO!y_n~|dxfc`TE5~`b}^<@Gaa?mDUqICL93&T?gk1v{0h>H-=xq}DtGtd zwEafBo)+_Z7S(K&|9AY~&+^v&Ybv%GsY&*7DLP;9x_UrFd*alKJ6~=SX=&ejcm2c* zuYPaNei<@XYEqSnY5Aq#%8Qq7y=<_vJvRU6fB(-OuYU<d8fMS;h>w*167rteKwEXj zoWeCqe}6~ZH=bl<P<yXfI5%O@ET+|$I9Q5*3wf>3-^;quQCH{QrR4axvo0^ZueVoE za&zpCgNX*l{jXdXI|%x;Zwi*Lo0!pVkyOogl>5zs-*v}i7U`F|$ZY&@lk@KH)o*^^ zT~e~;oY8`+OAewB@6<eS<1Z*Fki5wCU>lp_v9t{bCp}!NaQ~@UU6+DleTVL%>z+z1 zOF{(-xH``&S^sBl>y`0)U*UZ8YRS(PoBhPU{K)ThtP6jr$j#00RB31Y`TX_ociMmZ zwX>M@xPY|x>$3W8(`ic?wp2%?R;vc=j9$icMqvB1b%#@>W<F=0eZQddVXI&6*?-HY zoM&KLe$-;Y_dKb^@3K>`sXe??^Jocs!_|jIiyUV>dekCNwy@GC`=iIiPQzW(*8Y}V za$%`x{O(pM&S@W}3&(z6`a(MXVBhDHxfa&@c%0QFb}#-Eyo>d$X-(6+)r$+cgZF*( zF<-H^PRCVxk=z2whIQ9}v0b>cxi2<2`qrZzo#9vH?tb}gb~Wcs2FID0tTR&rQw|>X zGL^8<*SK@?*K9Xq;olo(C{0u3Dv-<l{C)Z9glXYNE|ltrG`OsZESsSDoYm=bN8lCS z{rM-GzwI|%;e5H!q-EQ(mWwm}z6WGUxP1}3R3RXqJj>{x->ak3WDiAdPzrx)a_xl( z3(M2CqT}q$+w!=|la}pz<IhxIWwxgCL@Re)XR8o<RK|rw!zY|3UTyD$SvM7_?ViwU zd^<#M3-fE$7tdubroP`Ju=VQy^k-=kU;huAq_ObR^=ePWePMG}DT%5lT-_R0ZQrZm zwPLsNn;%^!X*Nn?bKl;4EPDOJ;T`{7ZylVqbLZiYZzGddwXNCF;9s73_P_be!qN?k z@9y6;M{zL+gRyuRTd()pTG_MD-OiZqn$se*%*$;T_w8qUaw2z3jOctci6=6HJ5=YV z+Kr!Q78TExS;d-g=whx@;QU)H%aWL7Mf2YLe-=B}U2=2MjhvIm3M2RKoA7m-bgZVD z`02m$yG^B8cA7q&Z_l6ecKxBCw{QI3yexdXRx4UQ=X`nnjk&q!#IDzU+H{7YVBI2- ztkSG4&08-hw{R?dlJ+BV;>8T7lrrA72u+iMZP$|CO?%&E<M{q*`js2biQ0R_B`&f= z)tDCC%T5vNtJ-1kNq*M1kB*n$PM27#UaX+da4Yah?`G3uuNIx;{$-vg<1K5muJ+Ea zZvIOB9sLZ4{M4E=dDcf<xo2{0{hzw0Ij_$3=!vDQ{rUAxj`cd(@I9M1Om$6Nx$%T) z%c+g!eoxbPZ_Qb|H@u2PK;zSeG!I#CGi@>cWSv{@g39-DExqw>A_K?w->Wy-89$%l z<ZsBGzFYM51ZD5|o%4Jq7(KWjV$k~X@jT}O)Aho8?=3Q)J+IkZ;%e86Ir}`<op{{U znex=~QRL~I;O(LvHmoPxlD*$#_VY7^M{qj6o>Z`9PWbhZu&(3xSpI2itdrMVzt&n` zq&IQ;mjt#iy$6$~O&9y_pP#{0A7`jFYv0VIPvL4}%2FK4^5;c37dqaU@!S3TO!h>V zja>1+_)O*`vWgoN+CAi09itE_l$dtym)OHl?gXJJ@BEvc&qs2tD!+AaV$+_Vv6nvh z*!MbI{^&W&w_C-<v#&Jc=aloN(Tg~~)t-BkZu#-mo4s>y9O!v>rnf_BEi2ROztI!p zRyoi4tr{4xS+?_bkNcA^5B~-2*y*~QYo`5)_KK793y+o7%y|+hw)2e7p111^<`v9l z&5tO{7d1cjLA+@4e_5ySLf`V~W#1&k-?l_YE$F+aqwsJB<2uLn?{{-crCob0|3;$9 zXv*(7+y#oa{yskcIAqR={hN7r@tDu{JA5>?(s|Pn3*U*=8caRxU#yqixMFTpYd_`9 zt=aM?rHi>Fi%SgiRZ0!m*sig#-cUG^%J8T+GVh_?i*@#{+xUg^+>S?zecQ43Rjbms zpKR;4y*__;DwE4Q%?35Uo?XG0?S*nxpZN6z&o+4J%X0eku1@p%E6<#Sm?sH1eGh2c znNXP^^S?Y;`kib6t6Glu<3m#<tI9s?Gr6-R)Wr41+MGyE1BNXJZ>r4Q{l%a!|Er0h z)su(0$6}I1b^Pxy-@`a>rA@#-Ib|l6Y)-G;?96Yqr&_q_^+iAZkgsd;IU`r>S%zZQ z8^NzfCG?zQxzqjgbXSF3-1Sk|Q}e$6Oj*nF<aN#ev{g9gm@!Iyi7^ihnDDVA-*RaI z6L+hqUdamWoCnf77D|UYF5GZvs?1Xjx!@^ti$xe31h{w@n44eSzi`){ZH)@!)%#ye zXYc)9rV*;^xnh?Zhwe)eQ$^27cb0jwB{Qcor!mjeTb{Z(M&*3!7N6z8<qsL>MBibn z^jogCJh;EP`tr)%sS2D6ED9Ssh1eJ_9QvfFZ~0`|r6nrNo>HY7kKVm|S9bUA$0^`N z=?dUoT|SYZMf5_d>z|0`ez}%f9(GbI@Ao^?<0lO7UAvpzHZf5~Sor>txi{3*Hg$Ho zfzP>esjUD3@bP^aKivC3$3}ISRTaga65!5`4o*(KymI}@wY?Q->UXAmbFnr~HiDeW z#06dv0XeB_YV&Ia>l=Lgn%_N;lhF}OVU2cN!mW1OG<Blfj$;K&nz^J;Mb-2sZZI;^ zULdz|gQ51uDFy~}7d=r_RXMphQ2g_B>tpZuK{I?DKfZ&&^4@pb|3>V;mjAW*Z=C)9 zeOvRtf8AJ{A0Hn-DgSBlPqX;b$G?C2sMud!ou8k7H~IUwZy&5TZ0CHv`@^Bh;nTy# z+l3XCVxwJqHdZt0X?6-KIlTbwb$Qw7aj-J?-t6tqc2?%xJ9qkU^2ZM`dwRD&+FDVe z;yGuX>VgfM8KqPgiMAGH3RVWM;ap%~=(4;nb!%&Dz?QNN)@Azlz_Z<nyK}#n-8^@0 zU0!JPwsn5Wd)J)^yQ$>bHQ~a=jU^t-z0du%n*RL8PRl17rzSs*v9!$n@@SRgqN`Uq z^c@N&wwgMMT?-YPwDwLYbgK6I+S=Rs`EO?b{`TzIx4b;aJk+fhucQvfFB4K=KWV?L z;eo<VujPD8T>c%LlNGddLBce#$wHH!a81s#Y?Vzu{_Z?@!^gI5*Y9jC%k7P=zJBdW z*w(enrhT*Yb*+ku66$rWz8Ge*rT5t)(TjcQzu)B8T3a7}e;{9c&a4?MA1*p;xqJS6 zF>&#{85I_`Gw1mS`1)Dc&o9Y4<=1!i%$ZZCPT55W9tvDJNp`B%%^OzF`rd9V%gOOs zBU*ekY-6}jPIqT(>steJoi)7N+}Yd$Qbt;rCMLV@+UC4`opQThtxMfAwdEpH-b^W+ zqfzXWrsSEneA#k8TjQtF-~}-W@n8@K2B-A4oqn6O``Ws@U&~5oPI0;ZLb`WbpxG<8 z#VVdJxN{d?5PjveFyOoWj+-aEEj5*66C7E+tiGzKtf^ZWU|N;3BshHW4vy7HGdZ3s zib|E1_iz_fDlrBH-Fo3Fdh|-><j>Y(f6l(&bME<{v(}}r%zkpIN2e~FwV!k1q^3u5 zepA#=N=d)D@fUJj9e-}goyW!err%!(ii&Q1rm>`cidogOkJiezpBt(c#;g$I>S}Fj zs=DR>R`~Z9yPf{8FHaWy-^Cxo&{psGFw^Gj^^60Kyi%qnknG!EIitRE=KP<M@}G^s zTV9N<zE1x+qy6H_|J}*oAN9$CPQY8}+|DO!Rr2J-L}m9rnOiTsK!-vG6h0^@N(xtB zzD#X<rn%`}-`wEKn`_@K|6McR|JzTUWeM9kwd1TL=7lzQ_lQl)w+sHzdT)bk1astG zN6`@G*u4igZ`jtvadB4=b8Sa7cweXC-5rIGk3lA&H*VRoWeaFt^@x_{RxdB`iXcx< zPY?Y`-B*-0dU$9HU7A?^MQ*M8+lzKd{e9aayAH>n`tvC~&ULx`<3tsuS66QC?F(q) zRPPaZn3kHdYJt=X{q)3S10%zB(7G!(H}FI&xSRnmRB>{0ie4cqBqYR@)ZBD!!GZ;{ zA}mLkwmRqwTwp6|Y^b{@{|&Sv<oWWuvpgds99sqB8Xg>K=8LMB%<Me3%5Kr+9GB&- zEzM2$LYQOc{9s@Sz0e?7)vP7q#Bu+j->U^$E7*QbHs8rNHQ>U`duJMN+})TQ)6mV< zaD+op(P7Dgl=*KyFI>KS`Elo<nU#}How<C_^%S%8N~Nil?$WW(uNIb;Z0qA&y?FBG z;yZKZ%rQ$pd$MrXx$7sb!qZ=uSoK@zoa?^)C})l+JG;Ad?CKwKg*#mvcxL?Hz$(h{ z@1a;4r{^Zt<Tnz@Ywm%j`0v~RgM`#|6SJqhDM>Z%O<LwS>By_B<fF0k=gHq^H&40o z(Kq3Fc{9K9%ddBB850^;C1qu<Gl-t1Q=@%mnrioM>#|tz3Ml3#@EQrV)MGu8#%X6R zxXr(5{Km)n^SL#~#>T2ft9`VUKcC8xl$4y5az^U#u^ooFK4<zm9pw_EBqYSy(heVx zh>wel`{Z4B@lF5zVtsust}Olj<Gk0rwI@D5;(2hl@oYoQ#77^uSRa;1?lC+jVO{op zPWB1Ygz49gww6Z5#@u-`Dc0-G-c3fgbd*mkc<!pqE%wf{+*GlCrt0R5#m}d?&3w(& zH<uwj5d;*$;Nb%hSYWnm!2<S!2M!!?5ORoRxzixW@R6-P{G(XvjE5T+Bn0RwS;=l% zI@R@1r-)YE-o#c8_C2Q;$Ga?u>t|hNb^SgFfcNErO9;r`JhNZ-=I!48?yYUv`n$I; zCx4CE8~Xlg_SbFm;*8#(P5yjqk7@q%ot2d*l;?GSf3&eent%P#!<Y3Q*o1~06HaPf zU-C--$=Z}2fr-<#y{8GMc^9ADbSNj&s;uYZeQ?p<xB;{hWV825@V3s&ZuWt@w0Ga% z<{>zF()4%cvC9@OE`Isq*`1y9Z@-DL4xi&JXXt%s-mRx0UzJa=|6IlX$$p-O-v<rG zry@*8K2OhiF~{FVYuR&|GVtE&ojX7PROs*EJ$`6sK|y~0+u6UrZQHjoKR<7E^y_VR zW42%KEiKMYzI|`o*0s6Ww{vrIHy&j&c#-i-bMC$nHi?)C@u%GR=USCzS!sVtnYGgT z@J|g(wc~vsH-lFZfX*jfyLRQ?tkqGgmpxmRYr1udd9H8r<+53`uPs|TaluN>OBXMk z`&L!9toNUGmq5vhM&_*J_Jtp8<X+9MV-H)b71Hh(+gryc(0|x-x!m7n8F5WXp!ARp zS_)&lc@qd2fx#xQeTKOuOC@IC4$bNAoH?ast=8;qiHRzn8_HO3CnUtL{WW#n&ur#P z`@ck|B>a4MvP7AgXTpSe<}=nDsp{C!t~0Iak&K_+oeP=m+ZP6l@b~QnFNg%KhupPm zQT~<QZ5Lli-My%Br8O^uJ9VM<msY2pVe8IbE!r8QbG=x#Q(-R0L}hExk&=8o4F|aY zUTO>fwPX>G!H>oERZI1MEph);@Q6XUlD+$YrF#EoCwHr}G5IPXEwa1w!SyS2gX`k- zt&#cDW*<#UT^kvx8WEu<7S{bWDOX2l((2W#Yq!S5atdzZ5=^=pwKHtJX!h2qT<z6K zU5`%6`*XQCROV=8G}*YZh?p!1J|ANf>7$XoG~3a^+TN;m{`-doi%crh#j57yOl`G$ z{;IO%)w>(rj^HzgIl{s=hUQ+4dK&h2)%I0f90CgbF4BM7XGfc+PW;z=YU!;Iolx(u zL2E-of?tbRU-f&tvi#KCSw^6;nKeXCV@5-#5Rb_MuBt1Y{wo^;f<o%N!2on}I!KMD zrw0gt*QdF=yQ{jbyJ!~G8>f8j#EA}-$dHhbE#23Ywyof(R4M9=Q&LizmZ7S2$?dw< zmhXFGxpmeoVCuG;YjuB~-`7tc-j%Fk;H_%bdSRGmAn3v5(j_Iq&@OEHfn8vI)W*X% z49l42*ne?$a&prD;w1mYk^hUstrzz8@6P{AtiLw@+w<i|o_{T@jgr5X{(Fs`oyy61 z-|yb}_T|f$4|{C%`1#L2ORTKea<NpNu`+T=-a1z9nD4GHN?zF?Pl?Rb^PZ6KNOW3i zT;irJFBa|Iy*s(bkn!ByxpU{<{|P!tVD9zGxpU^snREW94EQ*OtrrWwJ$`UU<a+Jl zdw1?kPywytxwhSL*V&D2(Tm-Bw;qd5zP@5%@4B1Y#QCS!pLn{W|8$`I^!gJEe{5?v zzIfzIuK)59-t^`9zbz~j^4|GF4llj3#?&<5H+pmSomo<Rsw$pO3|GIrY;%^U)XmCS zxz^44@wqd5jNhEGGA{liG)d)CM$G=ZM*7N&d;jHzoY>r}aHw10-iF&Eb;XxgCeK-C zX=>YRd#mJCj>Kw69qc6`F++^)SZicrLY&2v2`Uqh|M|3N;=f1F?$+^o{Fj<^Lb+_^ zl5KKtuSae02wps2taeMhbKxTf;a4+m`Kf(kTza@uh;{kPD;GftYvb<!yZ3aP?b@_s zAJ3BgJd>wQn>OuR^uDDnXJ6M%OZPOp|Lj)I?7Ydj@6v=TQ}+2RJALQO)SE^#-#Y}e z$ET&crKQKaaqOAdA)qkflOOvOd26Yoa+y2zroSuFzx}jm=b?4C|4xk$tPG8sx^~m5 z6&u!n(mLM%(eR3htAdEsmV}S?LVM2tci7K!w3FFqih|*zlWj)=_W3M7#qa;k!~R>6 zL~_r@-PVkLrYvFa%5(3we>Cv%61sh4?hmzHo!LsWXLw9<i4lx<ad8nByvVHdsp5y+ zy7spVt9S6<bmnir^<q_*Z}Ovq4lNrGtZHcDXKrX|=acc9qNaL+{rCEwjmiGJVBokU z;pxQM6x*UB9fC`w6+Iu7h=)E&u}fnSjBEK2E4SmtgS}=yGPuP=_FONo6=7uX=&6vr zbMQ&B%|yu+PtteRur*7wh%g0mFc@eW?&TF>VAEKa(y--)j#_8aqsxYEjS(G5Oe_ox z3=9nnj9m&xmwd`$XvmXN^SE(l!IX(@-zv(Qmzll}{BO&9;V6TYYXEbN;Ho#T{SP<H z7m-?XULyEp-J+Fuxc@G8JYf<Ncl4#zJL|a)(Qe)g)-Dv%N?z&WXqf&)rQi?`@4s1L z|0n;L8=(KS;;hfV=+$R-O$tBy`;FLh220cUi!Spf#mcSZyTrK2TjS8DpcCHGx9(Lr z2fh0D=Bjw>O$mO<?h~EWIV+i;`dLiAv2&s4{aByC`&(tUGQQhAMZo*l%GF`+iP;A0 zHEVeFq9w1cGVx!1StN}2le0u?)3V^zs|)uONG5KLwSQUt{YggH%4EOCCo)zzNX3fW z=as4M2;Xes%UQ~E*)FtVW6CMJ=-wjbR==Lkse;EneEv^A&bn#-$9)fD-yA(C{qW0$ z6H*Ok>%_kV^P5@B-V_q-dwFJ2quKSxD=tN=wK=U}7QVpZezfWB5vzXzyPs<@A5I9J zpit+TV{^ai_-e*ohQIS-nqAVa?~q<ssZhmekWd%>@BI46Wh!%2rc5~z;y81Q9pfra z{+YKuVl*@zxQ^b`@?N1jC!XWOoIK?V;rs4A`uigzE_$!@_b)$MVq<?T`}8hJu;7im zplQXu`Uk=tpMOnFE>jU`$cPBvR#N}>&kDvPKh0fsO*cw66Wp>&$)c`BMRboe!#+KU zi7(i@!#SO&|BgEzSH~{F6jhUDbgqZt@r)fjMv`-!>pnbKV(qrwAaPdyU8kP4%xt_X z>g7si`8snS5q<7;LZJQQ%qT{~W!qd8Ea&h0n|GU8Y{}KBcE@E_-;ismkKKK*;&Mj$ zV#!sN*L;g+wdB}2Hh#T5Pj83w4EKuDE>msV_v~IBm)N;@`K`S&OhWa$j-UBjKiNk@ z^=;;-&5A~C{Ks<G3}&(VRM`bicU$>Ci|>tdwH@aNtGiR4e$KoWz1l$Tak%aN`{F7x z5uE>w+?aPqu3X^cx^LD)XBLTHz6Wv_U;U>O`N`z&?7&~DZ_aA@p5o!kZNF$%l9n43 z7ZNP*7-P@3tGsu<B17FD<7XDnP1ip!EK0YwbK!XU_`h15=Dp5^cS0w))!xpEXFU4X zN#UXR@-z0K*3%|+OnWqq!$;iwU+I;XT~Fja#FkkU?l@_XX0m9`S3S?lW6TS4PC0G7 zu|%ZE(&IqOTxE$bI<wNHwk(|PGV4#V&eA;>bVOJ0ES|~9S-VL7^QT?YQuDu@x0xSi z-}m1&#ZCF4bN%gGr?MP<OLIA9zKlCE?VRMbD_17Azf^PF&gZq*$#bRQ!d+8WtTi$e zzIrU%)Oo`C=jpk(uT}io88~l&Z9sUvYl6_J1xAM=J$gKQx(=1rXH2)Ae`n<}&0lAw z**6{eyLD?<(I%f}!R%GxyY)&xO`5;DQTpSbWgn}es+Vcbc3$JM%{0mUNAu)KOTIpg zSFUtro>y9x>HPn2=%4T5<^P<-qo2rp`DOO!R{hjW$BWL}=FL}|?=T^F?nkB*A2!~< z{ob}N_`t5IFMj{6U+|noP0waR<#(>Bh2Hb)9*8)HEUMT)J9@<i8I9Z>Tc_+4FJE*~ z`M2Y&d)w0fE%~xZebIJx5zX4bSJBbwqUTIPJFaY2*u<Q0<xn}Rndi;}txR^?&cA(h ztgP<vcef438>Y+W?QzpnIh(F}`{fDiI&;G;r3ks@0hj!byzBjKw{r$Vq(#x!BVEs; z-|f7yrp4{grDT!m`3snrEe+oPNh5*vN3gv^&hHu0e=Ijt9#x(`({^Xse~tyZg8cb8 z9|W$MNLku<+EhGBQE^_sTT+Dmf0$2SL-?H|tYsQUbrzib`fEM!VYcpd|2hjqW-sPF zlI*gbDfo>;Adiaw%>Q1T=d#_}wes!nm>jKKI%~hU2=|@#fRoG@HKwTQC{2v@;FK1y zsZ%w4>H1jLe1S_K*Q6aK%?;i00jD;zXTJ<sS+&lf+Q?+d9{KDYd;!liw>!-{&A;ZP zv}m7ML6OJi*lDvSev|yN`BHzXl*8NP&6ciTr%$W?aEbp=?7pkZEq|_%p0{dZ>biX= z5+{b|Ca=xCJ2y^!g-rXB9|7jd=L7nRBub=rU+r6wawnZfP<Yjvd3`=#_NXiF5_XT1 zU77r9^OKF*f4Ajict2h*dFN#HKE3<JGkF!$L|LV;B~JKPmaD-uSID-L=i2Xst8!NO zUsnsLY;)enBogT6s3s7)IwnmoNW-Z`hDF3vV7Jh=ea&n5(rk6*c2=oXingZJh3iQM zC%9gG92GB~`*OeYQBD>C;}!hRpEk%!Y`f?jzk1dBkTn)JUYuY2iu-FVuhz4Kh|q<- zA12wey5D%k!yqCR6(0UJJV{18?dYqUe^_$7A|=nNw?A&)vO=Ox@o<s!O$)hQNA^{m zIq_5RWgEkT_H|QcDeV5uYkE#0t5dMxd&@FehNY)Ia<dc{O!D?D+gTph5j!p3>=^Gt z@h@Bn{}%N=tyaj-P<|?~(3&y$w&)-4{Z_%RKJ1SYnx*6#G2y1^tC_mDg&*6_-y<OU z%dzUoL6IxjB6pcMb{G|u2&w-5dHPU)ZJVg*ZqvM752yDB&iU~1?{Uk=u4@|@*Sx-R zX8GE!GoN2`Ke%j#bg}fJ$y5K{wd{4jdFae^>FI$lZJRefv`G5z?=BO(@u+~{?cWXs zn`TVVZ4X{t{6oZf^J?8C_nLdeu18NfvC!1i@m;5)^UjSkn`Dm1#%($_+4;jvn=Z|r zJx59#g|)J(|4h1X!!3JxNkp=eRoTHEKZA}X_DW7q;+0)exLA4TQOT*d1ogIA6#QYk z+P*}WG1Mt0g8#RhYQV7zoFbc7wA_+#`X3Yesj2H?OYMyJHSRqEuYY(yJvrB^bwM=S zU%7uC-(8GmmNW0apIP|at8?Y1ddX8Q2j1^LaQH{k#e;g1yH7?h+Gcw7{;FrYRPLNm zo31kB>YdAu!q#kIt~I;k-)JwIB4OU{C>&nCi&^Nr#O6AdK>n!>A+GyNqvS2kdoP6s z7tJ~Fg5iL0_iqE6^8d0em%^s<xca}@WD@M(d;MVHv5PrQpUxBtr?p9iD_jXU;Kjfk zc+q42)4VyVqEe5Yw=XIRVhR&o9bh->+Kjub3$~xA(|Wn(ZN<)x2(fyO3#<qAUM=8P zwz{Lfdbz4kRtul`Y6VVq_w8Y8CNKn?6!QJyTV~+Iv-IBSyL@*vy;dYnygBUy|J^&$ z%~O6~<?8MDxOsMmN3vP$DnF};j58Wl&c3Uswl10(IeUp%%MXpJUES+W83{SuWcuSK z%VMzqWB-wzbs82y?P*4HZ3`RwvOO=(EWXHHt1BhxaNk%lF6h6)jAfyHZzR6#uY12U zXUP|hk_~JbF?;58%(IhxxN%~p_uq!{UY1oZcPBn!w3PlW9;G-z&qmF<T;kT3aMwox z7w^13lXz8XYr6o`GY-x7GaVgsqi2Oo{;F{Kd7$1!5$&EwtVh1_Yi1mtTKDa4=B&39 z9x~~d`4v4{98vB)L;SVf+x(S_j8*^Co>bTwa44*#!AWp>p!lQBI#YM-Se>`YU!<>~ z@Q4131#y$CrSI#?Sj5M@U|iO7xJmZCxZA@D^Ihr<W?Q_all^=WPk$^Hau0o{KiSp( z(VV`YQL8#V{_UB=a`dL=X7*z@5_@JX;d%1UNYH$*C<DiaPmNxSBxVOnEZ472(Y*67 zf1z1!rjW+%Rnr<aZOfXbuyj*K=ckrMmx3Zv{<%C;e!E5R-KTAB6+$~SlE3Y;opR4+ zowb&Yr-Vw_<OjDVuQ$08R3o`XW#wrFhFyIp*XX*(-{y8Wd-3v((#2^T)+WciI~IIA z^7(S#lO~aR``FvIC~xoNspj8MyIG&P|Gf89m*=t;%U3i6%t*C9=2Vi9`}uTU!dwj< zUXdd^%T5O<cj)L`eSW4j`q<(>pCg|6Zj^GcNevBHYmvTK^_*rNTY(<ep|tmx*f_Ja zy%c!`vahzyny=}=HsN1@GUGYZJ4=hqrMZ4MPh=KYckoBwHnAN)MK<!D>)h^Rc<x=@ zy0V(NvtFFPex<p!b^g+n?sILq7xWMOo3TPcOKI^BcFn5fhVz$K>0LUt)PGv_^*_7j zOxvm>@#SrPqbJkl2CrRe&ND1)PTi^M(C&MtY<19a`v1onR_ZS{pZWHCLG1kZ`#n57 zHkdusm*#ad4cKsMv8!39l=_rl<ByYPSw?&^xol_Z<g#^INL=}o75OUc=YuzUPUYRn zF;TSD_)XvH*xMKD{%O1P_VhEpE;;J^H6<%{*;DbXaPMDd1tcdtD&jaiZ)J^io#v_B ztEF?J?G}iI{}J9cA-^>G?cU<kN3*+kvK>3gGc_d5E%TP>y_XzCNA$icBquD14E*kw zJV8Ht&K3*y_Fw<&h3ySQ=Q?*A)OcGQn&Ee?gs=Uct=ug=$1QxPC(eH$bA(|kcj0cP z<%iQ;4~ZRM-^Fsw(9px+VYjwtv3yal&d*me-yLo5o?$y&QDm@ZJ^M1@4M$r<1KXx5 z*~ZvZa{0|=Xp~#BaQ#MAd4;b$X)-%fAKiPfe!(|Mf91TWg2Q$vbc>?$uA1}(E3UX1 zCztdwT%?p^;e=y_WwowP&G@G=NdMeW@jdON{8QDKU3D8@#6MN||CBv`T7T+2_HS~I z+wM%OI`D04MNVH;s8mnxnqQAY1(v=kn6=RS)b((q?JT$TSI*h|m{G1X=V18eW?|9L z<ed4h^ldj=7%aJVGIFVt%Zb-<T3ps&r))l{_{8JM`5no-8f2LC-&A-WIx^?*`N_V9 z-XFO=`Z)O;;`L0on{((Y<i|J$J^A^o)400a=8oQ$dmmimYTkU3|HLiUA0(U5&cDF3 z@b(@@KbDqM;R_mv)Xn3!IY#DunQ=*&b)Cl#tI{ae+I5$gzD=F|bAmupm4te%J%`L% z<>hx=Jf}BushX^AmA1}NW;wQAQzylsr|fP~+0R)kFBPw?5Zv2)bC!_M;-<slPv8E2 z9ho`N&Ev>>9_L*yudhjTyM8juV!hOHJezrulMdTQh5Q3e><|7|`DxtwAas|jFQhE? z%7@OD-?sg~PyAS4AbRED%o~$`GKSQa`QJJC{6wyFlPRBtsQMiSM$Xr-b8kdtge}kb z^mBshGTu)LtxZRRjov4B=nBd=XSeHSpJYt2+5UN}R%7(C`8OV=&xjXwIvLYC!zVE0 zoJLYAYuL79OrDR7g#9xoyz%dvb$jZ<uyrBT%QF|X-ski^;rMfZ@>;8EKIK(DlVZA0 zt@n<c9iqVY_vWpge^y;(ZL9B#=so#gwf%I~gv-h{uRrs$s>j&sa9lg^>yfM(d!OE# z<LiQjLX20ioQ<+-+|)Bm;L-N8&Kg~Qrv)2>kLr75N~p_9Jd@U{GZia*I?GkY_=bOR z!WM0TC&lr5v$usMd%Vr7O7i}i<Jr8&Nw9li%VImeSdXxx-rMWL_zs2n1{6N}+qU=Z z5fhKUO{;xcBiLgX3x%B4;M{6j!jvVY<@jRdIgJU|_fD`1cxbaaV&@}&doFtoWk!_; z-Ve`N8C*VbRpqy$R?r5Q<8@C>_q|XR>aAb*OR3#8<U)Joqu=_`yCZ%XesE>m`94Xm zv)9~dIsbcJ>#%hT{i+&z@}f7)2`HOWAt?4@fxYP}<F=pESv@SDy<NM?A^y<0c+DK= zlUcX7h&<9)lNU7?^;q_00gI5HK}-1k{PGFn6E|s3o)RGb(T$^stxIWDP|=c#&;F^p z*}m035-%E`vv!+SlhrrhOQvbI|Au0f?1?j9sbBi|D9d-U=;vc<@oFkE)>^8Cn_2or zGn-!SUT$Q)XhJgoFSqx>2hRpB4X$GmWVPH9oZraos51Eh!}RkEQbs%9DHPiOQ@Qq| z@xWE1qIb5nIk|?fpRYe;;8^!SYJ>7MmorHMdO<89j+(O$NF4n-A)@hi*VWa_L$`aK zsusz}-Cr-Vw{^w6<sDBKWgk>Ml<E@B(Ho@ppW%UH$mDw)FP_RWt6*UMxM}HA18<J) zYvjY7d4xATC^HuLx;*#jTPanRcP%aEJ+3R)1g`a#Sa#~()Hhc)TOBsMXHyveUh9ed zj9E)xbG%&Lul~5mM{3Gz4I}*nEXGqEZa*$?*C{!;>+}lC7r*1>XU*97T>9%X3GW*Y zqCHX#hAV$X^aPyFuQdGb;BI_dyP@9gS>L&jt7N}jxhvIn;%)iOfZZ7tvr^74w0rs_ zU{b20@@LhWo2tym54qirHthX#*CH`}%C$v2n}XWP79_t<NS8hvH`_~d{wLPZ{p&J4 zneLt7`m1}z(%0$nmVWEA!dm-ZU)cD0#({mymPr_#3AA)ydF|~-rAVKoBVht<UCn%} zytRd#%xl9;W2Zf0+PZ+}if(E4wBvqjpZ4vVw?cU;_c4J0y`z;1U%t5=6MgN{-xIv- z(5kTOtjF)xKTPC|(vv9MuCw_3)~ZXdH(Sdw&s7R2s!ixTZu<T0)&Cn*JUAs@t+sFc zvgzgfPh1OZ<-$Iu#22`-=UIOK?Q?V2eifl#u}>FFw`=~><6W{crKw1-jZ5@f|6+#g zidQ_hB`n*R(0b<NvlVM<l+`z_mVetN5HVXw<Aiy{)Z#Zwk7WfbK09>nOZE|-1r7dv z_x&H+rx%<TJ+j!)ZT4aLUJj<e`R}eY*KDY(PtuE-@_Nohy{rn(02dRxE&B|gKRW!U z-_`i=v6sKkE^HPKIP}rllqsmaKVYU}^*d%4H~sHF6ttvkRWs(WyR5yTRBo{H^uop^ z`&)!sS%gl$4f49jAe=jufoImtVizX<J^WV`R$4d2%|DxX<A0<63(u%ag=@Wc3OZ%v ze#^P+sT%WGezkMWH;-u@%Xt5bOjHYB5al*+F`MMIPx+JE?`()QTx@!}=xmFz(bIbG zV|g3mwjNn(yy@n-9UHawPS06!>iG@lwFNJ~oMw6X<XZjfS0Q`jvw8PV)6G33@cHw^ z!UwZ%85lAAskN-@UAfQ1zNv@n+;1+|7eD7Lc1&6jaQ$Itw&qOT=3DGSEkE*01)Ntd zzCJ~<Bjk~)uh;};+Y^1<ch0>mdz!T(Z|Vom>kJ#ibA2R(Z%<vYz2cjort<Lx^No|r z*ZY@j>GkJ*>UZ(``MQ!1rqUA<Uo4s<Rq`P$G(sWRAnEYDjq?RfSr>CfYczgX^Hyw+ zp2a=}iFx5nO2^8}KXP5on{eR-N0`)=sX{u>neNF1SzL)`S@^9{@rcI66-6xn!wjxH zT0H6Qf`E<Xv5d<KJih#7OZ4==J^j`Tj=~H48}ipVlpT~ZNuHU$;OLr8%LEaR)H4;u za~IbyT)6jZ<NZU&)?|emm8j<#)@y8@rL%e^`$x9=DfhN|H`S&G9nxvlzLsl$BwBA{ z#FUDS(;lYYpTQ_<6~8N*b7{!yn;-7aoua%tB6aiEDUrSt?z28(zV>mk3g4MkckD7f zQd`zBb?Qzq-t^MN{=>r8H+q6@GrJr&KeHgE{bFx_vC+$eXXnqj)4I~lTy>%%d(`5V zAL?%nna^lxhn&jiyjydlEx%QI$)C9`*;7MjA1|7hS7&f8t6K0+aO<4J4SyPIZB~Z4 zGAgFt^Jv@}GGVhtY16Ov&*y$k+Laubb))r<U&@=(6*tPCG5#ri$}vrMc8BDj`LnG| zWvf<rm8n(Ls!okL{AlTfJBHnALivYv<4nH&ZrkYiYFVM|4&h7pijM{O#cthSAneAK z_4>(?3f=<cgmq>1ab;DWjms;QMeihZH8VR0xH{Zh60`h5;CHQyQqv#&P>MXGwS<fD z<c;f3ZnpeldU999($RV0r8Ulv)2B~(u|}fAD*xh(eI;RM>szwgSY{uRX^s98YO34* zJpUp8!G*hY-YcaB3g$j$@O)?d*i%Pdk=y6ql4B{?KE$m0QSwHbHR)umo?gdRwY3?l zR&(FC3UM<vZg7;=v)XF+Votbpg2A*)mb!nJ-Z-N4#pQxih8ug!*PRNAr5bXTU0lr% zm$vY$#I8E1FiCHc%!d<KHXJVAcs|GasmY1LwkiqZQw*1GIEUZTIwcYy77+e;mJAmg z&-Wb5@RZVrLh84!EMHer{VR}PBzo0Rk)IDfJ5IWF#rn#$Pg|!1woHt%wz>It)%nRc z7&#Z`wmNKMzkN!}@JG($Bg`U4^t_^EvT|9=`!0%0OB|`)e1EN}<O_L?X20F*{s=t? zwFqT=Vp80CoMXH5nNLwKVz#|Ef2+hIY4p@`hSH>&cUHXI7RY=*r6KWJv18Rl>jMqv z&6{4`vDu`$uf_A4XI|%3)mI7z8O)X3wyRZoIP#d87le4rJzmlvq$xVrUoHD$rJVlj zo_tR3olj)vENLkBU^Z*vzIM~<(8BaA|7W$I*Rb94tCP+-Hf2@M#SfC}x;B?gF3MW$ zvWort36)gKYOjbUBjd9rFFp72)G8KFclvO=$o{kIP0O>2ar@ZZ?-@L<V&l6v?bDT& z6AU*ywf)$-dUnHPHpLzO5gKcE>~8(H)X?SDC!MaM63z?u>ht)-m=cR;Z#mn#x?fCu zwbs^#IJREHt_5qBueQFr*2wN*)Pie^dbAH`Web11ch7xEpKNno-xXE66*gBVn*KQY z_qF0(W@E-b^WBxJ81iEK85iv9(ej+%EUJ8X`<!(DTNkqpRx)Zm|Fmmb=;d?#R}G?n zUad<nRXj9(ZM;oW(>l}m#_QD+cJAKGl(ez=c>qhKt%22@D(<#=vF67mW(9wjmc`2n zets=vHRa>&ZI>?GV>bNT)Z@bT=Z7{^ND$*Gmamqf27IBj`KBHH898l!YyL@-*ur(o zB$ZEX3U2b^x}CBtb5=z03cp=b^8~ecmaB7KG!uw@@-=VI^O83Uc{E?nsLOr7x4O04 zlY3q6`d%B`Q!eMusptnzVw}U=$lS^Nesk@*^UHtNbSb=j6Sw=?xpP~S-@b8pb!*qI zt>@MzzrMF?*JJj%llsmrjeZ%iYkJ?itN-}x*xUUpr%g(?oEE=xYPjXp%K=&!8yP(^ z4)gF`a1T5r;pys@DY9~E4cFsiz2+4&!FQHU27zu6D7KpG_k8u-oPEBjn{zjv-Fh>p zOW|SM4zaw};6pnLbYx_LPp0fTGwq~NxY}pmpWpUv%zt<L_U!$8Z^>_&F+plsOXim5 zkSjbw#!F(3J?~S}dD6hZH&trZlnDt2N=<hz^h)ms+c(=2baqB`G$;7@jN7aCZUcdD zS*w@6-gwnzLS|;>aX)TGN9W{=QCpH1%i4qP-#vHkoSjD_cl<|@aKl3N{7=i(moMYh z)RySk(Y1U<!ea1l@0;K|7<UAMk1zk0HF@I1PKD#gK5abia3m~jji|73-GxRzi=G7v z?FYZ?to1xO+0Oh@Adj-d4&@x);=?yKn7!fUcHZW8?O4`fHx7<>5#a$3nVnSUa9DRK zxhsBoRJ^<U{9E0Qh&2VGb}Ca0^X|-TY6OA3w=5zLznFM!#mBE-zizGD7$2|Tc73t$ z=J4Yi_r|^5;@arR%Dp6jk!y;>Ar?OY!$mF}0-8OAnl>+r7f00PvF|!iZ?~QQUBc}z zXY<0vr=Q+hv@u3k{QBy<A0N64Fz6j@5meo?tbO@0W?m~61?HZ)`yN}(zg=9AXScie zx<&8#gdW3p?_!#^gM#qdT3x{<*H$f^n)=0~*gY)l-v^$A#=^5R(n4N;kZ3QQDWvz< z&_GV&c2CwZlgG0zf+UQ$?UcM-@c5XU4hXCPuh{^fC!h^FIX^jEab?)z+s~4N8#lN~ z$(dxx%s#fd*S>}+>;q$Zp-A~hv3f<tL#N~K96b|lxBd2})yplq*6)~KAnIQz5??50 z@7Q$k1gnJ1(MY@P>vx=b{!PUF<+0xCX7Ty6K)~pn!MO?Gv+k?5PBsdYJQmj0&Le5$ zGGWcd-m1lm7CAXAh|<sy%W4gB+8MxC`lq63{*C3|J%4`r^2A?v^&xhCcNcrkPr~LK zXE+-Qx(9HDe2~>nI9OiLaVv92_MRypR$ec4(5RXzR3?xlRSLe^7<B4D7Wmi!@Zl># zMN_AEtrc3m<cpT3s_ItHoS;dwx2UNq?)A`{B(%~sX=0ellEonKb%m`}mi~z*xhPpF zF*i<jR%<3VH}H*`%xr#Q8(s4+Mm-VR>UwIClHil>Z>_%{)h?_#xGy5UXAeVSytrOe z`9r-vh2!Pd&g$M0J0T|TBI$CaTv_lU$Hmou*RNu1^{<>Uzy0U*@}JY}KkDrN^!d1B z!j<>M{+ylXSC{y`H#xuj3qRy~+l@&_yF|4^Rs<|`Iy!;>=Y`cJ(brmIPNd$x8eGw} zJvNsA(}M7uo8G<BkZJv;>|nm2k!R0?R?V7rck3Ms-S1v#asMf-U*goh>j8(f6Gz|= z4Zk1iZ(cPz+x}9sOEwR=zhd|9-MfD$?~Ku#?hQUef5E(Y^XAEIt*ih6H=~3<kGI*| z+uJL7$jjH{%n!d^JqL7{*zxij&{~P(r7`O2>gvafZP?k_*^d{>Jbzq}UT~3ByjVl+ zk%LXiK~a+rPTV><2U>-M%)dDGTP@_@wc!4pJ9mEc-oJ6<#*W_G6`&M-dC#qrGt1p9 zH=o;J{I<v1Sh;D+#EF@yDPfY5GX*Bhm@z}bT&=CQ)3WZsfjtJ=JlvNL?JzJ{|4sX= zMM;KZyMqINi39(%dWi`;&t-7t_DCo96#fFA*6~R1T~pzv)ZE1AThpdZyS`&yhW`e2 zzdg6)|E*=2H~rnt`1Uz7zHeH{ky)egYnMUq`(kOk-4kZsxy*g?!qVptkM&AF&bzbY zb|m;F1Rrn_6Bctcbi-@Sd55aDtbeW1*A%iY@Asy<N9uWpPRQN%-E+$>tgZa}mc_GI zD+eBW?8Fi1{yf0$`_9;R#nmxgI}XmBzq|9YO?z?L<9B&IyRU+7&^_up8MJix#Kgre zfgo^kMutz#R^tSjoILBkUgge?6G}>Qa#b>NQvC6`pv8a_oEE!3+{hiD`!(xz@7lv_ zd5^BuwYK$}qnrBCH2k!$|6z%8(0v*gS8#%tlqqtaYhb^5u<V1ZObPqc=H{j~3EC?} zN?79$h6V_*XwS5GkWu$6DR1#(wwaQgBAcb-+|0i1^bZJHxW#ps^0%F~I;WSq$W42- zqc=q3bZYvn$+OipyU)Bic30v%n|=W3q;t^GRcvgaldG7R{(-LG{k!*;WWnoAtLI;O z|Mhe7)VH^{f7@AGt9^T4jhEf@{`_3)Z~tyoyJ&AYz;`gKrn0m!SJvzSBj1aEuerb6 ze#~65)~*F|#{N?fcnAXEHD;>wPo>LBJm@^Yupsg9^&fZQwT|7D_zzw)2wK!KXAbxz zs2G_}@aZw@%QoH2F$1lqb8?vBqxSi1_~PRm@5h-g(_)?L+{hQFzQ^l&+d0mUaj`NX zJw>r?%TBMD@cfWOa*yo~3CkRY1~zjrm<%dnw`~&=nv-%l*?RV7#p}0BAK%)2Y~9-I z?Dg9~1==idsS<T-b#6(d!`E>CuMzvdHgW52xZwDD<vuRf@O;-tn>V=pxfb0haL9{W zo169i#s055|Gz5Ue>HsNlG9IuC3P>0YrM<5bNBAuUc+w>n!$?}!DnifK~fxKMd-AL zOgx^(o3HnnMxQ<7cE;}3^>1v|kB_Z>o9bs~WCjA?z=d3r9O&FSv9v9a>(Arf@-Jn- zHZS8xe7nz#|C{2^aWg*Zu6vdKWY3@PQzi+zHZfkYf4W@wvgD(h`%~usn?6&*Jnzok z9lH%BKwyR^=xX9CD*``#1p!cmJbn5#OMUmXb=$pfUcFkjGd5PqW8Kv5r;n<3M(IuO z)@DgreK%_RwtKoyr|sTa`saXzLFY$<X*1+JHCY5^)Ny)d>N`)Kw)3ZlyL_{Xd2d3^ z#qRQnbMG&bPQD}Y_}C7^GRQsQ;6n)42!X06oi*Xo&Yw>0jaq+i^|!9QL2<F23TvZQ zUp=)oX>FXg_UiE6tFqRvDq4MG)wWe#U0$B9uC8B$0u#dza5ygKzE@&hKS|<YxspYU zOvlpOX9a41IJ_)3l-*Dvl)#`-*ZSRXzKX=2uJdpG(svzBymPmAccFRS*A|Wi2~K>T zR=pFJTsG(gpSGnn6CBsG48aFA#pP<&FAZB6)-|<g>4c#5-`sZ>e)agg(LH6)ExE7q z3IX~e+)G=c7Dj1^bG`cUv4w}xvTg<V=4h{asgKLcLDiCac~JaTMopfdx4zd*;BeC1 zr_OQox!r-^De2zQnLnN~|9$f8w~asl<;N=Kg^#~Ic<c)XYTzr|eN-)WE{VySer;)a z=E>M7AxEvXUTY_<UJ{ZtHBNQugeBY)6cvv5e_yghML<PGWy#{N9ky0dmQ`E-hFVXk zn#aB3gO$o3zRvTGKj&UA+<U&zEPRQDjNJ45B4g|O&z@u#O@3CK{``DR-m}wBo*l1w zSK?a!_*n05+aEPO5{5}fIucV-Qbg`b{0ASSHK7xHuS<YumxyN25|58r?BFBq_TIAS zJe2I(=)?l5R}>W-931L%7W}vT*Z+IsBb$Y6Mjt>~WWyoWx@>19z9Z+`^CKkw>I!r; zu&A9#U{U<hCp71Q^$G)({jb*Y$iLqH?-i%vv0lSt5|4S~Ku4I}dtO^rRkiPV^}Oem zb<fM=-Y)42dVV#rG-hs?ySqEf%+JquSmuHch~wb!>u!GhNTaZzprB9Q{M794%k~!L z-<bXN*|V#4;k|Bu?-w)LIX6hWIru#Nne#%mUq+vq=W9Dp|2dN}c0K#dhX3E6td4g2 z&tLxd*xenw?}IlCECT~@Hf?)$bzWlnwV5-MrPC)F8Oa$Ly*_<HA^FCP88gh))YRBm z(pnP}6Q&sG`*1TC|B2{*GyCqhr$<9SPMZI{ytbx9*=xa```>Nve%`6O`=8wBuXAKL z4m7jLGEHba?pgNi;Y5@F@8(;+D*RLy|GlKQ5VXj6hhbiMVPRqA^m5Qr%%9Wpe+t(> zx+-_FAw2x2&iO;z)_)4GTN)dGEp*?h)qB>S*0O&Zd?)m_*o4Rm@ruLFdqX%BrLz1O zbv2eA(d~#o=i2PK%cr;KZfF0lV>=2VS@5^~(?Bnef=#t|?SBdjns1lQf8?tmE`Imu z(Xv9r<Fgh&zHv;ZYqiqq6)(EvJM)v2X5Ua-*Ew&+Bk*x=GV8zX)`|$@J=@d6UAZx> z&8>x{C^3zB;;AK?Gkt$5E}eNh;PcL4VM)EYC(pi_Hdj(_|3h$TBVC^VVG;O5NTpdW zi!WxFSb^62T6h$J+8`AfU|`}Sw(aGt$n6)nFTbc4kh)ouqt5d2Ais_V2)qDSMf+~8 zT7AjELAdtL_S!qv`+pXk|FgaPx0xWT#KD74ZqHf~%ds+J<DqJf<U2bIzdaBJm2eV> z?K9vN^xw0-YyQ5sZ(IM{=-+qt+3lP9{%Q8lDK&cXr;l%6ey95Tt-X8qmgf8Wul)XM zYgN^nd8?PV*V|rtaNA|U!nq$ZvjsR9SxmNV4gY;7bH4Q3;_|2tMafV8ZoRt;ALpGv z4FaIc;twANHM(~1Rz7$>{k7fv_n$MLubF#y<J(uac3Ev(2fnAMkI#Cy&cipKXaDWh zmo{F=vS|aSjo{505i@!x$*q{O;`>_JV(ADT7DI*!OO9Nc$|%ynrq)%lpy!B5i~=8r zP`V<|ksui%Mur0n3=9bz1}7|ztvJm0M_O8+@r<6ug;c@nw=Os06_o@go88a3#ugXk ze*WJ>=dYd(mJfCGb5>2yOYCM^+&YiFTuCkM_5ArvO)s`F1XWJqlbB(DPo`4T;miBx z@WV`#_jrY8Z)|O-Dm{L%?Qh!0$EhV(?|5zcdQs`6w|!@ospYiq-x-e_Yz_~RSR6H{ zXe0Y1w-X_KjNJlHl>A=IQ@Gl4)@8HxjVqy74kyIU4UfNi*7l;3+70#zUplR{T3vQq zOFDefyJ+ry{f-gW4F{E*Pu3N2n0&LcWLC>)3~KnhO1+us&W~$>%h!AI+?Br|Zee8q zU`4uk@?Q4;d@|MIHGQea56trFbUGPx#Q(seHEES=HtRptUDdIA?y*LRsTPx0ne2Vl zYB2HM9`VezQBo7j*NVtGUTCr{)@D5Mkj?CR{|_zYP1nzQ?Ni$JrEOjPeRZzH>kE65 z9^JYzTWaph;|d{;cUh9QnDS*@PK>?HF3O=C!ngh9!<^19)qC2`-Z<aYxMt=`<KAfB z;{7|ef6#g;Fe%{duO!*qRSRrRX7m{U^-y{idS|`%;;YM&_>~v^_YZk<K~gKS@*(TA zu)BpO+jl)v@=xKNc1G~o(cMaR)f@*W{JtJxv?)<~@$5aXI8XR3nc~Nuk|ZR1>hso9 zuX4hi7=4~T+x@}!OTO{$pZZUv1Rk8bZBjNx{hOd(rk>pK#&gH-7<pU82}Nd<|Jb4* zuA|Q&deQO1Re6JjVvY`9bEX^(d*`QcW!kRewKajptNzUT>d#y1sF2U6d2G3_&TP)U z=d<QJ_s&fJP#JmreOmhdwHb@t9na64w@*jpHg|Tx@zpkVH`ceT*dBPj;6UDYtKyUr zKK<e-@i24Y&z{#Of4g`rZbp#b@u;1%E0(;Q7W3ZO+HvEn32J3LB7C-s1T=ftZmip3 z^=H;pruYK$<*_fr_FQ|lUBPeruZ0gSOOlN5%Lgs_k|TQHgDuBn`;}*uUmRumxApx~ z1|Da&HFs;JwVM^(xF@eR?OIyJdiCP{jWfB5Hfro#KPkW~+(Uj&q)^P|!~N&3OFc5) zY5G%xA;sZJZ{cgN*?XCeq_4dHdXd?M_<;OFNeX&FN&<qduKq=>Ev~DY?57>j=D9GV zL4yBjozwCIzi+i%jYyQ--ThfeJ??<>k>m`WT7k7Q((nB2+WvU86yuxiPrvhKT4^`j zpYk&IM9Y;I@)@sgeRwuoy~X^R60^F>ghM;TJgb;l6xT}^SEkRA4zOCVW$LTYE0SWJ zk42`uinn&Tbi39`VH=O<7X4ox7NITj4_{xA?sajsE#Drn^zM59Q;VYxJnyUi^2d)^ z@}o+h6;EWr?2h9fJQn{pI;=faV?%FrzFzmOCaDgm80p#JW&OF<bJGpfS7@I&-c`u7 z_0ylu$dByV*V5*m5w%W{7Iv>Z-L@o3-%g=$|HMPjFYPxzn7^W5=`{0{MQ^|FKe5Pd z8n0>L=KC5&NiUyP$bK_Tc|FIiN312QPfYUryj(M$IiDEMyUwt&jb5avsKm#8tM{w! z-b35ce>GlRvB_ca9k~;#(eckCZ)mNncABBJda?ZhX<1Xz$mtySUKlw~xB67Lvb%xp zt|;ee)mmSMFFh+Vyr!Pc`h4T`e@mkTRpqHEcXBpN`}`xY+UcfL%InNMB0+)ck1S1M zPu`_<TFI}nNpDisEaT~cx3+&&t$&lwV(%m>=exq}{;t~}Z5jXB7fMU*l;)7Ba#aj6 znDw~Cl%+M~14FY|XLn$!_B!Sb>o-52=w3A4DRWP8OOuY|&E7l9?ay;p{JrkO`Q$vq z2ES8zzK2y+TFST?{KXa06gM)xYWg(g!+Bq3o9a_*W(0MLY(9B#RYt>uu>X7fZ4%v| zU$#4A{b$Dh1KzGz_A09UkhQqNwr0Xcix>J#MJH2tumm#yXjnD%(f7LYO^d@nrFV(( zalcyLlU;ksBlG0bjE|Ocm@m$^ns+MjT-?pKoB!@wtM_eMEc@3<sRuGyDje%WCl}7@ zT6<8|As{JR<?VjigR0Y(MlOE3pzhH`pXUM>r0(WOy_#`#ju>Nl^!uiNb5|5hYZ3at zJ4rkyQ8iFmvUJ&G*7sRAuBF^Rw#oA2+U@?0{2V<-_msYHwXEd1%#u}g`Oo_GCf~y^ z^y_f$Xsy*u&^FXyJs-WJMfrn%Tf)V8T&)~UW}QZ*D|E^N`?xMmIs3j@`R?9Z5t~Xb z^uODAqK;SOweaWWPq}`+?`PF?G;7rN$T4qLWad-&u=jw%pa1JCyfQ>iN^!<|-F9$# z;=DV%#-h!nv}2{v|CqO{c5!CZ#aZ=CIgzm^M}AiC!3uk)<uemQ!WYT;F#SHYi&Z?A z>CzkCiP;=a<`}&G^1Z`{mFKyZ{f|25e+<*b*ITPES@%JsWpb*^+HXR&XIAF~e)eTh zn5ACxZi0CJI#HVx)*l<?y5+bw{n2o&t0^sy`*K9@>!$gfsb>YPH~DW<sJiCNdXOiT zU0&;oSzXj7sc8?SOSe}aTQIH6OR!6YNug2rYoMFNf7@O!yESi$A2uB7F*5Kty!-8! z=;R~yHw4NH)O;%qbvaJi{JM3%LU32hBDOsfe>J8vT#wLfyJz&tVEQArJ+lm7u^moo ze<Afz?FkEy^PI=~Y#%OUXLz|<VsY=?3%`oE1n)bXvJVfIynfKlQ#8wYWy?WE*4w(X zZy3e>%Kpe{`qN@+S80W|c;znpS(U!lMsqf(EC1@3sV$sp;k@Ga<R81wSDoQr_MrIU z6onZ=T}hsWH^08Uclra<9=*d&miMMxJX!wvN@T$92?5d@AGoDWPmqyf|F(1Lyz<tw z1}k!kSEusNFW)!aAiyEW((J-3$6WQqNqgRWeAahqg<$iGzXvucznJZNu4&?&k2lXU zeGi?*cdCEC=;aWnovuc^EKZvq*r&H>>-1mOI9KdpI#gp&rZzpxkMVKeP5a(62F6N4 zVoWLfm>G9!<sZ>@S@ABxmE*+G?B?%n)<#QY78UGR{P1A<F6RGp7AA>z%<=i}c357r zIA!*oy8FyV?7U8K=brT@_I_>m+4`ejAyIY;@8vzBpBI*97<evR%O`R^|3!9<<PFg! zZP)mAiMia;&0v*iNjvM%ylKHtFPGmNT+%N-n}1ul^qCpQT#;+Nu1tCLcUKt6Tv*1= zcmJlhm5|ydr(dmt>ih!7IGRExoxJWN^=aqNw8{zds>K*hg?_6l|NrAG{cPD$#Vx{p z9~a;LdgAlU@+mdUPr6SzCkR|V>Ty0tfMc1_wEJ$eO{Xe&JdIcv?VDCR%Q#ly?5>3_ zOWNhm^!$9f>htvj?l0eKsEO~hR^gCeuP|W}hh5!7f9}&4M9c1mFR0$HkaKdru1Kn; zm&&QH;@VeQcRz~K{?or)RO0=b3g^#p%YHfUyAl7u&DyGLKBvZRgNcj+;xb1PQm^)? zaWTwjP?F<(61&uJj~=gaN0ZW}fGdx_H8w0gS7`P?#eF+#q5f6pUgKPjtv|#gHn=pT z7P#y?!@gvR_DtuzbeGsyoF<*C<wedd`@PZa?c4*e{_VLk!~Em5HI-{bDkl~<m=rHz z>i@^lx}#)Qk=CjeGyAK)Xv^_&J>NBZQ}<mC^RPMr3s&zyhFY<6(tW?C&hh=Q!9F}r z?Afi%)U<!j&YK@^mCU-Dl$RdDGA%WFlGna7F1g)|N0cjUTm<>^7wumh8@SXW;!@B0 zRPD!&?-oweoAZ=&-R$F9!8IE;b2?|w&o@@R9Gb~j70`6%z?q}x^qIP&72-BMQ(3=S zsPWH|(B}&{&vqW4CZ}T1&2-y=-JSdEn%qljcAIh?&rQf)8MCYKP>kSi=Z0TF+!HG6 zmOIt5EWU0tp>2)&^~kAgS2fQ57UwmcbJ8y8p>(C+ojp7nmQS<ncK&0j>@<;zvb)k5 z@4R^FTE`ccHcSX(YW(q0X3Z>SsT&EaySi8I-f^Pi!F5g+iv!G#XLP@G$ON9^7tUo; zsB!YKllS`U*UY(M_lMXZFP@-1O;3gIhCb)LYQIQ!p5H{Sq<L?;4QE_D<*LMYL1S6d z<e#~@RVT7JzX>dnyU`kS&wTHVq#KO$=6%}oB3OIV|CSt=x*v&^cbe6m*;IsHT^F-u z?==bdw(V+ak#yJFbG@IrZ{9pEcrYo-<C$#zr&_P6-Bz-DpI-mxelLjiq2A~1bL`$& zzuPW*LhfeEoLt6hkG<v}(KerHyV5zjYvyzfg=0^JPk(0o&~@6x#8dj*F@3%X`;Xr{ z{)Epz<1^>>?fi25uIow})?9RKof6#t<7oPxw{Nrrw@kjbue+kbd;{Zk>!umyuipLK z`L#=&^~LwREi-;CZ$9*iSz7GxfxX+)_C-x{(_iK#A@TW8@-4^XT^Abt*dkoLjV@T+ zwcc><gVcd6p^MMdX4Wkz)Zop3ry!6h<luO1-nRqoY18j4GmB)t@!5d!CwqE_){pzY zA_RVgxmh|ixiwBoPs^Xt|GV>+y~oS!=Gvy!C!R1ROiDPlR=Mq2{PpSfD>U80H(T_i zEnc!c>9gOio12nvJ3pL!F5hBr$o&kX3}wcZdI#1<OlAJ4&Lk_q|I<Ba!L~zFa-KHj z&DH%p^VodLpg`x;FU}LUe>il{aT=dXvSMn}qHseVr}OiC8vTXzO>QX73i>Z=dVg<m zi~7z$`$y&)u0CfimN=bW<1*Q2B`<@4Mb4W*g#vdT77b>p6`Wr)erD7&Zh4!>^N{n2 z$m&y9zWv`V*%-Y<{CjHW&ugur^4`;bsh*MDJhP>hx%*9!qO|at5N>{ZhXqGAsBurY z@Zk7GwTz@)O@%Uo%d9udOSW%(SvmEI&yw=FLJ5|Z9Ic<?mb1MwHe0)H=VVpOX!Ryf z7H+S=lrNhK6yMsrUOp^v#4zY4*LI#67dCzSVZZStvtsx{G4~_kmJXi^yf+&huy#7* zZ#khZ`P|K3jbmR8#XiVSFHp3JUcJ80-_gQMU+hC@V%&w@IlV4x?ye|TNr_c4(LDS? zU#eW5u`)%aO+JA;UD^B3`WDqAZ_4zU{s?cEd9eHBaqDEgJ>m&nhbP^)X6j5j8Pv64 z^S_T(?CWnD-R)f8^0LpTHYcNSntNR7m5<JbUw)jQo#>Oq=@rS7p4Rn@?O4l_y`L*y z_D^`+ER^qa_4ThO6{*(}ri;gZiw%D0H2Zz-?79CgA3yoN)WGl2Wb69eevW?Ya}Bw> zt-Xc5-@R0(!NmSge4&Qab-frHR-IFOFQvV|B6Xz8>um5Jg_C!uomIGa?s{Oz5$!$w za}>Mha8_yGw_01dFLfz<(hL~|&1KE{B_Eb3PEaUF7wC*X$-eG*xWgkc{?c!j%8tTQ z)U=+k8eQWHS8uu8Sg}1zG4{<GflCVl&ozhtDdF0Y7&$598TXf2JRUr2xk@ameSB)4 zd(Ylg{lRd>@9rgwCUt+<7EpQnc`k2-d2%$PoT`JgmyzF*8+x`=zMZ&SsrT<aSGs@w zypAmm0ZplgcIU59-m%Djf{BEyGsDkYAJ-q5vHwAo;OA9&WlC?Im;QS<xyREzW6hR5 zHJcK)_LSu&ZZ?(JUS_{}JA--sx>hzukN0am{?8JqTYvISH2<t4FN`+wpD5d-y|$?O z&4gpodq1$5c8lNhIBWX4cR~3?^|eMT-#%PpdY+@kZq?!?%=yob*~(a7UK=WH`9mfy zkU`jc*}>Ae-5HOJ{Pz5R{HRg7;>*Q_9-N!aFRob@!5%GI%JK4t;hPlS!qWUbyrCV7 zC;MNsDm-@HVT$dp#k-=UW-j#k=yPi4mr3%;sk^p{ePleO*Ld9LW&LAE{|}k^O%n^a z`F8hQxZu^csEIdVj<T9>iNYfGZ3VYVihJzzP3t}=w49%w{9{53<HSE3{P-uH`_H8w zb@cYp|EsUv*ep8zW)Hit;I&PzOGHmJ*E0Rkbe<6>5)s6s(YZ4EF5BI00{54Exo~EE zZ~Vi{a~B&k*G@Tn_etr|xy6&u=Bb>Fe^IpPz2RGdc}upOc*DB&W4@4R`kWxYOk;jg z_8^^Ii#Jso*%oj7C0@>6|GaanYwE?kNdIjj^@TZ)b+gJA<mP)kC}P<aB(>fqH1~DL z^Wcc{Tg6|ttYBh&d@N+mQICs^leZs~DG~0BZ1HQ_KJ%5`l-mz{6DCGD?`rK_G+C-v zZ<>37%G4LaQ*U1mEDFwao*`R0SGt}1kHYJ%jQwmG#qH~U%$>Z<<kFd5ugiBsmR^z7 z3Y^KWb9R4wirUPHkCw#0O1{5YQf)K0-+pW1ts2thw{C8FX>xkMvHACZCB92@Lnriw zXNuZ2IDflh;;eC{EAmD6)a^gky<%IXFM5x!`5MoyZBbV*oI1=O{e<W6;Y}NCPU~=l z{CjENx%P`za)!-A7XA6mZsp>hIleFT|7`c`*?RRy>a-63b*$!UE^Obo*r+tm6!Z-G z@Kd{VMnvv9E}tA*uI={>9!}Cxcp{=Jb|<7YF+X}%?f&!yiB6~Yn=Nj&pJWmmZ*xJt zx9R*fK{cuVydRBun=~u#)@$#d*8Gcmm8L<)OO24do2zOf@Ao{Ew^(?=NNv4C-|=^0 z+t-wTunQAo7T_<h$~Iv7=)G7@?*xZNqDQx*#ofG0e>a}}6PC}h>^?Jbsp<j2WefLt zXWcH}>$%Zm_MTUDOEX17KKc6mN@aK?v-bb4{Q(j3>ReU{D~i&uOqqT0eca{iYSZVR zT0L)d?-iy;g-bUVH@CgLvw|gASzqepJjG3nM|tCekDpz<>Bbg@!c<<D_dfzzPlPf) zis3r>?Qkdi1<?cHtiN-3798;0Emv?+%#N$wK>7WImop!1y8OnhZsi=EMO(Hc94N}v zyJY=vNBz|!N$o=47F%9$kohifDRQyh{3WxFdGreO&ykz;wD#EC2v)B5Q@;oOmRZYq z_x%gW7v{45^XBZx(@|&Hq{APn6ZvPagprIpcY@1g+ve5m;gMdV)f=*M)>o8mzRYSg z@%);l9m^(Ed@bc{+kW&)#fl@*|KF~?ljSMEuKw+8U<2<2HHln_SIaN`wrJG*yI8$3 zW9kgWUOyM^6qOBo=1P8hSvWO;-+AhXX)3xqW|VlC@ZM$2P13EOeenQ;;e>*oHA|M< zU)ZwM_|OX@@mv3#RcvJ(&&__ZO7FDcF}tP4sqSk%cs|Ff@8Vo_Ct<G%6N~x$#Qtx- zQ%xqY{GNBzYscZ+56);AD{y{O<JNk~c6Fh3?BygWpVyqmx(9TvC;fEYtzE*Jtn1|! zs9MzSI#2lvQ|7*f3Gb~cd{6&7xb3sT`W;pQok4n1QR&+ar+Y12a%n-<rNCIbOXkmv z&g^I3_h-SzwM|PzN*A8}zU-{uzn71me6IfZ=D*yL(^+BO$G2#n?~5#ojPyRjV8o@k zL$}j#OGZWF0*2d9>>tm&&U{;J*2k9R!mEx6FF*O=!H@Qz3*JaADUezFHFZ*GeCqK$ z53>db{x4r!nzA{fuN-)3aNrHg@t;y3_@8int2p@UGpGF9t^9>4Y4<Fuv&>zlUR!_o zo}Q-kws`sbx93Tw28X{(oN86|d(PFqkG6~46J|`YjCBY$JNy5as?p!=>}l$k@~`kl zPddKMh&OxQ1EZvj)PD~>f4;q`R%d_lQ%F(7RZFS6GHVvQ?9)n8NN;@M<)Yzv^VFTx zo;e&W4`-(qrE(l(y1qRBMBuNeCy%WZSY+4O_qrZr6{r?FbyDep<JaH*ZR&Xk6}+Eb zNZ)1u{f3R(fxzExIqOy9>Xv6{l{l>PeLVMmeCg$#v5kQ)776Cf`IFVQ%-X%P+hlg+ zXREx3Y1QJ3GDTGNYv-J3YGh^coawUut=ab{9T&J`<=#J6;Ykpx>Tt~r%G;QJSNFXA z*;t|F`ah5SUNF<7z^QwqpX6SKCDJ#2^1U7&ste><f9Rb`Zo{V2YXcw6f7jj6_$|Tz z?uCgL{!MV6JV9@2ltSdo`H7tO4Bw?)Svt|BfMxd^h4mgwo)}Hw@ZNqb+*7K=$1pFb zTlMIpt~ZnNn|gov7+!T#yu02&cy{a-JGqc0b9lLLe|K8AQ*3J9&BZC~0$IoG$|H{d zc)#!!-y4plQ|BuA*-1E_s9m+-QAT<0dEcO^Nuj;V8@!)=ozgkG+h^MROKa`igc3|v zJ!tmTIBpn~&3tqM_nwL!rJaEthYp9Qoqy0NX0iWK$K;heGosE4{Jx+dlYHgi&8y!F zRiuNz3LEUKZ@hAF&!gA_UiNp@cPnko@paGrZt?Mba@%pvn!dlQlH|89wV0E?ygz=a z+uBIB$*yNieWrNblfT0fZfaMsE#uzOR)MbVQmq#|XPOlqnUFH)ey@FWV@6VeyllQ` z(m@%8labpQA6uW<>XR-VP^W)cQ1=UK1$XwAFAQ7m+lzUq%v6<ES}GjyR<n(7hMdM) zwVr9ohtB33#lDy^!)$|7i{<yQy}y2|uW%`8I)3tlg3RKl?ptlbm3=C%@aa6$SP>@6 z*4RIJgT$^qS@8#|HEu|sE6^|&2v=CU=kr0mJe33^DY<!{Bj&mlZQ3rZ``);b$>UYi zolW~QyS)k@Jql3nnA^N=TVB7Fi&&|W+SKfTB+k7T?UuS!niPH5XQSk<rl$1n(0ffD zX}>%fbEiACb<gX1Z(d)%`sYi%n`;F*6W?8V@W*e?^DFyn7PPYda(JgR*KdNLa(~mz z{vAnGo$ZI8wYOG$UtrL5Pvoz9j!FI%4%uz<Ux%&o@Y|zQ*tRV2%_IkrynlHM{w#ab zzT(1!Gur;bcCFiQNOr~u^fFyzaw}OoWwYtCshcd^Crr5PeC_?rQw>2}<<DLJtO+{f ztT9(g{}osA+Rdr)VMecNjQ*rD+0^b`KBN2UV}mybv|hZB<GZ#-RpXB3o1an_dpqYj zMmTU?s93jcU)Rd6gVkT>o%kM*ZMT6(JEQEsl5YBqoeq55pH6rEoA1n3-XC^h!_)s6 zTe5xUT0frhPsi)e|Ev37ZR7rLq1@zmZr-#1S@S+{>0iCRZ_ZlgsNgAKY6>B<Ys_Z+ z-FR)s!<tJQ^wTvyKb7gUIxZ|Fc;=(g>hkNHUG53$d1@R+8ZS?M(Vpulec0vFal<Dy zUK#gG>Q*i;DO>n?Hpd$OypP}O&mL7!s68P2aQ~F0TQ&GQ_OVR)%rf}~)3@LI&c%5Y zR0-r+%v1RF=SkT^)3?QQx)hBfBd#}ZIk~IkqCfl0TVm`Yi$V>IK16@*&f2=}@XV&& zzn@6W^v>>k(&_yFhp+I(uADpS+L19U{7SDD%@3(PUb4FKBxl*Q5Ap}pd6X-|VhlvL zJ0)itKR)tx|KGFnFGU%&-cC62Y+p4;(6Y?^URKR#YpyrWUv_ZQM(f$42}^?I99JI7 z>~Fm1bgiJNo5OBN+7F)a9qPeaMT>oBZcE-Hyu&h3=IVv5u4fiR%zZB4sPbWpR>JSU z>#D?!53~mI-d@G!v(Bi~>G_USN3jK4T2l<&w>^wFVs@slJ3&Gvxxm4ogh6cewvB7Q z%CT*4yM2gr*^A8w<1ZX4kV)UjIJ2XCHoJL)%N2>f>Me<}e)8{JpI^=0d4{jgrTE$7 zhVwpiuRq-NU1Eg~?~md)-0UYdw>fkBI!guLv3tdI;cGwFj5&N8?p#h$TW^?j|FFY{ zEB8vTo%8$u{_*ASyMMgdZx>gRs`f08uOj$@4Ueky0&fQ4x#26coSv-bNw~O|XUU6g zk-aDU=3IEw!0_O(&Z!S~ZJ#966>qU%?E3n`%r8J_>&xq<vEt7s7lx(3{$-jHd%ZN) z{A~Xjm91-21lsRqid*<GHmq3X<-oAWi@_muYM+3y>KPvAZ})!RuvI!&mJnsS%h%<E zpVHkEr>2#qF^4ewGw0^!=4OMz#S9av-d#IEfOUb7(#`9~AI<8_{dMy}((Zk0Hfw&3 z65{M#Xt0GdccI>wR;Qcc*;iw>F1xi_Z1uscENfj5@U@?R{`u#hX8&E-rOc*?UgeYu z3$?PIx@+OL5N4hg)>+QED;ZoEHZY1V-MrpX+N(6QG(6M<48W(%oCh!EbejQQuKDuq z86yTWCxhvyR3`mh+kb4+CL>kJncmkXP3+yNZ8Pn7gnV-R8Qnu`XUv#UC*Lt+Z^)*Z zU9z_`?Q`EQ`@3cCwzA-j%)Y+XZGs!lrEJ@pdbD%ns+4VKOYWS?(LNg&6?|>x<7ulQ z>t7(NlH4A>27&CBQ(v0)#;m{b`s=4ppQ5yO-&wbv`(4-WTkF!o!-Y;BJ$kk1V2u9s z(?>&{<)f#UruN>yrIoicIy(CO`vZ-$7T)v>@v<^x;*io%eY&M*+FZ$5)8?LfQ*t%* zYH0b@72x|7!52qPU7EBrA|m2r=;v2^i`L)L{^4~*l()Rqm{;kwmsD8Tn(hskZigld zvvIby#AH0oP@Wp_#F5>@{kBKCe$xX+b{~@i4d>-<InDM+zi(Te@x3MCTaIG+b;xy; zAz+{aUV5<1ZN>U&NwMCpTl20+i;A+c9{AY15OlO&n-JsIEem~=ZsvD?_$^|;)Bn`+ z@~XLW=9s+p^Ls!2!{%zfgv3_flB5>dEsfvKzq@JYsq(w}`-?a4Rz*EZ%>^%%6lwrp z?df^dTj|TCpp{qBuDNP#T)$7!p|ULP#e*A9?mT&L=f;&8H;hWk_)OV+!S_W9fx)GV zATSXO1UIi(k+D)GtJn2f=OmA0>m`x$AT?R)28PPQE@!&MB@CpG)oo1tcDn4Q?rqlg zQyZgKID&x#7=RWW+S}WK!5Pqc#HEwkm+$!WL1m(~^_us8dagyp7{4}-Vev?a4D_@# zbWGeB`0ZrcMqi~Hs#ey=;}&i%&Jmhh+yCN?6&Qfe5wo-a1Mspgb02BxYq`_Bwl7ob zobvEgM(T+Kd$%5?*M|4*zewzUvB!B^7jMx4zm3-0j{m!%x$XG72RAfI%5oR;mzIS} zzj`CHD<J)OfI5e6*z)DCR_$K>PKy@=&VnvbJ;TE(2?l%TzLa`s(V@^3p_QDJ^Z>jf zq_d>=<Lt=$24*~4n*{UE7d`Tf_!l0wRHt^Tf2ZAb(bYZAs;4BmxBct9C79d2Gppv~ zqodv8`j7)3zkHki{b+6Gz9ae{ynlaMR8komBR+kZ_v=TGCb?|evgL?wF87^J+qP_Y zf2j0g$wQ^GkGmRTcU-vL`(_@)(-s!tx+jry4xF)haA3B~Yo3V2PtjkzOTO*;>U#W7 z*LL-vf*QT6c8N!C$+)=4m3!*ROPe+v(a}47`p}_GA?tQ%pPf3jJe4&r(o-pPmevNZ z)r*8y@6pnFv;2Uj=2;Q*rM{iPL0`Tt`rXX*;e(>OTnme^+!I&3CysGPwPNpHGu?G) zE&nF3@a>^_y^|z1?pu55YHsJBy}Nd<UbUM^#Z3eRrqpio|57&fW}evWqd_-!eK5PJ z=9%Id{b|~i1FEWdo+l6I-7&kr_tB1UiMzWb|2+=gbG%%}uFL7LdtpX|N5p{z=k!)= zW`FfYMMXtrcFN?Qo}QjJ6K$20l$18PZUY_bb%O7vqL1jYu-3HN)eJWkW}dL}5c>J? z;k*978-w47=zd{+FhBmjT>Lz_`}5-D=AElszBzxl6+b_J|J#kWpcGVg{M`)=vo7%3 z4d=txE|{8xi0x`^^;7{ZvHT6X5;RYo=kA<4B6@zm#MrmrUlKU??%LeVxw@dWDH`B) zCXj_D(1Ti!e=*)xSLdA?A0IC-FaNsc&3nn{YhUmE_Set0a=v=$!nfl2xzB}jt<NhM zT)xTuAmH?gZ!=CU+?8z$0+1u$Y=ppTV=9#2fx(xuWpn4wy&DvH{o2mbQjcAWPg~tR zzq5GO+~0*4FX|=DxW_2Wec3wU_vs_2K9_B|Y<yeW`?In0*L&}RZpTDrUcV7-wR`od z)vI<(KLuYQxD2$2GBh+TM*4bB^W#T5%+K|9M{7MyvJhX#$<BUwYe9kMliNWvT{i7c zOg65oo_y?5_$#kyO`WMy@ylHIe|Tb{D!EKE#d(vk@{ScX8@8HV(5kFbxvXuKmi_XL zwYL4{#JapS6Le-Qmn?`+Om(_?H#Ge2-O%#;xw(v{hveSOFte44EiEq(4-LP2RY?EV z84&Pk03D>aX_N1^lUFugmz9=I?&{3EcJ#=RLuOMvRem4c&dbfLy)7Xjo*}0tL2s(3 z%I|3T-uR!dz4P}sq)t4TU(2ihM`wdbfW^TUVfmWEr|X{o(&yQwwP3-|IIG{~FW<yi zRkQt;+W$3j{nw~h>1%_p#+F`<Ee*XJcN@Gwel_^qUT)BiiSBOi{CfL+rbdO=R~)>< zbudP2vd6dWc2eE1#a>58NrS4k9XGOeMs1iD<eguCp98L7^QKJ`q`UvF?GFco-KmW$ z9y_+n6s%BWlZdO(5xBN?`LC77f304g_%$@z-}Gyc{MUg0U;OSb`Qqbk^;>)8ySPV7 zJs`)dtq2PX^G@CTYHic%RkyT~LPbTddb^4ScP^-M-*kLs*{iv;W@$wUY_{@=e=+%n z-OGvpUi9vJ(OK8Q%jR3N(1nLnV*`W8*{e2Zug9IZ`A1U~1VljqynOHLmoHzwEZJ^t zIyY(gwUZ}Lo?N-|ril4L-`vZ$pO~2%8XomA5Wal+ijkr2QST3zf_8t=+v)9Xm6mMq zQ${4{gqm8-1?Io~=iYy|J^$HS&Fc4S8_$^$3&U2;|7evx#Y0VTqn~0tdrHd5e>_iK z*Z74^^qn$g%9L%F!o0k^Zh2Z~D(5b#-O~T1Y+2xC4YRD?IOQ8BOpT(ny1Tj_EK=J3 zLRZU6sk5V_BWlrf4mG8udJQ?LqV{_W7cNxX8+%;cBlXXj%Ma!TBo@D%H+$CE(B#H< zD<2eI+5dCq^XPfiv;J)PIcv`!w#TU{d;1%ge>bsTyxINkR>&I001y!11TTVD*!y?6 z?ml~$YxOlXUD~hh?7W|5=ZHPNdbYsdU+CoF<ethd8$JKihY$bjyM4_1!?|;QXNnRU zzogoAO#i7Mmr@(O^!07<siQL%E?&HNbLqBo=Wbeh&3V){xzOabQo*%prL`3jd~(N* z+w_R@U;ZOLxAyPrXvY83>S|x#=UTB#+;fGwx%s=Z)tmEkz#!|vtXZ?RrCmRf5q_=T zXwnG@!?K=ap1pfdXnoLKef3q!^!FumLXKa(aEXKGLB;g^%4+eSXT37Zm;9a;amD3p z<UG~Rn!95EraoT3XYFsT^-U?Q5dzy()HkZW;hNSWo9KE&Ak5Y8RAkOQql*sfHVUOK z-l`$|bYaYtiA{Ys<}5Mhp495K2Xx%+k|hg30KDFMLxtG+rp!wwoxX9x*O!<Y8b)e) zgHBVtC$wXUN@vW)L+^fT*RlRyFFkpSztSU54UInwC;a^&`mNdbVATd$oi1nR_?3$7 zvUwX0`m@XYx)o+$?(F$dG(7U}zJipLlqc?WE-o%lT;o(!RGv87Jqg{@_3ZVb!ruz~ zpN_q&|Kt9-H+IKgk@<@xw|}VK<IY-QUfXiS@{r>}hXeNZk791l-YU45N1&8V!Edhe zIkS!;mu;#Sc|E7p$qV*be3!ldQA6%1Xkqz65J-F&9dSb^hx?(^_6-vzOxU2>0y>== zw4Z_f_QCRkfDM`w+=rUhC20TP;#wwq?c-YKn(8X6`g@Q6-d*qP7Z4P}CNQ6Eg_4`# z@{TZR#cOg3Po=i}yCNBSH8xaKfs+RWSU}*<@87?Fe+Gf%Z(kumcPeN(t3mwf<Hx7F zyfgj&Xm9!YyUpLf^;N%l{qp6(s;a8B_X5{vvue(reBta#-^UN`&1q>CaQLu*K}gw! z<DsI_>iGK|-Fv2}=z{?Gj_dcJ>fqeDb9uLOckkM@ecigq@a?b5WMyS#eZF7XS~5HD z^4X(jx6dv9erMj~>|<+X_B`D-M|yLB+5B%81s7TEj-Gw){I_iWTGL18nOzhX1u!33 zz{C+Ty`z1nb9!^ej9>xIsd7IbU+!oH-8{N_-LBQ^R)HFiR&)K9UxuC2Y5~4S*?jL@ z&0MeKm0zZA3yRQ+)mnUUg><gl;)@wVFU5B6&GR+C&Rdp!_s)&{?C#sQZf)CsHaGk7 zZPVYy-_qZ!Y^s&q`1bkPe?L?6zoj2}xp?p76K*-x#*e<t@Benr{;|R3o6$ujtsCD> zdHDuZX|39|`rWGCyFqT*b@K)YTmu6S8&J>1l8LL;X<@+Lxhub|(_OP{*|v~npf>x) zdEZ=|LEy6A^2;wv<RJZ&FW+Q08y){%rMHMnbAiFP1mzTc0lA#m>P_;((bwzV9GsWq zldk^YaGVbdgZzYrD_Szj?pifVvq&5c5MWU7SlVJL!oaTI7PF$|h)IkJ4@b~)MIM%7 z89_#l1_cf#jRuJnfuyKQRtzDjuO}{9{dYlO?uI~?M)MUH(yDvjZog7hx94DK24Cg< zbJyy=FDOc$_<O;d=o@Y#ys<NGhF;F``kiB27ByG>KxggNccxxV`#*F>6dS%|`d$|@ zW8$*lmC2bGGI+!P{&GKTee-5`^<<^a3aiwK=GB`XmN!1W>iK7##$vOlk5BjfSi~E8 z`h@PIvSa&Q({4uX{IHO9^P}@3?j2$Ov!V`FM)l6|dfias_1jVG;Hra)zs|^C+3{(I z?LB#mU2osJuq8^Gn0tF|O#VG}jipd!cAS{U6sFB?xw2<>e=S(s`Av~Ky!`5%CtqXi z-(Sh~t-QO@Hei+Jo;!tCKl_MGV?X9KVP7o^)6Z4qRp0wt+@9~+!{ICveYL_cvD<Nd zag6fzZ*%tfEmOXJ)>@8z<;K2itM9#Rt^LP#%~iK1CGyCsD~B6BCwm#KY%~9{VpG=k z@2h^KUab@^vt4yUN_oGhOw`ote`Oqw&iUheL_@!Ft(#~-lee(WhKAp*0pgd8U73O_ z-yb&&*p;AqDdX*p6JI7~HFgLc)RW$+#C+qspWdpjKT{&Io<*#Fd~wd3Rp(cx>8nIv z`uM?dul0||4^OiAZ`nM9ds5x{#}6N_`OfK(wfiqK!!M7LHAiJ1t6k$c;cHwcyeez? zTM?IwJNvpSdHv1y>RnD*+o3A>X{YW94}%37ysZa?9-X~0C;Z5g9fc<?mcJB8uwPUx z_PWDLq4nZ~_sb7n$vL-#t#Pq?{VXo_hFg5UjCb3c1eR-`njgE&UVnQmx8|~CwI4V> zXmbB8u-;b?WU=MttBy!9p2+=Nx6Nb)1z!FT?~_&tez}{QQ%0dgT6A7K%aQsu_s&lb zdCrra8nSN5x{14G|Ly6?6I^%uUi6u5OQ)U`kCJrj7M^3r8#0mmLRR)Mm#+a<4l(i1 z1V7ovXid{<`?!j)CAH}9yNk08Dx`|CJ96f33hp?Y_UAlT#T|==$=AP0E;E`vS@P7M zbeYc+7BOGgaD&A|=t0a$TV5Z#Wm1l^9Y6fIJ?A)V@5(&(ewE4NjaiTXT>dJ%&~#3` zZ;Xh%x?Q~ctF<CxqWeSEh+kYA@;WfAiNSUEwsk(Yp0_pY9cW(vF^2g|wCpD56<#-c z<ujP{4U;C^ki2qiUE0a_BCO^K`B~37dv3}GW*^O+pp((LQEs}++>e@H!>hS=-S2p? z>8Jv0m_v2*-QD|QbNn~YX1nPeGEt&QKSxP(l1GDMgQLuZl%i+bw`{3vpAhABq~R9# z@pSgC*y-ZCHg1o%cR!fbs%jYWth|ZCOXtl3X8#RUZzFz}T<4r+oRVSRxzRF2gn3e= zukC}9=?g6NTi3M)s7RcBR2~0A$+}A~Z%*lzj<dTv*|r_L+q988>BlL_yUO=2|Ji;+ zCt6$Be#53YV%jTrD+#wb@|#T6z8AY+ez8I-`-`2kcI}pley6m(Kw^zpl>hZf2Rl}G zM!$06TI?8-_b-2H#|Op;)4#uB=OjOT$L~MGsmW?yS*AvEasO=lk|W{VkNW1aN~ZaK z2%g7!uStBf$gR6E+TDuH3Pl%l3nt8cJT=aB0h_^@+2I}+FB+~gn<h8ofM&u*H4~Ff zmu0MMjb}M8Pj@TsFna#|(R_xL`Bfs$Yu|p^k;F3JWW($wxondKobuzprHZhsow;wV z_J6yFs=*$%)$7-8zGFJmqv?p3YODU%QZv)v2KvW4IJ^y`AM*;Hb=wzO+iE8MYD0B> zO=;`*|NqpSYtEcIHTOoiqsS+V%6IShZ>Pxq_Hl4eZ!6gF>&jiflL~$bZ|{3~DR}XA zXj}N4nsh~WLFP}sd1+e?Y}>tM+pL$2cR~&eXt+F_>>MTaoauE_rn=#ZH($7zjoGpv z-I497dY5)#qTG)XQDz6WO#3E-)O$7jU0$bq=VrcrpHlGdg-z%aU7yJJp`JWH49j9t zH~bW}QwUqsFP$Q0IN|Rbr&{$XOwERE=Kan8Z~Q8Mzkc45N&nvd=##o~g?D4p!HEg8 z;`dIQR@HSn=B@q0r>i+GwC{`f&y-rJ-LS>&#y;-(=2<6xPu6tF<h*cKI@#^A-2dm| z4S$<%KX0t*dh(}4KCY2#N55&^ncwnx+&#;0+<x`w%gdw7wo8fKi7@+mq~DSAFaODC zsU-7x9el1o8pK@YcWt{O%<{Ii!$?wk{#wZyD=cnJSP-&d;h|6O_OIp&W<F%JPHovg z9`=r&`w7uYF5KOgJ^gmX!=x-T)m(*;nEGeF3iD65iKv-QHelqb4f2)QU0yA4TFkC& z^1_45UjO=j^`yk_M=srWZ#$Z#Jo_beH*1FMl=G^bmK*omFgeVg!oT_R*LaHqVd}?A zRi9<l3%zMA77n-})o?a4@o|;Xo(0cV%f)H_bI5veN>L;wZ?&uxN6;@5Ys>Sims{C; zANJm=&7!z*^P~P_m-pQ6sH@2EO(>Y<zc*!(pUn0}mt*4<m;Y{6sS5l%J!qY?e$70V zA5H(P-fc^IpSgPe`hBH8&N~O*Q>pe3E8FG0HTJDsv(4uXz6>`{Zaa9tqVHPjrnAA_ z8Qa4RJvy1En)j(Wul%Q{XOXc~%zWCMJI^hb|K#@7KGZ9;X7$1)Ev{-!PN)7VoKjiL zyFcEu@}bBhE{h|Ly*3M9{{Q@Ky?wyKK7KP{S+fm4ca^nXyk2vV>FVvEzO$0|_pw&i zxHBA|aFgBNT=s?6R((;{IS(0~eI~xH7kt6&svx%aTiV8e4-$c^92u;(Nprltf1F#o zr_m~Xx7A*@OQ%?vPHDf}|5Y-(_@=^?ZzVa!hR=>XUo|Q4p?I2@(Km^Qi<2UrJ=!mG z>&K4stCPZ~R^6$&x#{l4WPWBFF(3D!{YLR${`j7$*nFW^`cPKKq0P)!>`&@mN|h-M ze~@U=>Q=w&QTU9F-8^cN{_`0oH=AtS^5RTP)4~@_D+(6rFFj~_+(#u?LAi}-uKli) zb0Z^n&41aa<n@i4Yr!JM8x#8<++Kbzp>+0z&qe!xmK2LUIhS|RL3P5arYA2VuWE0T zOnt?@J4fu@MHP+}CfQd{A7S49H__JE!IAalF$sp&`3`LxoaSuReJ(Zg;LeQ=As>}9 z1y(jC*4X>H{M9J(h!^nD;)xX&+p=@@X4$qkbA=wLv1Hf^yZ-H8rm7=$L74T-9t$Di zwcihwZed<?ypKtbXIgUOojqw&Htg>07gTFgFzBD*;lb;6{?~bp{i}46zRwV@iEi@U zFm1Bi+Cz_?rgkiuJ-uP+StssI$DT1es4|2Wwq0kpYToXs%lkOyK8x>n9m6@NVz%C2 zvZXU<^$MO%Z?1W3?cKO7>$vuDE;0Qrf<6Z}tx~X^_0w@#vhq>o%^%pVu--h@merAd z_C{e&2!A+(<=!I+yz7H!R2V0QxmBsHjf@fu<utGUeeTxNfJIT0_}MDzLb}}dtiS6w zgGrU)=;`A=Zzi)OJz8mXWKoOkB)<l(*05tgJF8vhbjAJbp5t;-`*26c$<pI0cR5eW z^S4a4nYT6f39Gg)-`h#2>>Fk$Ry{CD{HcFXbgtK?m+}(20eh~zWq4t_{JZyyQd^^- zWr6o}nhrH==<N<&s{J7CQubuirDwk%IlHKCvBs3Dqq2o9VO~7PeCL|aym2U&$@Jp8 zo~t!qjV8}tH@&L=*2V>Q`tN<P63RHC)nzYvy~IEuswHbm-E_P4JPckn{#Ez$+fQW7 zviSU4&5QYzY(|j9b_br-i!E(s10BM3WDjNjUZ$<tEiaVTT0S=^-ijrVJ)<_UJ4m|V z>E`R^XEL+IwHK61pEa-Bbk8j5%vbFljGk<wx7Ss=KfTUWD{G_FyzF*DaPv#n`6YVq zXM9j!x>RG9pAA<7?_4#9zXw+>^$p)szo4}<f4#_~vKO!2KF<@Ik~l@{Y{kM&NrDNh zKj{BlSn(=u<GO>74cl*pD`Zq&`6}He`aSZmx|h{t#SrENrncT33Y>?c%<B_+JUkly z{{GT4fm{FVqzAukjDGz5mfvpj-el&Krq>^jJ)Rn>z;fcQwp9DBFjwPwk6a#eFw8TV zbi`?&lHbO?dP^qfUuAl|E6V8}i)(|9{|1f#;eF04Gq3zQQMPf;wap)|oXih>Cf)pP zg4CH4){+dnkJ`O_b7oGH(WA<Tfq(lRbWV@Bxpn!my$$jCdJ0nBiv+*8=I88uq<7DB z_fO>=36CySGCb6ud`oD0UFo^|KWB%TH#z&b2F%}jDv#^$p&2_owe23eKgoaZVCYhK z=#1#0os*Ib*RQ+UwB>GmxNGA+%T4S$Z&n3W9C*;VF}9R<qv}n!toM@`Op7OJExs7> z#aj5-jdsah4Oz3yrS3k+P*{DT%0#hJ<W-N5n%$Y_$pI&;IAyYaEuX}7KlR6ziC>}) zpV-A1Y!G9lsPX<`@C=^aTVmatJBm(UZz!In_G;zFLh1g8HFKqER<ZAX6a4H`{Dwab zYBsyx9e&hy=fpyFU*UD{h3_mox*_$ugWKdqTR&?Xt9!|2PW+mDZHH^C{qMkJzJ;yP z=?k~}iah<cuD7c(=m2A`!$Io{k0wTDta^D)_n7LmJTcC_W_l8$4<@&N`1v$?{k9n_ zl8(>bC?0qpvo+8E-m>WB+~wX93wBnAIerr0`qSkb@MCXE!ipZV8BMOKEb6y3bPQSM zaBkdt^~Y!3w$&D%w;oh>*KT5B&aGU1h<}oKlt9EMfje0%!$a;)SjKtvKWEP6`QL7s zip`HLOvtzs5}&<FK~Q~jkMat}G%IQ8sobKEXDa@j$I*W2T|!PvaE$mOTd}2AT=Hi7 z|L|U&Is4tx{0pMz{<-WI?eEyX^VazcwT-WDWaU3pvz%qWUrJ`dMn=(%fsrn9R|8+) zQ^~phL6U8%m5uSr12dY#Uwl%T<`l@ibHfI`9GPY9#nI0+XI>U;@~gbRAul{>p|MVc znU7wO!Gqdak~)(EcTU>n&UfSAXQN%5-0d%zmo`f-d9B@*C-G0^q}s(>q56Nrm(6iB zW=P0?5ZUf2=Un^#c1CQ>a<;3IzB5HFe_pRUX{6F?nG?o;>R8&-in{@+Q)0Gsy{y>m zcd|H;>DT!Sa~KuVT&^{QS_IqG%e#nu{XR=^)&wPyZTdepC@C&2E8n|1Q=~h?Z_}@a z8*6TSTyfO*@tHFm3)Yy{h)8drxuKYM!387Vee0)YsBxBDefNF^(}tehvW}-)dCo56 zYTzg{`fRTjo@rudzwqWhe~;K7NBFWnY`NBXfBiZ2>3Lgy>gReoE@M#9GkdgkDgRo= z?4xJ)e~)<m?mf3dPnh$w*)teJ%l4QEq)a`|_H5R9*CaWPN@LL=0Y1~zmI+VZ9ItOv zX<c=<wVjhEE^W4U0#iYlW#1t|r41i+OT>0<3aN}>xHeDq!J{I1(a&*Wr-Qm$K3|%3 zXS1fFYX7y&T=fK}+*hF&&&an{b|)XVTM)L3d0MbirF~(-3-t#6FSUZ7Pdz@JY85#{ z_CWdkv=58Ymt8g8J16JN$L9~V?;j}m%#hrx`#Sxop83CGVe=Pqy=+2EKW4CKT|K_o zQn#3gfn~~s6J~o;n?5E@ZBH<pE<G_=ooU9g$F{lb(^f?-dAwVF**xi~_Es^!<CHcx zB_8wr6ln3}fI!0}pF=+u>`2Nvc2fVD==OO}&*+QZmtF5DH@}TJZLe;4ld0&^S>-dI z-sq^T$**$$oU3ZOOWKrk(T^8Zr*%A4v@W;Qb|3J{ku>4Ce)I@~hisL%1k>hCTC$rj zyBwOD&%eMsp;F~R(~4U{mtMS_$L?6UP{PoE^{weYXSHp7eB|QaPV1Auj!%C!K|V<) zTBNbpap|GAUCn)r#V_VOHM&q8`?YZ5XT$39*YjtG{1REAmvCZZLEXE5r@TXM#Xs*} zHsR97d)Z$m`UcN23lg(RPE9a<dn?`X>Asu`Yku54pqldWtm@{k8LOgu-JO3hrR=*c z&mQ0I!`hrxlbU1Wd+p5j|36h${N{1u+Fd3+Lm;x(<Xic^$I%N6I~gWOS#UIIXrF$q z<Hf(3=VXm1AIE`uJLfB!i)>lhg(fHPbhED9X2ZjLGA*SnCD<W#NBX2^26>An&fS0H zVy^X45Bo*W(p<8S9d%!&acR1M*6gRp)ikZwwY@E<54r4iXp^HuUx(LS?@u$Pl%+J! zdO0CDhtJd7=k{eEGl@cXS*MwMgiH$LtC{DW=37`O<|?)!)Vt{E!gN1@qvviv`#k5$ zw(H#%>yHS?dT6{}_I~=*h^9v+H@2)=Al@={Ma0i<JZ_g6B2NXG2CH~I5cy#KKrZ2s z9}By*Uj>^3!;<J}!cXTcj4b;xd1m11&+~rf<b-N1ahFhAlYj7q<rbceua9JGc4EDD zexuIitp**To)<69?nsj;+PmWOKfzkJjPkW-Mdj}Lo}O||^NCr&!5gOM;wm4fwRv(V zw;b^>H{<#2Ty}WZZ70j4btZn9Q7iUMf3W3Ee$&F`^};>#_V^e2rb|8E`E9ecyL<Ce znXDczb(I|E7?BFS#{T3^y=z56vRYHx-hD4^TBO7Do-g!V&!Yyn9=$u=YfI-QF#9}d zN*7NS<H?un+0>n~S@UTI`?4o>*A^6TL@wMB)9%>O{=3x9RCvbOcUxxrxR=eWZGYO< zE&qx4jPAsR$@6vJF8iC$&~$vl>BCoLJd&ec?B83SpZh^qbL#_h{zm!C#%l-L#JZ|~ zm=&3sH2+JiaX&0^EJ<|B>WYxrTqP1JG4-!%rq~NF7LwSI#5VB-&#tAc%l#K#kdCY= zF9|A|bj#N;b50cV6O~fly9+q>zcDy^X@<fCZ^s@7Wu6Gub#jf3IfbA8{!A%}IIP$m znjx9JGDkAxL6g#hlsk&LC(IWWJY?~HC2kv~^ugW!$5REfi=_`$KS)mTtyD1j|FUJ# zZibt|0rsaVl@Cftu8G!K-W2*X|E%+qEBuenycJ_(bu4!Omf3BvBgy!T+>4|4!X7O@ z6~`p|S5r~HQ<87$1GN_yGmfp8bZ<>8lgXS1>HA&vjE>jN-I$|fna=w)_-Bs8!5F^h zmv)8d?$L7NWnRGGI5A?@RAVot+<udXY#;Lrrpym2w&XI3)yQ~iRm8oP`O>1-f|}AY z7KWR9<w_RLaNYCn@zax4GaoT5y19kTOC%)GVYRD_aKo`}jFa9zcf9`O)s@KA6Rq=8 zEB+oakK84C{*wFF)yGz>lsWOho>yXSgK5+okrg5T{RA^^zy9@7K}(=?Rtu+#Q?TIs zMN3wTi!!RTDJeE|6ok(|FspduE`jtWy9Ck6$}QfxXQl2{r0`x#<_yYrE!4`ssmb$^ zVd}Rhi&r0AEk8$7Lu)hBH>QlvpP?5e_by+%Z1=<-ZO<>pS65GbCMGxK_#xMt2~W>H z{Tb!FFC#+a|Md*TV>cqMYsdYo@MBlx*>@&+Ip6=+RaYXJ1-Z@~ZclB!vsuW~#$)m_ zSC>_1w>wL|lW4e*W0=csms59Rk38#(6{kPf?3|{aZD73A`I-FdNVc*kt2rH0&Mh)G z7Y>pry!zqK;>;5+->zP$Q@**qDpNpT;NfA`4I3x#G=37EcqMJAVZ>Ed<JgL$uMKw1 zxhdLwLhnYEn&A4nxBI_sR7jaVwRz*CM0O{x^GfZqz7CJJ$1liz-mD|U<#mqXW&M<V zxgAqaE}v|^wBcLVf)A5-7w_fyBlvg1)Od#AjqgHTs$Q=9pllfaI*UEv*Bai+8;&zt zcVGW6onIYf{yCf><Nc*o$8sLW30t$(cDJ1@%P1GOW_$Yn|AMe5>WBWm+H+{<<G7z6 zPoK%#zgwx^@iV(A+slcb6JpnN`#oRVGO^+BYrbn&OU!%rO0PV3&OYJ!^02vmZ<<;n zMM@t@ibn5j{=)rk+9vz2`!;^|=i78-q0N$wivyb(<QZZF53#vLJ2uq#FE9xYj+c>{ z^~dDJED@bXP7AB`CC)!=UVOG^Tl}$5S9$jQ+d}z)K0e}|G2RoU_N3_~8pxW@lAqYK z{NOyzniu(fDn}k$<(a&DAiL_Gr__?7yaM0#y$VcT+SM&4S68p>R$;etbY@vP<>=Nv zc4MEjFXFy@GVWh^=7g%)x{GxiY#Jgw=B$Yhuv2~Ku{w2=V6;MhOt$xxhDYZVPK&)S zuJSpw$Iq$9j-%w*th(5ZQ$@5TGNN>kUAfk)7-ajP!0SNfRgO2(dqk@_E?!RERQ%(v z03%=F4U5AbJ5O&}yNX{aHD}-J&TZR#uDvr$ektJd@av6`pNkJ}eJ^CJ%9A>$WqzxA z`?KAmw?fWtSneshyQ5S2{SKz*Qr}NpUtfFf>cl>CC;u(4QcPB?{hGdf)>ZkG=+DeT zmI0k&OhUZ(c`eh`xVm*SbiY`*%N-BYes9-wSypz&Y+L3>br0XA^~edVN_5wb{bT2+ zDLq^8a?{@>YoC|ph5R{DaAtS64;M?M-qE&4w-^4@Yu$E}<D|@ylHiKE#o-~&<&$1B zFblFS|H)O~C7-yUZC2rn-9O7rMNV(5$_jqEHR*$l9{;9mzZsPzSGfrvbbVNUHX!*~ z=B7&Du(SFKm(DsH2>kfJu6+fg9pAI}k1Q^93E54E{9TdrS7Mv~{%+U%GqxU(IDX~l zoOyFi?d@c~#VSocl-TFJai>K|!oKL0YZcvE(nLg-DL>#b{NM2DoiFe7+3Q}OYg}{3 zf#LJ*iHhH{#b#TocTc$ShwsU?SG|1o2dnPqdPOz+u9eypwkyLw)_XR?`)G^5L2Z{@ z52xvNHSkwj?3&SU^xw8I)p`2aJ!?ci_7|_M(426|V(y-vV&46wDd(0px;{L&J~f8% z;mw;#^B&!+)G>dWeR1QKqs+@Erz$@G7Fu<mgXxYq<E}d=jQj&GZFr?r>Bwt*^3jCH zsUcF+PNrIHI#m>)X6n(W<kioasPnvynSp^}g$olyKxk;FsgbbY!QFY^^)jn}pRj#w zqJAdoTlw~F+fvJ}AH5&_j+0r8S%lfwo;AGw)l$1x3;(@b`JN@bo-=OdTrC6cH%i9~ zP9N9zSTSe8j3o!IszJ^k0!{sckH!O?jJHZ_=866guT?5neN%(4hJ=J1OWL|>&8@4u zs=_B;)w;WUQt%1Qr&nxK{e6AU1_f;k3F6W$^}ZSu<RyP1K~B1kYx7=_bu4NbB4LS> z+!lVE#dh)4^d~delOO5Iu0I-i&eDA2oW+Ykz{>%=6e`mOwA#aV;)0-+AzGnoMe56M zy>zp(G`;HhJ1=X|ZxPGA%TK=CGOusOIUAOa#Tz}o&+q&caAJyJ%{iATUQ2^khV+4E z+d|YnSvJX}Emc{vSZT51A`$657sYKa@_$@6LFO|*Q$h=eg5@*&qB9FY2dKn?&$0rq z=?Vf(<$8HpDP8q26PmQK!emRU<oW&=c_}F=Uy3K%ii@6f(c5kCRQdRQ<>Ti+pTB>S z^Woh6pRMM9ws?Pk&;2RM=XU4+-~KT6->2(GCUt-S_zaf}4`<MF9FGnc&|G`K1fM7F z3JMCzAD8pl{a?7-f5W$rGpk!l{m-++vVP5;_bfe*?YFUh^Ir+eXM291`Tq3ocivAQ zKma_ir}@a%YOY^;;q#x?@85j+&Z}n4dPy|IgR!;#=hMSEOKvc@_5IwhvsY!t{LRMq zq@JtYov(J{$)BhC<{L#`y#N95N|z^ll;Y+n3b|K2PGKobn<M%B*|B{)cS@SQPur*| zyt6mA`1Xw(H_oizV4v_^<Gqkc_4NG8Y4Md){VOFLH$RY&>|zzE;1ghY|G54R|A*u4 z>_TVdmVy`QfzJm@0|ChSU230_dyLfBB$JOHxnpSPsx~>&c&7Q}lS{16@J?<CJfx7A zB_YlB?78?8?@veTcE-m|(bqre*Wf;XE?dEr59;xs)RYSfH%xqV^yKUlhW{tANjMnV zS5ALj`zen@z&&~5yFJ#{mi3nP{u54|ep<9MW?DfZ2wcnn9TSu}v2#u<2)L?!`krxK z`*7t_jXlhYD_-c=-i)o-zWs#Zd!5>+wZ1y7ptJnyKdGy!sj20EQUfgtiT|Yf{v&(h z-#_fh7hT^f{kX$=*VE&bx}xH#^&ghqKd|mnuvJgrCI4UDahH65b=O_;t@8Zu*jHJu zczjN|HRt<JdGeL_zngB9kpuxvMI{heBq#&|O2U>L92_T_ZBB3qzW;dm-wJ!X9#?)r zMF*K)*Ioa8rnJk+$(6hpC`&0R*&<QLop@~fgamGfbj2d&OU_mv|6j=MUA%pVVbjzJ z3qA<Sv`&7oR5BvU*g7{Y-RJTfoxFKRH~$dbuVi3gU?2bjAM}5={|Wy0_wUX6`q-54 zn{U;2mwta&_IFa9*Z$k*`Cq30{&}|cq+M#f{HFKsR{#EWsqfA{rFSp2nHc}?s;ezL z|At42b+Si-c!B4e7T+Y<c@pW*ZyxR~-Vp=3IsEbC$B%D=z-17a-1%q5@-yDgZdR7Z z&22w(TKkde$2=Qp|IYR!t3Q5tQMY`L_dUh;@80bG{qEiKw_!V7^WMJQdpB>VLB+oN z#t**Qz5i<Wo^j9q>ige$Z`}le%&k#t!=l5(!$C(bn(sfDGMO*hdgm_7T^4J%_2v2L zy^CD5zaYOD3_ypA6%~OZzn}ngSL(Ohf5Z0gO$A+c{yT50^rg3D)$h!<E8aG`)pY*$ ztuDWmr#IHVy>9pR=8bDtE?sys!~DYy<IU4Q3#%A`Pil?s+zJAwyry6<^F)7U>9Nly zTcpmqIWM*ji#YzF_|44PHk;Mw-)%Mqfz2ERH%>kIaWbwTQKv=xcSG~*84(dYme2C1 zCf}1k`bOtt!hh46pQkPL!NsaF1bmcRFZuOrmQEk%>*vW^W<=<wb>B@o6sf~AQ&3FI zjOVxYVYg!|k~xwi)}CKml<#?4kzFS5!ok(u-hK7juf4dvwYguvTFbSfYL!6z+j$BT zL09J<IIs^4!1tr>@hB+;fs-!(<aV~iNS@!>b9C+6wYs~wjk9#uT54;zZGQ6n@ESXz zzkwe^{)ku~4cHhG)4MWU4+PeME{Fv!gw|cVX3d)OzrZzv&YI&@kdsqa@Ban%iuUU5 zRp44;mDcao`?6Hp-kGx9@9k_ox}mA|V7Y<+`F?)}S>1?=3nw$TyGYJ^9S2^~8*(*k zYt&rO;c}BEO{!Wsy$cL}t>|w8gH`gc7S02M3ID(NUs3tGOlzfw*44UK!PN|}!eT#! zieH}=CU#A<hBZ2ob$QcOreB}F?J$@xKTl4y=IYu9TA&QAz8qX2y)3bsYh-9>d)e3% zR5|>*G#ykunEblf?*uOVTlK(xyt@C3x9|n410Obp|J@Q^x+?B%aO^7g(iQXniLlBr z9~LoCUd*`vgiP<Xn?GGF*mS<_`}6dDb(0JD3T#af04<*3d<iOFJ=8ue4!rcj%t=b} zrkk7Fv80Q!Q*<_UPjNl5XxEC4cP^fbW_x&s2;X$^SfqIIyz};=j1&JBKIGTTlnm*J zpZ8$ma=*8Jdsq5f2TwnwY#_+<DNsa-ssD(+_cFu0#?IJBGiJDgD--Y<OYkvXFF;3l z9Y3!A@^SL-9}2ZKRg-MX{qHV*<M{1{t*woXjg6WA+2YS1Y$p3Z-CTF;{O`^hyU8kV zBwP<Jx}dsLWr^|zy&Kt#>()zHO-+{bp5EhAAhzdf?;OzbkUOAk2wLBK@#M*qKj)l3 z82Ct`Fi%Ei&hg4Qv%%n3{=a26bIfL+&9A$B3<Q?d&ECFHe?!^)IF{t<K=oJ7u`Ae1 z7yNtNQY>~O)2h_tfd361z2@~FBz@SDcl-PL`kuXB!^_Ki_OcCV9r5`;XHM?{o%VO; zuc7?m6s?)2m(%W@Nw*Vz=4N;1u*PKbPx1d++Z1k8Tlv?QrPr6G-+yU!`f1V6)A74@ z?AWpA^zJQNYP`8mZ?=)&w8wFFgEjl}W6L5hZ&_K`u<U%v%&8y%TJ9$*Iu*3|ZpsvK zH{Qc-jLfW00|S0_TRr~S9bR$y*meoEGoOyCmT%119+SzX8$QcPRZU(01>aN=2@QQe zAFpYrMRz@JpPClz!NJ19vZT3WLVtgM|G$g&ps?QM{{4mQ-o@Kr=vsB3TeSX#HWM== z<HSZ^H61H89nA}JU)Xt_+P~~nkX-2cLO`nJ-vV17p9sGTvN~2)8X84^zJtK^w`IHU zu0vdA9WOr}T)lzH+#=A?f~Suk-@bkO^5x5?PoKWrR&pLsXq$oljtflO+A@E4)i;!I z&tl!Xh@I)w$N1~(!z?c@XJS@9{^!TOKTq`q5v!%%<?X(kw>{b#3}&7H6}GEE*>Y_d zh`P0FmzCA7ozIKyPoF$_(#5Z*!EJWpj^fEoarfM~*2#SSYP;9Q#&VxB;{i}3j6eV0 z^!@tv?Vtj<5OnGwxDd_<0khd>(?G>Al;VE$;@Pt_oo!pUeoYrnV|o1iaQfuV8i~eD zr<eH|x?atEyG^Nwp@~)aj8C+6uB_-g*@N-tu7j5~T>%4=OJLx!U?B*cbm=>qv@t?Q ztQ$tLW$TD_*UYl=UFus{R9tddO<nWuoR~S6Id`nw(m5Y=EAZTzU;s)BQ>TL0?N5wd zfBp5>s=aY=YEkXd-?e`wE6*<IPWoWroW9aBika8=%eHsdHviSFF!errRnp4+_iUN( zYh=pJE#Ku9-MPsn!O#Z^;pcOT=NW<yCN&Fl0;OahA7yaS`6juBXXXh=;6_0xv7d}u zW(kb*q8(+jlP@m&UE9FpvHHW=1%<!jZnC90wmlS0k??!S(kJA>aj<2_n)Rhy*`*Q~ zjxuqnI4lvGZ?&=W#TMfybN2svI;Z&GzCTa@uy}shZ{o2>MxmhQz(YoNb{T1zf}EU! zoFxx#++bLFaBgESBbVc>(*>+2xbqg(Ht?A8Xjz=l_{1C(;Ml|^Zq~viv6ClSU{OVl z!ORo?z2{~B-g|HL>32=@>#zUOiWb<h?C4b!lQ%OXB_m^6Uq28pQ#at^VfO1}?mN5U zN&2_<795wQBY2t}86wzaO>T=cFsqjNluVfu;-exUq9K1m(J@11Ql<n0gG5`R23wLv zo96SmZ`ood@OmtN{loqB@;INn59T{&ZCsI}%ew3K48~8Nx5?JdU1hs%*S&}(Q~Wea z?({LKv4~u(p8k__WAd^2vxH;TY~|{<@juh{<(W3S^5sY#=bSU$!iTChpR=qLDts-; z6lLOn`q<m6YFFD-oUW`e`LX-Hyc$nWHlMQFx}!I*?PRu5O`Mg!)=hEq{WG~iZ;W>Z zFEB_^RbxKozSuvtEO+Z~Z;cakeB{c0M=;Ll=g#R?v;QQ!^opaE*Tk<8W>5caoc84Q z=9xPMUCun+v14J!1(&|=(^vK4GkI$-@v`k))T|i3N$jmB%im=RXX3ZVto)wyJy^}2 z|MT=$dvA;R%1spw_;~c^rfqWtZ%(+(eS5OGP1mE>la9X<E9SlZ^PJw#cDZxU%2PDG zSdW+8YMo&BJmXHuO3oKo?p%AEW2R(0f9|#lNnanPB2G2F&+3K|MZ1N}+S6t*l`fsj z_3A_{*EPWkelP2jtyQ09#I0Yuns4&sm$!CpQuABCfvxK0B6FUf>n%3$Uy(R{{<oXL z5uMPv;!)54@g+T+c4PfAzt9iswO_leJg;Stekb;R#I@^ee_roOPvmFW-M)c!iOe-^ z*~>EzPTuqI{jcbi4olVsD^zq{6RO@Y(Ui63M#ei)&eC6XH*|ek?&e>7qkr<AQ%{qx zi&DSPVs1XqDO>&tm1!l<_F4PTd6jr@)l=)OGy9lsd-*P(bcFA*%xcTUh6{MQ?jLKJ zS*!VYeU$IsA9oE}<QCa~{XfI!T8ATtpWbxoCJCiS1r~Siwi%h9n!n!o|M{sKPRU)K z?cMyJM`6jG1Utvri-sPLzlx;JKF${uec3%&<?!B9OYTeDyBdClZU1zMP^p`@c>WYH zNj&Rf@3^B~DLMc1f;o=K`sD{?-@liB&$aPHTk<rEsqMXIlBb+-6HU);a*7b!qr5$d zDKF)E)>4x_#~tJjt}ZNQO|7iHy(3I(Mg5;l-;Df)ZzfNjyhmoSqRpM!f4VngzgNzF z@5A?wuiW8Hr%KPXhi@0{vwxVuAZTeBqo<)XrQ`6!qMIA@7}a?SZ##aQvG!bC!Jm`* z%VGs~H&i#sZFDHBecq~9&@{V!aq$^38<B9I)=5Q&7+P;TOl>J%x=Qi=$9p~h9`85W zUDl-XyiAnk*$q>HV|OEcMVtx>ckGyOL_n~;`*Dtb$&(FlEvw&_Gp%^9Ijhm@%Fn&C zG*9j>Hmdr_R+tl)GWTa&bX3mqeXLxIL(=|a{qkS>L}R&8?k7iQ)uw{heU}Tjwr+Wq zJSAnO_`ah?<+^|SrgkU2-@Gp;&3v|!?COIj&Y2|4cU<T%x=W^D2a^Mz%eSxQf&whN zogAJS8LLiRzwqF!4gGuM`<%{9X<fF$@g=*CTiS%<3*DAqJRGPN75aE~^v){|4;aoQ z)VSYW(i(lDAmUPI=aEkRW>0R<qaXc^g35f>hrPbK_~gNoy<Jnc_wM?oExg2E_R1AD zy_Dqg>37>buWe40;`KNy#(3^gTAAib*7B~Ni6+^<dZkitESt`zDww}FUp-Yi)%pLk z2@|=5L_!1Erq4S0%gDYxOI%}{O>@8x|Bvi9ShIBH>$4wdF}ld4on;jgQaL2|(?Wkz za(dt`S>eL&MNT)D+%*5aR^-ssy&D6vxeruxH-DHKmVB)C{h>4OOLk6(EatW^R%*$= z9HYMDxKq-#<iKOkmEOuHuM8Gj7xs9C^g`7i2B&NqUj6OgG;QgYSu%dgFL%dBH@V$= zU)0vC6+7WeG}Eq&hhNCm%+HbaZOI5eG=JTxb*2&;)9*9ZG(BA&?$65*vHf^fu;#iA zH-49_eOt5p`<&|RW(&XLs!e7G<a%>=3Qg|0Ww`2awbQ}BJ8WLcc9@*MZ|`Qznc%sN zH(pD?b;ql#S}7ITGu=JP5A-ts``#A3u=maBxtx0)dC$1*n*QgNi0hWve_tk7W^MiZ z$wk~IbjMVOQ|j>yE5+Nm;<(=YS${l!^Opvd8*T297wUKLl}{@)IksqnM0|*r`^t`> zHxK{$?F?9NRQ#REb&2<vfcSH{5#~FE`<Q11863~mcbwL7TW*equxPI5h9dP<J!=fS zEfv~oN*E6Zo(f4?z1U*;RHtLPA*rHaw^cH-R@tT%n1!`kxq7)g+o$wiC;i$D|L;ud z8avEy^96O*Tw&kx<#pC#jca?2?k~H%E@5@avuiD1mpr&JeMV)KT!k9b%^mv#RyURZ z<|@46dn+XTTyDhnyFzV@XM=9&ad_@~do0tdxZ20ZY@3h8wyB18Q?+KVO<3u6HKX-v zw(izrl`gARhpbFms3INuw>yrFv)kyIN88c7JNK+lue|ufWX}gqu5)MC9>2mgJ?OCQ z3$L}WJvW$Dq~`rCnA-f|`nHa3_v+*(a`I=}Rb+5{X^b*^dPbFRiTK7@&R>+9l&&m2 zwPIbJ&hgu2juzLBu)358hs_F@cBd;>v#(UM;T_j`@pUS@-mRM2^TnWA<j^#QVE;+4 ze*Eb^WE!RZFErR(qE9;T3eW2hi<5KaEam25U#j{>Afxo3<?Q7uTmshrSKnlcn`q<x z=+P#lBELO*EZNP^9)6U5aqaqy$_!c64)0yZR{Ab@6IOioP<QXO_ovRxcqX$(eV_3r zwG&AKGq!Hz-Sg^J@687}=YP%K9QJUHPTkEsk-kfsau?+f<{l87Qz@}*Y3GL)uer(* zf-j~WF*>FcAS4{bqIF%;v?F5rrHxnrv&DE$OXMp|6h7yY`28lY$i3^vXEcHros?QQ zyCBj|EU<yA*(B{7t52=Z^;ay9H6>>*ke(JW>GjJ$tSL6;-+mr-{(Ri*iEcr|`|Fin zzu#Vs&fW1eF!R%0=eemRuF?I%>TiE0I|_%s>bP&}Aacqt<x<V=U$$>!VlS@gw``1m zDxEvy{{o?N5pAt)lWPhiyLOrX;N&<dK3(U<iQ`ILPrOA-ckh~4pp<x8C_AS^f$xK> zM-aby;$xQGQXzsYuk!L%rF6cz^kPlUt(5F!8OIxYpZGdWxhgE0DD5*L_+A1llSb>I zX;Y?j%`oiI`PIBo*i)&@;_8+M=hhvNC|zkarMo<u*ZQ4sAEQWefTA3;UbjKV@iY3a zzq|tP`SCqm?`Xw)-TC(M8LtHQ=(Fx-H~Fx6Nz<t*`?eZS`cbe{ta$0$ht=tqb@_TD zI&7WzMXO7eeRcYwD(@2TyueekMCezWcYNOy5wq^HJrCkH=HxIpdmpKZn*C6<Qrfw4 zJ<HsWA3BXC|Nk?&fB$MmnN*8GV9+X^^Van|+itvN46a?nvGxR0kYeor{UN{legrJ7 zP~OhT$|Dl#;=v&@n@f&Y+ic0|j^k(l<?mZ!DlqF(;t~zn*2#NM{6BHvq{)(^$~>oy z&RjVc8&dXsyP>STCw^Ch?|qef0^A-;PUk<GuYQ*6gdmTLeS%!e664kViW}G5P<!?A zfTWH7K|#fC^&fYqKIz!-%1zO(;l;&Azf^UmoQ(F8pLe%^x7!>KH9ekfjp4$rLcR(r z%-de?a!p<BZ!_(~w%h+idCuIOJ@NQqfi;g5Z=Wg7`5lpOee}wf19#RnMa(_my!7|v zzOwX6o_$m0;?@2&DDFKepH#Me{l>E0OK<g;*)Q|D8#r@HU~OL3v_I$U5^nRxzS_)o z<k|-LX9?BoB&RI$5tn{AYmcSM`@FKLO>8+<+4nDMt~#c(T<GZjzf%7g9~8~W;qKlv zgE>4*^uw;$eV@&jbuB#o@w}+?Z=0x<c^fWYJj=#d&eIcS+;_FOp>o$QCt-fYM}gT~ zGa?i&Sy$!8?>M{e#s!;I_WgHbA1FA?y3d_Cb<3ql$18a!!~Htst9SXhPF}^mC{N~g z!@o+42;N1E)@6DRw98pe=*(x-k9}8WedtEwvTk1{8Ln@?CLfS3)l><KEoZT8sZit9 zsS#1zc`mVF^3F~6*;lz#!xr{*N(5|`WUwkJJhoA9OVqZ8iDm~S6PNfWI0>wNxTW%e zis3QU_KCg!i};o}cg*i{yZgXWK_<c@@meeQ!G<l%*vvlkc)sFQe3NSXW9I1)tA6Fq zpvx<?*Ie0^VRa|wV3e28HqLbW$Ro@##qUyLCHnRm@)$ofIa7Bc_aLLa#~iUaZ(k*) z|6Vrn>H_Ak%n#lidsDb>b7-iW_tyxOjC$X)vNMYx>#Y2);d8{u_u{<=omHZ~pE9SU zhP?fvRJFRHjqATr|CN<7f9~eY?2rmAw^X_<|0mGK?5EbYlJAeAd=Fl^b&*eZ_o_zr zmMG36a)ytYlGfb{4c)L#dP%T>?Xhpi|70(i*Y3q-ocezAV<CyusiA8xcsJWkjkqMF zuUl*RL*eA*a=RD<2654-j$nsF!LfpOZi@Ci;JfIy_seS5vZ<k}N0eHWVge)_U7bT; zn~L((e_r_8!*gecaYpy6T9(SxX#wB)-gkTCSM@~r-kif8%+wJmcHV;fwb*(liJ9)A zQz!XVr_F7B+x;`B)xqrngX=2AJI=vNbGw5(T#t1s-&(tF{_hDkdws=%j)Y3<Ruv>E zePStVz2K-R**Yg~V&vsX6W4X0I4@E3SACN3^^(W@D_Qrv37_?D)uE)okowy%u9tQ& zpX$svP;y+ek>^U&y2bxj<Qx~d@w1?PVc+YcE;Wy8bSJ;Ov(Z#_Y46UiHzECT&tG*t z>z#IHZ+6o6-0Uz>_2do8O^tiLzBA_F`npS3L~#1<cIGf)iExYgtlnPD0+t8&Br)GH z%QT)JyN<1vsZug4a*aSn_mxDWFPjU(f*8Z6cZlzvJ}+xUuUlY(zJ-VGoY;Nax#k#V z#6R3Cb}{m_4)^>ua}Rm=-#D|y_Q4)?3vQbdo&?@yMs2_PjV$szg1f9@b*Bn{y|OMR zZPlEReV)(Wt1<E^mht%+_7wU)zV&?)W0<hP!j7*jJ{(D{>f0wZT9{@^H~3$fP+fI( zrhSty|C610cRu^y((-+}IYM#~e_Eh_^Kmhr>D3kwWHZcsES47BxBVWZb7Ye7yY*-H zAN$byZN1XgpPVPvVy{it`esqj!1ZKbN}*+lm*LNS|4to?GyLgcc=$nQXZHIW75@4> z){GvOM_y&LIX%ub4wNiSILaliSpE3yqN}y7g-M*<PdXCZ?<8#K;g(jr9&5w2dZBT| ziY3c59x{7pOk4LLYK9<ZdfCBihng2A>NU*_afpzb7IkRC`37ar$2nWqF1s+>zSwC- zLzP68a#-+(m>+d(tq;m^Px&$9?VUIG6#6taOyg7B&|%OZuhys6tl2RC_4yNzpB44! z-~Hc{A@oPV`B_?|(&7c5O{y2{yri+}s_^YK)`z4LHpJLx@Z|5Dlx=%Yy!6<?#O^6I znj)3zt78w%+mJbV4bT3it;_91@8(_H6K&aRCA$CF)p?t<-h3}T#B_L-UBcPM8JwpM zihs~#V_5$)g73++G?{?QyS~c*(*5yc+NFbg0~!9U_@LeWQsCzC<*(ECvqw0_#xm|u zVKtB1*sx#{L+k71B3XA9Uum4PNL=9D-w&~F$8L+<dgpCfH*L@V8?Jw2*1!C9_w}89 z3T8*|b{KOqG+8{(Yfrx{Wy08D?KI)M{0GN9wyXO0I3B9<EHJIS;g#@ZgL##w`P)N& z6aKNWOxw*g+mKNuesPS%t0m0BFI_o=7Q3waV4<~I{rBnc<z45)ZLVGX^tt-hi<SFY zBd#wNEoAe_-*#bUy!_n_!F|cTo4LbJ@8nZIw(PIg_cP_y*LT?cTpxBk)Gs@<C~vt! zleI_xsiXS2H?m$&&X^{~vh$!4iz$!b+PGU&g^D+=-ctMAsCHL^A&=B@t+g%L0xP~Z zX}Rq@qH|<2$AAAW?sHME*R}lcZe-eIc8Sx}?uGOXx9F7LYEgUdZPVkeKgAT<obqF@ zkcgMLQR(e_A7yyUa#yI$6lP<2xLBL9a)D*pq2P7zzi;o^J9CHkj-~^A@r8PMkynJO zv{gm!m;X!k2$(<Zut!_}_IoD<3k4f?Zpo6cPuOu^`UHm&bF%(D3w8fb(z`d*$6jym zExlYnyY=FadCwdjZB8X#JM-?*TqpB6@*&5Tr{+i1Fy;lMOnb4Z`+auFkA#<sM_gy7 zIuvzZ>DgUz^Va)g-bxFX8+3=&?%X!@Ug#C3(jw<sPFa&Ow;FJrT*GZ-@>G9<{ISbF zPVpouG6lJNaWs52Un1>S?0w68t|c?iy;2L~Wep6eF8e$WE<JMZ4MXwA&wG|HH<K@& zeA&DB(UPWL({0!oSysPNxUjGBZ(*M81}?*Ivgg<sr!=>uopu%YU&?z&rMvV#w_$l) z{FOUd6L;3KZZvCN?)Ck?l_blD+7J;*79N44Q9suSMKA7(EM{YSpR>QvO7!!VMfy9n zSJ-`ZFuicRd!v(`gJRv4Ke@kLxmMQPdAEMX^WRtZ{OC~i-!=bUFPBfi>+k%{;g%=0 zweOb5wuiLNe9iqtks&?r!C94m=YuA^+s$V^`$dCK;L&H=aT4w|#pM-u9Dhua&pY_{ z*V@qOA~NfCIKQi9yz8V|9rj)S&xKbU<yNBQ?4MkMO7_p)-npBVnSE_i>+ZFCd-^0N zO`K4`;wP?=l*J~@GGkt&h~lFEd+IDRp3nK{Y%JQRKg(kE%7tq;NrmV;>n|*mVl@+( zo)RZ6aLrx$R{D{RVIG^NuH?@5m*`-XzO8;_w!_YvOW$q8w|`dWa5if9bh9mY{blxh zl8Cns<Jrv@KKz?`LU1=v+4uh2?GgKpe{7#;YVwPD*W2twW1gO8Yq`!8TI)YhmEUb$ zaLhtZqSrCg_qDO}Xa26`Y$}hIZa8U>v{0V&%4Rn1Ggm*oWi|Pn`e-VTTHkesJBoLA z7){acUUf%sM)mYpf|i!NlC^If`6c!VcbA-Noqx#VyX$fJ-N7#{&VG8ozr@*w;jLAI z1c!D(Ze85eM=y@fxU3tK-jOe2m2T$BVCmfEoxtGLdv{OQyKl~&g~!`#=9c|`T+}`# zIOde-g&!63jq7f4v~;@OpU>yLQ|*-Lb@h#Z{b${G-nUAnv-Xqcj7!J2zL?m4NB_km zr(FrB1<q6(=&s$?JvTc2yTosIj|)x3)vO7&ybt2{d)4ncvM4d=TV8D8hEsWb7W%sO zuhd$u&F^EnvLw~Up#O<}jMXRBIJu@v_4+IFY;L=&bBb%K_*S3as=jP7Uxkj2&ylrT zba)=;2~A!0_R9?^mm8JuHl4qs_byxbWA6dSD#yTGzKxf}Ef^{msHpeXJvtm~9Pl-d z`=Yn)gazvsi-taN`0r#>xO>wXOVih_uN#u)A5TBc*LEl_<jk!H`uF_i^`#j)_~hpp z-+2GRGEn5dI=kGyj@vOeU!6_p;O67JEBk0>+Ml$tAAHAVPITJ+(_-z`1ATKFBwlKm zuIk=CH(^ox^ogzCg!NYR@Ug7O%5&oR|L*o??vyCu6&&7~l}UyzQfit@qi5J1y&4=B zwRQET%^!>{m_F^}-O@65`BLRmd@o;TH@`ZW)XX%kzv<M6z=tK}7aTu7xodgZZsCV- zrHtQ-1lCQHPnvh|VQ1#<lvO*|ub*6`*zrL}WzCA0HarVXnB?eHzLL29NnkTmhF|I9 zdsq0U`p;P2`2Wd@?DZBgh0n_Uw?6%6H`{%8h2-UB-3bk>jIyfbZ4%;_8&>D~%614X zid9+mBx8Exg?^i#9UJCPZaShN)2+VZ@c9izs_N^H2{yD(n80Yhc=@Mdt|k0-8<m*_ zc3e!}8oSJXyVB)*0$ctte>G*)G7EK!Qs8p*k<I+OP^qM9`&nbLMUpF4>?l0snD!(h zap6KHO(D6~=Bs~mZf|>j_hXG#-|;2i(l4=HxqrgM)Im2PQX=Op$9BO6CI$z_E4B9) zY`xy>oV@M(y<gkazTab<$dbddn7M>KgYSaI1?dY6M$GO)$Ar5i6yg#x7!z4DgqxHt zyiea1njm5^DPfL7Akz^J9(RGR1<ow4Ga3VTtvI7w?x>(x@F#(1AqUgP=7sD|yO?L~ z<Gdu-P{OX#{+MmC@5VL{;~Iyvb2zIWe46ZdOvY3~Ho!;mUz^>-Wp@)^KiYlZkj(jp zjRwb!x3PWsaO}my!-WyobrMw%h}A7Q{4-=lOm@MIyF037_BnA{9-k}QC$0MAy+sYH zW!wF`+gJn^fo^A9JR1xqg8}4Fj?K`?1SqvnSLP0@#l7g&S7#ahS#@i-)X7ze&2F)C zf-X(!wvsl@&^fv{Xj1of5P+Nsw0#>0fF~@>wq>Es0!6J2>pah2uv%}Qb9SF!9vl1L z<Ln)oY<K6#1h5tUJ>I@D7JR>HFc^4)0cdZzv-4um>Yb_J^*CBk>Z^N<q|(Lf7MFJ) zo^Fvd;eN{MH)@AhK6r37&5z$os@uil^=#?;w#$3phq1QrR$||pdwW~%?QOc=3=8jr zrXg>FXKiLif&pk*g{0(7i93B~9k{g}Etf@3-N^ms{EnvJL#HpVW}a|4#kA6QUDgE6 z=IJSBJ33bES~iVMJXL^UhDP0OGgt7m40uvT=L{2Qsf57>F7T}RKJWoBb3s#|C029& zmVXqP!L)s&`1`jdR=%1=2~5%_e_wu*T;}G=Y}_=n@7;wJ>1pl>scz*4lS4qhj0J-j zFaU>3H24@Y@Psq?azfCdWKy0l9)!Ls^mi<pp`+G+GNtIcwEa;JLy;KO$tROH&5#ju zPGu0Y4^`X>UX>ID_AcZq#t887DdC_cOQ4<opj!x?7G98$a_-cYU2x&*y*b-8y`Qdp zqgub$z~o!w`ULHt-rpuI-z2hc((X73uIQt7Ij6Vj{NB6?1P*~#j)jJ%GD4<9-{;zh z`rCqz4ft7O2c;gT|4FGoA<FBgt-69;Ra#Oj{$xbaw4Oglwu(O8J#}lxF`YHqE2d7p zB)pns>w=~u+hV=EytJluEd{ORdbGlVi;Ig(tE~$>kN;@-56!Yg2RhfAn3@_I8tUrm zf~L$9vbkP+nznvj($qDnN-8om(ARe9q6N#AZRnY|VV=L%D$vmz7MgxeU;sLg$jZtH z479qsA1N)Gp`kWO@N`Ei&r#=(69RNZxEaF*CL}KHI_k{Mr}JrIkBa(9uTA~I!jt!? zXrJ^nnp6`qwJ|5emC+l#2n)10MnK@gc@O|i-}d+SgAV^e#Qo))moFbae0cNb&BDrV zJqm21Dj&aCsDAun@t1*>^Qnm7NeLk?reB_3k4}Dk`0{08Q4=FG8;^v6!4dsO;t<C| z&fh|r{hWPv8MnAzK|w*(5#2{(hTSt-W$bG<7?meV)@(Q6-}g|~fH#6;&eyYRdAA)j zubF!F(uF(5n~gRZZZz1SzkcPG?riN<Q(H?}x2{?=tIEsOG54LBq3*}Cn{-ZZ(m8!B zs%E>s$iCY<`reyOdtDI4C8GXFtft?AMUlZVXWFAiz5<23jf@r@`4;W(f4pwlA@w+x z;p88NIX@Yzi}xn2>pycw*m&~yYbR_TC(V1f_k@mdQ)FAQQZY}LW!i}~2Yn5*1&pj0 ztTnWLV0G9eeTITD`?_;y7$;adM<<>8kbG~!(LIg*KV6UhS!Aw%SNQX$<7;w!&z#z0 z`7_Dy)46RC4T+y;#8%`->l}FS?2O(X>4x2>XYy7YlXaCnB6HY!p=_^m#bUn9#}S7; z7XLUR>1_2-MpwM2dG+?K_wv7%tuBw=d-GN7+V4?&ufCcamcOMc_;qbq{^qLbS7)!e zm;6<1b$P_zgRlOE=C7~vf33T=JbdrYS9?R>XYAejYHnz;*qYj<H5)4<)zUNKa&lr` ziE=M+Tb(32G0Q@==2B2#z=`FHCvRMN!X-vZi*s_u5@qF-ixW?1EVghFSydjexAE2A z;QaYj*01jd=g+Hpf5qBuZ{n5Tu6s*g%?*B^wm0^Q^zwVUug)&Ir~PW}qI;UJdKcYO zf0er^E!xT;*=#}O!vjxrv{xwfIK?*<J><Ndw7F3-_<_nzm6IwvRaUCZROwXNd0o|_ z$)rdpNhL_eNyKOmSMvpjCLQ}pbB?kHy}xmIM)(uQBhv2#UM(oTb5pTUCST%pmsy8t zn@P)k)ftZ0RcAP#l6~A$;Hv#G_k~){;+svOvw3}%dglf^Kc0Lw<x);o#x9l&?^V9M zXJ=ko)^^O)`_`2UJ5o~&4fV`SP1be$^7i_R8MlcZ?1*>Pe>i1YS)t<gzQDy{hTR>e zdxV@aS8HiKx$-e{ik6$;!Zv>=^<ABroGVLwR>dy4WxFbN@h#m|v5Rg^4czU#RXb$2 z<5upF-S%5w2k$<2>+Q<edAIJayeqNw6wl%xO)HGg2%2SzJIW-S%`u$Jxa^o(TJsre z#_oq)3%RUZ1zM|<Ue1cxr8-SpCp5w>(kb#^*gT!!2&+i5NTW!-NUcb<$bVrIbZS4{ zyQD53`71@)dwxucXNqNtX3ERS>rX3qZ*z6_@jkol#2$_EH_2?zTu(-RnU>?t)7$&W zb!L)ce{SGDjq;x9HG#jL$R1R={b|t~p)EP5FFkcVx$T0UzL)jBo6{DY?!Kh{ctJjk z_KNPxl@ql$1UBbyOJ#G5e7tDS!i9x_hr}}^_FFW2n9mVDb+ORV;=zTk8tYw>x4UwV zyejZr;kKOhVuO>`r#BgCI@*&2kGCZqGLX_)5%Mo=$tgY6=|$d>p;?-nr$n66Rt?Eq zx+W+{bNLkirBjT&IG27o>F>5YNqA!B4waBa&7S9qT1>3OBs;r|I-H#q69wk_tU6=o zmcFt5gz+80V+$sqyx+xV^!$d&E{#V5(L9kVwV%3{_=ipWa#C}Won-3=mRzMDn^I2Y zbQD&qXY90Qief3@$Pl<7zra>NQ!GLuTqaZ^SR_y&J#O0V@T8ZMLpzwBdUE+?O!J=p z)SEXw(Mf-1<3)kRJ{u?8ad7SldfK$Jbk_43Y`y->ztmnlWNu%2n*Eono2GJdBI8|# zIcuMnD)04BztmnLx7Tg?#r==J&iU68UcDK#=I3&)RdD<(FWZ&NFT{Qkl5JmqAoM|C z#r%uq1zX=Oaei1F>ydwH<`>n!x7Nc^tmpo3*&tLVYwN!Mwd1bOt_Po1`MbYzzq>;G z>&ky`f^1*eUaYL@-gi0ji)bwC`!ZRM_G;ffn)j6U#Vh{X({{d7|CIHU>QARCI%~9k zEZF0;gKz34`6(jmn(jff)_<A0?^5_LulSeRwM*B3nYwJxUMM#-QjxX&&}=QWpr92Y z|A!lBJUn|bD@)4OEeRW)T)9NlW8yi(TQvgaEqSnnbF!+}B!!#SNlQ2;DmtxmZ20qG z;dSMH_IrG?mhava+*z?Xu=&{e1+yo2H*emzbL+;?>sKy;nmws0$w`R`@o~YK;@87M zw7Fk%Wrez$ex1_QR8^Ff6cyy<WOd8UwUF<A;pF!c9r+RL_doLAcd)lRCpT}dL;>Hv z_!m!19!l06_N_UbQ?tEbYW|GA{2yKU5*+sprsUt~dViz+y+qghAD#I-y5384zW>qj z{zgaskG^~h&ie+!_X`B?e-OHFAb5X+(ESfm_cuu0&wbPM-t5>zX}3e>GA-|GSw9_a zkCWrK32(1XZ$G>?`?h3pQJ&14t807LzLGl->g~LER~p<4+Y!}j(eimg(yvag)GYgS z_n2+Xao3u|_DM>Ml@=*3RJd`xzh8LUW9em&q~|@Bp7vP!*g<ohr_y#z#UEu)Kd_$n z$hz(mZ~jMF>yNVLA7za{-aS-Rv%NsrqVv62-KV>UJZiRY5V>z>voBhr@BNJS_Z98= zGdzXWyr-x*ZYn?GA*kfs64T7U`R4VeGM5Uj-@ANNd?xVSW0~nJp~kx;b5^AdTeHJ2 zHVG54?&C@mI9!w_9+Y0Nv4T0}*n8GRf}Bh88=NG5E^T1l#-0(~uti9X*|<$}zevJ^ zb!%EqpYB^;a-fk%K;nwP;y3!;J>ss%oSRrU-@gS}aGzg=x8a-Z!XNXhtE-Rl<p{jn zqi+5F#6;yIN?eB*_G_@pPZU&kYjWfDakx|M_bqOsl53Cp8_}mqzE6}~Is_8C|1uRy zbVww&yze!iY@2dI;9j)YO>Ksw4lk3VA0-Pbxp2JC<(6SgJ~?mZ(TTmC2P5wvI<P1{ zE_mf_R#Ah4ErQBk59a7L#wOagFdSkRc@V>_G=WLx2t%__f>W_bU&8jpV`?v}lee0f zyqXy)c~jza*)&(am(mFwo4HaNj&SZXzgO<{?e5nqRjFokM**t<g@^m{rKP{FIkj%1 z4%@U;&j)knHn3QvN1CNMGdyJGw@Qc<<?WJh$+&Oa`Cd}RLqLi}_|V+;l;@0$Oe!2p zY&{NKaNqJ=`PawC$3MPs<ScwId$ZcE=7)olWrXNcCATL^RaI4cwjX`Kd!da*nM>sx zcfPGs^m_Mxxjow*l0L9`Om5^5Sabe}z<kbWsnKTo`u*R-PHYig=;tBJFK2UO+OpKR z6owWKA$g|;fscwT9Y6Lia4HX7c=+&P<}8JWErRk+4G-S$DQ3B8S@!0}!EKIG%NSLA zI1&%F3n+xdaqDIu+vE@t*`GfxHF8?6{+W$^+{J5}bNeM343v&s5ctY&>fR?~`R?7j z38z(bb=&yU1e849i04Iq3x7JhDlP2TDZ3we^&SZq?_WRv+WfC)eXRXDZovmNErJ#4 z)9bu8bKJWvFlW0`!-GAo4A1B8mUm)k{CeQo+=RZjRuvQV3U;plyH!GEMzYMF<t(-} z9!81xmT)j%*!cKZuk<0d1Dp-JZC<^4)x>+i;o9lViHF%HFsl7{wkXPH#y6&Emg^Lo zQ~R<jeq=h_XRxj5Shz7T?M8xgP+UVe<E9Pk7KDX{1pBYlSfRdLZJFv)6_tIFARnei zemlo^ycg7K{ll}%(o#Hc|IV#DuZEp<T|95*)QP>Ft&O#nzDs9kyKlv2$n_JdlMGc< zzCV!mIQRJW@tew@Z%q97<J!$TQ_DZ-zJ9Q~_FvmGKD&845Bq04dvJTE<)5Z!y!#|R zx5;0~dE9Jx`%m4oS!Yw$J^cIir)9mZ{k;3<^pEi$dw<mb`Sj1(|6=|W)almg)M?jg z)oIpg)T!60)v1OBC~{3v*R;qyl+rm##p7F_gr-wU$AoMF1^b#8Ur#)4-0tk&XKgKG z{wn9nf{(^=X){jGn&sC#N%HWvJ35)u>>~RlkGCX8&CK^Pe`a*lNqW-T2-9_<S35GD zO%$ivaqHD<r@AgWWn(5H+{StEh5jvV3*K`<+gLL;H?CB4TWM5$_CU^6)62`$zPax9 z$66#CX(>%IR1snM^7!$WuU9U-nUTKi@T6tVdpC!iJ8<R7J_&u(t^RF+!TVoqxU-SZ zF#U$et_6in2B#+ouXvKOS<F(Jk-^b{X|fE{afM`o;DC!fZ$%o|%qLCAc%tIqqr$~| zTA68~&Cx?1%?u1|5(^j<PiQ!~US*WJW@7t`AucsA{*aI7wuFnp8#%h)T@5=k^OfSW zQZw&#=d&UY`~<F6dp!zs>vvqWb>o5qrv!d~(P<N%6MWKof*SKX`@PH`+T-7e&HO6j zy0F6VNa))VKhez6%9{Dy@`~5Rg`944*4*87e8O*DO_o==|LnTuob>FM2qx^m`8%S5 zXXpP30-Ic4yw<aCiP<mVrS$w6W6h#Z4;fFYtW;JPFRW-TkxS3$7j2H)q7$vnyJCrG zsoj28KEAnbj#Jp{co}am-M!HBN{_*rTZ@f9Eh}P?e6lIHDIx08gvA9X7UfS8*)zR+ zuc0X01g75mHnTE!?y@~{J@TO2^ocjaZ_C?j%n(sZ&R_M<?bK$zj(_i6ca<r6edZUP zCVAhR=hEsqvV|L*KOXt8JCH>vIW<kaQ-wuKamy9M$cu_!|5=(goOoKQkn(Bm2d}9A z6V&e{K6+>3$93(s{kJbioDY7R{>raME9<}{L7tVDYRby2%O4hfa=X*KX}hGVo~>)( z#MirLbA*Hjgq3*jJ-=+8gB$;Oe?fjjy?DdRnx-nzUzY4SuJXh1i)DS+4hbeJ&S}=& z-o4K2&xUXMD$<#_F;DaA%QL~+Jmq&XlzAq<&%UwWl3_#G>im-dcI@1z;-<VQuIrJF zDWAA|G5>l0qpnRYJN8RkxSG{$71efE+$R<DL|1WLsTEV)0mY*?)9ZgapZLqHs8RGf zkn4s{?7QY%vG-acOSkIpXUn==*?mFyG0$Bojti+Krc)PQ_F;SV?d7kEQ)1i;H8pZ_ zC*QvCsk2LQ-O~Ggm&D#!s0%Ne__WP%<Cd1T13TjV{1Q}Mre{pNS?%w*%T4BHqyMJG zQOnq@H*Pq5==pc?>%2}~dT*w^Fc9>5qNXWyQJh`ZdCd}U|CC*u$`Y2Ume??Abi90! zRQ}WO-QSzp7ZrMBHEk4EedeA%f7kOL2hC$_JvHM?(t7L8NQyQ(?)mo4u}5m#s|3C4 zr;d7mW?1Ar@9|wh*|c>vww6qP{^y<!zihE+>$L^8=XV75{b6)?{Qlt)@hq3XdlMuK zBA>n5YLGVV*x`aB+f%=7^*v@(p37IJo2<!pbiU?w*)umK^5dJX`8<7?l((Ul{p8}C zOVS^%(A-t!9~V|EUzDNsS8Qe7UD340*Vm5)ytw%BK<PJ4tHpUWj{ldJaIl?lJ^r$M zmAFHT=adW85x?&0?_02eqgwsUHb3s%(o+TvtAu@@iN3!xaZ=~P^UF3ZD1Ue3z&>lm z4;~K6!hdbov@ZQ1p?G13O@2zrewRO6gA~q}d}N)o_F>!PyIZ&(=N_8GqH$rzf4+(F zg-jPEzOLe(vO>_AE5~#F{kv-#uZOyZKMvB;Tr{Wp`;Di+-iH<J2~Dz!>Ccz>c5Ttt z$u{1{;&qFS|7|;;s;9blc2r5<>qlFXc&@CdytDRvz~o$sde5!rOlSR_Y*Khvy_ogH zMW)sT_Rgy=?@W6kAKAG7bDMsP%A?DX&NpL%7EJAvVA^tN?h=k(W`z}JcZpwC-d0xJ z>Qu?#^t`)s=F4Z2EW3WQL@WL~{ASK!rG}gHc1U<Vj&@$xdt*VO=z*C<J<IldWHL$Q zu=t-}#V?V(_UH$Z_GZqO53JS!<qX-o|8x9)qLL$Y^cRm;d|t1DGV>Icm&-Tqv3H9* zx#sMrwsUV{UaNjN{ipcEww=y@W&Zv-e7xOrY2Lnn&lS8^G1-3Vu6=g%IY(Qx+_{Sp zv3oyx%>BC4{gd;J7Qt&S%B#GrvJIwfY~x*XT%+77?fZL<rviOzPo^t*Zx1*ZKTYyd zU+9~}u9t5To0?ZMM^Bizk<G5XLSyl{HFI0o_D_&f)Nzx0KB1qnzPGmjzt%DSC62ra z9m3Vec80sRuj`4t>lG_^=lHf&?Sc0#SP%Pr3f;5U)yur6ca~MesVmj9O!XF@H=EeQ zwEXIZ=SG<;KWB5LO)E0LIxpKd*;ZzktN!^_Pa|^#E!u8;^?0*H{_UlXXx8~g+@`hX zl30wF?M{@~JLUJHGqu6b;xvl;FSzDS{u8$ERN5T%BTb%Dm+>avPLh1yoUFL^$xpQz z%WgfZJk-`5aLKFIbNcc6_}TM*3EZ74xN~>mo?Y%WrR*Qy^?kf5d2zS&@zupHTptU3 zf6SQF`A17gL+gV19QMA$A+C$BS3ePYy31rf`ynUKsmps4W@XL%%*-FV(PjFVNnv3b zN>TN4@_)0QbS>SrX8PAnVOghk#Z2F_G;EP~Nn~`E>fR;OOIC(C`FV@kYjrO6+7!0& z%;lO@D#g3YlWR(ky?Dj*@t)+O<D!oacD?9cwuPzfv*fz_hnzmV-!Gpu)9$OnzFo>y zrOhwO`hMKv{diY$(QV5k+2%*`u6MkP5Vl+9*&lxKsqK!=P4g@?I-8p;G&m&<a-yoj z_*b{|XC6BKWU{{e{zktwI&~px?5u?$liHOEHF&t#IN8{F*;v~TX7%N4b&tC>`Tnyx z<<(NI_kY*E|0cKd&Q*~l!-c1}e-dr!yc+)8Aj2ZWEh_V(&LYpr52OX#{22|Zs=`g) z%{DpU<0K)wQ+ty9e<|hkt>Qc-``>W|L^lgK{&ZUvSJt{<rQ(a(UzW$E^>=LZx3E2O zbLF-Q|26#%Z}WIdlU5f*1m65~DC}xqcrb_5H}`}Nopf#9m%3*jJ+7L@Hc3x7XZoJ_ zxR-DD8l3x6WhA{})&7GwwsGIK_4X-COJ4bZ;=}BSZ#ByzkNTXCsB^o0%gpy($kr>7 z>mL3)@vUU{wkl)uZxauSCVV{qHCJHHp54s5POorK6ukCyo}x|o%u848!>_v9u-LP% zKC!tqhvj^`|6jq?Gd_nabeA1BTJEv??)uvDwKB7!-DWnsPx+a2q*%z0<=*+(HU`y8 zroK6^J$q%^YOZT1-X))$<{LRJr>a@V!^d`oZ^owF%4RVZC&u8qw?@X*_d^B#-If0H z-2dtUM{}8JKY0$hD_QBvKYJy{wBfq;+l4#-8-8GAe*0|V)IR^{&MD$&Shw~*5&W`t zj=tXPeM%xdv6lk>%!+%|c~qD6%N?E5+dqAp{dqF`t?%={Fkk;Ab7s}j58E;if7+zz z_dlp-W8xp4s|v;ctCl1cYqN*=%U;iGIg{u2sZQ;b(0-leIR|@6na-Ynak+2F(_Hts zWwVw)tytJ%{`{xl-scm)>`pJ5b0N|9-bTAwvc+?HW_c!ZDXh|3A-Z5)!L(VfYpaCQ zSr%?x#e41DmH(H1yw_r>JKpDYruTZ+iO9}V<$upCZ9R8c_r;IaFYLCjOnXb0{rZ}# z_Pmm{D1B+|{j|>M&nNneKR5jJV*Zw^;f=~HS5NJz7gE!7wG0#48xbucI$^bk;c-Lu zp0$B8Iy0?Z>SnyUZDjn|dVXR+y?3^|qqCN0d^Sh+jvH@PZrHn=*i=3HN9d{DYD^iE z8Nz&j*Z*A=CMDKd?Em~^Y`k`^y)#D-Z+e2o52n%&nokevYaY7vTKSFXL4hN)m7IF^ zF8!?ES#VnQoZIXV)pifRi?!BY5c%gVYR6VH%}%DfAX)d^&X^xEE2E+|Xk6sFIPF<U zkHG@5T<e98bE-F=;#jBG#<JaelFpGH^X|PrjMnIW%(&<!y5-b<HQr~J5^kP2wR&OQ z)oj`QOB{HPE6Yv)c3wYN<H39P6$={X{AT%RZ4y{6)@^5EqbGbxZr$AKE%{H+?tFh% zB=XUf=T`ALdlrZvxP53s^XDC(9=r)VQuseh#<OA5`d6F6{%l+N=5BP}oL|%K+ZP;T zfBv!P{<|A-z8&iWcl@zBoV4%#x`5-4#aHo95U4xzNn?GvtA=6T-RYWVb4}K?%dDTi zZGk-N)K=3^h6f`oWcc{nn-*TkkdWwOJ$C3rfq{+4f_eMGx+m!zKVJNKPKDl_*AEo= zulYMWs)}ZHFJn8~)g-b~chT+1-4pt?nd3tgY9{@ky6O?Xs>8ZwOQUW3EtcLne&Ch4 zY(-wg?cL3{gjQM3R@H5_YM=FX->hGX8y>2xteNo9?A(Lq1;Wo)++QrosrPU79SQRq zzWYC{YyN%AFjfuUX49oun=*4xbBz4j7Z-O3KPj|&bp8R;ioeMWU(*r`9$eU05&5e7 zRh$NYj%i_z@XV&`Q@0;~HD^+XK<(;uHOv0{NuCdTe&(95nDl+8R*1;-@Auu#o>?k4 zXWEw|i}$?CaCB*i*>0G%>6=W+|1}5ZORifPbzprxgW#82*FxD@4!pABW?t4ezki7d zHy7)(=izCrr_#;}N&Ze~Shy>&gU71#!4dbEw^H9&T-wvNiQV^4Z79=ED?TkAwLN#4 zi$cr2+dkKhy!@OpPj*g@93w+UsBW|?1Lu>wiL>eyKVP}HBrG^KiDl2V($y0g-XGi& ze!KDRjkA{`{g>$|{IJxsVLyMe@TrAGot^h2-kCFx^rX0_sii91oEWK9^snjtZQ}`( zzVAG`bS|s265G8gy&I|)e5gLQa7Xh5m!HQXXBTeOzxt<t&id#pM=!rN<H<|ai|SU~ zvmuRfOGijVN}+fl+l#(nhr7L;Ew6a>rGK%-9AfaB>1t*%Ib_o9X&=7D$vO&gmFAW; zl!Z^fVsm_Ff}fC?&boJ8lkU0M<<!YrCoyX+j6HnkwiU<x*=JRZ9x|n=JbGeuE#Kp7 zLZkMn2ON`~eK^nLUtG1RwkCYP?g`!ltKPr$VQrnXsC4ay{4HB||B!Ilx=6J!^47^v z2ff2VCL20e&6+*6;a%Hgi=6s`;I|BSIriT5X?bI0a@2xfU1`&4-z8!mMZvCRn#x=M zSIyK`+P%l)lr0l~w%N<$A}S1)^^87&O7le(LIvMw1RVW-#o}d)@`I|iM^_aTbmf`k zUU&G_^|)W^>%kg-W%~+K{%zkDWa_hRWAy$N=4lqAQTZaww#M6yQT)}0zeh7;7ad_M z_<Q$B2S;vZ+nEjF&nC`FQ`FMGF*hS{)%D+t5;)5ZG%pJ7^U`TGPpDpJS>&%ezfADZ znLp=MXRb~W_$u_obbZY{#h6f6u^oFW@0j0WU-nn<O(JtA=edm)_WzE_RR6Tgx8q%; zz5K;1{Z;xAb2B})<{!Lw?#{H{6F(QcQt=L~EdLO?<L9#z_8q75tGNxX`YWWJ&t3l8 z#Ieq*FLU;>-%Xu)Tn?5qRIc;x;Ws<||C7KmrmuMkwj0>AZt`7f<vdzsKI>n7>xKJ= z&ZWwfzW>T`=#0Vw1$NKS>dDR@e=;w4(b35Cao^&K%^j{+GA~^5b-VEAi`ey~vTkjk z0O#PvmTng$pY2+Ay;iLFS)9Q!Bjv_|m`$NKpBui3Jskd7*o|++hF+HGH*|j#9%DLZ zl)-K+SXj*4RXInZaPg`?FO6%8B_>FnYjHh%;pYp{t!<C(+ck|(MJ6%w=Fj6dX#DIK zymQ~riu5>vcIPau-!?s4LvQ5PA31Z7D^2i&&~8@wb%9QW+tYO&HFH?Qc!cd2<RANV zxF(=;wsxALS@uoG{~DnkhE^$`#2?7C$W-i8beO0%&#-6H-m8^Yc4z%hC^|Ald~<k3 z*QUGYI}h~h&3wzT<HWHh|8%hhH{J$&Z(!Nh)Om1Ugki@5EzYT>o>y0B+xuG|O5OBN zAhV@=&;BRf?I%k$7g>I8dieUW`L2wEOP@T63Kfg}zS;Da;L$B2;^Nn~L~d&Io+BK5 zSk7vZ!DM;yX3JxCb`$gpyVJ^cTu<(iijS({*t_#$b@GY8-sA<wizGABu4Hf8u%dSM zNu5u2cJ>mF`8lS4^S-G0*}y!ht69?9!u4j*F3vLne@o^v2wO>LdmozmSx2(+>d~o3 z3vv$Hrpz|jGXMKDhVm^_Dl%1>j&8fm{Bz&Cqeba!Di+-L`{H0P>~rV#wTjSXX4NI` z4v(8o6|cK^_wlc!|8KNvu4aAz>811jlF6q>4|ZJnzkTOnTUn|5i@dVsUru0p`|hr} z$hJ!=9tq2C^tOBmo7J4rI6rbumcUF4{emkzKicYUTfUgNTcYUSrgaP(_B?Pg(^Ji{ zOxaZ&EjHQpYkW}uh1YwzP5#v{k}<D7n^&E%PvpoF39l;4q&X!ISJ_7P_-hzvY!?4< zcW&s>ede!?nyjY^ADytdw_)|2uphg8I8UF|a=oo<5fs<fwp~Of;5WD4TA8@n%RfH* zf8f!Yc)#F@-YGv;Ox;qg{meecRW0tz%EeQ3<kzhCIlJW4gzpbdbABp08J5~VePbEt z?q2^JmJtudxbAJ*{NVP?R1LG+Yh2?GiW+zJH)~I<)sA)k_Kc5R@c4pLCubMN)Xe_! zX?}6?vh@*}oPBQ}&NDm_F!P@I<=+#Z<?^lJPkQ3`bwU|mnEJt@1l4Gcf}>}h<kNLF zY;cX<v7BM;@x7<-lrInKYJ0f2Zl}tVv=0(`rPCDpPOBf>`O|UL%og5=Q%OM&zs}TS zjI7c9c#Z!~_@5i=d~d5Tzi0fl?);>s4|uN~ToV2I^Gcp0`Gr*l-?t@wox9ZhXt?=Q zf2novN>26FmmQfAlD%9iP{Hjw|1&{NiRWi)tt<1@7k{zV$w}Y+DRRNr%ICAbM(&?} z$sodhzs}i5hb&5RuJymV;t+XU>F#QcsP>xhq7?>fuR1WZmo9Vve1C1P-QJ?-hfX~B zEqQgxzqJ0%e6Q|A|5^QIwb_&R|Bq(ej+i#ZoR{T)T*1{o)2}KAS8lly{l1TB!usR4 zBbMYBO8>rdf1z1T%<ozKzaG2vhZ^m@l6@xR7fYP|**)tbTW`Fu*z+P%!hKHT*$ReW zcEK4ho)qkxRdGQ0iM_-}!DT`>6>bz-{qM3kC0isburc$cN%r2woTneRef`C$^{18J za!OI<ci+5qS2^5PZ9M<=OK#K0)0flkd@?b)#JJK(Yn}L}RUbH=8Ge@SxwK2nUUKe> zF9o}w9GuPY?(w>xvT<(57T-AcgK?&9j-IzS|H7X6ce>`<u`4P(Qi)kJF}UsDO%~O; zB5S%|E&aVis`lpbP_L~gcx^Lg8=jf}^A1C0#G35KA50HeANBrpFMjv#PgeyqzJ>3v zxGMVPcV^6i-BsWI&T=ggeIU>LqC08UjRg~{fA(GyZ7SXSH=yc#;mk|%Qm1}~e+Vh6 zPFenPdb<O^66>>tlFqXNPks~pUHWmtG^QtU0pb&u@s;bpef%lrwdAws3STY1@0Q$| z{&SA~JByR1voj{%xGZTl{esdXV<X|s0uLtbZH+&tleqI{`s>JmMeo&mqT`|->-aC; z_)CZDZA0~aW<%zW><)kbWwV^v^6HoQk4V8ckJtQ_i}Sl<a@yoOQ_>?v%>u8}(WMvm zEpd0240N7%CQHdY>2pY#tlqYz<#+i`p0(S0u1V;Z`NJQoP9pw6&3^yQ-=#;s`CjF` zU)DUpo0&y~fq{X8A>DaRl!cg~R-Gp!LxLwG0}lfyLt<%4W`00XezIOgUT6p_1M{IN ziWv<24j=YM<Wz(iTDUqXC@2_#)*^u};xSK5GLT=W)BH8b)!*aj?N3>8ufN34?|WR# z+IGd5lT~EG`biRNB2O_lZs9wg(`&e3^^56lw{sWsEVVy6Wld}ADV{0XyFM%wjLng( z;#rdJIrqWe|9O_?vm(FU^AC6)e|%e|=C%v><TG4DT%DaHn=PVTPv#w&H`(g;xohvG zvqU_$OTPZ~#7x1$)|utAttx9tOU2A!mR8y2%R-N6ZM<;n+x4lzMf>t6rR=DXw4Smp z^lZk;>V>*1V#GNdCVo3<bN5{0@~N@;$CSGQpWA91$LHOykTvCZzbQ0h$K<`bb_-;i zm+f5FS+9TCH9+mEbK^CCbCU&<aZ0;%?muuo+qkUnX-M(W47W{R7ENT03ZJ;qZC39F z(eu(9rOqcjIGJjD!}Q2jeO}2E?s*G&ITKzdDTY1?{?Sq1@+ES^?421UN21nDdq3Cx zOp~d~4k`67&mP7ze`@mbdzhwEzx+z!suamRuXFXcPuSg1=H>9y`?$@t>ANMZX3vc| zysN*n?S<}fruS{JJrkLgyK3AlYY$vjEm5d$u1Yu&GxL&K&IR+v+1$(W?KXUSInm+U z-VaA6M@V(t_-+zqw~EnDe_MIQGY&ozo&T?@c=_-6=WN+!zvf?64<loMtX0ouho|Zx zvo&JHC*NH;yFPWU?d)>p=S|_(MY;F0W&Yj>RJGWBi0zQ=um1EX)>yMxAN#3H<tJIn zyK0)NFJ|sdE;X_HtfjwMrGrt%?6iJ%)Q#)Q`6qAM@3JB_!^L7<^od*952brjClnq1 zdUJWCZ1@rNyC*tVge=V2@&0!2tbG1&J7hYAxxZO+-DX{0Rd?3Zv~QwDb#36L$xGIp z`Z(?D74zQ(VqI5SndQB-q8nq~Gk)!l*k0iCMCJa`cc)hP^RBM2;+42owj{^c^-<Ng z{p-#53NO`3i8p;Q;hW;_v!MZ@Y7wF{g^T0s<o5i%_t$rZPj36nnHCZ^1pcrT)-^r8 zuIk;&E3os)O74^1B`+=h?v>sgUTk&A`rK~c_$jx%M0MVMoYi-Q>(vse<;FHj{4ahV zJH79Dr%8*$&rpHFTeVB~{p$5+in<&7pzFe<#S05x?q}8i|HGC4_KaBl(hmi{C*AV< z8F(dHhi99&S7BHXTicqL^O9nFZ%I~mzUnoMdcHJ7f$`77<MGzBvo1HR6!<5VB$s&k zLlH}Z_xHCsH;rAd_ik8fzkBD}ymeb7kE*|8y!uw==-uT#Yh7Bq|2`@7KXB~$x!%HJ zhc^@C97}X}|L^K`T)rUZ=*PoGRm^>{TVwq%?YOtGZ=1zisl^4ZOu6TDm#yvkW2W5Z z5axX?TIFe6Q^Fp>TTa_IPRU*%lHu#l_wiA0;_L?v)%jI@i*DuK{CQPQZ@HsJ$f0e^ zxj(6~zs=sCEc~Kq!X#y$-6>(&)0>k8ez?2T%GLB-&ABb95MsIDNt@N09I3FmmuH-} zJGGikmF=|D*NeXs1OnAE=Q~F2Sn@n;#bvJ5c~$2aP3}ld-28UQVu^y7U9$D8&wgt1 z{9IvtinS!wo~60pJ+`Op6Z6f04AV8suj}c_Yf0aV;7tj%i+X&z_P7b_9o9(W^@Y~8 z6$cJp-L$i&tYFs{b20uf8Bg!a9kXVeHC%F&xwp4{)0Oj+p2a0rxnJLu&=|zf-?usF z^Ur_t9#=$kxrg5Q*mvaa(TU9gf-lWHR#uv_uba1dvuxrqxtUM3<aM_ceA4~t`+%uH z@6b=7|L6Re14T{mmRU1a^#<>_tI~Kz_<q4g{U;{(qD~t3Pu4JUnQSC9FH&gXr1wte zzc(>9Uf`a5Ek`f9b^A@92^{-hS{<JHVNrRBU03h3MT!wuRVD@JerB9v^FiUow_m^J zo&W#k#iufdmkTb*d_Qa}8s8;)z{X2;2iFq@d)F1YavUF&zU|$y!CdXB_k?^GpYlCA zpLm`;uc%tTOg^DyV(jUXa`Q;PC#HK>R?W~_8WQxiP-D}#&whdHrtvV<9^}5YRjZ`t zQ|rAaRl2f^&qlp>U_W!TO#Nla3-!R)ef5<KRQd`WR;Jw-yBt-&K+Vf1>G2Y=9n;Lj zZG-Lh1y87}(>OixOnAuMkH3x87TT`-sxGkCRJZwChnUg&4{y&2U#MoY7oPRu^;|DY z=Z<XU!kB2W^}_2`GreBF+g&Q4mU&|2F^&HPO9Kua`+Mel>&x`y(2xtNI=Rm!=L*Yi z{k8a4xJH#-(^46){kbN7^F<H&t;`JN`<Sxt?x#)0hnbG*@!pzoc$vtS9Wzt~{vWTt z8+UhS?VnW>9>>L-_jCH{mtGF-{u-}v^qjcZ^z+tl^_M#;Ca#Vsb9;2F!}reFjXF09 zRGY4}e)yTfm*Zcpw=I@6Qq^KlS6PvlGXJFTeR+?$SdBbnv?3NhYUno#mOrsM{;{Wf z`SQG-j+G1dubAx_E2)(#b=jMhD{$4x>5hw5XSqGf`l2nccUk}Cu1|RuI|SzIG_svI zu-&AK<H3n@U-quQo41lVwsn5<w4FL<i;aDf8Xp@je&8aWy4v&4Y9ZrSQ>6~KKl&Q| zRg69ArhgKf%^jBfzg%29)p@l)Fm-=D#NC@Sanoegb-wRs_;>ZzUTE~Y<o$MWMMK>J z_pYEn8+D`XL-+4L-_bHn=fu$xVW&Muu10i}>|EIR{QkCE7V%k9-ghTS6rMECuHNy) zY`Tt$-2E4UqPyF}V|@PFdUT%Nyw_i%!)w0UT+S6sI6ruVS7yf9O_DoP;ve{QT4{7x zuu*x9yNd6j){7I>4t5n7>DT<$KD{jOZ|0Ytv&tUVcF!-oo?&G!#qcD3(@&>BzU3;r zD^?y>F?+~XF<m-1jl)|c+hx96tZ1&lZNH9!W%81{)F<<OovfjMUhUV5E6U$4R7JIC z`mr4hUBvUDJwds)uXfJv$G?u%XU{pR>HBcC<Fd!HX3zIa2ptXy@QUHQI_25ckN;L% zSzqH;nzZqc*^{H2H|*12I7=!hLT~X5cm5}R6BKvfb&9|AAUcP$`TFk2#K7{jz^d4g zx9Rz2#+ip#<O>{Poa7hFJGFwF-!eH%Y`5F5Sg-E^YjkV(IJbL*2{9jk_q)f+QOAD9 zFX{3mMQes1uNF2k^E^(H?S1z>SNF5-(xY~F%UEiy=7<<vlD@yQf2!p_c?*FxH$DhU z1-yQ<{m>rYU;nFh%wxaYSlP7DWA{D7O{#X)GO5kFU(clIUA#N*_puX`SR<A&tv9~& zB+vQ$Z4qVXv;UHgpUR7xwx#5)|Blq_X<C6y`()?q9q*d`M6!EN*U8OytyO=mJ#g=Y z(X{=N$&p)cPt{p>>G<#04;<$l^_=}CUioFl*Qm-kaoNfKmEA|zuGmz#fA&K5nV-Y% z&GLSn5cT?dMDpYKdXcrx%8xdlW<2?(vM$r*)y{bH=k7XJ)ecy8cMDYPl;N9sl)1vV zrD)ls#>VcRJ9eyhzsqPAXS__kSGOSdtl3F(d(Hhkv-a-!xKB6ZPssO%C%I1wcfT{c zcivomy=8^)FUF~Mk;aSk+SJs<s||ZjoSh#eH8<aO#WG#Vb8FQU3}&D4@i2Wa>)|UK zbadIu{cXY?4|g2@{P>mb_lj3O-r1|NUuex*byZ>Ac7`L5gY;TVIDRkQxMdmR)Tx`+ zN6j=8E?uRV>=*5{C53;r^Nf4@bDDmAE{xf}i~HR&_BA?9&C`Wr?7TO=k^8-FK7Ub} z*~KiqCDrQ`FX@ZDky#XYXxAUE9g^a^Re5?vV&fhi;<01!4B&cxZfPK|#ceT7kNU>R z%FQi#t?72I(K)lyZ}6`bxpCvfmET)5kCv@`;kJWi>$}$m!6lRR|6E=e;Jrd7tC8b& zXHUo8hKov)|G%iYem`X_vp&LoPvkx?uJqLCJtq9c|2e`JC3psXzswmb`}g-tzdw4F zS6`K_V4J(bTsC=Y?gZseEl=h%rEF2Ndom$o>fMgd!gsxNcFQxedTi*J`r_nl<-P9; z+;&%5?yaqlD6)M~_J-je+nXJ?noM~=t^PKDc8bN!%1IlTPR%z|QcB5RBXGfWanSOV zCnxrbggSdI+hf)B>(%YJiyzkNPP3NR?#Nh^d^2N<=#vZcwt03>F^gj=+vB!jzRq>_ zC9Pildf#lTy6df5@_)*`F&6)@J~Lx^UFE9-d=qlT|Ic`Saqrd*uPq}tJPOtObUv`; zV$VNGzR<n%vRU)g9`N5Nu(;g7v30o^cLG=7k!^FgFrDd1&$>AARq+%_hlD9x%G8n~ zCccx>YjBL(AT#0lf{96Du1STOzZUYzot&@u?r(G|&)IudnoiEXvHL`N1+T5|^Ox5X z45uIe{jso)jq|V6M!6?XoP6cjCunvXCB8QLHtC#E&fMH3>#Ai1kKSTE>Qkhe|Dg3p zcsECi`_{S)m)Z~BpAt6k-ref3Htdg0=Et2A4y}-y`+C_GwwnhJm6*+*`a&>oowCgW zJ%Ow#MqN^>OdA%gnY`;~N9gOjdb`<HYAQypT^h64Z}9}9CHIq#I7%3-va|AQe)@YS zN2EcseyxdcOm5(uBRjUq|43n(shPOhdDb4zR40Au&$jQ_PM?UJYb?y)`TDz-m0yhY zmI^JCZ<jljUvth{>=gWLjnv)=LP85NJeqWm&z8;I-Y@^0cRy#=-LJ=wmcA8P{ZF%Y z`*-iiphC7=PYZ9zYKV(F$i%Ht|Cp6`qJeLkiTI}NKOI|cB*skKs()R~iXrvwZTb95 z!iO?z+Z=x$zd3RHxzMyL*4k>%dpzI8?8^FeDb8fiw!3>1&AAsY>N&P-kDAJp6a7~% z_Gru69GT-P79Cw+a>bl6Vg}d6nWBOMb;9BIRaf7BTOzcyQ^NR1&&NgelRav3*~RkH z=joWX+}b@UB9=j<!muN}Rs8W(ezW->y<a)Jz2~uWX-B++knLd&`#B3P-+cJ=|AJZO zwY%&2-M#+kC$hgPYu>ym?|o+Kp^lJ-(~0Jfs)N5Q+-SJmV1moFV~WSlC@x)7|Fe7X z;a-l56AUJDf3&!opjv+P&AhjB<a84Hk3N{Y{LF2$8L!W6lYJ0cb@s}<TLMwlX1bk9 zZ1<Nudm#GiR>%DgwMARaMOUh->~VEkdzvTkd|Ay0_i)D<0y<auZtnO!alhcAYfq0o zP=7tyDdt|R@tKIE)}N_Phrh}zrT=2}`qpf;xkplPbCrsmX+cJuG?!ZJ9rjYbcEPph zH`wYdpZ22hTh9~I^A5Y`-xB`$cK({k_Fko1E0zfeHO|nM`I$3eja^e&i3sD5U7AwI z9thM%u9mX;!@2B>JJX#_7dVrO{~d0c=5Q@OSDI_%y30!y*du(3tuHz@-n?;D;rjB= zZe@4l8y(dr*=1hJ{9m^E9DnaGc2}{Iqbsi-6<l7jtCU%5p2E{tncFmF-<ZC1jmo~S zzUk%Jy_P5Aimol4V`IU@)m-7W(#+nube7<^ZkxT+<=-6B*rE08@3xoLHrYL!WHOc% zHgAykY<!`%Aa+x4mFVP|tHev)8Q=finDaj9#gb{yJCA%Z`BNgEdDGbOZfMGmo(YLz zS6bVGjSBg#x9|kr$?a5PJhuEI!_Awr#b<W$sXl2^VPSqHy*%Px^1(VqX7%~1xjq|s z8J4iWZ+_N)BWhd9o^t2Slf~mYltbI^&fJo5MQQTvH-+=EZcdTi9BlsgWbk~m`8xli z_o@cHs=LeI^4>E2&DQSO4~{ifMLdrG9UsDXb*&bU$CHq_k2*7MEe;Bsw0AX^;cHu4 z(adwP^~+lPzBDmET+O+yOQuVr>6G$~2`el^cL>-1SGnGKa2}KGk)3Tnm?rs|3e?nH zEM<D|yy0fW(pzO=-Qp^ftKAmdw4H77Uw=0D3b_x@IhX(TG2LT%Ts39Es(95cTZ2BX z>*nnc|GHy}cB;kkz*oz*X5|*M?|gJ*hkx{%uew~O%Nkj)+8Rg9RN0ibhABrxb({Xp z!&@IrQ2*7E^6dZj{vTrJt0LQkOO8FyYWn1*Bezf@n$7#2&CjMKGMTfYWW_Us17Eqy z&+^+*6m)94`G>SX)n5OTPgmWXK7YoE(@hK_0kfye9-Jm{H}EvO^SSnCL1}B!{;ytS z`Zcw@>gmO<S9vVET`o;Ky4ml#*~FxFg(l&H@iQ4d#dKYNzE`hyd%=mB1&tqB8_%4- z^zw_E;a{FMp81}8irZy1ejZ;X@PT{V1og_>dZB--+y7`d2{hVjCrxV#n(x|F6yW=L z{#XCDPj!nnnu<+)Y4PFG&f~LRDf60L5bO(z|M;>@`AJJdprg3S{s#vdwU_S|i*?xC zYkXT{%WYqgw!|5ser_++`i@zD|L1RKCAV5;=Z1OeK_|}r)~ab^eewTzUete=|D_k! z&Dk?^`<vWtp6@dL1g6x>hLwkXV~b5)cUeKzM)Yi9rFc{K%<#zX$?L4A>M7h@^Kq&` zFVC$>o8@d4RR*j!y4zNF*?-#eN2>BJPaoWo+vl}oQpA#*&9!fCX*m8dZb@DKTWC{% z;RBZRpIiEpir0AhX{PaIN}T&^{l7s&{?p;FFY9i+Y^+$`_crUh?;}0q7lFK!V|PyC z58QOQKWvdt&8t76M_xK?x^SFXzV$)qY5%z1Q=%KCF8+T0)=&0%#fHUKDz5*L^$kqy zoAh5$(a+HG|D@&Z)mFwwV^%+%8=sYC<L>-=s&(eyCo@~uE|~QC_5LZ%aj!)e%$T*# zOXu0DuHTCuW_z*ieyyuwykgdsMTYqYCoP=nqsw?{!6yfw(6>{Xw!izsJ<)hq7js9G z@Mk_Pv5EE~#~LQCc=`L;!80Ew1;i+*ulygk{g_$(V!7i%4?cQpf1P?vDrwsy@u~hj zQ|@fxJ@3RfRZ-Zk=%kdiLPc|v&Njggro6rOLB@gA#w;tfPZ?R)>}vOSj6W4GR8w{< zwcwfR+crOz%K6(8SC!5DEU0?T$+orn<hO8xpJy}Itp2k*WuMtq$>&>k3O!Qpi&KqK z^RMiFd~|F5>Di0!U%Vb&A8Bt@%&O+UaN(546@mT=Z&d24(o%PxR_n5VlN4;b?CD93 zj@u@Z5k-FrI4f1%Q~k47pNL$<V%t%m$x~kRh;3U`f!5P7#(BP%Th9Kw*IVCQUvyyM zHMMf#oXnz){vH1hrT_feKBN9j=#%+UX1Wj7FMZvyS4Udv;fb=vi}rjHeOKBTJt6Cb zp}zMn&b=>}$YtD%-0J_mo3+rEBjR7EtfQslhv((<jmpK{c((?*oe{lz^{|+Uo=kF3 z_j9{vtDV`t1a1yZc*vFYsATbOB|n9)ud{!xslG3o^>d-!Y>SG<d*ArfuIs+M`|0n- z+yA2Ko0)cP@6--^B=`5$sl0E?^K4cXC7uhIsd|;2>x0(vRcjKmkDQqLyVNsheP4py z!6K=$JF9P~#&j)iYB_yoSMuMl0hj&e-%)?wy(*z&GVAx6Q}P$ZwcngcXqm9->9$+< z%-<TQN?hB#&G2*C-3or|nTZOuH?o47`_HE)GBqcq%y}?9K0RaYhIY$4XCjkkMjTf9 zEx-HUmu)@~-qL&aRsVnI_Ui1+(9X$69+ZE+y01h0jAeXkNhGV*;-ZD2Ws7=d>u*pM zTqhS&zk0FM@8qdvem@MN7C&+bT>nJn=<kYCOIB=~pSt$uoaUNyzbrX^%~xUaKC$m< z$!@+A*X|`NEOb~N`gAwf_qfeQS6E-`o9wvq<$&|^hXJR$R=KLI5_@;=NoREX=ji*D zn_QMl?(IEsYiaY!$jS$|je^(Q{bROB^qf_G5ZAgDbGx*Q^IyKv)sGYKWBhv4?c)0U zYtvVAFumAd`$t8Bo8x2q<E|;0i=Dqpu2EVPWbwS{a*d>We^dRLqtAXX`)1uY`%T#c z$Ne7nL@zIX?DKeIXv)U523GnC?)&YJT-Thf5FR3~v2RHa*XO`b#%E=t1^6Y(;%~e% zz9!u5Y;Z4kPW#gd8G&=pGrZ9_Qr26RmC+l~cv>QsW%uzHo(2<S`4()kXzcj%-_!UX zYjk?n<?OUAwPt0K|EH}!b^qf6+smh;8CSm5IPZNuaf%-QQ_bohvjbQXHm;F7K5-$Z zvg(mp>rOshYbIl=_MV|jH{M4;YU;-BClb~1+0!3hxU)p(ELXpca<+oG?lO-0Eml5O zwmiq`W>p!<+Pz%A>2l(()pNri?GAdJnjYPB>ha7j#oO*O!c#@#H8;Nh{bW&mL+I|$ zA9i>}2`*5U7cY@YDu1}F$>!WwW!qoOTh}Q?&C3qEYa5et=dAtaW9H{$JM;HOzF*6f zRA08K*K*@S%@B`;k&A1d=PqCjFsh6Q6hFL*v&r_@Us0W>tFjK-d7sdjbZ1STyw&?< zMs+Vf`Ec2u{qep>Ih^I|@A*#y%j2%<{k<PCA#S$b>m-%kycf3kKKOhx)lKk9`23K6 zCq4!pTm0_q#<z!leK_f-&wlghnd3^i?@H6QUgBc7%Hj9JQJ9N|N%^6hA(OP*f3G{t zRY$%nrT%CNth(x~c=7Nww?mfF5p}P8XYc&Vd~d@iW$A0x){M`;?04nkb-g#&L*?6( z?jILrJ+;#M`PS`cI&ii&e$UI4XJL%-(hRcUpEm#PYDmZ~*U$cPTO)h*;<J5e>llvx zJSDtc?q2u}?k3?oR!(oy-e~Y`P&^Q~T=X{E*WPv8HSU?E$9_q3PT`sG?4tFdM;knx z_3nDHavR2cVlCt5ojT>sU9;111@}13?E`A0+oUcB96gv&Y_{##XPzY6Gs#Aq1esT- zoNW2vd-?6xRH?r3OQ}CakL^FTpkq_z{o5>7ChJb~B^Tu+89tlH5YrmMc4E!x8B$5L z*Y9T9^NQIuN3?97$#&16ez(AlNi)B`b97pu-PZVKgZ>}hzNg)sXHE1wVxI>raoemb zy1VZ4mivDa&Px~Al}}yQe@!s-LNdc?E1ya8cFoE^I?piU>ZY5DdqkEsOg&n2zWadi zDegxdjVp|k-OA78b_t*Cs;XTmk-SyRDe8Sr*tAK~OD?^idEaTG`h2<S;7N61_m2K6 zF`hZMsruS=-O7h9C-{ENZwh<7FOq+Hooemw??D<KzxOyqUQphuxUxbb?|ja}>ug84 zGqk_<x~*F8@nP1r1$VbpD*IlY)Va~=c=<{J_a!2?r+?23|GL#k^rb{f@U6R5-)mmT zPC1`{=guc5N9nJdo~SIlv1ME6mssW)5!RdcPOZH4<g&`bCbdk*)irGj#S+_27*1I- zJ0Vd0d(P`9%kx*+w36=LymjtH{}K7U+jM+RJ=0T>U*LD+IorWsTkfn;n_xXZGmJ^z zAh>->cL8r4+r!cmXK!x1dgTINa%SI+(~lp2mDtbO@HL5b=h{+J<1_AVZ_+mWU9&~K z@xkx&F^yBIf)i60SZcj}W3=O;ym)a*FSAhgu`o7^mxTpFE;qbccFnul@!-fVSJq?k zC;4~AwZD9FmFwc}Qto-O`OP!seUC2};m9o3NGNm;^gTS)MPvHuS#!gm@gFKXCg$%| zP@gL?=?QmW(JHQ#v${=L(vAEl1rm<!GTfo(@94X8K|lLD->z<!dU?Kx=NHot<at+! zKbaS#y1vNawRYqJ(@V@QK}km=E}gun`@c!<!0i93(%<IImy}>sDS6l8XSX+Qh5nas zey<8dVpKhhqrdZ7XZQAARC&CM+o`;&&tdI~2QBM=ylTx6{FSh3Q4FuD+UhOIm!daa zX_hPf-Xkt!pmtC2_*;=5zaA~B4G{bCPh<Hv@w4Ll<o>Syf2`8{Zg}M3iOS9z5uXm< zm)=<ECOs#{&cK#$`>W`4QuX~Iyz-lhZ{3w~lZ~lk)&DnR-?P{4J1aXqmQSnlF0j7E zDfa8I<)T?9w!T{=VE255Lu7?y39~`Q?W;?UNc%8M&NJtFb&x-Fny|+czE<mxcS4?| z$+I%GMmHuYwjN6=w|}Pk<A}8IEW`B~Rx$!=J1@vSQ}a>3&h`GztuNMkC(|t$w(kxI zTi<tiiqg$lHRb`b@0sQ<TT^^rA@KOIQ;)UtjkYdy{onGnaBY}l@tt``wX=E`Y*5*~ zZTdZh74vrIxr$fVUyjlLKY@px?Vg<1o}3>As&m!D7V*yN*~C=znl+iTZH2Abk=Zu( z{@gd7H7vZMlI}L`yv`TC^67WlPsixLtP@_dK6zf?=j1~z7p6?ro^k*8=l8vHk9@a2 za=IWdv_x*g2H~z_A{&=!*B`Gu@mgV`!A+$c-fzFJq!v5e`SfbyJuc5LCg+Pb->f@S zX!P*J;g!25zO2^Vb!2`;?~<j@&lWVwU2#xRIO}Y7Q|I7@J`as^2l{g^zU{sc{?z-L z$E*mm-NvlScRUa6n0{cst}^S+|5Gly{qr&1a!Y$s;g=PcKAxYsF(j(W&~3|%m0!c6 zRDzuq_Z%!XPFQwkd&bVP2i()jU3O{x-fQjo<M!UOl5-Oc&t>0`kKAy3;+1(GqEGc6 zTEymFibzUaw{2m?j7{5jdNKcto8ta2Co@4NWM_}~x`KJ{gYGx1sW3b)`gL~C0UPxf zJSi_eJGMtAv|FuzRIDd_Ym=bg<dB%vN7mH;Ixw}vK5_abw&G*QUT&PZXIJ&)Q-65a zMH8Fj56-!;Z)L6ES`7^i^`qw|2;@AOUe2iz%J!1y15e^2;Vqs!-6!9OP}BSFymnc6 zO<=yzddZ7S_Chk<%O56~tFb+oySd{s%f4&n=RSV;sxmiu=HvC{JHzZBNUH6#XW4w@ zG1IQqv&DKWqjs7pt?27pUXu1I@o~k!i#<z9g14XjpAok6kIM}ihF3*8y=*5B&hzCl z=D+4Y%k{$QGNZ#XYju~@t20W?c|Ko(zkLe7jRF6?&oln$-*e3H5qg^}!4>^)kyfq~ zmtObb)_swE5f^vGKdBLNIgpce%KJe5>rGV|vkH_hWk%hfzxMpO6Mj*1-StA>?O!)9 zxoQEI{kewh>H4*5Eq5=xU143koRhshIZMqc>h~7A-EIrk-YR1d@IK_<__ZbcwDA1> zS6(w~A5FisL)4Lf=~-n18P*KBWw}pQ1*V;KV}CI5@}-9>wraDT?mlZ4cl+mZ>+k<H zzI>0%v5z!htZ#C7QFAnH^Me>W*YA}-&15}uO)F32ElF<wDY}QJRN#uth4)-hJj?Iy za?H~XIi9>V(y@?t{mq3_eZS4lx*hBNP+M~o!&|2(nR@Z1u1=9l0}P*DOLOzP{O#$T zvrF$SKl)(Ofv9(%9xU*mbjjwZ<fG>3D>t297`*i2o)CUWtXW{rL$~sX6*d+3y<NSH zGIR>%`RodvuR9z4(%zx;$gQH<VMAGwjripBhBM1vy+7UK+LUzhQKu3629LYh4&}9> z(=1(k3gjQ3-TwV%tmwpojIO)6)_lEd_Fd|$T2{3sB=~Tc_>Tkj9QIemeV4BNd-Hng z(Z(1hi3a|-%Wo&ol5W^wxY<Q%;f61L?R8<>ryPxc@4@tAbLzS@k2kY?c6sh9vejgH zGsFCm;#7?<7o>Q2mIvOeeUiB+ZfBGK#FA6KUPUH0J{O-F%<0Qrn8jPK-aKC}Eq?hk z-|}f0R-Q7(9xSVViRmaNEI-Y-Aa=skv%!{*TQ=_&ZaL`mNnRlMSg`5@j)&4a4^=nW zIh|ned&u`FmVM?_-bEKh=gu!!B;?5In0dJKqIyb3^OOi3V`*hi<D}!8XT4bG^^()f zx?!g6h22^k1<Oxu-X8KT*}x%5Dt3a%wfcikKBRSCU41z6$EkgjuIi~jm42(WTf&j? zQT);;S8Qi!o{yPsHuu27!(4_-E-#V|+s$-7d;ZRCMHRC>88ap<{IH$Tj%!`6%HfcA ziHF(d?<rdU`uo1hYj#B+R`hJ;<L=zyw^V1MxQUNNddlUg+`syMY`bf@-jqY!V_MMH zcBgh*`9IkQ?_Zg`xGU>)|2e(2Pu0#iT{L{bB5N@#_sHUwQ#C#9AqsPsbEIW&*%m)D zT>Aa2lahz0tEUO{*QoTB?AUgHgGG6wxZckfH+C2NE!NU%v0**Bpx%6nVa_6heyhHD zk8>y92vZYNd!d}cr8vvs;jE{Hk7iv{4muuMU3T81xy;8-qG`?Yi2U;%T+_a)=;vAe zbEu7o5}R>j+R59KIF0YiDo-qtwmJFfq?f7BiIA83PJf;~x!g(r>{&hUzGv~9r~5wo zR`~SpdxxcSog4xSXNPvZT+O?-x;y-M&9A$LhL6;3d9GC5;J251B3{{Y)Vssp<JS(2 z-XH$#Q!e&ZJi8eXXk;O>c-<?LkDTXsto?J5$tU3Rv=TAz$Ju)N>br04skX_hh<jhj zS5m!iUGb8~Z!M08+Lo`Da=l>VG?lCOSAIEn)}g%`>lZ04bz^N}?d<j0_gHM5$)b1f zHg9dnyI=fpU)b5b7ym3?qatwkquCyh+siiGzi9l{u|MCj+q-<#>e8F{-7K=+tSg^4 z?Xv2TWybt(j$~{Ua9yHaAT8(A7#^apY?d=w#pl#JJ(kpOy)iHUNhGgr%?>`>Dt&cU zN6tQ$&8a8d6&T+gRZW(Du}4MV;SsH_{7+slH_1I>xG;Cyu}-&ztKWD?9+wY4qb6G- z($i<OPOIH_ZR?|A`_;Dpe$K7n&_31?+ifbEJaO}fDK1P$<#&WO6}5P%Tz-7Sll95J zt7bJ`SEa3ICOzt#x;t*wJ>`8*8cHk|U0}CVf8-LB8O0TMe@Yn3rzghC*Pp!Z`EILS zQhn@#CW#l@C#`RZD4i10q*NT}xof^w^ULJP>@PbqjNh<NjX3w+QlIaN+^VTcKi*#K zapO3WU&L`*<;#?#!tF{yFD~6zyl!|%HeBei){~~m+d{5O&I`PHMR@V`tDT`S%Vxiq zTUfu}=J65}G5x-ibsw3HoHWChep?ZcXSSh0Nl>b{mbv7C_vwioGtP5<FPE5g@zvVf z^A<f`cKZ6Pr&FGUsunzMf6-SU<j;LI;plZe$+r7ap8I!3J#lFjUH*+Pp^MpQ<v!bk zi4}LY<p;jB?fxKlN#~ZJ$JffpSvh&r6L|E5{vHlD+$89$_Hoj_tpP8KR=>?W#;I_f z<9np^i4D6elBRxfpOA3xKhK7*ncMPuz4(?EfB6%>(0tL$(n!Wdeop?r@4sG4*fR09 zTu^N1BkoSC%%k>?L^*#vI<5RYFgr;l#P`jfC3#yu{Vi4hao2gh_fF1`_kEx4PYIY; zclLkkjBgvdwxyhTvy$i0hw5s<9}3-BszUo^*|}c^30coJo4Egnm7P_z>x<bB-|sXN zmP)hKzy5f!iG9|GtT1lN)!QQTi_h&l;d<`&n~xX8Up;71iz!=mifigAmzKNy#`peg z-e#h<Er>_;ci-aOljpBEmmslayAW^k@tImT&wP)uF3l?4S$?rV=BtCyWd-I`4z=!A zJ(2CbpZy$XNY(F<c&e4Pb>o4(ug_g&p0VfflB5dvYTX(B2YyAbms?U+x>apw%8jm- z@t@e6p9b8VZ}F;z=kM!dX^%hV{7_NZ?RWk5i>`f}Y`K?S`SszF9DmN8UWShcg)i1? z6+NDFH%{gCnHN(p{ng0%eCa`i>7lvhzdx>gVfWzR52izxb_d<NR4KDZ@^KWq-nGdY z3w=ZT-x`%=dgN~5Y%FkY`a0id?u<9LTP34J7Dk*&+L$ip^=IFXUR%cPo3Gek=h(F6 z?oNHnCp!&yJipK7XOP$X(<|1bPpIN&qKs6G;nehRvcXMy5?rq?i=?_`Rz46vcRcpC z*pDvHUGFRR&Wm{$=k({y>ij!>jslyPIVtQnt+c%+^VGa{e{07Uvn5+}tJe!T&9PuA zNz9cC=U$|FldWbN_nQ?b*66<8{*}|Yce4F<iAf=*eBzf|w}#EI?76v~-+tN*?$2)f zr!VB6+!A-~(sI}D8cZ?P2k*PRIk@9!^}VUGs}#i(zB;{#JER}K;aQdIr^hAx+Y1ue zeokG<@uu4I!KvphSI*1}^fufUbgWO6Y0j#;gLi{|yw`3wcx?W6>#=oKp{*<CiiAH1 zi>WPhX5C(_`}x|hH|iH|<SuL7F6JES?R3KOl){<^+P{4#*`KLQ_X!ab4tU1VyUtKT z<#pBW?{Sy>BI<>1sGVAnxZ|w;=E@uDntM3cpVs~SerchG&^%#jnMsc=Z|3-4+v{YI zyL;7&--p{0HqXDG(0na!!MxR5KkU+wQnz#5I{Du8*{{8qOsqfr_3Mt%tqv>yF1zVl z#3OC2pFiKteytx9bM~p21pf6!b*;`U{WIU{PR>2}%y`CM`-RfFS+5RSZ9Zq(b@uS3 zAM1A~{Y_mH?l^7NJn6ts>yP}-3x6%5)9`Bk!EG`tjpYhwg#;Of%sMvtk!QoS-JV&F z|K1(HH~q_MqqOa_H@i7bc2nFvooA)y-O%N6dy9n=HQ9t$+<mLNt^dZtLpqWQkJume zEIpj~==sVW9P5^SV(`&^z4iOv++EC%Etoc)V4Sl=x@3yaO?jJL3(cn1%qoepy*x{q zH)nd#k*^FlM7p}!i)K8su~yHLc*OT#E7nqYnfkHg4>#XTR@Dh-JaTAJQ_D4u6KA$r z1+0GYu5{Cje^Cni_dhScwNB^A)W8<o?fb6^S*+K$S=l;6YmQs7^{bz)K@7bbd=F$^ zFswFy-cwwhAhf$@Y4I)frFJ#{^X_jwd}f!TMFu<H&2QR^>LkUO{a?TAFt<Ksc;}&D znPeg7B)Nkx3eBJRIn33UVOX(QkXwA;?g?s1j~CTAi^^U%>pl^x(6U2KNnSDN(Rl~M zxni5H9hmLEHtymTJ{P@?H|%$ke^=b>aQgG%OVi$a5>nZB4r!lq=3S>=w<O#0^3%#y zf1~bSoo$`0WUKUwlaH_RZ1$wpD-W?{eBOC-TW9>DGhX}4Ca<hppvIg(arN)Wg{QY~ zUhJ@)*Tu*!;953Y`sRr*-n}gGJkM(Eb@z<Jk+=0dr|pmDZ2!_yUK7d2k+J>8?|&Jy z=1eU~4|O;3Z=bs4;lg*mVlE=ZYg(pe8rQI#=KjTaqpb4e30ps&OKPe=>_ay{(~p_0 zspG@p!!17Z%Dor4r2>-z{pWs{EQt7i{r3v~s$7|4_W~z3&e(hYeOb3X`<9H2e<q7> zes-SuC0FR9>A?~)$}E>!ejViue?Prtw$hTI*Mi68)@(de#s9732Fsg9>8tV`ZO0$E zO!iW?i2SQtHzmQu^!>fL#kwW+VV8CU8s|wG?CkCP=*TR<YN_*h&yNUUUZZ~%d#!{r zE!jR)nqDxhX;^c;|ND=ehnv>Q@Gkb3@8$i-G=0f++avMz>$jYH+$?+auNN!FwE~;q zl-r?uQjP?&ec)xVy_=zM*8PcomW%Z3+(}lxQ@9tgYA_TB95^Ir@cebbyqISjo`%+L zE4ik+X07ehKMVKVFlW5-aJt}W2Df=j?GLT7ez>)9_FRtq+iwl7#jF%P@^6caQU?2t zNR5aqDV=RqlDBUw)=jIus&=`u<Fc>uYwexyZ%&Cfv^Kd}x+t;x{-0~SY8KVYSD*cT zMe6gHQigkmKkARUrq1BLn|MFT%y8|gmXd1O1-=K*DBEjH+Sl{*TDXW&TDzXpW|OBW zB~Sk6?5Oc#{$$Vd=<kf%uSBwaD#B_zt>cx9X8StWZ{;yv*}nE}pq_TI@z1Rj9xT}? zIkPMJ&c2MZH<!$iJjs>xL2hx~p3<ac6HW?k*edbdZC2BCLI1G154yOXzHVV#X>#6q z+8v#urCIa4d0X}_PPe}zUb3u{KU2(g*2eB*lRL8i-Z(!gt@NM5foJ|be3M^na!Eea zbHDZEg_C|4oLM(TuWxC5Zc+2l%BA4wlG^H~H@l|V87=W=i;GfSHobYx$5$#A$1-lO zU$<P`=3aPsgXK*DcBW(XJq*()C$}Bo*wDGW`lL<k*O}U;+CO&gm9iIO`oC_k%5B4( z>Von`AwOcymHJ1#eo!hKFI#z??<CW8k5jBNlB|`k+xu?p%B<R_e>6^ZWx|tl!H2yP z^c7~$F4^O;s`^arkLNo}we?Hc5|j94cPhM(FTXlr^6nK2R6c)}I1s@R&|xRKYLjJJ ziq6$4<x|zyy8bS>qt(4az*FW=%c5!Z|KnF#eSNl%`DNcN+4x(hC&e9n*<W>%d(UR| zhehYBruW<1Yo*;Ro1VoyWyX=8%4<^7H(GYg;Qssi;`yib7q2B7ZxHz_5_{*IWyV8; z)Q_SQ_yXkLSoAg7EDLyl$DV!0)CV43xq|Or+`e}0(beOt7o;z{P?@gTu+h9tVPE$$ zC*=oRDdv;sML*J?^x(<i?rnAM{sx}&B`QCab)1(y^P)QTOLSjWbLP59PF+^_^Cs0S zXIk>K?bAZ<>MBlcYtE?Bxjp*t4m2OyyQJ*6j&8^OwEUP9mg^H-7hMoE;NKnSW~rcI zeX4TuxxmnfYs{Ngug*ETz52D}Mw8vg*m@_g+VSVT+43s?^3;hFr>>Z$eK|q(;PP`m z8yD|aPiQx~8WW}Oo0XIwtbCf|8;5rLm+Ps^Q^LK={!E<l)8H@PMmt?C!}iIur|SBD znerp@-oa_}#jIN=U4L3Jec|HPBW-G@Q$J^4;nRFoeEebd>Xzkh?+%MO9l3J$RCS=m z!o`eUKl$`#`E6FI7Akxts$EvKKJa{HvQLZ9&4RG7`Lpe=_xgG#EkF9{OhVVH2{qFf zPGIfMF!WkmCBYO>ce`)H@v4I{7uGvp=Wnt0-8tD((_iuPQO+IvvnL+*tGaBcHK$ll z<l!2{WBlO(D|&6jHttq>-pYEJ<9Sv)zktofcTc7ZtY5`-q_2QoIK0e1YsDG!yPdm# zY!2FFb&^%${lfXn<gOnxGTm${dMKOk-Q!Q?yiNxWWc+@%LR9*Z)U?Kg>>7UEkR<_g z=Qmv5p+D(PpXd@n=JM8$uMTte$gxkGqtRc`+MYLi-t4w&z3<tV9~3eEV=EDPe4c4B zv)o#zn+{$F3(~i~DEu$AH(+kb$~(miW<}3_dMnwxB~|I)-i|E3J=fUeR8IZcyiV6b zV3&}t0&C}s?pIRgiR-lA{btPnZm{idwxylu-&KFvKkV!J@!*CD$Ez~de=dsRLCa>| z78W}fn#Xdd=jw--Z&qk{Tz~q^?{#I({5AV_>R*#wxj6gY8l_kFTC)_TBm9EiPFs65 z{$$Y;UZt~~g$)ep6?-%d-+l2kbIMzD*>3T&u*8h++Hdb1j!s<Ko6@&5%ehG3xX-0G zn)kw?8CN$Se;*f6v1@PAg{F5A|94GI|5lap@MFrRg_F`cZFhSFG;Fd8Qog*b(P{PR ze^WnnL|F*A{_Shu_4M5BW#I?6dH!;3{<^+Jd3%AD@P%aImtK6b*G0Z|Nq(QTD(Yl` z`6Q<sKHJ5M`de7;9M_oXD_Ehj%u?t<wdk??k5^TFKIzMwk|a0%*~31I8NEA~@GM@} z{r}+eU-h?U%5g54;4$<4f~|#F(=;|;`g6%N;_2z2->Fgareq~OT<9zo+&Vc}Zh`i$ zZMob3^By}HceQo(7GdW&4#rYlf!!7h7fSEWEb+SXJ-m$XaFm+U5+}!F8z$6-f9e%t z$tu{D^z+Hnn@UF0Pnd71?Xuaz!MtKtdyC{vuX~qETKl#dHu13c-|>@N%E^^xY1wM( z{BzQlGtB*~_Y2Q;-Bxt$)CrxanfE%c)UEVaFm3cvSg0^9akg8?c>_+SjMfi}CrgTd z<$uKQ?)Tg{<HPsQ9c@onY(97H=}o0&`_dlteOKM^T*a+8cj2LMqZgC7`m1Fk)yhtF z7dz_KgtgokzQ~)iN4T7U?_gHuwX5$}Oy_(e*v_t`*|PL`>>RFOouV1FeExqYpL-Rf zZuRG=tgJ!mJ$ddedpsU0U6xt4vow2SNlQ$E)EVd0%X#ai3PReJw8wHdJ`LNqGxsa! z`;LnoVeQOI7A~#*tgxU^^GXP-_ul`j8b9Z}h}6klEPc@Nw^*5v!Sd+}&-gj#@vQr` z;Q544`DHtM;$-)6ul&6%;&nz&)Qh){o1ZI9761A^@^P8OG9m4i5<lvDym?njyx^<~ z`FLvT{#oxLP9<B*yX9Z2{+6WOyX2$jXX~o&r+Ob4EhoH5Fphe@)F!PvKDF)K#Pwy? zp)ZSeInQP5WPO{sXyzA>pug-J*p+gREUPj0uo0PNrzh#so$5U0r=8v9iFxT#xgJT4 z+Wb?##vC}|SYo<{edhy%wQuGx={%xw@yDO{_m!&dtbcm!l<)t|TlW9FDZAg8_4u<I z(~l7xr@2Lr*zCTud1vl*(E}W{2Mi8gZPV2_>UL#+>Ytc<wf!f;YC9OWemUR%v1!K( zvs?}_ogyKIa~cQpzW%#j?z3z9r=<-s_p}z3)rx&J^K{A%xhL59g#G7qULS)7kBG^S z79XvOvyHx}#?e2C!zaY@;u=xUYj;Iw+_|OSDRE_bbHNI=U)y!Q>Uzn)`xeLD=u*${ z&V6>s*WU_nljiMw)}R|N5Y7IOJL8XhgZ;)u=M<UFrS9j=UwdKiq+`cBHUDc(YPPz1 z@$Q3X^E$1Axw1cNo!HoB<B{}g<<A^NrCSxfMUTH_yDwL-p18ZT(s=e(^<BBM7OaW4 zko_QTw^*zpx*;z<Z%2_RgZ92zIhN1%-RV#e*w?gR*}<-#6<y0&U%R>=k~rJ=fVsze zm&ctaeea?x+aF8~_t4ai*|ogze4K^JqrJ}c!Q#gS&BHo(@16S4l{N6Y<m%WXQ<g0` zzAn_5?R~UJcgxG~&rW>&{%z{>w=o$me;y^q6vk|O-)=SK)YM+-n@6IaJkgw`n3rw9 z^<H38gWa~3dv$6bXDysBf2@2#?iNndO2!*kEmjyrzP#R_A9-<}`!)Nk1#6b|ICall zu-;@_%!#zmViHrGZ%mVHeWTm#{C<&h5O40vxJw+9r<86nxD(4ha~A7vZU@$Q)lD;t z{y*8y_wX9`w?5|G^-Wg<H6EURFUP&T=D?kA2jt>DXKvf=`Mi4jtGxOf54n0a#@?08 zD||jf-jj3M1F5ACLz`Yp^JgX5oUXXkSrx=%Y~6k3MgCI7M)AEYE|+Ianh^eGzw-W5 z%RFX>)vU0LKlc50m{+7$h+l!&vIQTEBqja{L?1|BwVbc}agr(fn=P?R?@pUN|3!}b zv#Q94oNGK{9+*83$)9ve*UkTg*9#-5>?~J}-^cPA{|c96)jbT{dVSGyeO3YMwU=b{ z47RzvoPIjcnB`Gm+1k5IwOe_Zb;a*CmUsDZuXdky`tWrblW)emUSAVRYS^Fus<}(L z`h?t@IG3vA(`r{A>*keQnzm}o+S9zseVx^>m(IV+>y^0f2j`)q4no_f-87cl_$qHF z@4}kdsyV^)Dq95{ybp!+-QbYa^AT*i(7GV2Ug2rz)=p`~$$@D+j<0{M`^m`DJVF2G zr&&Q&8nHHq&KRX!DY;-f^OpOR@BhBO_k8XcaJG>Dbn+^XZG7A^+Z*Z+`FsB~%|7^E zu}U;>I-7K0uhg3>Ossrz^()Vv`;vKazUZ-a-8>z(3s>4~sSoP3{V6&39kc$&0^z;v zSBv-065Z((qQ9qkU*3tQOHWAf)cHMZe~|v($}!~r@$dUP%>pX-z5i3(Hp9X6?=HhT zC$a=*2!76M?>4yVXjAkfw12LF>6(+uoF-pvUb@%qF?;jk{pCM=-cg?p^zXlMQl7j2 z-xP;xP5IPVEvJ9SC7oS;KQ2B0u;y(|?QF>%f96|EJ@Tht>bS`=<yW^9kE}@3<5#_5 zkk55lNw=mw+dOK*<R{|H*{R(dy|&xBA1ylfafzjl>NeIjI=cDds|@}327C2%ODzn@ zoZNKq6#JEpu4jB3qCMsJKk1IX>JZs?iD~BKHSIxnH+%kzc)j<;{lZI|za)I>j{SA9 z+CB9`+iknQho8JRv$(ZsirYOe%OjpzGHYX9T+Fs}8=BgwcFcXE#t|***31+sEhd#+ z`cI?j=7c?7F2DNj-hG_2cHMW=+dq@JpXR)bd60TlsXwP|e>BT#q0*j3@&B134!Rz8 zz46)h(d48hQzuXA)tvR6Rd*lT75g2bB0CKlo-I7pG*eH1)vVTaw-UY-T#SA{D|J<F z$nUgR?~~pOOr|!e&f45!n5*aU{p+0Sa+7d*Cf|L3DlO9%@un@kR;pS4PDnF8<?NEW zc>Blozur}Kbm$0gDlK5jKfZu%zg~#1(igd}8QLm5{tFd&_rxSOP4>C3pZc<$CsHf( z?e~&-p7py}j?Z~jQDyo4S>*q({ho_%&x$QIdcEhzc9W=6UCA!*cm8~JsLrK{J><gM zZ$2wGoWD{Q6#7`*X3dN;?d!??74vq#SW<2DPyF23cGX%&59hiQtHdI>HoQBj|Mqd4 zB=ggZ+fyVAG$mIrtL$StVj-Jm*v#O5NhmmCleFifKd<-vb<|2Yxb}AJ=4{DQF8j}4 zJHBt3dotl^dXrAzx9o%u{Y(iqPd2OE=4=;M6PQ~ZERl0Oq;qrZ_4OM&k~ssOnQ!TP zvbOkNW6h<AoMW8L`Og$Y6_+c%Tc6nYa*~WplIf#>pHhx>j9&3Sc$e04*>goOKD+ef z_41s59<#6WJ`DWGxBN-w`8@vb-plS}s{C9j5|H{z^}DufIm<qq#tjEg-@9#+Thv+R z@_MGK>%$c-W#{iW@JwC0@6N&NX;xlc%?nknEc3DrEf#96@Y}x8qdxG1;P#!(vEQq7 zPCQQxXR!LhqIFijQ|kF?%d82>HGw)c#vU!!!a2)aBJPJ<ZFIOC`z*zyd*-jk1&jVZ z<9KJNnpm;OX;Or*nl1C?%?CFGr&zt3Ix%q7l=8Ch_eL}R6?KX{^NHNQr*F-a7u9cc zY^~lcYJBmG|CyCH<HGJ$3o~sin2iM0_I_YGDt(Emx?thNxn%|Kb_=CCh~L@aelYGp z_?NR2)3-=CZ}^f~n_FDF{whm`=j1Z(OS7XFU9ri1G*db8aM9W|4X<CGQ90QYFkkog zm05mwmSi~B2IRH6TsyJl{ahCwxeZ)bj9MN%x4v~reCyFqj++0LRG%^Y8mGwe&`mLD z*4&Mmue_so3o6cGi;op<zri77BYI$AWY8&dtK-j(E1q%mbKv*gel~B;oXdh$woN5< zJu;R1lE0q`&epoHyMNK6PG!M-)jpp0GunmHLccY#D0*F=cJJ9P)dtnt*^@WVo=_=x zWrEsrSG&cB8vUDpy!6bJsB8=8TG?u{Hf*Q;4&(jr#1l?N7d?r%dbh1Q?D4wzMT*OR zRvpawm^-oN@-3f-CUXrkzoyN5b29jvCyS@1`EKKwyK0<YWeTPyC-~3(WOv&;x2`%@ z)THD@vEGth>u*UhzxycsH%I<^`u_%@S&ggfrd0@OxGpZ-v{LE3q|f}TEOn<QNvyJy zczN@)-&9vuCso;}RTF9!1Yi68JIiII+T{54%xeR7*hs!uHT~y}Q`1!RKi1Seh+l7L z7QJY~rv>*jTaHLQk^WXQd8*#a8Qi6Qhx*EY+s<D;X;Ny(xtZ6#v-4%zb-SD9IZmCq zdqTfM{q|bvUccf`lRB!KO*FTzmy$i&DtCNG|J<KVer$pd*PJvwA0+p?a>n`n&LU?W z%r{EE`g?2<e|^)*9sj0uFW<%&^*A*2{^fgf*S!)lTC3s88I*3BG9lOG`90S1MU_wa zxRs6be(kqn4Gy|v`<_GN%5?iVlcvs|q*4%eE8zIt6xU^U-`+a@;-pN`v`i-(qwN>J zZsfiDbVgwI!A(<|m7K&c`<`*n^VxcP-BtF0=!r^Q2ROMsH>_IzIbvdF>(YZ$+xOf0 zN!M4DDjO&sxVgaW;gaQ=p&|R<bA0)G_2`jgd8^CW=fdqpZ8R5i3S4dS-uYj`hy78> zW5#n^+CSzV{4et`R`bPyrF*_;^d8MgGSf1MzBG}aC*;$w8NIAU;k)FVop0+WWY=Vy zh)+EuzSek=;DXvvzCB%!eI7ZM#=iDEp}g@-!K$6J8eg0Wig!|CUi(09leNr^y05mW zQI_lU<FodB))c(W7$bfrah)kc$3rzmH3mn|MIO=n-}*}$zmj?&?@{Y{!m4eWvCrjY z_nj8~@8^Dg(ado+*Z!-5Ckrx?CzN;`>6|o|Z_^RKt2HYlnU5Vbt;zd+KX%%p?K@Yq zo6leG^YLBoae+0`tQm7eURW<#U26N_pSD=2_g*mup`%{bZ0!t*N9OeItn~Zi?t1V2 z<>K0(hi$5Ee1E~IE+))yc!HCtEW5O?&w_nZihpEZ_VYM-#YQqM$ELLIf??o{e|vc! z&HIwMcEj@M8Is`zt1?3FU%vgfFQRCs$hA8^D^`D5@H_8AMmpm=-@SJ;)@?3XqNgpX znI>f^F->N1g8<_hJ;6$m|FxEroKJ^`wOw1nwR;(F^$Lq{{g(#&I#|WS-fPaVPQO%m zYl`Zp8jUWe+?x%@EW@7WoVb5_b)V4Nq!OvGf-`Id8&y9w9twB-k#l3wM9B=h;0U`{ zeo`|yZB62iuUF!D!qW8M@Ue~NCDNH`eM_sG=1t6YN%pfT*vrBA`oxcG8H$YQmmc@> z70kWr<)9Mxz1)74q~eul_S-^rZrK(0&vg%1yq;5eP=D@?ryF0C{yeoS{aU-E=B;m$ zB`#O~F^Mny_@aMTYm`Eq*=il%)|dOtS8rSv9@zHt+J*PLHfMUyDvL1)JG|Nd>)Nb> ziO#l<&)-%MIcF`F;;*~-zuyeY2|xRJ{%b9bUeDtj+`e$Pt$y`$htG)<4^`+KdUon& z@A^KQ_d9X|=2nz^uc+F`l{BqME_u7%`Xb@SFSdzY`gvOTga6dvj+UTCt@&%`-YhDR zvUr~ULCLfESnrci5u;82h3`uFR6Z6t*|gksX>Z?+jp@1-<wDJ&uU~xMSoKTT^~2rW zvV}rfdKv7|eO3)8#Hx+Ic{^7eV$+>c^Xsg=;J-!rTi<ZB@ZPHwzg7Fuc=q3wVrTju z_8JRZS8<;_d9H@<9et?-Pg+~TuT}2MNyyYQ`(tfB+j6JM#Y%2AGvzsRbAJ78KI>y} zO=qe79{yADzGa`+dUCo)Ow0MM=@Aol;(;LJyLTEwb98R}*?EMwo#WmHmZH>Y(lMGf z@kKXF<(D+fIbgdZH_&UzhY+tXJ36)FE;tKJtu&}%;`<#kHMpgr?{h{*{Na;gIbQ-k zP5!gu!R>t)EdF24Ve9(%JoL<xn>UX7ZN2JtW}mj2aqhZa)?<6Wf7y5Gx$*a<ylwkc z?pJeM$zqtMd{_3d<2&{1pBkmk8XW4>yZ-z@yE(i1zIBf*_j}v--(I_aed+7R%O!d> zwknoO%wg)&aq?BQZ~V*sXL6|LmDY-ctuNM|3T0!EYCm<lgsEi4>~sBb(NE6R)p^az z(uv)AgjJ^Z=UbkmlJ_{iWIWXmj|zF-%vvn*ur}maQ9+ntN}4jK-!H?kcM~jU@8EMS z?$TKEJ1vXbBGuHLB}?tifoXgF9|#?KeeK)NV+REF{B)xw9j7yXyO${uDjG9&F<V^J z`8k3cvX|GWEZuZi_0ep{U8e4DA7wv2x+8dkVUp#+j~-W@=N({*UZt_{Wtr-y(tjp} zJ?BjRoJfk$XS(^T{JR-<|F1a$uf+_@=bg@EUNrl^WbfUMy><7QIhOc_sLr)X{$8YJ z<iKd%eqVV*%e{yFJ#Q@67~e{0I>|Nr+FXY0h-C~i!I^eT6U$gN>?Tb<>M8JFwfU3Z z`)T~kbd{8Dd^)zhY^%vi8O=YcM`gNtq8^&J96N5~y|6@Ra!vnK7LV7}?--wWeMk&4 zG>%G8`6G8ia#f_j9~SMBvvsF;`0JehxS?KSYsW2zU7}kbcm_}UG|%vK?~7w0ZVw+N zeSPvY?W6NcW-kM?%PS{#OswDe{>}4s!j5TsE^g)6Tr9V09zTN;r=|Q$cY&U2dHcKf zWp?dVu5$Y96#8@aWc#CM;tejj^_TwqvG8l&UpB6dXOtC|9z6D0WKx3sJ(d^JH@d=& zEG<Q%19rNYFs(6{E`IJX+gEpm>R+Q%o%3W2Ua0QcsxnpN<X?}Y{ts<t-#GrJt0=X~ zZADR9<L5;ari89Plb7*q;pC~4mu&m?ZHari{ZzesAFgjc{pf;+k-kjQjy6~KURHw> z3s-1%E#Eiot=7|?8K<41o*%m4da?IljjB`q|L9FQ%s*y$B=Y$l+wNCiwcy>+rj>d9 zEumMByk4vOW+}I+Z>VZXP3g75Nmd89C1~xA@tYgV?N#?&E#j;2-S@Ab<*X3riJhG= z<D8Yn?b9Cd-q(0;#crRz|2*qm|3Hg`^A)x-dl+kfFg<fUx;d9!^ZzsF-~YR7KIPwE zyRR+a$b!xG@geaO+(m=V@5ru7p7$hT=GQsw(<ACTtr$yq`qmvhrRdF@9Z|7pY0dBL zr{kqg8hNgLy7~8^r{Uiho=R1@v0++Fy`+?o!IW=bsykPGe0L}4utmtk2Md=Z2}itZ z><THpnJt!~c{@wx|L(75k*9CTEBACyK58acvh`M{jmjBLMb+4-`}3aa&g=Qf%GS4i z->2jHT+g{?MaRg5yk{t{^4Z9G=0u9!)f%~9oc}fNRZo6Wz;o}5<=saKeT9qbi;FEe zk8E^WoxM{;(K~YW>>tJb!S818+`L26{h`0XDQEASJU87<cJaMYZ!)^AX=ro0mt#u& zw2jw8D<rH9xi%X}-)L(&CeQnZ^Vv^%y^i@Vr#?Ql*&Puf!TJ4?_sN18U;5r#th$(_ zVbeWFU&(uC<klO8hn7#AZxwp$Mz(r#gWHZrulD_3EAvX>N&4=Plf~z?C#IyZo%qru zWT(NJ)jC6dRY&3FYYkU#%B%_gc3F4A=U2PD7Ft?2z4MjR)}LG3{I=?Bf$;MF@T8vy z&QElDwuUch?-K*x&$<#P@4L?9xsWZH?{svIZ^Y%+>*be%{&9Xdnxy!&re8JFGIn7| zTKez*E1T-M(t=jW`>znr=TnNwww?KK?U6YS-Dm4B^(3+1{=%iQWk)>A-M+$`>&3cw zwU=A06=<Kn^1!JK4`#oQ1&2F5=CK^CdH<t4`tI&Iz5CkaC*>SI_$H?(Rw)0~)2=m1 z5B6?Z+gz6Tw(;`vnJb>lg#76HovI`~x8&`?GPlFgmCs6K4Q5TS%-$xn{Ow1MhFRsx z6F$FubY4d(>>}4xM$I!f3KBMaNtQF0JLi&mOtWUI34=e|zL*yoH?~KmEm|cLvOe76 zpY|1d<NjGmkN9@9@V!{edtd5rM@WsD)Nhj_?%wRHNj&YPM@(6w)q_1>^UpdTqy5r! zLC*ifAND?BoOWrUl)I(;#krb1XZHE-{`73IPUv#a6_!ddFCFb3T;!bOyl^?=-aFBE z6Kwh#&sVgr{2v~jd)Dk6tKqYj=%Q2~uB&M$*DK%Yoc$|M{l5Cz_p?Nl+S$LasC=0A zN8_20^lYga4I5_nR<+p7y4jKK&u}{_K)^g={lbkGR`-S2gfuTst=BvL`q+i6G&eVm z)nCh`c)H3S9o?Pcd+3y~(*L~K4$Dq1c43iI+jR1!c;0N^)9rQk%uZk9JozPcBWoW% z_1Z2l^HHSFtG3IZt&V6v?=roU#KX<gz*W`w>iNb4!ERTdDAq4q-m+1C$MHQc6dD6} zr8b@WV%l!jC;a}OzKj3#Z*zOf`L456ZW6d5xFq(tRM%?um^mfkmCq&Aw7sm?J4>mB zX#QHX;?8O7C%2zmXDDpnuqOKEgIp8M<_y0*n^e0iy*SEcugCw+wDo!0aPr!u7^hr+ z%^CUD#tK*4Tz);}-1$zt{Ls9h+9aN0&$j!2?rBP&Q2N;voPRLqR=jX-j{6be$v4ey zmG2+Avf*gxok`nuSWdF5OcY(>UHns2gL|9ehH$Zor=31K8qM7Dkt1^VGJzki&KFj1 z`qZ)BBD!0y?taruw)3xC56|p+9HqSELuSDr#<N!pll$@~8CG`8Zco^D?f%}zT~Srx zL93WLc)eFRu=K^hmlyo=Kdhim@N^~Xa&4IvJ0mWqn)3%J7fyb4s^!e)hYPBD|1kGR z*I5hv_tSs$IqqY$n8ebD0&*X`r=O|3x#`;S^tC?o1y>c>1^$)Zx?VPF`X=Sq?pyDC zUn9SSRpE0e_dKi1AJ*hQV(yTZ-139R_HOUi77K>y{X*v$->()H`je<}QpITPftlqG z)=D-O+W(F%(_I^rU-{z1t@p{6uT%w#AHVD9ZumdxXvw>esv=@P3b)1B9XJ%+m@4t1 z&U)AR-~1e13(hB3O!U)mdlDGZWU;VpsryR7*Ndv}zwmdeT>36(qT2fFe|7am9$tzM zkv@^JPBEa!c21$kh0~w2+8R#j_1wL#ofi6~NaX5j=@orvs?X0+N$D-N>A2E7FInCu z>eT+oN?w)r=B(+b8BfIT`j=xH=oBvQ_Un(_Et3qHed`4{WzRmmsB=60U-{f^b?wH# ze5@{=*%Vgw-khuTvCNx`;flfxsT!44J4&>avJNcUwL*cZ?w$?5YQBR`_O^3fnkWBv zyyrdoHTU1~zdH>+o!xt&i~sJj<xeIa?l>--z_{VlzlDl57i<n`hAJt!nOE}OW(YX4 z*>p3jP*c^VYB?6KrY_+R)*L!1f_}3t#RYBmExjVL{m{LYA-zwwnl;~Be1D#-j;z8l zrgeQr1!^W@3I8tC9F*Ifu2y(@#Tx-{+2aC%9v1mspQJvC-6(y)(|PW%nA4+G*+-^q z-hQ6z?}PR1R^6p;uOrGAH}5^*`=g^yvs?Yu{cPFIV!Q4;Z`t7#^m1mPk;sHqJWOkp zTUnWeeWt%T|93uXL2PSQzn_7Dw(uj)RWDrTHJEwNeOUj)%Xs;;vXrlFf4gFS?QS<e zvUxT?!|d`-#n)XAV^*DOT(4<jp<rUqy)EU_BMz0tI&NzMULH9zLGW{)ZvO4+@74Aj z#P8e`-9Br-V3|vGtrqKqeVbVRwmtas{&{cCXNh?+>eC{(ypY{=<MpgMnaZ~d{>bEP zJmNlM!<}g6*XC!%=iiaZWfGdy_l$1^Pu9`<X(cLUGH;U4_iYPr_$H+I`S|slODof5 zOKl$}Uus-qnqt&&YH7xDznC}w;yJCkI^Jac4cn*Py<fej@AF65)CCg{h&A=Ef774X zFn5a1`E#qi&vGi3SMvMja@^l6xBBDG3uZaL_tdw!zld;aE_fAusO0vUI##0-7dD#y zc)BgY(0Z{2OVhXXnOsMHm#y9`dVJr%xa`y<rU|W$>SrCfz8`qzBrJ6J*^TM0&n~P# zG0&8%_))Upm5&;84JDj^a(q|b>}P2Af~(yu+)%_!liAQ+KD}()nw+$`Dq74_zTFoO zn7?w}98ar5oqkJng{~FxvzBlMzHZ9c8@%Ys(wl62YnT42SAAx;bKw{DYuy)mwPs$P z`$J*V?w8Gv9{IffZ~QXC$XnZ3dGa^Gf7UPV>^_};Hv5Bm-QEN3rd!P!H}Bq~{nWfm z>Eo1%jb|46`5fBRpl3aS<??&GXUFy>PClA=@rClzF1rsI%JCOen;HKk@2TB3gC|5% ztI93$=Bvd`=FhW**;{A)pI#`oL~`b%(>wQOE@%I3ZCC5mocHh8X2Am-*WT~<SS!8l zO-qjPCnMiyw>K=T4f^xHX4My;={CY@@0RJ#d0?FTXJ*LSXT7icpBt1)ij+uxoORhL zu2Nz1yO#=Hf8Ku1Tj26deDSIW&nMlM6My%7!;YB8XT?nYcIG|d`OwI!T|Q;a$B#Qz zJg*DpcHG~nx?G}NJdZ7BSD?iuSMLkEWcF~zU)z7~h~>VwbEH*%-Y5xTtz9}fqs{GR z%#TZ3*8KndlBw~*Cdn&nwU)i#a<ckClGv^rVuAOTUFm!HeWCu>nmzfq(+;|wU$W{m z^W14|yViCURIvsJ_Sj#ydl)%We)S`ZXSM%rC+)tq>&Q*+LL(N=fac=8(yB%C=SBZg z&(`S+b<j8@YrHPR_>$^$tM=ra=KrRC^PIJ2OlIHzr%Pag^vk?gm4EblHyywIv~3E9 z{?QpfgubujR@``BaMG_Sa@DunCa3+D`ds8Qaq8Ww{o9XZKHhymbNb1>hcaD1Xne8! z_iCr>Z}sn=)pc6yr!3@Jvn2Yp<=lSjRVxqs$FsJ_co<)tP~sMF;P|Ws%v=0DE^U9p zlXPBJcUdR%Y^^g3tN++J{W#rQVZG+d)mr(MQ>)iH{Mz3b|IK0U?QMGZFV%Iwa64V> z<Q}hbGAz(?<Kd678H?pl)%tNTl$`jojkV4E5^MG^Q(wM4yWFofsr`NTRX<m|$8z4! z&V{DGCazw7`<{XhQ{u5UrTr;UTUuE8e48Ts7hY7ZSMz=*HNi3Tmei`BR)##Ls$T40 zr#iS8FEUEX&w6%g`pjSEX-<k7nfKmUxlfi|(#fj#R$=n)2NCQc3!SX)_lrBQ3C@sm z$bT6r(&*eE-5(vP?SB5slwP~eOK!Ih$Y1jb+qJ0Uc}sZUD=Xe<j}zYg7ku9q9PV77 zpHn7zi8q@!zT!;Vq|`*YK<O`jP8HQ>SlvrrrszE3cvzHHq?c5@EIxj|+p9C4GmPCo zi9On~a*ZxCkDx+UpW>YpZps!bbE|#(W4L27{2%J@_Lf9icGyg8Sgo{g>+QLvNBOqD zKM{4xv#t8F^@H1g6&7?_9h-eo_J`^mbyv10GpC8LFbI5ZTF}Z}mh<x8<<1A*vo&(x zPM;g^*lN6n?ZBy*_IBCg)j_{KHNTnf=36CG$TG=bp6U}J=3t+-Id@!ly82z%B>MB| z^e{QwppCQr1$}iYOD3DTF$ew?_?Db{aDsJ?|LLhKubtRD_2R{eVLM$M%qp)tp5(4G zT6RTY+Z?Ir_MimIHrLsX&%VUXKH%vk{e0TJKL%-9M|<XNoX@UnxT$TymZoH#hrBx_ zy8iO4ee**5c@)pr&dtvYWQE&OU-7GCwF)M@_Q~~$uT$Y$P=4%ysmAU4jE9{Xl@sI^ zF4lcjR>NVwrtaC#Pu<o{v0e*59}S=8fBJexn%lv;8t!1;2ve5w($*Iln`-BBFG|00 zpgL45a%ptwlc%mfyxF|W%<pwIz5Kjs^XEC1A07srz5Db>jdxbl4CY`h)@w#fe?%+p zJ7qb2lf<H~e{8oJ`u9w1Ui)~?O(F4gBi~h1PDCGIkTqq^lgm%Ma_Y(E_g<pEetNw8 z+<E?mrdeO|s$$8xdY=p$9Q)#4crIr7`L&l%-S5&e=KKqr83iWEXolRnJV%A=+SZkY ze-8ecXzJ?}{cA^8->n&mYVHa1=6qAxrr-HPdg_}69ExsJG#=bgncUavuBW)}v{uuF zq74s2w*J=_mDf#R<oK{|@z&Mfk9NB8F3bJ1gJ;EsW#)7CTMHfgw1Rb7_tRb03EuI~ zqzt^&T#vt8W#rXA@Ak}KjjJY)oD6R~u|1K%`tXy%n+cAud1rEbf2A7hm~8ky%69_C zy%Qmii_0&hiNyYVc5ID6y@uJDyqPB%S?ao_&apR?xlea|9s2mx7rx)h#r>bt;!RZr zwraeWzcOR9uWlB@I@QSiTCW|e_HS}6S-qw4RG{09EQ#BXS}Wx5>0jqHVh_sxd~h+> zo?|}yU;I*WwtL{0zkl}mjD|Ox)z81u`J}lwXX!~H)0<Pg*Kgwa+Mm0B%I2pxd#vST z)<r#Af5lYr`gO<e57@6ht~~ha*)D^G)URi~&#UKucdncfBEH(vDcO3?JRXTFg+f<u zXTAFy{9|%p;Y60ZYp37c!hCgkqG!e<*96f8vg>a2^r^&Oe0;&^mz(yh`ES-=(sEr= z!4qxa=BB%Dk*-_bgYdNA&<)+Z{F{6p^xDbRzTCnmcZlUc&fRUN-x@u)HR#Z05YAZj zTk%Rk4u7p;!g2An?l-5z&y{v-o$K)9)N!qUp6+utdGj&VbDo-geMP~Hj%ofjOCHXB ztF)%{%BersR))=pRa^b1y~y&UXiCDO7atzZugIIs_=E9Jc3|A$^OqP}8km*-o7J?2 zzy8W5@5Py{tYu^xw{oSW%}U9=dD+Q<HNx?RF8T^K_rzn@Yv~6)TjbEl$q@AKsm0?D zK_M1g{mNPqGlV``_Q)@46X$xcZkuMTKmyDEhX2JgKF?CW@@dbcmX`<D*vhh{1v-dt zzxy`)Z2MuizdIJ`)s!b+P-A!&oy8b=)y>59;^RxYt34fZOZQKEyVmLX%L#R=@3w}| z?2;*cZF>9h#~8;CX_?m3wkYNH`h;ZcintumHFx7Kt?3)q%Kd6f;d}K`eburvUbYVy z_B$r#@A<QiJ6e_fbJxM@kG=QLR~s)<-N#wZto@uX_RPBWwD<AfFAJu3PVDnhO0+#U z$)@1o<R$+Vy8F_*{V#uFY`?HubPkhH$Hcc$6{inxo3n%U`826OAz_)zvcHt#x^e^M zc&9mgZ3%n*eD!R*Pn{d<9z6P-trM;;)wM-+)}zIfLMO|!)NKDcc~4&DY3IXUaaU%& z_55_y=FU{X<2~DN9sJTHem--d$Uf#3g(0deEbE*iF29j>aq2NOFDtutXNGTdb%FS+ z;Hj?-?%WFMTCngypF6*GZrRyWS2VV8Of+#7aesQ}W6Za0C*HoWo4mk2)++PT_x$I} z%oqPU$^X4}!M@(?OM8!Ojyb)4pZFFt>7SSO+^C8(+j=52+M%ag?sCwV33D97XX<V= zK4<UaKWCy>;tcsSnoReDH=CMGSX}w3Dq_L9ZnrD!v(C!>7Ymnr^W4i;?!X1-jpkXK z9^44Nbu535(Th(9{QDD68O*w8!J{Q45^>ygvGCl`?KLc4W~HwVpSR2C_U;wmr4F`b z?PJ?4d-2wdVwQ`k*CZaVoHN5dbWN+ITf;}+ncG@sE?KH0?q+4`Eg(Bh`GVo6CyZee zdR$k!P4W-u;IHgb@|0`x<zu_V(IU-pzwe0HZxPjHE^_i*>PwXa?+AQZo1uE3B-GX* zDBEI5d+)Ok8f<&!bsabP`YlIt+L1nwi<c#257%<b$j@wC*tO^Y(_N<d#y<^@p3~g@ zeu0ciP5$y#TNL&lI&mkr?Lut${wMdv+iH3lIGnS7U9adVWz$}M@!~zv5GA8s|AX(! zTXbAV=A1HfW@X0mJL&p$`mEPYCF*OgiQEpj`zC#-M@NDsr#?f%Bd?anopGV7gwz+k z;(ywr#HIh0Bl+9zS+13>fgEW|D%XYmJ+#v4)daovUEf!&owLU4tmKgenhWCYe`_i2 z{Qt(;e)nsgY(}dGYcFLUz3)FYf93ymYL2<L=l9*2ymIG1%@-@SSspkRP<c4&MqrWd zx}`234&GiY_B^DRV}*28(ZPP*$rJN9TKayS_%id$_f6ry99UPMPI>Xo_qWfJTkOfg zYNv1N1gv7`eBrCgsCjbAmA(GG^NX2lKUZ@`uG_VO`_1c9_l2V#Fj=r~YkBfA;Bu?r zF^)uOUFTnZ8)aTT-tPIPG5m~!r=t2ctHz@~cO&wjYzulRI{Siv!X*LCA1mfaugL4! z@U_PC<cT=t9#7k;*A7{p(p{UpdF{^V8yQ|t&nyitKlWOE;v~~W=M|6MPJI4qvD6{f z=8QTC-v?Km*!I7SKCkBdj>GZu_jUc(6Axc9TF(4<w%VWW=nLF6c2C7-N3B$RJKK0m z(W5E%3U2OW?ap>JnWk8o#J+>?d$_~87rv|fkCwF6>|k4d$XN8sqYCBayRKR<`QSB) zG1YXrWq{E97dM$iwDOr^)@Uuit7~JnC8<lbt!J5S%quhYepT*WA7{SMmMZ<FwswvU z&nJtgn-*!LS8WYu<nDX4+5e{9vFmEvt*4*wnDA@EyRt8~T+z9Eb}u|#DAPUj@M#Gt zg$=0%xq+Rkn%}ygi>uzhU_5E#-+Vps6uGJG>)Q)U*w;t4s=G9-Ox*0B*8bp*&GC+B z{2_*6^N+Hx{&?%*#OwEXjy}k}Eg4>sp*-Wd(u$(z{Pu=Rwk)|Y@rz9TpDnk-vKyX; zyLtStyPkZsNVVgpSl-=Tf1k4N^>9*UDH5I={dHw|rghE?f#1bbp00hHS(@A<z_i(y z+2vf5v#j<kw?$!^)^=}VmxR2F5?oPbajN~+=XIUOty&${*ROLreEY%7{*8PheDnBH z4CTG=?KSYJ?wG2~$am*p^OT)_x@UHHZrXJ*Q6NGt<=yI2s)2b+b}V+7^5px(AY(bN zRX$%CwOF5)e)?RZl*Qd~)$ymz`Xk|=tX{uh=6TyIwJmGs(%M~FD}?-|>K5%yI~U*a z!(04r^S`wZqO=&7^zJVB^<~=4iSF}a=07~QH^pU7YV@DG*{O~`=Zaq>`FT!u5dJ5y z-)Ne|`^5`mR9;wZI(YG@PSUe(0SPVNpFeN7Eb4q?<FtK^;=+bRjn$_MwO1!q_GivK zTyo22lfTcJLl=!#EzB{G+qR&0Mu^<Pr>;Dul1)3enhUa}*!(?uFg-&vBw^#a8I2v> zcGdS@IXm30P%(ND-1^h_U{<qRj@=5IMzM@(PF;JK?wq&(X|8LG&ffbg>f{#dg)Cff ztU066QDR%v$=E-$Rez{CeoK0?`}Fd?r#Dx8O_%n*C}Uae`Dxm;?$S8p%Q1h8F81!R zu*s`Xuh<r{Vx`XXTZWSu6He?~&mh0q+`?evtTmczx3;fUEK-)8*1e>6M^2`I#qo6q zzn|rgkPe-8a<Vex)m#@Ih9h?b*R+@Hw$gJ^PhC0nXXqx;_6QT%F3mVjv6riyb!uKa zN_uHGGCM1-4YJ{xa&SSX+^L9NZvuau)84-M;`s`*8yl_$o?)v{>DY3^t+e*}^}x?R zvePtoESZ(^eow(=)1sr_bs772KKZ}=$0>I1@}rSbyIu&kig!)gs=n9XlR@-aD61iR z>D-x0`4`ihKQK3lZ+SV}{A`_uis-|GZKcouXy+MENvmCF)qZ%@gKx9v*l*)mv0ZRN z@jeNUiNRh4pR;NT@A|RD>t?)~tFkbyEKiwrLiUx74LkE3B6$ziMYZ0q4ElZP<J_m3 zTaK2@_PEaWfBEC9&t`d<wFKF0wC#zR!KF3J+xam&&&xdr!@m|W^L(1N{*|GJIR7)o zyk8kdEq_dN^Sf5w{`{X^40noHlVqStX;x4B2^WJM3oBl_hAF-=x^gON0;9P`_5l^4 zg$qm7MS7&J@m}5Zd8_*RpVF)E&UfFtV6_^zp6iZ37lJ16s+|6z{BX7I>ODv2Ol;NG zF=`a3kxYD7@y6HqG}k|c^^Wl;3RzE=IzADxkrb``_2PZOn}%((J7%r_FlWie#7hT_ zU+GJ(30!$P-0Vd{Cew-+zdetwWx6&=a9^x#U-O)#pIjoU{qvO)HsmwR$|<{&8_*+H zvp_@K|G~oltA0D2*do+%w?4XbQIGrd3rb~AO1isQ1ge|G`|i!)n=D+BE1=CI(4ozJ zHzis7i0``-x^7%$6FdrEvAq}MILY9-@=(?!zl)b+uRJ`)anaMEc!|`~+S3=mT)XRU zBwXSp<apCPK=XNl_Izi<1C7@!Hwn2vnwER)b=OvoHvcEB!RMC<F5#X%>GK@hqkHGI zJ)XJO$MgJ@3h!&8hIdxAX>r{wFHT+U(;2e9%W85y4?FK8eU2&yd*O0EJxlia6?{z* z26@kKzR>-7GM1&ofs0c+x1qyVTv=b_pX`bDyoybQTjO7R@8L7b@_gCO9P@Gas`<Rv z>jj)-Wq(dR%2#lTMNIO?q~y&9O6MFh&(`v|G5g@TQ+np#X7`uu>(y4V{qk&)-~IB6 zwDh1Yw?q!u$aZ`yH48rSY1Zu@BFDY%74BSqKqyDhsenr%@_vQc;d4%X_of`1D|u*j z`TjGvw@Y$AD0r|xWY&uVuf935q?>P#di&eYO=f>$<=Pbng{P%MQyL8xYCo>B3&`Qx zcIST^*Y@(sPjb&Da0dl5rqAlzcp!7_v93u^OAB+Ak6IYM6u9xzWgpMA<X?vsoQ<!n zeb|0VRqvH?#nylbnZ4hoPd{AFwf>Z&g3+Uk^VP(kN6gvJes9m_FY~$2?f!khY3tcv z?REcl=$#I2DY*8{`e3BuqDhCJxRzXq=FSS6Kj#_Gk)PtsYu59yc+9I=()!K(_lC6+ z{47V;Y&@HKf!*YbcWG|%a-lslH_7%}?~^~?^X0))wnr5$iC#CQLaYp5@g_g))#K-^ z(G%cm*cPMy{GQF@mWE@qmT%oGbK=`w(||3GS3ajmf81)^yS<iu$truBu8jCRA@;9~ zZaszOEvXuxerx<>Q8pIOsbQTVKBYxlaDwyRp8<Ya3w7*g{ixC0wU?iRtKG2V*0+r{ zRerDYF9oJ)GV6=1?RUy?el341bf0Kq#@!jk0uzj5B{aD0=RU9g7NL7zD!pf(ppv}3 z6R+|d@8wgIIs50#ws>yC{HVvZmanX?<)c>lfzt=h*-c)tva;uP`_=Q4Fa2LWnb+jR z)Bn3x>xk&ZIk-f>;+bm5wotv(%E)r7JJYj*1F0`x%}{164V3Frytr!ajMaice(5H^ zcN|&0_|3B8r~L9IkI3KJoMxk@9KWXj(19DhzmIm`w49<b;pbZ`3(MBWon@=~7yjBU zKHoREDk^O8Tqkbd2N_!G>gVrkX|^$MtI2tLrjGx1(1{d=nZljZV#I|D!>6cBnD{?# z*4)DGo*(uB`FwXg#a3#y*|?lO^YfP0g9w>}h4SZjE>HaIt(Q@%;F+#*!u*Mo>`lK5 z)BRkRRegKEOmj(B<VuEDi|5N2#hSWB3E3}MFCe?@lwk53d;QauCIXI`$zNMeZr?7L zwTw&qzf0!jl9&y40o^kHjLtO0`f56}=5JUUXfMX_>e<tca|7KXKQ9pD(smZ^*)(k% z?|o_4wkH=nH@B}yS-Sr)e}Jun+m&?pCy(47zFqflLeXNMUmrK--S|Fp(%P^-y}Mtu zB&8K@PxNluy6E7H+3_1MXdV(Y{9l<nZ@&5u#;?L^1>uj>KfiR2nYnC9^A7KePo^a8 zShddks-*m?=9tXeXKp5({-k=NPpT_s^HSM)y%Rp)+5B$pR)<Zyyh3lgP5-lYXL|5b z8CxsvNapiJQa)>E?rN&B&dI3z<h5b9vcA#h<yrnIHvY4{uPjxa6Lw(BYW1&j#i_lP zzJDfOKKRq|hhbG%yT7kN(cxEtoY5vp`PaK1%{wA;Yx}ns@iXN1$aStudG@hkhIrnt zxgCKeUhmKSzi*^1U!x%*5vFo~hw=xBYp>pISRucE{{F)cBleuQ`0?Oh^^LjJay?x< z;hKNfmF{xT{^e>S9PP|#lP@y+{nP(BSJwX3X)#E>n|?1xU~*2I%j8u5z;=zsvm4_# z{NI&zL}G6DN)By_Z~ixu4kbS`K5_Zu^6lI1PMUD@d#xTrmGsxLCl}2*9~^#Czka(I zZ@uR>TZz6>|BTWtDI8|Ebuay#70BHbId}dHNhyN~I;+-v-}ag5fXIJwq2m)0t?yj6 zDqSSMKIQI#y${zeb8K6``DUQkOhvCdsk?>OzF9bDRoPYExS8!2L*{0jvfC}!C%9~~ zQL^ez>B)?_A04)@bjguQPsv#=wD!)`Q%8=>oHj-Mn()r5>^oZTq^u5>{hBJ`EbS1W z)ooOJ<?zj(TetH5`mfmcdws!7M#i!`52fO`X74|(CXn6m=xj`2atN<^@-7AY;yo#? zem-TNc%~fa7q91hs&Qe-hwuATE4H+6-fLta{{Gqx8=G0mE3zg`et5OjtHdELam|@s zPYhoyU9jiz-DA^?4mVtVcgt8~LZHmP8BH=bC$EV#Q8}lqxr6&gUF+iayc#T`R(HRx zZV^;(3sKeF_-gueMZb)gt==EFGrq}aFMHeifz9Kir1(S@L4B63=@a#L$H;E}Y_s1+ zanlsBGSgjwdLNut*7rBHPWfJt>clFfKQ;2EZHw88iFfC`JDJ=+<KKlXAB6uOHPw3< zYmu^9SNY~|Lw%NjPuhn~r#d)fcxdm<^?m)_Df5Fu?dK&aAMS{nn`IqIZd8>n{=?YM z5wm9_*UpPSm{OHy$X;1eR`RBuHT2Ii?Vf&PM?2;ptJC%^Uoyq<?G8Kk8y1#vjK@`7 z9I6gqST^A@mnMS~W8#OH&?!py&Yli>aL3w1Y2ibGb#da8`&qWJENN~IIJ@G=vbEJM z89P)i9)G=W@+rG{wpVy$n=IYLu16S8d{TC9^#qg4=Uo3yP~GJw-`iFyEf%b#|8eR& zm6-RZD%e_X9hR7^{j%f7&BC0`kE^#u$geR`njG?xZNvWu9z9>TKREt}W1U8j+C;OC z--Z&aD!Nh|w^`I}Y<^Sz;8FNiN5#v|Y`e05ADZ#t@8+$m{+*kp^eUOR>51*KV9pCi zL>+$L@lKhbKjm;r@6xNv-(Cs?&TtdsUHD?5a<ti^+KO_c*yHc(TlmE7H4JkXMbzw{ zdVgNdE77^_Cg+>C1S~qTAZc?mf7nabKdSSbJFh-s&DAp2Y0iH;`DKJ-#jh!Qm`xu2 zKKg=BD>f?ReTZC$giu0aYKwQ8>gU-KK5Z8=Wg-`>x6fH`bu%+K^uL0P`hCstH!k|d zYCjs-<1TjmmGfTGn780X_Qtkt1`7|J&#qXyqH3D$FNOST%e!L?XZEJAekvM}R6ntL zmiM$nQSYxzG;EV%`1w$*x8%!~**=k5)y=ld<KEu*+UV@uzu}V{-U)oq6n-7_xKk%C z<6_4W3tyM%H`h*NeDg`Pb>-<<H!KeST4%E2)nn09^F3_D`WiznTI?vWDW1Pzzuax} z32XYd@Jv3~$#88)<0grsi!2^<?7zjyPC6j|_9zFtPS8!6UAh~UB2V^**+jKO`Z#Yn zcyN-nm!9RV_SZXt*d<<g>+Q;Zb-^nB-=0ZTp~nx~^Q>8ByJP9PMXSUb8>Y5aiWu95 zdNC>AbN{1~vREWt=GW!59EX@iSt8z=h{)PK?OXG*omE#MphjWh`HWE0^QY&;<j&de z9@OgYGd*d_;|Z**&*m+>wK#;0^@gSO-*>N?jwxmuZN0p0(<YX~0^gVJ?Cm|oa(?-) z)vU4g6I2iU4@x{)(U`t=jb-?DA4P=&laHJ+>|4_ly_#vW?t%Q=yG340=DiN^J-$J~ zbpMiyB`bV3{hxDTc4(P%r@T#7-JP<DQn8B+{e2&#zgXS#k>hc83y0FS<EpJIqTkow z{eS%Rs%X=lYt634CoDH-$mb~D`oH!1>Yp~EcOG2OsVJIVB3V1jDU!E;We(Tm^;WB_ zZ?-sjJbPHnS@$@bZ;$&A&FgYpd-4}9*7RQ6p!QnA?uFn(d7lW~6+A3zvI>U|x=6Y+ zPZwXfCg=XO<t&MoJkvYF1?wX_bgw6u7`WvvUn4Fr#;A6UTd3LL-@ESB>#b7MzCMX+ zwmHtbtZP}+$;9dnm-c0UOk!K<bXNPv2J_jc4$Vznnb7v}KS#Nu|J%eE2H_88S8pYW z7^kf_x6nDbW7&dVin57<3>i&l`8i^F=FONT{#awnk-zMB?J~~EZFs#zX0xNlrmC$h znNf;7)7J+6aV+=0%e?4V!9=DjQpvNgu^QgG>Am6pmW51H+8QiBnzsm)o&I_@xtH<n zOU3^eU1wf3Y5DY*TZn7-gF^9!75V#>X16>r3|sbJVXNqlro@?h+t+R1w@cZECHu70 zrWKv9S1`HwN!P6K@nG0pzkW`&(qfZN>kr!s1D5U0{P8l?OUZD?w}!-PXCpZ4EvGF0 z#~C5mG^z9D+sBhHm;T5rG1~Qqxm|Ro_N@EL(sFV)yn0`xwK(ax>|M{JCBVb7dcl^| zj1$sN|0i_vKU-nN89JeMrF7P;4LRBgho&p8{qL~m?d7Yh6kjw&FHR`AoTu}?<euok z+}+V>U-Pa$>Z&~Vvu|d(_ryZ6D7|G@#oq*eJ*K%-T5Pds1IOf}>nzx8z4*>u_Q+ds ze^Tn*mKB|!LcDixuHJM)Zu{Ilm$uchpMUN7{QmbDpM-T!36^mPKHltbEB~hq>yZ=p zbu6E3d~tUZBdf)NljjS!ESYZWQ{C$B-+Jo7AL9edxT@aUOfv2lV))*ox<2s76`S6q z^^v}-ul|j`QusRkMZ%o#rU}O^EH<65&)b?+lzw$rMrZS^IX`!Vm^Vu<>%VDnv3c)` zM|D$ku3BuG{g7qf{{{0axbB&^_0CJ^SQtF%dGb&H&ZAlLes(0wznrISxvJOVw)6Wa z52GEzd0mcQ4|qM>Gt;pC^{%J071zC59TqUvCEKmNf4zw3&e<1SjW*w5QDa<j|8180 zPU+VPleBk~|JnL$$AO@U*&^R<W`@)Xh<tgyHp1F;H(z?5Lhzp1hswimC-QClSnl*U zJ#T5*@369;8mXpUhwf;n*lWL%bw0=DJoiD^S$Dy(A5O<b_?(q_^V;|E^PE0VzVrAp z&Vvn?Hus*1I$0uO6j&IbcPeFxjNmQh$MRbjX55WR^Ve<_4gB5S)4xSQN6$F#(nG<x zt@e5kr^{)Hc>Zm_&ZfsCe7)t}$7F7wLxI*#&2l}ZFZ@?jI~*3gaOqKDwuA4iqRzHI zPdSf%7CQFXw!Pr8^`?T~zdo*X+duP5Rd-d}))$BR+vOga?$J|v##+UxXz(*p_+sj^ z$0wdH&NS}H67ghxtML9CFPG>4YxzfJpAVZLY0dTW&6|fMSC3Zyuy|Z!^uqJtAJ>8t zzUJKOjG<w_Z!+I9Z)`M;G+3(^kooJ^Z&9Q4lxw$&|9)l^-B491w&nJe6m@2HF4Z8v z4A;IwXVEV=*1vh5ep5SM?#MwcUfnIs>)%E%X`Hfg<_Fn_-$bS>%zeZ3yv^svo#Nwc zdqlrHs|s*g`1Ov8yS%NI_AQ3KRiEU(a^KGjbJh>FfAa6!0UKjMBTiP0IHfsC`xS#S zc)zyTcA2<K9ey%3C|6^#(bLISPE=f%JiU=+ulDU-7dOg@2_@bOn);4o@*9obpL{$? zUqs&h2{<dRD}L<l&DCf8thg_pi15fRmQ~bdYZd=K^~JPH9VLcIs{7>iE#IDdWng{i z)1x;pelL4C_u#ZWOFpfT2>35up)jlEqx~a2Ha)FX#)=U|+u3f*^Bq`s(VeL*nRV){ z&w+7LevQ>`UrSaky7BW|&Z4lS<~A`i!~9JT?q4!@Xk2<Ny5x{dZ@{GUx46_VJaD=y z8olF}mENuc+l(GPb>wrFy11--aT3!Kuec(sy;GOV@4Elz-(hBrl1<qT*Mkn^ibR~| zykWRGCjDMf<Ywc0H!Rk~ESulOF}qA*-JeUVn5&L$p4ck(blSWM(_=eLFJu{=GL%<U za^>3)>H0zQRhsS%OVw)|zP6d~6kD`zqC=&SN2cMo_JYSAQT3nKoe;Td$6K=e=sT^} z>^a{bHOy<f@tR-$M&O)V_Le;LyJoumyA)!buX}px(QO~^Tnky^I@`2=Q=-(tUkR}< z;&(pZW9WCaYFlP?U}kT$_nCu#m*vXZ>=izu@&3p&8LboMx|8HWuk=ZH=i6PYe#Q}~ zvrx$=_-?7nMWdr-$|CF&*H!#m!4)LV=3+SecU3@#_sgdIg*(K&qqKGyl)Yy$fA&{u zt7&ZOFNbeiJM+BjTTid!I(bvPQT+2!*Jl2J8-^Kv?H_F(CE9GBl^Nt>&HQ$MqtKNX z+^t>*#Vwa^wmtmj((+x?+ZNBAwfFjlmz&OCEUnvr_ua%dD-FI+?~vy5QN3ee!FWf4 zE5^1m(noVa<A==Zj)Ezzf6mOic1X$6t3Enfj^X)=?NT!>C$MZkZ|hXK-G%Xb`qn?| zozHv3PqH~1yX)wh_YwaVX)2a14Y>Uyv7J%)_|+P>JB7_EzC|~7E!e&4yR5Kp8TY%G z`gb$D99I2*{M_-%v<EEB3(vUfZTPLF%02nJOQF2!+LL>dexIE;Q^@&>=7OkC`KPPf zjGkOwH$C*H&#c`|HvT(49TWcRy2aRKWwgu2vJN(%=bwAtUeRcOe9%n6Q~k!*%5x{O zf8Kh>zW;t=wMM+Bo?TtySCwgR-$>*}%Itgld(!m44sqtH>?;T5)=i0R$XKQRZj!== z%XR_$!pGty;w2=RvLw_k-gAd}|4~Z{HSk`_<-7Ioy^_tD3-90Fsj$MdZ)WxN8paoS z`dijLd_VWx!jf*Kn2lU{><pU|zdkrIxoh%QZiAJ!S;-f3y%nPW^)Y@fj44>WH?2m7 zdtyySe$27C0ZacH{q$aQ_{5{v5ms5X|Lk<XEIJ+h^K)Rc!^}wIrIWYWerd~!WZ*PB zT6L!4YSSx;uWP@(4G{@Dd?(N+{<Oh?9QW$CQW<3z#QbK@kjS>T*H*nLcy9ToYNmjg zIbY8!B{Vz@xV??FVqQ=C&BqSwcK#APYNB(rdO4#>C!ey_WBvuVmNra?&p7z+&Yk8i zy=8A%tc_Ow3u(S}ce3jDdCfV_25F(k+h%GOE}6Uhg=O>6seX$bt8diut$tLY(vm;x z{0otAIoq`n-%qw%&(Tmno)(k%ZF)|Y|7F)-mKWQsd@R1~4xT$rf#v9=jy=CqQY{~< zi+}sLUT^KT!XGzo=~PZ+F5n5zk@H%%D&%$s*Ms#MnxQ+ZD}C14330hd>t`^SpIUqA zvCucyi*3Fo_l^nAQ#?NBpLU4R;k-Q)TT*^5jho8R_Wg!ISMrs62Ux}V7}tH3nQ>y~ zf|}oV0wY@jE#xg7f2;dWozU*rdbIO)w9&?N)#ql*<I|FP`Ls_?3$kB+_s6_>)~ane z3F-MpQV-(gO47U+<sSHvD!Ta8n(pn7xA07j33@)4?@menvK`lVJQ8ov6F8|XsrDhZ zZtc#+XY+*LhyUF)qgv3(pKHF=L!(C;pC0+i&Ny(O^mXp5S-az;ZhL>$^tI5FDsI}m z%eLp-L(eNN)dzM&U)sBuZ?j+*@9(QddUK2vX0@Id&pCH#-TEsmyyqt=FgKrYKj_~X zHdi@GwsvpgZ11eMLQS$$g1j}Nk611c`=jfg<vii;>Vjgv{1(v*fgw9Dq_eNhh~Znh zdPUyaRl)N%hTm$KutV7Be2rG@iS0hkChw=*Tl3|BkMbQu{mX8O9vdY${N`?#(%!U$ zWx*#V=5LipeB_g~+05$}__{S(oD{R}^Sk(#Ic0WevxLOIy>r=BKR47{O3wWja(cqM zsoA{D;`K6rdLFRy=k8r|*x_}b;<jUax87AIKW^lh5xby^=k5fr41sPIF;|()XPd<| zUlvShxo}e4i{mhNc>W!eFpomTV1biHr@pODUC*wgx4=3`*7f(pQ<HxvZHSzjeyB41 z*E_{~>IRz5&u!}~5*`<Hd``O4rk88fn*VxFirh3t3BLd%r*+v|@9K#cn!5K~S}o}} z;llas3WsS<@n#`qCxc%}Zn-VoyY~31TQAC{-K#I(tjiuT=jFzEHPg08tPK$qR5%v? z@YlBcPvlQ)>GXV$J+1Guu1@p+n-6E=|GAyv_f>cyc`<EiSN_q>#*ME_uPrMq&D=9- z^NCZB)-4j+U2oOR;u{g?8W6Up)L+OmJzOyPMpeM)d3^372Nrp}QOFe5uzhw+V#j9t zF8+y2V-`O-o-Fpo@A#~np?@uFly4X8P#1{#8)$d_^ol&!NsKcV-M=C!{ik4A-Z{>i z^n>w>@~2;Gb~d?b9>-O;fd46*@O8=0?#g}LFVZ*ge6L9;UznJ=-ahp6$LGRE1~S_3 zo-RGly0iC9tI26krXLyV%q*-t8hrQ9u_ezfH(g+_8+6`6RcH6P4B^?2gKXBc2_{!X z%=VsMsq7SfylblB6aD?$@3Qp7-CraAlK=74C53&HYra@sJHMETwNyiB@>#`?r(D{P zzk6#fIM1#A_W5=%)!6XPaP4&ImAOg1*RlfHa%Vh{_fwd8)uu=zZJm+S>=|o*Ey~Zx z7Fbc^$}#Ed*6$C6??-BupV)LXY1<d`8JP-udhIwCu<tpqs<vo?qmqyjW3H--dDE1b zO<Uen8y%AiH;i`-@||sUBx&1jn{@fim7YurMRp#Gd7Hjyl)r0JRjHH_wiFGjo)WU) z<^Oe6!T0v_tYYVmz1N=45NKT`xO3rE=3IfVtEV)t6BJQW{E%(zr<1;Sudvf3KMUti z?)<0g+`@CE?1HsseXeKNSg2z=p(xu_bXxZoy}9?d_7vVJma=?2<#Io-m$nMe<`Bu) zm?<Z+cFUbSd0_W9m7`&T^UOE;g<mO>W01|7#87Xq)!Djm-+@1;O@Dip_^B<Ob@|f* zm45RWEB|R;sjLapmWSK8g^Srm{h#i+EBy@Ls;tO>yO+I{zZlP}e<pf3!pY9dc*4Sy zGavnPnQ*ZE;3dI-wp~hB;u~!bru{qdp7rK-#jw=Bi@x{SHf6C{zW;mikKRw~#snd5 ztD>M8GmE7crSHjY+ELDT;$CmmiKTL^agOgola@@BIq4oGKj+8X$vltG9(deyQ`ql> zd9IR&zrM@gb%8VWb?0`?5<bZJGVJ~l_R_zWr!IcYP|1layLLSD#ID`4(Jsm*tSjyp zAJco}##<oj9VnBNm$!Mn$EHOxKXvb4Jug|EJ#k}o#8aD^>i_=E@t<;zdS$ppsR+JY ztjc+>rt{Ac-zI}fonN!ppUb;_(y%diq2=?PdU<8shAtEP=UB|+<=5UZ_s{fDz0>P{ zH)!ZK@x0U%I&sPWjoicQ%h%Oyvd^0B$T3mVC{u0GDGN`hPWyQ^&sUqi@voktucmr< zlj|eCO6K>cL(<o8Yhk!;@QNwsytBtnwUyC&PW24)xlRPs7nW8t8Tc;o;K-bj_~pF7 zoh^r7%(zjs`Bm5#6|uwbQUXrhn)5>P(upmS=e<9;L@dp0TepefWr^l@hp*D?RXh?= zYTjDc3$^#R##IIsH>RE92)xqBQyNlq!DwFXlLKip_g*r%!Txm5f%TuRI%Y1PH-BcC zTT$+FjhAId7i_!H(^f2cNKB&Qou<;v{fX)_S%)<mL(1<=@X(byVfmzkUuyEL+CyEH zBJbC%c%h!)>nic|*|&{T?&_|&xNi;j!@AFBj<G6huKDR~{!#De(Tptj<AU#8Qj(9Z z?G3$ItUq~cRCu0Q*x8rg7?S>K7)3v*t+dM#mHt`s-LPc`@43!?=hm0|dJbj>bom?n zi52zTl6N>W_i}WP%#-&wCkg$!#Il#Y#wF<K)EgG>9Nv98xO&bVvw~7)oxF7IcbvUz z`=4$yexGsa=A<?1mM6IGUcb{a?|b)U8Rpy1S#R838=sf|;A+=o&cDWI?=QUnY3K7Z za!#vOp2?akaPIT9cc0R0j01OjDyZ9rsU&^6c!c{>W%tH)t&6vFznF69%PK>C^Y*}q zoX`b^UZ(=2-l<Lb!#11s^mo=eVZUo)zRKEu(d+wa3>4aUEM9Ig%)jed^V)o3_x%qq zGg{5-juu}yt|a?E^H8G0pNp?NH||@$=mo3z>d&E%SN~^J=x+-C*LXf^cGwO7u2n6Y z%B|*3KO)rj@57G0Igvl?C+G|RT-5sS@C_aNg{*(0RO2pm@n!9vh3ztHGZS-z2NGYL z1U{Jicl<SAV|nmOXw95&TYg2)k0`Mhec$3yzx0iLk;jC07f;Bk8~tXEd*)~pup%xc zBan}~UuTDA_VG}Q)sow_PdUAioywD7dqR5k1<mhMb}&VAF|A=_cqw{DsOCzC#<h_6 z8kYI3+-5(MoXpdYJPq{UpQ?JdbLRAaTAtlMP5&zd8(fmu!BJ)1DBrL#t6JLR^7$T_ z{~v7@oLKZf;i-D{`od(Rj<*Z{K1{Pr(~SSuR~BbFk?ZXHA4V6sHuc{XczQxo@4k5S zWw+$e)u)=ai+nAAr!nc~>jhD_PTg9)|GH;O9h>Zu^w8@+<+r_(=68F_D*uyxPny;d zse<ei+pb^xWD>QCH|+o22cen2l8?T9RK&Yy&hN>6mw3AsZ2S|BzPmI{w9MDtqQJ)G znf0sLuQh|M*9OVC^)kqcwoSgjY{r)rQ~WenM0sD+o)N7x)4%oD^-c2O+|09!dS=#C zJ?gAtDy`REc7Iat=CHI+-68vO_kLpR%5gK;b&pd?vEecI;tkj9Zz}b3X&9#(?%A?L z%7^XUa#iJtlA^Kd)7jMZ9%!}Lt-kOueu7`|&iALe&R*K*Un*={pfgX&X~#Fugut7& z6|Ww=<UQ2Tw!n0{ed*to=lu0o`1kD&UF3gWl%rPZ%S-XP$-fe2d<st#O4;eesd)Zq zU*`0w_htQlCF?9V*`+vLI%K!7!Mf*BF6U)~8bnV&O}27B@%C{i=gyJ<<<&>KD_f0s z@kxCYY_;BgxcKipZoQ<^f00_o_gnTHUU+WN)}J>n@8K7$mebt9*s-bNLB8)>cJH&% z2dhpBaNLga7LPPjY0#dm=)BbP+KfZ}J%#ZS93kmj!^4a?zD30N*tlKZzbE5p<eKLf z_0<2muWsGd^VE0y6@@eZ6&?kc+132t^>g<x5gmTJJ7(838LS_R1_s>My)NtFtZdxp z@bH%Z=XYJNY&zpZC!|;khb$3vv&ug_U9v><TDQ(gdw~)rktaKUJh|O)@Pc!Z>wcCq zT6#Hc8#-?Wc3eADaQx?g_KM>-SZ=(H^H<2r=@1twc(6GxBYSQ;i*MV`oSP2|oUd=! zTv93gNn^*hT@tTZzu&!cqJHC%6wT9$=T03L?Y$BEmuCuNvt{`KnLWHOZ*=HHh0eV* zH$vZK^T#yK9H!tc??VMwE$j7MBYfrH2GM)!_A0h4X$emzNmk5udZ+zFDKt>yeBY-C zmhOw*j9Tt<)*idEq{j0^S3=mjKL^D3s4e8JI9EKw>$2Mcsru`!<~vpW4l@2?yX8{M zS<a?@%Ivv@gVO}38L2-jL*1{jGdu4WD%kblot^hzNmJXr`?AqhFJ2ZOw$@#p?*87i z@E)JY{No0%T{o`&@RxJR)F)H2R(*+aX~^HHw8^SEb)$L9kusaqrw%%Dt_H#zbrPQm zEnU-lD{TFyz2++n_^xoB+?r@{_1~F%;XOZQGk*}6afnNEvVU?{Z}t3{1xgR~eU-Gg zN4*g<yLsH`)#Gp9LXI)7&bl>i;`h&A?SIO=<m%nK!5}=R;bW@yoTl)yT?)<jJSE<3 z^I5=j?X^`_4AY)OlZ*$6v)PN?=gu=}>i(d=(WURcOYY@Y{R$_fL$&O6=TA|1`>bK= z-<S6H+&P|EuiicP!_Fxmp0KQpJ^#NjZp9{6mD(FdS2AvfU9>on|6JI`ZNg)lM@pG# zC)Wh*;J0&G_u*ORws%PZhVM$I7hSIjaMZH-8`LlIEL?x>Cb75+2{n)A+3d~TdacFn z?6f^<hp&EE#;HE<XxOp3<FfyI7Fyhs^~|`L6Ef+g*2|3(4BlvN+j%zG)y|dk%%=D& zX|LE8fBW%ZQ78km!=L@LSc3)ceR4hdKXz)(1_nE!B^p1!eQ0Epnv%!A@M>tX^osqz zX34&uZghFu$FsY*?x!4FxkdWA<g>pmuDWgoOj*J&b{}Tn^t)8_>he2*p3`srOtSrO z!bfezSvJ}8dqbS|ES<JdaINr^?ag|d7M+jOJ{Fkh&0@Lal;rWd->l-@Ch6b$c_#16 zZgDm-m!gtmTmF7j&6xM+!J&J;p{(apCO+M8Wd*BT>j@pDpWa7I=H_NEF}-xPC1KIt zN1T5Rx2@Qfao$t)rDufchnowR87$%3RVnEcam8K4^^ewqg_}xiy3Z|sd3eEs`<33h zXSXU|;yqEe>$sCos&X~=b!Q*h-ON0DJM|<JEO-21UeUR=<f47i!j)HE>5GYSSat*- zbQdqU>-*&_Pjcp-$AbMPYN>0BLZUqaWsm<la=h<BSZmXE6|+Z`$5f`P|G1p?rv38H zn@fY{GtAe1^=xPRONUdBndYUGNQ50Mbnl<X6``<QIDSe^qEMtuqwn8Yx4h46mwTV( zHK{C7lT}9c-G5oPxmQKR>I(8hSHH6BZ=GOR#J%-{&`g(&Gv#O3cAUKZZql#ku_0<D zy~S~-F8z@)5LtJ^zN3<BatC{$=CW<Ro0OED&R$iRu~+oc@fim$hOEqBw#bT7{W7uf z`HsKrAIcx3@d|0277^IAZOXCjr2z;3&Anyi_v7xxk|0Lk$HMs*{~xvQ%{1L5R<=g& zl6_*|XJy{|?sH2%e<`?Vwl(?IJXQYn7j^0@lgdt{8zyAgetr5sko8&1X%n@tecn6$ zl2g@L4gY$j^fHLo#fP2aNLSr5*DGtoy_5ytgx9Wo|8eJCldqAz2A(xq1sj((-dp%5 z$UJnCLrq}5fN0UfTqZ~DuGU1x1&Nc&B-i}a%8q1ySs$Yv?EPw@$L@<)e{vt*dO32z z%@Y=WY<ItXFPV5!;}GwW290@&(=){{xxAVar^wP|<EVQ?O+Wa{hE221F80@nUYIt+ zaf<z#E*9ZD$II({WoLRU;L!9Dxqsk|bJV)bgTF;DaHK{Bzg$|gS3vU^-;_(fADq<h zFFV;NwL|BV1;?uBUEhyw-RirBbD5ukg?Hnv{Yw_cui0~vnVbK<+2TnIrz%fOSP{8H z)Lw+=QjC!iyWy?2{eAB|#WqQ1ZrkYUc6WZq5pFN3rjF?!Pt>+~^=;KC=Q=8U#lieW zYUF+^>Bq%<w<7#xLhdf9*>Ji0)!pfuTf2HBRtLCky5pXizcVFt3e)-eFJFAa+rk4R z)}OMxvs5fVqUhmswSSSp*Xq`mZ2xv3WvyXOuJ7fH*6No$qEF8-FfMyg&%)-zIc*-# z=g`3AMfY!=(LWP4bMdS>&paQ#I4CWrXL4fu?d#k5*vcaHYn~ZyI&q<Bal|x@+uZG9 z)f#4#Vm@EIJvZ2?z_z1h---OBtn-RKA>Gr;GTbIinx$&dZB*AkHEZ7E@?&WhM;1>K zop7w&S}kUowztq-XR8;9e?J;A2f5zXEcq9aF@4L+?gKx+iMXxYE-MpwZp8%dLq7WF zUVobI)UyAs-7F{3!1f=vyhP;GCN3^9uo5ZL&U?HrYwPq?i&`VRQ|E@TsVgmP)2h%@ z4!!z+(Z85ayuCFl7O5IX_zzfoWsWuX3{0@U+};qcEFT|deyyuoOi;A(&?n7u2{oR? z_w&9O%s=qxXy8SSw+BxC((P#LFIwB~^1}1sg)Hq2`<LF|UmK>sVKu{&+>eS&Hh3pK z5croPu~#|ozhg}PZq7F%wu@O9oVCLjyE$Ly=hKPkTYFtTr(W~glAgJu%ID>UL%Ghp zF*;fwHPdpl@tN?C;z>){&&po;d4JpQm`kV3uAG`sZSm!xae|xBoIr!Z`A_bJrqtKv z99wt)Tu4E<obIuUlY<_7{CO}}x+`<ZL*}UV2R7M!l26lJ4{SS?IX(OPu`>2nnVLe8 zAJW;$500`<nir7m6Ovi;YwOJmGk2evVVc`!<nVU-s@9mfJvK4c)^iJO*RHWs?Z5x; z<lHkc_ph(@*E+^~;OphtY*`*IetY_TnYK(%+MLcM;vg{9E37+RcjHpF)a&QhNT#Vt zG40)9W54?xLxtzMFFgw;{LPA*y`)5>#%9+3-az*MU593+D7Vc$?kpJZ5H5bbA)v9T zx~*<*DOdBWl*!fWCS1uo>$`h1-`3+XK{q$Yi^LVXOsb6+cyY96Cf~D78VuiZi{ho~ zxbzt_=B!|lKf33bs;ukj;|ifloxc{I5MiEsYuP0?cX5yNRiS?xrM?(nHGKHw<ChyU zj<bS#3Y52Rzc$nI{fgF`H@+Nr_0sj#v^(1`%<ktq*fgi(*VR3rMLkw$JM+zwITKoY zDdN_qLw&t-lC(tIr?{lMym+5vn<VTod+I^i^;TBzE=axT=c`WVNM$pV(`&mgrB=nQ zCi>55;mztT<;%{_oBu!|PG0FepUxpCy>Bt)tDd_qIlaHf(s){Iu-5Cc7=t-($F{!v zti>2~FU_k&Tkq10GqY^w=e_vDci15EfTEmmu)+3IZyL;GETw!u<(!pxvG~rX`wvpK zoOx{Hw$l6F9P57W%f~zUbsq$tV4I|OQOW3&m?CF>zIUkTp0i)BIX&Fr9`x{8Zo{cJ z{!5vSJjK*+b=?Vi*q>zeJH=jolkMHxtd4tDsD5KCwC-B^&bnn{w$$IhEl;B|B{%Vl zuHctksVWx2#TFyFC!y8v`+cbrcCBAs+m<c-S;c9Spm#=cx=GGF+jp#&D(#$CO?cuV zc{ubNS3qy6<$JAJ?_5%1j)uK7QxMWLnQg8ow`3;2)hoU=*>c;N9p9VWooW=y%y<0l z9iANRuFq!EwjAX)mR$KSaMITuDGSow#ZL691-!iYHSTStTx`m$JAwD@vWylr*3PqJ zwAkx<?Ssf%iN`XB7SEZw`1${ttWDS7uhZMiU395Qxw&5T?6t|i*;d)iXYAO?Z*$yh z%Tt{>v!>O#{*=A<Uiph@vbJNG&)S*J=bZg|g`a2C``_6qAbTrE`NW>h0<P|6>f8Ew zyxq%;<6cauUS3<>-yNE%zVYMf_QQ`a9$9bkU5E3KNgNYfj%sA=k*7&)JoWoe9Q<j% zJ;qKobM1*~Tkg!YGCg(5Y{~C+ZQ<Dl)22;q$UFRhSA^5oqc6?>UT&7vpLVgs(c(@1 z@$cQ|l9GSF>AP4S7b7FFqe8(>%s;YrDwpa6A%;Z`nzL9!S{(Z#do_1lb@&qNcaN!g z2T#d-*=LVS{;jS%`pN0UnoS(PmuWw}dH?dA>-~qH%wwqub~R<GZ{D1mAmiaX`@xK) zoH(63?OXm;>%GxAlB>Vx+sR|)^{U@f8<!=lT-$hO<-++txi(Hd&c}CovY_sbwF0&e z+AqqVzQ^>|sI61$%H7pRUA0o(wsp70&uefOe{f4HOqkuUK)UbzhT!c#ug-9PA+q3O z)b8MvTmMgcM>RDmPP%(9woX0N{mr?bM#)EhPyQXs7dP94YhHAFs;18D)$$>-w-3fB zwUvCTu=lw6(aYfOy#|R=v2d55Ru?AmEkD0`I=KixIra4LnMkX9zYhN_GoL;G_Uj*8 zgU*J3x&HX{eM#XNHkN%c3@4e|#3vjqWuLt%cUJJdV6j<R9@lStTy6F0C7<uy{v3@G zB|c-uUCY-NDldr*4cKzy;I+IHy_LH@d|0@|SvdOo(faJa8_ktvG(YJSe3*94(JCg~ zA$~JU`sum@C6!`ncNVjy{wvex@R;%P${VG(t$%A7ep?9g7<!adCN|}Z7dAamD1W7w zHEY3E29}kdZ@WJ4tNdLTcVzL7+{23;({K0}`}(}tBgCa}X3D3#KK3`(UTN9?BR(QW zSnSh<x(biCg2#66+_LH1XTQV^wnxtUA2_9bYsw!lw{RiG!2I{`cbtEx%=CKUJ+mzT zgPX#pIz4*5Pkaa8IrH7_4HMG>3NBaOlwN$S=2QC9JFE8Sq&%^G!+qwGU|{4Y73r=D z8^<$W8>N)5wB&8IWBL~0dZWwty>;FS_9++Nw@4>_4tamo`d5E)tJkBg7vC*R_^iES zq4_4!uo4M_x0US{*O{JPJ>4!9BN}si*3b1m(&wzzqy4S_+sQ9HpZ8CPVZn~iVGp#t zgA9J0Z!9j*4vJ4WbbC%8kNKjF7x`8-JDgI^**SN2^+YG3*g82wv71kg<iAP2J9f7I zf{(<5{KR#Bhb;F$R-dtU)$0Xo%HN(i_Gv*}NW_6@?4A3$Ps^WSHeS6VTzvZeLa${? z8o#+?pFLYG+F1L|rO&`ryCgpE#<Hy7BLZ)kh2?zC`<`F3?d6^CPm+CEUo=Ixe!D2+ zdH>gIsqlQZXpJjQZ+urho%!t2#JIjsN_q$Ew^aY$zC!8Qwk5ox@#mwr-PwER>fuF` z3VeDCFH5`q+Q7Bu+NQVfuU%Nn*Uk4q;#Pc9{Yo>-7jv_39^3R~{?3n%TQYu_dUiJK z;W--GTJZAB$4y7l#5Mm{2RCi{yu8(JAN!?-z}^UtqitJ{v&?wEB0y%<jE2?e&$`)e za$ktQ;hR{u)yzqS<v_dC;ebU)-PyON=FMrDAX@5wb+cWsPjv9Ne_6GT=VP}-yxsmF zfBu<8Q46#_U7xd9?NQQ^Ij`ROF7iB<Y;!wPX4%9LmeYdM?p`?CCGq0OX$~LtpoBQx znM@}_@5YKN>v3NB`N#Ou<4)0oea4%&h#G8IS6??R$8UG)*4izx3)s4Rd6`<*HZuQl zsh&JLZ&RSg!}9{s+v_YF9_?K_QDf;I175{V1&Yh}R8Rbp%Oj`I_^fUD%<XZr&AnbE zXd0b9c(MK74d(T2%KjFSkM$N@`26p`o$0UB>Ea@(^CIqu7>l;n9AGUy^=y)EyYSAu zxXLXCvyYwK|3zLoX+e2*@q`^okxy4PZMgpJ-qXu54?{YPrsM@Y<(8|lXIQW(&+Vwz zl7QpS3ch>s?RNijJiBE<Ma0Cf96s88hhAzesx5z^rxTMa{psOk=E$N4*QHlWr5tH^ zaQFUG!+EUdGX&h8C^9CC-PYdxM^q!@!QE@V21!$2uChC{UqWkEl>HSBn?T7rtIi!) zrEaHmPV>rm$rV}g?O}FRNXbN&(1QyuB`WeLwJwt=vRrO@*=g-B=h{hCW$Ap|%ep>1 z((cTXJR57tsP#)`mfq!apXwK$YX7RC_DMK&(tkD=-C0W(u+KcHY$caf%(%#EeS7f6 zF9Gs@x8Izs_uBTF{;9VGcjI#AKX=~Xn6b7vn7L~9HBNH}!>KGXYu=VDJe=gRNs(8F znMYs!)QnEAPQ!AY9qj9FO_9j)*xZwFdCo_nfM?q`UG4jNtv0V{g`3aL-Z}IBt^X)7 z`N^)z`%Uxrbj(tDCwqSK<v;~tGx0OrT)wtuE*W}mZ{5@nNli=?_+qq`hx2r=@6ri8 zr`G7yeoAZgJtNHYd5!Psx*a~2=|LL4M}rr<cP~+p7wS?G`WyM-=enyVix#~TyR0<% z7V}N#?T6lXAJhHj@?CI2-3Al&BP}OSv#`oce&QoLE6{H4yqlf|n`IYYsj=a^DE`3W z&fJD$t8V@|d-UwLEo>@B>n1I;zjU|vWI=sjRQAMJC!2uaX*xHLDCAr@9L&GRaOJ$l zutffUDb_xVABDd#t^9Q>M`-Egv~LL?e(c^Gkv(t0l%U(?3$uT{diMVOyQ;5qvy?-X z<}#@8g{}X;Z>#K4*RPjeUgDh`G9_?h){3ws_p2<a9TKNDXs2F$cw<-5UjB~~E0pWF z7p%Kuv!~#){2}GEtN*_%TS>p+<V~LxvfTH>zaz}^E+jFAZ}tofP7&8}QaCF)jj!a* zh9LbXpFM8!->v4^V&a|Ll5$!lrR&EwvvUWf+kR~M72DhuBvE@ocXr@K0bLpY3)BA^ zDqAM3PSesjV(2~9YeAYNhnm2wxoUg4*$%ily!phDlm009WNAaU*X!T4UV+Q)%5I5l zkvYF&?wdx<5=sA6&tr7nd=?1VGyhYp>KooPj(Ds0d{bZYmaW{~thRxRbM4!fj@{QB z4~Z;vtm+cHTj{>;x$f?zX}*?jPRGyvE!y{`LXAE6;%g)2-cpWr3s}BReR#B!_l@zs z#o<iM^DGXD)tE8mI5GH?6s=n|wIr;H-TA<Rh6SPgFVr;H`X<KZv~P?|+L>ljd2zqf z^v~8erT6|5e`ob|Ri%sHo0z9cE0TUWJimHgc$1}!@wQE}iR}VMY`wN`JrduOx=mj7 z4(s~R)gPTzy5yG64z^seGHG*TUkIP=G<Bn23%9Zva`UM#SX=$TJxpEszH{`nF7<Cm za^ia$-L&_gzgK=nf3o1?1tHc#COX&W99VdKdV)Z9ZRp?ahgfq>+l;02t<9pM4x~zK z@u<|2II`4oy2ivWml*DSEr0e@LU5LR&4qg+=W1EHe!X2-<~FO~%$wz6i&C~;QAmlp z(D(I#8pr9sd{2yZE7d+|J@V+fym^U>YwMGu=chwnOLd=i<`*pf&gi$6`Li<X{149= zs+S9w`Rit^^nG$!<*44&9KlC3=3hCZesKMk?U^p$o^jhRoy&6U_1?N-&cL5}efgy- zKW|7p)VuO^{~YgCiD}O-Ter0{Np9W9C?9#-L93#tBl)=m!&Q#XTdp0KStl<q`TJRs zeKYgKhtF(IY47?sC3S+y!?TRR?cbfPf;y)4-SIM;8>aE0+VK41xM}z5LyX^U`r)<m z)u96uN>t|;wC;#IX;r7i_-gMxldi-US1Pw;EO|XI|5$ssrSQ9ogtPsclf5}3h4+0_ zS<1FM{^j0DflUl|0-1$9Q(sQGro-4dKTgXd?S93)vh6eSuQx5#-ShW^$_tT-sNL4q zwRu?!SoVayO1xm6wfe;6>F2o0i(eGSX*@r(e&LLwwc-}iJr~7iDR8UJ%URr@^=1DB zXHye7-|sV~E{OT-QM}>1^D$$_54)#7zx?f(#-g5WO;`9PyY`ml9G%o4raG5@!<$)$ zu4*^j=V<9oD7q#XJtfJ&C#T9(FZzwfgk4?Nm6mX(PT>9XZ9==$!+qOt__dr7iTf&j z>cfkT77N21i+>qS{P@gMAaTEI^z@^1j?8r1pSs%m@s$vb{E73=d)r*eeXfvi?|$Ng zG}ldjP4VOjZrR%|OxM|F^?F){JO86MwFe#CBFoeE=d^E|eSXE>P!5M!eWghO2A`k) zcv@(`BvDTA+tpvZ@6JC{vg%msJxk2%>mu=a$JkjfnY>P!Z5Ht5%VT4KyU}vh58qA` z|ErtDRwTMe>r1Bi1oKt;#mm2^yh~cLcHPN!=dIWMxBss8>X%>j6jOfLRqKyEi@iE4 zSmTtE!o=ITS&D~}MJqd5yhC&qS^K4b@IPz3GJTEH&Qn}rORHl|uWvMAT+^wRzJ5{F zt$WIgzaQi9yeRM^$jX230k;jMXLvN!ZA+XEZqm&1-5<ae``+X;TN$rd=jz@AmFjuL zO=<mB3-0=KpPlz=&s3%U$J;_S-=AZ8>)9_Ivzw3YdCl1ONQUlyc`k~H`Jt`u!OmZN z`b?>ttv+lw7VFb~$|)ms<-mmuoj&uf`dw=@KY7IRD6W}RaQBbZ))HTz2iF~+WF6hN z<y~Iho`26S&MMg`!+74_ZNX;6yD4>jliOI&FUaKx@mrM;`@zLN)GBt_w54n7AFp%P zI<ansTi&i!R?BDl-s>0XkTJLtf8}!fO)1v}Yt%B#H`KD!elWaav3ZVb;q}?Z-?p>X zI23O=VrFwUdhR9mG-)P<Ss^=D98za-xqoX``=M_?3fF7OxF{y>=3M*cv-6ju|5%+S z3Gdh`m|0zNQ{7v1$zq+29&Apm!459vLS`)+G+*D`!#J&$LGFjkRe!lB@_b33RFraq zo!>w3JjRpJm!15lO?PQl3v2$7m;LXuwZC@cUt0ccPeMP_mO6H?ohnh9&n239Pbi74 zpIy(q@3iLIeUh?y6Jn;+SG?r4F+Y>bdMEntG_9cc2Mf2XUoDuRzu;0^RdtGvxcFN6 z{PlgsPgL*z<*g8&5L{5X{UwW3wVy%ftcU{@!pu9gBGpcQ<Y~XI{6k}Xuj{7+jqh@G zJ8cs6Jyq8|n3W~^b*k^ScdIW=T{zEorLExOFou2?+e_)bN#ftkrbJ!3>A-Zwm-Bmj zNJGvk59iAh&I>mbi5(TR@j0~gSZ;r&mttehj+BdC`x>s?<1^4amDYOX=X0SP7AsDk zradV<p}$3rUzF8qsbZ*X$<qwE-kFum`*mBRX2t!3i8n7-zgwfnuA<pLDSTlYZ-0BL zTn;B^+GkGdS6WMF*9YXSyY|#Ec*TjxYdTGV>K+04YkRH~+G<+(`G&6%+9vAJzpD00 z#{S1ztE<f)F+OhVNZfP%N~F|l`TSq&wKp#-efdmb<(?3IP33O+zVQ1h*Y0Noo>dN8 zKR>F7t#jU{qXE@P*$Hb73qE^oe`D#zWA+a>?D+r7D`w7m+51;JiymBYdg7@W`R>oB zvO8>@-LE%h8dzQ^apmmwOKx5w*K)FE*=+H@a``#)&Wn9r`}+F25~e)UM{hglw2R#- zmEXJnhu}rtZ%3GOgQoCqnzK(&+U`+H*a^D>N3Q>T)pxhnd6M+zQ`;lkHNQ_jJ8|kd zgSls=(!1YmZOb~mCgecmVSZnpR!>J0Ep^StJEGD_vN}Ez7rACnYzt*SsyX5A*(Z;- z&+}JsYis&s(jL5gE$<ZW_kSHMubmcdcNFU08)|pp$`nD#rae**_Pq@Hqp{w6>OSM6 zrc&>sJd4?NmdKS)E4W%!y|Ct~<9)u24=JV59)+h=?;DEid#v7>YyQjM&CXXj?7Q@t zInzJA_~zHVf8uuQz*qUHFK&DYoja>tuB|bK-y}fm_fo5irLSl5OGuXQt1ac`a12vB zARduscKo+h_o4tj?qfV(GSBsKi0(Tu&#dm@rJI|UGg;g%|NF#sO8VRxrTx;m2K75- zRR1!GO<mkE>#Es&$E8_+-Zh@+pVutcSJ|erVvoVwt$KS6op}yVs4c&;{!getUG};k zw-!peMdohaxZ(MRX$N<UWIX=7anp8#JJr|!7ay>?>9TKGk=^ta-!&e7iO{*Zbl%Zd zUps0iFFDB3+pqaPq^sX)@7H;ub6y?$etSZOoSTPMTGVl4-mu)`Uhft<@a4Z)#h15v zU9-(}jy19c)f<1FJ;WZW&VOLq!K;%y-)WULJDL=(<G8C~>MA^Qy)AbZAMgDw6P;Q2 zO_AHU;pQFHdJgNuYC%1Z?H=7d#CUnevt=6m>V|xacjfTxVYtX;I!EW+tYs^Ox;=Y$ zg?u}G(d;H`pZH?^tBaSl?A7?I^yZq;-z!_1GWoYpm)i8KsjA&=gV=rV?Wb<sEMZ@? zhe4}ukBZS&A$My(zYj7`v$QH^o5!Ycu$=k#Z^gbRawjam&p9dFKPmrc+f^}^8ypj? zC9k;Yxrxe|IC_;P9E|$frF}z5aBti*t(v$aa*TJ&U9DuZqW3;2`Lxz<@``1LCN>(c zUHgOYSdZubZWUhfgu1u2jMu#NiWgRGvN-ejpINZ!MODpZyUtArJKb+L``#6<{Ov^r z^4;&wdH!yj&gp-@(@!>daeAM?gC2!rTAP{AXmc(NXnr9r(kJZphutS7|Jc!DrYPZA zU1!d`mG9bCn>}%F{^JwHm!{r79UfeFgmuodMf<&~MO&tSTcyZ3BjVel-^QOeJounD z-McBA_nHO=&tikNs1UY-zl^Udg?pZI&RG%jf9+ZA#hfSIBfInOv#kA8Yh&}edj1Dh zCcgU0tj$-g|JOVYm+I^~+p|<;SFE0brL0!S;`{Z25^s0hUG`$tQE5YMY2_`ty~{Eh zpK-*wdP+J4R6kJF`n65_n~Zl@ZBpPN=9|(>*gikp@QHs_fY_V-3n~TAi~LPn4@_Kq zqJ2ro_4n&eH!Zrj;rt8py2SHc&#y;1Br~c`<JxlBuqR2!a_O_JHGhswe;K$$Eq7}a zXOojq-I1$)u4@IaHPtO!kkaOS#j8j+J>puo?;f+c?^YL0NM2)b;M>3NznZ2TtluyD zC%x+Ho`C*X<pYI3bT;z!{-2q@DNiz_=bnbq&O7;fc2a67zKIN?{23iRFaE6k^;F8y zVvcKkefj;HTa0(lo9^k&wcY6~--VdEjYkY?KX<tupI>Pn&icK(W2WbPUF|t%pL*JV z*`DARYvP>3cmJT!L%TI~*Cow6>`rwp{cz`wGOJH?ILDWt`9j~rZtQ%SW3%C|a-z`j zdu^<`X|oe=eD7bl*huG|$isD0B<{0{ZDz77JK|h&`E2MDb<?jG(+-<2@?DtvTc*a^ z%hjDHDL6g;f&80(##3Goj=pGB`+m@F&YORB|DG|qc^u~WAv`}_-hHoJPqVi0vlUl# zUY*FE@IqETc%|vp<uQ)yV$QniuLzqooyT%=RO-&I*$!3Lbyr;V7TmNm?#~6j>J@9> z2S4uWe*MnO@Tb5szQaEGX>%@QYn`w3iZnjlA@TIx8|U~PkMt}*rcWy9iE+R2^5Z{M z$wa>C#cIYX*%w75f8G(@*=OjyB&WeAeU7QZpLZ1|E-QCyFTNHpcz(_FUH#I1&4J7T z4;mfbZd6T(j6S+dTCZRIQJLkZtG7<ySji(aQAlh5ymK9k=beZSEX_K*MEbSJzr*1L z^Yi-uW$trZ7T3+<y;;ffveK`Z|JA;H2Ys&uOt8&5Y_ZVM^gzb^AWypkU!A@@ntEST zUR(I=?CfHR$cQ5gCrs;$`TyiCpUA)5IL-stGK?H89R-&<pW1$atLv+?R7_g6#N+i* zk8ZtxsPFF2GJlr*j8-`d{_g4tadYnquKQr>=g(sOzW#PX+Kr6Lz5hS-ZM5EJnv|#I zDK(|IHMrx(=Inp3HE#8VtW4^AIO|HD!Q0PqC6-xfQbt`~t8b<^^5^ZAa=deFO5)t3 z|78;8{0+9YL<@#*D{r=J7F1_^`D<5MRYj_HACrECuu<x|(}j)aEKhG?%$szyyWwkI zP;~C@Ud2hDHl@hR6-Zt!x{#t6acWQh+8ewE-La1i1p>A_;Hk5;zpVG{a_tNb&&Xr5 zLwDc$nEbrk)QEF)B!gv^`MifWpU8EpL~ULFaPIaq8vL8Ld*9voE-215AbiDvr6=Df zU!JYJSYhL{rZaV)`;}Wh#+S0(S<jiB5V%(M$-SsvG5viG&6Zb$PW;$+vZXrb@cs0X z{?hZ0dX07;R5-o+U)!sbqPt7a?R%*Hc)#bP*?DX>#g6=<T&}tnVKd{x6mQ8)*f!Pi zh)1^bm!LFGM}y82nfG#@o%^@D;*?{1Y_y=Nj9Ebw!!^a~<mP}y;z9Qu9<*thEW1&) zI9;s%-pR;AVS8)DT!U(MRJ2^VQ~L68^n}P;O&1hC7rZgddiDHn?gsUhlUGg2-mzuz z%gYC(9~d)r9C-AqS>m|U5rwIzR=*EFZJQ7poEdJZ)v|ldjFk_}m%Od|?=hvVvMyuU zHs-Y5ii<7=Br|Ofy}15e#70*Asvk={689bYbt(VBbi1h&mVE5tKbOa@925L)>zRj9 z8)bUCE*Uwj?@>5WxM@Yds`go)cfp%3XnV9X>|<YdCN$+&=%%de{ht(zJUHh@{G93b z?)~zV&QoS-cdv81319r6zi3uDBcnt4*KhOlFXUxO`W*JM^N_4zox9<^$id3zO>NF> zk|O@1ePKVCk3DGfai7r|7k_SJU5nfG4`(KK#V{GOoeYv|y?1}_7XJw^bI#<qtd#uU z#yHDz>F)y%J|C2~vb-OBU*5CFRPlkolP6o%;&Z8eE0bQ?F7S6r5)(O>StzxyxJt|a zO`3V`5*HP#?;TrHPOQvgZ4LQdU9);^`_o-)vf8Ed$}`u<aBZHke4qR=CH;98%f%K= za``hk$X|65*RksEjSqjwtJvS1*<HDz%_jchldJ3}4!<ov^m4_aCtJR-Bq)6O$!YRx z_U?6Eg++7cY|Q*Hxz_#N+k?*!9N+E#C->9Sq>Ov}HyIYHsnxmN{ljrx+e|h3k5~Jd z#LSL6NzzY?gr+cEy=A8`!ERpCJj-94Y7J7;TJQaP;&tocJ*5w99uv;yn1(!ha%#%^ z``qr^`Oh~k@|JJU-d1YjajrpPdAY9A8w-O6+b+*@I5|h-AFFgub7hs~k+Z9t-ex8o z-8HwsdiLcK{;vy<JeN03mR<Aj0GIO|(Vv{J8h7~oShb?tV(Q%Ty3#WNI*Qvasn!?o z`m~&B?}M(BtB)C#{EI)kFnfDS@YbH6YO8kV&eeT-YbJk%{@lDTljd+=-0pujwC7x% z-kOCgoP>35nQ42U+#0^$>fGg%{HMx|r5X-faru9aJK@!OqSfVtpQ7-#+QZNHJzXyD zsp$T%XHDbPYq!<+@aP!7zEtAtt9!zR>8e%is=8T%Ctio@F4<Myx4>so%PPgl-|Uqe zrs_GrEB3izvS{K~-?bGTkNZ+T9<~3vZkFBLe_t*dH_BO?PviP+y=u!<uYD~q)f>6K z#LUnO)0@P@zhrfxusmDnrih+{!f!InlNW91>@#v+Cbcsp-84g?HTZ|g4vh`ko#xj$ zHYX)d-SScY^I@+!6R&FBe!tb9jk8nel+gKp>nAok{X$2jzgkGY{Z#X2bBs+5<1QnU zJ`3@R;@y)9vdzCInaTVL`IgWdy|CH!eSg2=x4-qBZw|k_XTxUKVQO&8co)yY5YDN| z4H8^!5$RIt&!(>}*>pTXW{*@uYsA^jPUkhl<KkKJme#v(%lP1$@OtazaMpnG?_cL` zI59gWaet6^829Y>$ID%g{fy&qNbU>nP>{TMZ71*3^DZ|d-X2`PRB-i#AE~9jYbShZ zUOMH+`b3*6;(r7_rGGkOb7pN!=RRo}H$&kS4<7Gn(OMBcRa7eE;gdTYd#}H~HTTKF zU}euWzh=JqFLrXjV(jS`M{I7l&0qa}Pvf;epO2`wEh}tt4B&daVjus@oRt3u=N{)Y z(kzpoTv4?DSJ@@4KiSr74S$&XPsaYZy2AGV8L?BzPb`hAd7m$rX|5E|W$C#%C(c*? z{NGKhE_kMhoh-iP_wnMi)a{a7^C~T->0O&Haz~KmOl{7OTVE1&a&~?Z3g3Kx(S51C zsWu<=OJrlqR=kR3J^Sh3yZom=?V9z^d#`h2lX_*Vw5rE+TEK40)!#nOW{uV<ecDjn za$#@K-oMYcb8T_hKY4d@W#ml5tcA7jEUfNqvkowh&F=ZRvgY!Z4^JweIIpN*^kikN zd%m$$Z1*L<JYg%<eLF1AeP~`^(x=?9hoMDf(R6m_3DpS`pBe<L|8j5b<f*k^Zq{CZ z{GyQ2@Q0jFtDN=SNhfaoOsElfdR><9$(ra=PBZ=mCQIHae6UW~xT@bU@@0tt4^!Pj zzf(JAGF<MAn4h5dHg55ez~F8FH+|V$pc~?>z9jXC$%c=b%g^Yyn13o+?_Y3uy?cvW za&Jv~lPyEZJpO4-73x7AB@^Vo-<=~C&Ncnk6gBo9?%%rG*ZoQ7bN^Q29k}_elc#eO z^VDh9X8H2-T()~LznNqFFIC{wkuqs6_Hzo#vz8ncO#Zp0VdwD;yr#b{YDI76o753x z<>Oj$H`+zTyL;6;o#o#>9k*Eu99(*T+ERY&X3miNJ&DH@<4>P^Cwa)6Tj<#%jk^I) zj&TN<Czq+RYPsI)da!VFt#vGmdatL-N#iFybt~;(SzXu3wGizOKjs)#eL45S`$sF> z47a$=`I=y)bNFojrSLQAFZRUD)>vTe{W@~9?#l+Z=ZuSuUg`E<k$jY(DW;H7cD?P& z%KYnBC-)>J@;#GpzL2;jdD*Hj)vODd(~eKiIb;^>Ali8N^re*eFJ0PqxBt*w|4#OZ zW<mAh9_Rjo^k<pV)<$39*m1vp@@fs2qMs^f)wy5gr#$>ucVG@H|F1(v;ewa`N4e*O zA7*f#s3Lax^j5*5j+)GW7tZ=-?l8#Rba&hPw9P$w`?RJmEH`_$dif;zc?afQJZ-Z) z{+xEl0n<O{FFsM|=U-Hz>eO+#x#6{^h*~~-me2m6{2SBro&}_+RqoRAT~Y9L*)Np~ zPnW-y5I_5F`uDP}p(PoAyp-(><SW=7n(Wno`Lc4?gY`jwW+boGxb5|RQdVPZ{zB#( z=a$&{i1SE0?tj6zX8$R#--^-g2i!#aPcuwrp3>#^{%!3PCCSM0?P94PST67S^6r)N zj09z?=E=u;<Fq7pv@9{7xUTD@<K&xqVaLOyGp=5nm3}zS@O<i;FSQD9b_Fx<JHNjs z^!vP5t676rZ=11eWtp7j_H`SbO}^HBJAdNtuJ-<Y1{F)bJ5RDO?ESPVO=0z~i#=`U z<1TFCwcBcca)0_(mkX~8E-K_)S<+X&oa^C}TNYlC|E7jz$h>7dwrT2mIn6VTKd;Hl zG9T(Nd+>2db#|jw$q9>AndLintqnUHmTC4oGN{|9(>Yl{{3!qDy!AJ>O?c9?^3$eS z_51(6G&g*7JlDeccFUvg$}>*uor+9*E;FzvtPj<Gb2v|H0`r>*H8)cZ>t0&Ai2G;c zng#FMydN8e7v7DH4=dcW-J@EbHG9MPrx%y#So@#x=w5b3xN`32*7wReIa!Urud;e& zJ^Um5LoKTN=II@}&M6bM^s@?b)?Vn$ers*}^x5wQ_i10`c;?)F9@ih$*FP(6(slnt zC2MnwSIZyjh<MI?aWij)v&T+rsl?N^UEY`4dP*&<+7DX3TX!;}bhgGRucYq$IGHyV zRhw)c$IeyRuvd)nZBpAEy{lKxUJ2YTxw_JD>-1jMDL)^rdk}K@LucV@Rvy7wS`J}5 z%oa|PowQ7*V2c5(Yk80I14)maG1m^bnsz-6Kgzqop}a)6YkQ&4e13WVed#LJ`ak>+ zZ0hi<5fOiSH+M~o@)qTyl;WwyuP4U1rF0zKa<KPO+Kw|k`|S(&W;bi|NlSSfZ}@Fg zP_!!dcJkc|{F$QHUmC`IjFdUvTy>Rs0WXv2_uq!oW=hUs`kDPvs#lre=g*i3as6rg zIg0&~=l0+JRZ-CNt>o6u&{t<~a$cD+dFsN7m7k;>*iZWl{fNjrEZe(uZ&ZcU9p82O zYo5JX?PgV{YV<kqOw<C;GZvbWkJz{t2I~Az3SYFO(#P$-hBd#x#vy|TuUr&$EL=MG z9r3xdPQYZn*5;Nw9x_tS_G`HUl!Gh3tu+32>xRo=?H|&CDSr~rXT)${yvk}(^(ckw z*Gu_H!Pg%ikiF|xe(h>_N}xY~aPPm)pC;>?-=;h|*t66?c1E+Usz|9I$D;R+rDykE zwsjXb{@VMg-)w@`h0V23CHuNL%eT&yzPYS*f_>YW)GyA9?|ZnbT3OAvGpaw=vEKD# zVb0|l9R)xBEtkA~d)|>fi*Mcj89#?<&iX%3B6faYR+Un6^{bIBZw&mvYxi#wqXXN= zeEUuoO&;6G)=Bbz5B+cMc~R4otNJYd{$}f-u((4t%MN~?|G#{;fQg_CLz8HH$<@3G zJqlAl{=coBskzYc*mpBc-Ud6q@S7*uZY-Gk`IFE0HikV-*H65iw3u^Y?Z4M+C1tON zojB-iemr?;ckbTKjeTZ{%L2@+`onK-*>mD$`6@*Nrkv83g==_TM@8mN(y+^OS8@0k zT6!y^{?DYV5tYlL!*&PAYIw}3s++fN-v6AeAGSAB-%XtO@uAi?TYpu3jm)<TdAwPJ zB7fe}SDpQzC;Y?P(qfx0v$Nv2H57I*c8cto{bbp4AN9cRb|*d@xFs{KDnDiS%QNN5 zT6uP^BB!hl-mTo)`C^5iNKyYot5v5JdN}$dA3r(gwQi4ok8ryGyj2{IS`0VR5|tEx zcTN<Fp7-m|yKQ}+1$`VtogMb)+}*IZZOf4lUlMZa4=<COQoHid<?1Y{MGPg;CuU3v zIj&vOVsd2eA|0l3vx@cGITr+eTiNv0Zwo{7j{?URhKX?pZcJTcQERC1akJ*~4r@k@ z_L&YkJ0sU_`C`5HnB(^1y^~hE_b^uPj*V9NaVe^EW`JsjQeo?C1Fb|0(O~(bX`%e; zQ^n@|&dGLBP!yfSbmaYUeLvOK!%vUx=Xzf2)+<@@EB9Svp<n!d-)GPLc#<4{*{qc7 zsrS1mkiX+u`#grC^&1?g&UoX!!%u>9{$x?5r|$c=ortMAl`)++hSO87=FIhf8gsv@ zPCT-^G9txsk$UB;nbu5;!Zpu-7i^U3RCYR1Vs20#x7Xg2TRw<=l~RXla^e@OyWCB- zxtDy{ELL{C5??ZBagXX9j=RyxC#Q%qh&n$=ddd^-AG3T{$xj)(|4KELnXRc)?gw1T zns98@54%UNRv$azWm2#GfZ1gdo71!(EGL3kmb9KQU9x{))ZvsS@tOP2?|h=PN^q*e zM6tB*0ul#upYB^?WLdZ9!1=dRKS{)G*e#Wxrcm|lZt6|msT|k0?PhrvTT%Dn?!hlh z({}x}b+Fp>HL)Y+&YevzZt7Co{g|gVsVUoX#-9+pwKZer_LCRyFW;}f(Xdebk4(j3 z$*whfYZi2Fw^p3Zqmbz=BchNYR?D_1Pw(wRhD8%DKfWZrjL-9k+Q|ZUk^jxXGt)jU zZ_LRPh_8!S8~k>M?-9Aji<Vzlc6>#rnrlP9P@8b5@ugqWceJx9aK19SlG`oPV|9G# z>p8BEvJT6*Ovx9za?bfj|A8NQ3_a5ocE+`?|Mj9LYr@Y}`Aa@|u|3s0dh3@!)t%FM zspW6IJyRKz8t30Qr1jNXdQrCjZZn}*pFPU?XI)wH$i8EOdD9|3dA4`w|7Y0!?Feg` zthaSx*@Dfj+`V)5pS)Bg;G%w|a!!WAv~Q_Cd!Fr{ZKxixch||fukyRE^M;>!*00Fl z%zSmXoAr&tSN3~vRGP@xmNfkdUKI22N|;rh!eg1!6W#0AU$8mOZZtE!V&8`;QO$~C zF<)o6IbArBI>q_btV>P&hMV@Bu5=05G$mD2VrrpD%hs=9%F~`d_*i~<|Jv5Z+|0bY zZyxX_8Lo-`IbW%A>rJ_T5&dze!-ES>NLhcqJ~t=z*2blhKdsrHtGQ+JE#LZDmNVzD z;;qHEE$6<UYS!5MZ|3re=6i11zguW>_VzWK&r<$t<|(d>PQSDG@|nx*(*M`i-R<?+ z>#J=V`1a@RUqbP#z8A%RwAdlF_0T-iO?$SNY+h!xi?@#LhwhaB%HQ7Z(tS~t`-k(m z?bC2)HP!P+nO&Z9_~=e&u+iw3>bq-ZZ94n#wP~A69!eQ5UCj3BaXjDNOZpRze%kOT zsVLn2^u{95k7`*q+%=10mcM!{F2OM)CStEzcUjQ)ZrQ|(Cf*i@t&S_~y>fK%-RLN@ z-BPpM_Pq(ZWc_Ey{$jzlKl5%_Ym{6p^NzgOx^3;9`hD@K)^=MTDJ3mwIDbxUdvI?0 z@oeF~XcyPZnQrF`Bv%C;^jDc|dBIP64zq-T%fI?k`{HAJQs=yWXDm>5{>+cfeqS|t z_np*o3x2=DN-SvguQ|6fIb#GhUAw>KulcLl-+eoK`!2rxiMfyY1rM5vSeP8~*F54q z>HQ+dzT0t(d;Rh@-+3F8ynK41hkVYV4NkWW`s_+xM9jPSe$VxJQ}0guyVCFPkF6Jv z)V&T|!S58FcUCf4Slq(y|0Q0TsNmLyo{%k}{DHQPmw(-f=KDIQ`|;{8FYmI=m%XF& zN8Nsd|Lq`txzgz0H&*!8`M8K(*t?SL(A%#H#TB1F8ZG9ppJej(-xHC`m)g|6u<osV z_>?pKgWZS2uWIWbB}IO0-*&!cm28metTVwj4(Ss+Io`BzoS*v4=l^x(Zy}oApSPVU zbDrQdkCF3sug0;ojv2ZED}z#Y@-yvs-#*(<XG*xRX>8W5$$MWFO!BQ)xxr%)>%6!< z`2NQqzf5*i&$(hYeXFFw>dR?8%O7xf2ZUwypV?(Tp||({xr^>ej%;%t?4GbgJmyaQ zcljT!Ig@TKd+>enO56Y0sjn*j&U$e2%hXF>Ok%Ybgl|_m`@3vi(e!eK8P4^mk4(4x zH!I<t_wL&1kDGSS`d(aN{q*MhDc;Rf8h92Y_L(j`^($}s<9m#|SyMEwnO?oD;{79P z(M=)$RTH!i@SM2%sz7%8rd<ysq!^NBE!iX`m3JsqWYH^*iI=OS#s7Bi-DY~L$kp`9 z1|y#R^6`_uKhM%kJl#4gNMdV>LBy}wJ}19?RruPt=0M5+V_#=(JJ3F7zU_0_^Y2$! zo)MV(pfX5h=ihCvCzNkoZrN<@+El#4wDk14D`JOp)&6Fbe^oa%$XzaDxW(M@o5cgI z{am{yI<Kw#`t;h}_j42^il)8eSR(YMUQa*l{`$Mwo9=Iabig-u>#qg--zcpt`uVr( zMxD>2n8J-qTnqMy*XOAPON-4Ev#H1w_Y?G3ap?Q3&YT-l%mW@>PdU0*i0S{a<(-nw zo73hh&rUEEs*JwM|7OLsCo5-dS2z-180{IYmeCy6;&iBJiOe^<cXK{-a(U(?+HH2a zyZrA#RgZfTRx7@3ve#U`XZ8KX=gw|)U9)k{Qsw+bCNIzCIahML_&R$*ubQQ#hnMVH z*Pau4b==j>GZI{VJHB6JWZ|ki#(%O+pgr<+Ec>D)&P)q_gXqgIvfZU-#q+Wl$z`n8 zdSRUV(@@c@v&Z4+>ti;*TzXhowQJtLnDs?P!bLR7=9P9kW8UKHx_u#Rp79Hrc6TTq z?D_EJ+m(;USok=<B)Rr1n$nQ9Q9=6PtyS52%MRGP-Z&!k`S;b>$FDszE|mJMaMMn` zt2g2O<0U!^vQI7dZrQo@K}W7bPKvQ)&$R8cCqH|8rhm;neu?^h?w2Cef;K<;xKHFt z_sT2$eX)xVzII@~ouT<Q>e~BC2@PA0LY@<>3T5XO>s-D3<GjS0^wfQQa~-?fuc*ns zo+XtjTetOf#bVCY@BI&bo8ZxM*GOpbNk4P`((gANp4ZKs@bh>mBcIFHJq(K`ud3pE zxZ&Pm#`wl(ClsT~E`M8{b?eKX%FK4-<FaNQ9>UW<7%vMy(YGw|fZLgK<~Mwu9=&wl zSKE*y^zi1zn||g}#yTu3N^BjU9l3XX-i;k%Cwz}S-gWZV1f4w(Ri>yGI0&RQtxbvE z{D9}tQO;fS7f$+~owDA-HE_aW-6g#hy1DZXt*J`fcwt}cMZf&ofZHn{Zri+}Nb%iD z;R!O9`sJ@JUM*33T6Xr&#@BXN8y6d14hn2~sCD2&qM%i2c#nJN!%sJP@&%*JvZi$w zEaX_uf6i-)j^6KERpx%}p<h<!W(3Ep&71Lm%dzt;`A5~IwXF_3?{7X(|6y{<v9$-M zHZ5HEtt0H}ueWZuvRQMlO6%`@Bc;?PD*x<~!n!j|8#^Xl|Bzx55#zAPi0S>ImD4<| zw=8wslel;)!>0LOm#y|5aC<p<PwvGBdih^}9?EDI7nD+1#mBoXqxyHp9?QnJ_pIhs zx31lOyjMcIV)-VQ?-#zjm*Y`d95yRg_o!Riu0JeGR==zCePdP3q9*5L|2Y49;G^vV zKkPhD81S7rQX1$kmuPfee!{Aff*mX~mfOE{fB3Cxj}Y^f=MURYSDf0|>wPed$+pJc ze*JQXbKCQ#%-wtFWr0E1RnC~?D>qI_H83Bz@%Pq?<GBejy9-X1{I-rZ{%Rh%$|GR9 zxX@wW=}Y|r-YnzZyVtK^`5E8Y4qX-Rq&f;--x5$VdvlZbk(T$Gm6@GKKlCJvzIT*8 z$DNmb`b)?h3%{befD@go@3W`|J=KwRXz!BeGvGX$9==!L{Un*h?X6tfypnX8YfIkD zx?X(gr2W)8*}j`QW-U6gd9Lc(xNR5mIRD3ed-kRD<2B1RU4=PSvdyX24l1Ai?Q`4p z5aWkEpITfFiT?JyT6cGa_Dsu-8B0$_-m_tQ>zuW1%_sKF4o8Y}ZhAyKD?Y|{;;O4h zyZfz{85`aPozgMXbEyc4(F@U<cb)0ai6hf=cNFj0ulJ$(^~1^SOl3uD7M-!2l727L zr}sw1$$+5a^`!@+II_GC7!>$Rc4|*EnQ*mo=49cB{YrB}e&#kUPxbti+b62P@}1#N z!)nG^&YiNlk7a+${eH7{fsv%mVXK{gW?jl;*JL-2Uifi4OHJ~(V^%dYr}_S|aoJ>k zrh;R!heynxcS<}iN_}g+bNg1;cD85z+nX;xuXE-dMyr3CvzqppT@-YPd*@;>Gk3Bw zqs*UqtW`%oxp&kH=c!DX^}Xqs!=y*thxYucF-^@qBGf9_{na{8L*&Qu3$F$0eBxP; zTsv@dZs3iwm3-m*t@gY+^z+D@PYd=k2O2xgJG)D<<NBe5Yz>yZBBhJ1$`_a3ER^N# zyC!{;;o-y}t4WUKJ7U5u*3{lK54x+!9REsFj@9j?=t|{##p{{k7W>wfG1)f<?O3c@ zxz!`}<<WreTEV9nS1EsJj^Dn{{OH~@vuY#vcjY%Ky<plY%=ToK`+b8Ax;J!d?u802 zYj6@iHE-JUiuXz{ih}L0bU)I0eM(i-Z0d&h-##yMTY8~=XFkh;{I#6o<^TJf-?3$i zPG*Q}NigitO*yZipLA~bq*Ugw*P{~mUZ@P%l~cR@d0J4(+3VVYn%`NR-`Lz;_~H{w zMY)BQZqqeGuE#4)KKjgFe1QAqE-umL6F<41{}#(v*EpIK-#>kiQg%Wj+t1ys^#Sgy zF9g(H(s<E$ah~+d<y~f%c^J>R-Y<Ul<yOU4iQk!)kBxVJS?+i~_jkkW=W5>9EmpWJ zTK1&RYpLFWNdX7W<`h4^wrolpL#ceuz9P5!WhL4tCmvhBiZey%qLr!A8cuu1kBn1m zWEM>m-@-8E@q;smj@!LUIi%G%sdj;n**x~`M>2$pG#0GVGu>I!IwL%eYgN=YJ9BoG zsKmCim6CIABuy;pc)Ne%hxxZo6sV~hFy7l&E57Kbla_M@U*Vr+yUzZT(3F&QE^;?{ ze=o+{;E;~kw(b>`lfS=M5|r%x=C#&Ix!#+<AF+FXh`7GbsWXr5^o4^n%~PV*>SX=7 zb=$>xQ-$c3+)&@M;z!bxuSYIVkm-)@Okq1)XM8-C%OJmvtt75<@06*0MjP~xnPzv2 z&N#xYeQ?T}wwm2hfjv{>n?uuUH8byBVtX0j@9m<ze%r1CW(L*%z6+amV%4+#^W)z< zdv85u!QVsw+?a0N)SB9^nD%Vu_h-*~P6zOA{v45!Q}SWM`R?qv6SppJ+99Z_d(xP* zQoQbTVOd((l%!l=*|{GM{QtnOU{&B5XykNDtoWhv`#q&Dvv!o2-`7==zLPX(z1xl+ zb{+j)J#~y~)elMvi%p#`F6KV}@>s+ip?h|58r={4J5#$)9`DV%%DzEihkNM3iU<3+ zKk9z{%>7TQd!>5)dG^K3x+fK*h3|^YO4&1a5~JpcB{r*^QxvC8xBWlsbYb%T9HSX; zrz|c^-}<}rflffqS>_oRx&@u<rPmZqm6+0&m6_Um>){QxM>U)7917vd35r<h<=Z+% zXItQ*^>a;J_&0jUE#plO`X?oQUFn$F54(lsAMB-Ny&L$o7u?tTb=5&!_nGzKHz)po zH?=m(Jv)CxU0!s-ZM|8KLyW&D-V0_}xZ%)O8PijCE9aY^KP7J2x9C9UOxBcBM|bjE z*Z#KH^~JN`M~)eRJ0IQ7UGQPa3$J@e7UV=7J@~U@QAx44`L2axKiF^9#BZ=R=sWx( zIOTx)opRTL%CPx5Zq~P1Th$cJH<`N6P04z2@p?dOLjV0MJ1lQ*|G(r`--$!LA0Np~ z=2HBAV%_n8G^wubs~;UHd%$^M&c@jRu}@<4*8gXa+TrQHVAjUjNe2z)Z2$F)g>`AZ zcBj}SwcF<>&y`*Dxk|XOk>&Dq`@Dn?UVj-sNuIRWmzCsmCtRKX;DQ@hkN!0_2rEAB zn!9W285!2Bh_)RYj;gKSJnyKK@VSl}{kU&MQ$C(rWSp?V%KqlRM6s%xh9BG3OV+k_ zEp8P&@^rsL?oo|Ao@1ps2jgT|_V;nl-}qGiivBL+`McWRr~h{J|Mf}eQp>p|M;q!G z4&7aOq+a>%37#`jvGZ>H{^BHF|L^jnbA>JCYc=~N{)kIES?^$w{NPkqs`+ykpQ3Bd zT(6HG=O0+AYjGo=%V_59@(u=<<xDosQXh9}9NBP7(7)42ZPx6*XOnN=KFDEmEMl(w zv`tOUr}@r!O7{3Q-hC@{HlzG-$m8vdVvZj=|C=c%ueX_6^U`&-va{Jq*495x=8yFz z=B!;YX9{o8w3DCQpKkGA_IrQx<Oe@%-)4GB@>#x!5RGG(o^*ct@x_ac!Vk)B>tBC7 zzo~APq4B4u&FLR)*VV0fzu<;M)muAuu4!B2SSK|3##c|ff7R7{>e87CkGS^zd|=w| zcHL=x39DMP^+x4Lv7SQKHRmk-^Xr&Cu0HchDkdz%Y~y`J!5ga6{}z|V-DB^&Et2T< zhGD|~+8;e;6A%5<J9cjmOU-A+8DE-3P1GVI<~ILN-|^>E^X45{9N)B-ZmsxrZjY+3 zP+-H2^bbWQhcm7?a`blEx!PZ}JN$0*CNA64**3EKqju(>e)l(g+Txu|%6lJ7%31nU z{@PiA11teNOBY2xyjSp0xcvCLV}7MhQ!Z_9{<^_rcB$A)*DbrZysTvqad^EyVwe5m z^76U%p(nq5wq{$^^YU8%M5ZZITYA>tlUm=SJ!xijZr!T&tGfebf4=Cw!^X<ALvs$V zo%<w7&fUA;NXjvtZYVj@ton&9#Bw>yxq=tx=LJq%WumN59T{-qdAOm=NroA58zz2; zou4{=<}vS1%O%{k{tuo<MxWa&C**#2fyGSY_s$y@%sR~Nd;gb4x#!#@{T<dzg>o2` zT-;x-NZhP2{qXs<8*ijM-#s&6quaUK(BuUoE{mhSs!1hYt=o6P{FX>VKAUE`;fcP~ zh{u~<i@r;T{7~SR4vo7hTi~qb7gqg#cAmlOuB*HK{nz>PrrfKLHT*JTU&fTB`aO=U z3eP#0{$;keH|l=AX-ifF>paHU8}BdPzw&<OglkSJ(_f~($(VfZoo`l+T>t8JBPXlP zt2SAdK04`N_V#?(HF<{z$&u_E-g<sfJbdNFJbN)A+j~C?JyP@T|M)DiBBxJXZQrkF z6O;2Ny4cw6jBBrq`!zEqlz-`I4`H823Brl9Ez~Mk9X2uFej<F*>4T@gZ>gT6aqQu~ zdC%??N!p49CCrVnd7v&|w$345dmBS9ON&iZtBS~?g;kmTMpw^ky=;u1*J0EcH_44D z=A~GinvAsLmg!DO$&3FP#4~3+)w<p0;j>C6!K}CcU-ztU^LjRDbJe@NELvB5cGGSB zx2F`9?r={$bR_gga9#@gFRSfAD~0!LthK9i+*w+fZnLCQdVOY_W_eVO?WU)8@7m2y zW_<E37b;o6B&>97&veG$uixAZ5UNkkk~nL*_SN|VAq%eUNvS@j(X`<Pi|)r@sZ~yU zPAtvy`?+A>=chu&8FQK}_inG5d194^&o;O63PzLgz~w4+dR?&-cPc3=^s7XzRa?G+ zRpZY66NN!@Z+RB3N%_>jYw58PjY$P7`L)x_R!04gPMeyLo?4!o{O_v9>0=YW7aY;o z>M7)VCs?=Sw>R&%9P!yvPaj{)*lrqRywl}lp58gh#Yt~#5_UxhKK=8yHLLY$m)L@e zO|Lhtm;L>6gAv23T|Z{pcrQxUxIL-DZDOKnrNNg2evS|I%C?$*^*#G|=9V|l!d<5e zZ~h-_lE-~4?)J6Ef94A%*SaqG(4vsIRL@o9=l&0_RvYf#H#J{>VZ)zk{k6A~x9L3J z9=IawZ9!`H3v-jlVkf)r#(Zh73_2BhB!$UcN`2ba!U#{{SF9~N|Ac9uxcct)nTM>_ zUmoz(Hl3_7`((0sdf1;=&a3+-Yc(#+Sn)yeTmGFB7U?(2*3YlDUdH?<@zD;O8R|J< zT>=+pbm#BU7FZO1DCYO#)OC)>m8AE$p1SDtv6^dMU8B;2`9Egu>YI~1IdNk8oV%@Z z1|^ziCskDM_}t4*Gul2`&yn|U%d1^)9>wc(pPbAg`bqKU9;;bA+uP*-eLK6_<MPu3 zp^lqmO+*XXyc@+XSATyZDp=Y-RkE#Tdc^%*zi%(_$k_Tl>FG_k{XcfCzLHxPaJ%Q* zyhXR({JVRrX-2MX=7%i-&(!4aOH8frEP9mrY3uqqQ?kO^?_Xn?p75`M+302K%F-ge z)OA{i1CH(wo6sMg6B<4@`OJ@Khs?HM*4~bc?>Qe-ba!2TcV74V@?|#Wr(aA;ePaJh z@YSKpyQPokOj)?suO?dm=JuoWH`O^x73f@j_iTmGT&8JJ*F1XWPd>$O`^eYgiQiJi zPpo;)t<`HPekQ-OiP``DkahG#eYe*c2`T#Dx3nis`t-C_r21iHXv$X40JU5d`?Yz^ z(|<i}o^Uwhhv;GEZ8GKSZtQe9sF`+t4xi>mdDR<ol9T%W?FfjCs?!uy(5>x0UAlSq z_Oh>6qE!O-?^x_A`Aot@Yr^|ouMT`U$bP-eptonL&Hb4(-t94pn*Vcg^$wQrIagMh z{5CnUDO$$$`=*4a0g@UjmzJJCr5Zga%yn^zTwYqN-{0DpYxYz4G_K68C_n2kO`wm3 zPg6w7blpCOLk7JoFP(ZX&~3ZpHSgW|=dPE$XmQvw;mD$vH>u7Eg4zGrk2uBj_x-Cj znpt}}E9>(+)pG}(&Mmwj@P68|mZC2~T@w8EJv9&ON{>7{(|i76nD_Lf%X;?Q$=l{U z`$*~^_pmo5qUYDVa8WhYQND0I^Td(swzZn4SSKCk*s!xN<Mw^0>cF-ig^|yegc!^{ zS$2DQ-}+sweRcm-BZW>o2^?Zx<>`LnTa1qrpRHBRqB~iJrw&|fK6&oN$1QIk-F%h7 zEs*d%qFwVqk)DmttvQQ&7rpw)lFj!?Auw}>d|K?wnylqz@^Ajm@O6_sCb@f`wPvp4 z&nS1cTWjx|&E#3(bG-eY)zJVq8QsheQdeaDa~Ne`<0?-7w8F>dm3fZW{!evBHrQ<{ z%63zGX_&(kJom~<zq5ZntEz|o)YWL^{Hk^<^vj8iKa7oA+m?z=yghe+(|v>Y0yWDI zzlibqWD$Pu!hPo8^c8pPJ_lPL{#`R?t${(Zq@9NGx^K-J<@WCl+57UmbVAbV*z>iD z9rNUU3VnP(Mf^L|%GOi-YF_JMWlJuWRa`<Jm=?K27D)Wqc<p!8=bb-OvtwtpsQWxT zo>%kJjx+z+zP^P;ygz1t_%&UY{mbOn+ENqj&ulb#;Nc#<BA;PS^{I~=3T|*@d%R}$ zI<r1w+nyQO{uaEJHeEle6oXs0obai?clFgq*CwAO_v{-&Ip$B!*85f}ndX<|&^t|i z;X>Ulk-w%$Z0T|8+pdy(<BgPG<#nN-ZNJ^F*j8-)wx&7KwfNqyPdN*V=5fq4Se>Es z*+%SjK;f*e$o>CB`b_4xR~(sFeDdU<NoU^q{L109-aX&^M@0u;W=Nj(@s4#Iy{j(N zM=vhsnpACny2yIpmc5q~J|6B|EU#G;ld5)4rARRE*N+*ITR-e5E}ameC109S&icAX z`clpDSN#0P_y77BzWSczi#>XUcQ(G8^s-V=Y_Hg<C%-!H-e_>|KYnVz?XvfI4b$8h z%+5S54Ozy?)i9@a{+CPhn?oH#S8HfReC|C{^Xle-mkDcpl0Up>72ep_@#xX<6O0@) zdt?5HTtD3!Bz9i)i+o#B^Nd+tTEBi&+f{#k6z>$o5ErK!daV5X!y1!Ro=Z2nZ>}!U z;wU`wfua2Q`pyIKoO0ZGMYAL3)y-3y%9`4&89qhx_dJ)j=C?WT=H{6CSp73teZ^Jm zt5#s}gYEvO`<6V5@G9h=bz{-vR_)~(C5;xp>S|YsM(eZ6UyE3HeBWc2S-hp`nL4Y( zXRM1o=g;BCdy+{fy6mrHLgicU%xlL|LpR3r>nZ5DulbT<=E!ZZEpPW6=?s-?AFjT5 zR_Q-;k-YCynQTiV$yKTzJ{mrgJH%N!Si`rts2rLS{YHA)YO{S3taGL)rzGVR9In^B zethdRO(l-&eKj_`mqKT}JH$U{XG;KoiSnwGmMhz5nXkB7dA&J=^+8v1bkrml#;l*p zn}UrC_$Rf5-{~xj?~Z%2`pJ5h)a8FJP4*Ak7+A7rf4JSpZLQtc%0Jnj=7~<W`@g>E z#rz+8Z6%M^+1+kU$=GmfrGMZd?RUl}g4861|8HF|eN*7u2^nVv<JP&Xnwk4z>$K0O zXDUe+$eCm=mVJNz(XyB2E8WW3vJTd4dXa6jY3<>oqV*DCGD5o#he^M#etT0qqQ&>% znUC40!>aaf_wqZsY5s?A4u?E=y#v^SvW<4`*;49K&UQJ-t1kGV4pW=X&+k(YsBzBZ z&AYU#zEe|9=-MLA-npx9yUaROd*sA4Cecr?k6cSQ5?XI%q246>{g7XR%=0Z1&)s~T z)tvMqkE3QHqm)bRr#uHE)dykmvt70vQ)ixB|H9zt!vl5?A}0BDSQL2v2}xXL%6EFw zy2n~?4wV^od{=v5aLcK*_{q12)s1{TlQW7wyGBV1%v&Z=-1p{V*oz;W+qEstKfL&P z|MWr@?OpH1<ry+=FTR*#u&ess|KsZBA1=&(S*BZh+e~uzhRG>2>utBSmrG=DUFx6o zSz*zXSI0cpMm7K5_FQZ2gDQ_IQSFU9!b~o~mBm`&%ft4xZ)y5>QL5zlM3bpsCO+MG zJ^Qdy!~2V+>g|gKHJQYjUplMxv<LjF{PesxN_`R=r$TJ8RR8t^wTw3(=~nOYQ3>9; zTX*fzh;W}H^UQ1Y9@KWuWBm8D^kLzwf}Tlh{+{@lrl3CMzG?Y?6Ya3Ii!?7S6Z%te zxu>lrefs`qFK4?v)y`EFV7m8wyVmv@%hL4E`dqX)Kiy$lPcrj{{m#Y=N^Ubm?i|tW z&~jWTz#4z{nZ(_F&AVTzPMuj=<LMO4#(hmAYvEhAx0R<&XFt5oJ7bmo3l=TWtA2-` zuCff8lhS!d)5xtUVbd+q>Fxd!Yj)}Q2IX2UVeVeeVbG(>dusQG`F!F!H9h;coeT_` zQ@Q_(^)b8J)j@}ExYVRHa&WUOayh{=FX-Wa?_KQs%dg+-PkhW-HplOH0MFd2Np4EI zx6|a$SO#SMl9v1z>0x<@soM5f#x46t>ok0xHGRt1JO6lXy;P-__kpR~{U`5~lzVVV zZfcVCy8Puz+%>E8Dod}#eYLOsnHJA?Wc>-ICmrY3OLn_E#LS=0_VK~2e8=A73jTZs zQSuvA9GAbbu2`@0{GX;07gxQv{Soaxzs56H{m;v0<^Ek?xpGIcdivqo=}(=1*foB4 zR{G|>s;z11r1@(v76;k?+Gf7op|vzy(^xg*vS;1@Q=4wZ`!74Y=i2Mo)Yj|&zJA~J zLizOrkIjx}T3Bm0#HO4IIK;Sm+SQ#eJGmx^@1FnQg{<|b&i;++7R>7ws4C0GO)<V# zl@UENuA+X%O!=q5md{idN@Z+x4C2d6IQnhL;=-R?4^H(*x5ZRlIFXS0X^zVh)p^<3 zliH-ddBljc#9a=0n`N1&@GxMq)AsfMu5IYtxNn(Z>DoWb`f6QcKNL<r8Nc?;d&R7m z8}F&6dPZ?P(Xui)WiH0@{im*r?ABlY?k=La*Y?l&5}hLO<(uQoJu9s1ZU306A9QV- zTg~E-BeQY8<8clhAr-dnPSJnmPu4r1YE8P^opMa&?bfeLoM*4Fd!e_oZtHD3&P8id zmjoF7vE-XL)$P&Izt?i#e%zzZ=&DugZ<fcy_N>eM$IVaGpKF{&gujaIGL?RETX&r- z55teVts6wTmiMLJ^~{f6_AJBP$6m^;yyC<Drik<M-e$&a-ThLV4j<H&I<qAFF7LHk z@xLq^eFFB#O%Ab>d@69kkE@_o;=gx(Z1DZ1EL-Eg9QXXk?|%JXyID}G|J{Xszr!aL z&J((QNI3F-$c!${+Z~p@_l)dfBkq07T4d0ts3vcfdf@vX-%B~)oNm73w0-Q^^?G`? zoNe${;p&KE$+@fjqPQ+snt%Oo{@nbq-518CT(gb{1YO}du&!pxp_`n4CyAuJi@EXp z^6j&pJ8e(5Ry|nV9(dP(+Q(DDpL{>sdUNGn7t-E;`0IvB7OA$)^WI<I)6RDPz&u55 zm$eV7_bX&9SJL}!&GB2w_VUfchYSVQ@rJ8K_#3-wCxy*ajl1*I{Jmeo^2SN84u2@f z-}35vf$k!;QvrWk{H;#4-OO<4{Qi;k+q8Dc1sglsrVHP`aDitBqtT6L8S+ghg$<2Q zl}OL_3{21cI(;3B8uJIy$-k5e-MQKQ-z~i!U)_H6h9-O8pHL(J%X{B3PR(cPKgoM6 z+Hh&+gSg*wYa1_`SjrYCROJ>1@6FpC>2PatFT2r9^9GKU{hW?P2M->aUwu~Y^Tk#E zz2R0b)Jr=&?kl(Cio~_P+9H~`X3EAJpH$4w8`b^!E<61{zi!M{?}(#kt!n1Fe|gcg zFl5q(61#}=9JP0zXDwR!f^+w?Q&Urp?NVc(vSR<&gTmt4wcL&q-MrShJ@h>ww6)1% zfsoyG&D$|wp3QxmyK1+B)r+p~)9dU+LvN-xpPcZF*YItu?z=fVyS3GQH>q16Ib-&P z>+PCF8)sM7wZ?zh`>5{CtD~xmU1}_sWHa0>J^a&b+P}*#NhU8#8;)nqvM8K=<J<K| zb2cv*(TGYCQ8}K%RyzMSueo*S2A;OS-MUHMPfn<>TJ`+(i|@6n@89YLsLqI;&MCO& z-}lhz!q>7-TyY3$?P2tEWm&|s;K9Dd^JQ}@PBB#l%y}HW(llfj*Uoj;M}PaTSz7vD z?@VoJ|IO>^$>+Hy@z~DO_c&6lc3eMhiLGI5wUg=lrl)I4vP@mf?k?@zq|2$heNoEc zpL61787F*LVgLVF!5L|GJ%LYO9X89_+j^!8<geAeZCPXGs3387ZvLs<JG~~puU%)H zau$hOu$=uuNUi*ro6VCK{438@ip|!37ZLO8C7Wz|LE^;P?>9J`CGu9V-`u^w$Ib5V zN6syU5B^t|vD;m}?>znBLbi%0wq^2pTrQm}MNijl-uwC0lJmZXE*3`HE!;MU+Dh}U z*naKAX3bCT93AyDVm<%%lpJ{e?$M*eS9j|aF1h%8-DLN#J^>y{g$L?>?^}JcWdh^# zb6aF2>Xpmg6Sj6<+0!1gj=|~eKHF8Zi?lMAakgsJT>o}~X;P9&63Zix)i$h3yH1}n zs^w@bopacm_v)|8`1uK|CKoCyeY#t8uFj{+@N2X0qf*I0|5*)B${bg_|KD=|@As|m zkDB)D$G!{^eX=2o&HbHM?9o8)-3$7pUvAgU@w;t4zs@-!|Ieue?#+*n9yJIuoc(*H z%=4o=ROVdVH?=Cc{@P9Z$$j0a=ce>nbY1&V>#5DK*dVDtVcnIQcbCis9%go!1m&J_ zJGe7sdi}fAeS7<=-?$eg$9&AWe$ykh_tahQd`<30P3yj~&WoO5a<1^*Lit%N1``k8 z?zz8b$)V+W@3z}mPBedE%P}pp@aMXwUWch>r?=c)t=o54$76y?WeDrGl=sJibPeuh z+sZya_JZeTAOD3VGp;?_6U+Y7{5r$^!&|Po{Fql0@K0!V*p(03_F;}f4_;LICx3Y7 z+nibHu_vVZlsv<mJ<Vo@mJcVsohqr?xo^A29)&k2Gz32!Jr!}JERBtKA&azT|J0)a z_fs+=6%TZ1nptnXUlg}Q!R_Y{->lbXlQxGgI=h`m$2;TnA8FTwMef&__fATk(c8dx zkR#G`k)qLGk$$szw^>)J^n97FyQ2KB&J^F!-r1se^X1}L&PQHga1XIOTRmOP(M0Tk z@w1(uu2fmP_uP4WKWl+?uW$LTxdJW^+fA$jS6lCXxPI^b=l8eFU`jmxdwW51ZUJxV zezgem<?qjAtF|#7yJfTXV4c*Q4cQL@Vt9hDn^?;lTO0p(_ODUiZN(BDZx#P$;TzFM zcM~}m+;Qi7cA<LGl<QIkSEbbXeN}msr`lC?Sy%mLPOUeZ_PVv|L}f<Rk~LvduDoSi zGV_V)mHnFMpXM=L-p#vs6T`w6E8lCqJ01AFxaaqkqOfbz58a->bj^pTNhR@_xv!0n z7!^fl2P|(&o4TrAMbm56-nHefo{Lg;ukMoT`!6-iVA^l<ssbsGEw_Exwl@A>`Jy8u z;&Klo%gGB5x13Yeaw<2A@jrc}aqGS2)xF)Rn>TAQ-D7hUJ#H@6_d2{i_V$9Kr~cV~ zh?#6Do8y1}Z!5>#EVWPE2R-uNawX2bv~o}7y%TmKITkg-ADgC$DRindzwbNed7WW< zeZT6KovzHaW*Tk_XSTIIeVzC>HU3~N(}Xv&-}afU-NSMBir4%o7ULz~YIr6YYV>|N z@{VgIv)B16`VO-FKg0{37apI<sQYN1vf`_~uPawi`EPjbd*ZV%s-D^c>G~HRxrG@Y zbUpl*gUR@GyHdjB>QmodTZp{m2-qicDA#nWXp~`e$DOKc?<O#QzI?FoLB_*Nb3NZU z+LbIazAKygs*1@=u)b=I=weRWruf6!F3OMCzPx%`uqo;4)E-eOg?Gyir{CmwCbV&? zqsy}YL7VFeON&|drD#4dm~uRE`c9_2s;|<!9!R||f3Mwo`=#N{cop7hkN2*!jwn=H zp<LmxXx(b|HuKpI@hq*$(;^tRvz{;8e74m$BPW8BZ`S5rl`~Htj%Y2&*0AV$w8+AP z>3+jQ*XvTuOU<t?s$D6oDmSBQt@B@=ceCxP<k$c8F?S0&>8wBL>&nJ8?7=P?LE@$^ z(=_|)YI6G(z3+>jKd?A{PyVKd`aTPUZ*F6MZ*_)We@a^7dztRZX-g`<8HXQ8`&0jb z+0pCdtlxr9FWvYY*O_H?=hlRSO=}$v_id=+EA5oNf935Fxz|!h|Ao$N-}bx0XF1c< zynOw$%+n9-ap&cAv`O`o4PDCb;a}Nz?U88ou7int?SA}Tf7WuZUZQ1SVB4Y1a(kY6 z#kWr3HA`;Z|GnR}S~GW%2S48yIe)kA^MUgmEYG&Dn7oz$yuNQx-PA>=BL4C2Z(;TQ zufuA%`}NtYk8V}gDZMKQSe0<~r=Ns$%;SggD<XEr?^!C@eeXB_%qLohxtVuQyZHR~ z)~mghy?Zy`^pBZgVSeyb;l#>&mG6FK-{eeN>~+gID{tC8Uxo>MV(Sx^?@oL-rIJyu zboHl>HT>o$)=E~J)Ldck>S^ZKwy-qA_QL0coT{9FZOeG7XV}CvSWaSde^;_$*WnZC zGFw(&veeYbFp-}7KS8de=*T-qsWw502TPKQe3)#FzO6O;x<2+nd+@=0hyDAM*V(@M zwsouNis=$BAN$4KJoN8=*q$zSBd3Yw>!bbNU!E(tc=j&=9y7rxuVU7iYgbufT{`xK z^5!p-DsN?ZWWV5kI@gVB<%*y8CRrFUaPSn}I2V0Bwq(}S4ZWp4E-xoXuQ*iDrYx~J za7v9$M`^Ipge#hBKQ%fnerl_Gq|EZssl4Zo+=B1>Hm%~=wKHv|tBkkGWa~hWxNjSr z*Kk<<uzewMC&KgiA*1U;`tO9D8lsgPZ=`*7f1=sHrZE24%y0LuzP2hZcHcNfjX~x8 zvJ<avd@BAOdZpav)s#-2J^9<>9=k6+zsLGyW_$bTS4T=5PKVw0%syeLH|5ZdB^ry0 zwG93z6nRY#58~b9@Md9l)U7*RO^13-CmE&m-T!rGzu1Jr&_|c+t}OF&+wkH1x!ftY zKThwo^yql?ME#RROiXcmb)TU`48x_K$d3IR68ttesmyQLonn4bRKU72)_;rjYcYp8 z+sh6qv^z41T;f&lTjytc_|F$>@u)+7Nkxj)EEgs|YOUVqSR3@B@5^}|8>PA*7sKX% zle)fL@<GAO=KVnywi*5Wd662@U2iNeez0Y%mhgS^={RqKl;(@QnwJ~ey8b*bw63*{ zpQ~r}{KmCQnW~3mo=pzyFZ<l*AoNp?$9&`Y{*$bh$M2dl`X1QJzh-Z8f02!s<UFCL zJ!KPqe>LR(_Bj2;@xOm`E-lO3^Ez;&W{&zM-o)x^*N+OHkM}uTm^bNG%+ibVm!+)B zoo*V*-M79)!FX+S`-=n5#V)m+QV#UG>M_6Z2AAumE1Qj9Oy0j}%EqnVw*5LkFE>P7 zKa%~iVM5-{HeG}Bw!arln89zd#YD%_y6XC7`F*Ui$Krw&FKu18MA_4()tGk)KXV$_ zQq9ko@8mCyGQGlnn}50F{JmnUYwl|APm@`AzxP&sc+=ipTV?9rvuV!^SirPRddcO? zydX>4i;J83Cm!<;IQ;)X)2ThDPkfax`IU8J%d^+~*CnqS^qNQB^{wAp!QwP~M$SFI zzV#Lzhy0blJZSyBNO@7|+V7JN-H})!*Y)CP$7Az6(NlY$*H-unZl1PlX8HY7k9FU# zTJTqC>Wy2gqPIVlY}{ceA95{o&L+PorrQq;AKJcPnL2IHz8-`8?`o+_vWqp;+qN5B zYkF;dGx=uEuP@<y8)n<oUMXrj@^|w?CoQ(sh5~!aJVGtcKD!jvtC#A?E;m_iE%PL| zL(`pnMCLy^aPUiru222dX)Gd)(~mE*s*9^S!vFS5xOg|K$T24s)rX0TWIOw}o#%bF zx@3R5(>1<Do{^h&i?ay2|1!1|*}7hBec~dOQy*s7{e7t!xT>b{1lx1dNq@DjF6|On zJhd<*{5i|g18oK;q*l30Uur1(@1~inBGPzDT1Wo_`}U0={U_QlckgKJ+oV)3YwP@O zagu4)q?peSTPC~;TW<B8$9dv&^@CPl;uX(Zx=RM9X6U`k_*Fiu=sr`+PFIO(!k^AI za-A<=$lQOoX~FZkm#^&pSHE}K-o@oT!B5h+K6@kc;{W#tW{1VEHN2WS%TjWV<-bD( z1zHVi?S)smH!NlNGw;Ji^$9&ftCu(=CS7WMQ2saV{kaLveJ8kNV_#JDUO0EG&!m0Y z^;MgWhD~B$<Lo_ukF`CE)zYu~0wTVuFF&3w)_l7@@I-Fw+aM+zk=iG1wHrM}r7NU` zZoK_mx$@)Vso$QyQ&+08dD^gc;eo@qj%wY@>`d%;c&WOjB-iP*tkGw_$x|&KJfD;% zr)HNWb=qHr&-vLipHQdRElt1k9%KhU%(bqPNphG_-7s-q$?oY&1+#LEo$bFZ3XPT0 zomn$SGG&S6^Op=3^Kbdgky3tGvs>)$furuXLm7;Zoprxy|IwD;AwF#5GTn)$)>g*X zrL$fpNtJsTDxR8E`h4rdj@*4m7MxzQ((tp!D=Xi`z8OcD<>$#2EqcCs^;CmN?BATT zwp@I>{_mw1wJQIYf7rd?`>W}TydH>M+VJ=HqJR27Z$^e+iC@Xya-Gf7E91mdLG6p* zFDG5eNxXjMy^h*l(XD^?J7`^;{mgd<C-1-GAD(M}IJB;Q#df>f{!{Xr=H{-x*>d4; zZP>!c%vN#VW_0I-Zcv_a^I9Cw%2}CFot)MQ(KoGiH=VJSKQ%98c5DvE<+}MEk1xje z8GaB|G>$1=ykhg>eufiAAA0@Bb2I(Mz>-?K&oF40QHo%L{6h7fev>rG+$-rD{a>cu z+qvUsc6n*!Bb{p#J7?^Y@ZRgEv1Cj4@1r|CgLiJ;!&#(qKsIr~q2?PAITc}s7OqYT z3JOLB1_lNS3JMCQhG_=c-n)zb-uS>FI?XQMIzLR$QX_6{Z|oU+?~2a9%Hk!q6Rwwe zRynU?ZwbxOo)zx1i+}OFdv0sRL)_NR$a|u>Ze_#Y{g2+wcFH~|)U<H>$H3P!9(>;& z_w4bplW&i+OP8**bZm)tShj8^->olw8Cq|7*zaud3Ap;txAxlO-)_aN1;?iu>D=M{ z$+2l==3cjr|JRgkzsl>p<*tLUfpYNCw?>BXXH@QcTP9l>KH7ZyT~&_sj9@v1eMY-E z85ispSJHMl`0`RRf6try2NX+p#V4vpY<#Z3vf)5(`WL=ej4$n45(?tD=dx`6$&j=3 zWrS4YZ|>DS{B!=LEKz?N6ZJW?Gy26G6Pwhq>4MV(XSc3W*nUYT`Pf_SBcB~h<O2l* zl@F@l))YSZ<^zL)K(wmH>T4+*7X4yn%{}U7^-5~n+gEq4RIa#fAH4PJr8M6i(yDv& zQ}w^R)VasgbKcyk+W58HWnmm2mz%iX(dPqez{)waj{$6n3JZ>)atedYP3J041V zJ&M`T<MBQIGt-so{CRubyxwi$JR&8QzU%OX1#fTf`4h^1t!9%$4!h^ZFDB{FtGd7B zwtjOw`1$G@rwKm3!dLDzHf;OL_<UlQ_>V|_iMdG?66>ewc#8huwC4ML;A-5Z>l2cm z?Juj!nOJKh8_u^U{BMGOEX$Q@v#;9(4*j`ToUrLjOKhfFwWR&W>N|QL(~Q4AHxT*0 z)VPS*z_y{CtMky4ir_h7?2^kK87!Gr>Z9e6crmx#^<Mq)Nore`D_S0Vz1Aa-uSaWY zO>6gRm4De!Cq}ZWD%o6kegBQ1#KAeA{~tfY`b8-Fp4jP!Z*SW292YN{bEy0{ONw53 z;P)TGA514td}w`G@PE#=iGO_$?G$|HtQnN2meta-CU1|wruC9J$-AqzDm@j-<Gi0y zv^s=$Lw9Xs^s3PHQPNZ5ws*dJkbZOaq?85i6E~gNQW+=4Ew^SNo9IU0PrIfIEdO@? zU8U_&`(md5&o=Yk)0*M1%kPsKZ{W|xf$R^@oV&<$>f~CvqYGOM*JR()b3Jv~ETgJ= zLco>xlJQ3lu<32Tt+_lc{MRnKgy6}+vu{b|*R!ATF`rhIx&8Enqf*nKOp3I1w2!^* z!4#@v<8$V+XYvHS<{BB_+o!qipEJ!oBc{RY^DpScG#*c}|20LAYx;`o)?bNSxip)P zdDpfbJ6TUADrMzA;(Q#F?UBHDf%U`XcZELrs?C{kLbErRyL>uV{l8qS?^KCV=PAt> zGd}Ms(D@ed!YBIM`Czsc3thIKU2b$rHD;v}|AiOsDZ75Ao!Gy)*lS7K?})btVje{3 z`$aOQMETmEh?8YEQ+VR-#nKYMJ6UsHveMNF>*sI0++;4?<|F)q{pq@&8{56F@a|7- zU%NtQo4<1Yq0nfXwJ$a__r6@4W|zDDt*_&P2W#$jq)Bm#dd`Ti*<dHRYGqaB(G{EW z!?SPi`K9%1QNibcvsWx;*)ym*#QihR?U*Ao{bRV&6i&lUmWw(Qr(8b%W65o;dtToU zcm1<HHRqRbbKm6G5q?|h_z!qkSQ($+HI-+RSo(tb6>n~N$m<pB?7zy8f8KSO6R&aV zY3_!_yNp>sSGcV?TpM71)#m*F^@WcP^Rfz+s9iZS%gE6<%P(I{s3QHqjW~weQ<k`2 zJ$vDZc=M@GFRy4AT$%r7b?Uka57#+0=XUfw>{Tqe%ocYeoFnSs2ToDFhsBFs{MC2& zHMMTO@<aCR#a!F!iw*B2i#AK?+xM^ED*a%C!ja!|ci+`M|7qm}*Dr~4RObcUyWMhC zcS#Go=7N_!ad)1a+$%5lZcV98+za^#HHGN+Ym57DbOi7yt;&q!I`}A*Lv<Qgi0qz) zUo<ZDXj<&<Ssn1)_e}J%ACt6B6{dO!EjH`@ayIO=&Cc~=6NOn;+U|7-5Z!#$zFy(t z^tIkUq8Z;9##EkBc6<_W+a%6MphN4o=q1AqDKXPJ9$06syX8IOLVxp}rrsI@FPFKd zD;2hdtZ6sjJxhDX_j7*!l5bd_oo#u!mh-zZ@9#sCp4h%$<yx2gY`T^BnL<ui{jW3M z|I#fuuw3#)+`c<0>D@VMUrf*T2kp@L;8bE)Z}7-tM)rRXfvuaR>z0&@wyNDaqV6<5 zdEJ_oa?d8dao*`zQZm>4vYGzFd!fHnCtW%ibmsks{j0C8IJ{QZP;|v7p?@xpysb{& zTb)BJ56O1be`CA-;DgF}|I@o-;wqkI^178<-mzK19e-$-W(=2q)vJvUK5dLMk@hUO z)a`k$V)A2W=81_j&&Y+dhTZ#ABE_vbzqz}13173~am|%+x22R0raHQ$dU~d7ggxC^ zYIWY}UU8K9NvEu%#?o9rwCpALdF=i!73r<o<{c(<Bk=BywS6*gu0Pscvas++vOv|R z^h@7n|7|eh{_XZ+R^5A*Uwj+4r5XQU^~(S7?N9UHI+(Xh7gna<HZ$GG9rg0k^m>KA zis9T7ws=h`E9y_b>dt?~jA`1>8I2KI4Xzd&Up$cV&s?M=D)u^CHe{E=LOqFf(dh<J z6Q`*BH>&elS<5w3X|l$%Y)8d)6|Y;jvmf@bSafLpz4WdM&4dSfzx954N=aVb)gAD5 zv!W1>df3&HpqTa(kC`tk#P8FeZ_ONV`o!s;!wYvREzgekoP7V`mznj((yE#fQ>q-! zTrNzg7B_1UoOOHt8O;+f3qn4<`?`PT(WQrLoF6WJDO7Nab>F{ZUdIDIl+9hw{^kgG z@#a1E|7eSUoH1FYX!1eswe|~tMZQau3a@d=6<zZ1o-#u~=tOg^pMrYF4u8Lvw!dut zy*GW4GmT`JxmB<Fte5z|BUIRKOUkPMCpeDQ3m;@#I_c@A%2`!Y1arj~y-(f0!S6-M zKW4Y@E>|8pd)Tb#EGRo9TY3EG9&3|UTi*gf>)c=86asH<dp{{DC5`>51^+e9NB?}4 z&S!l7bE)~^VdDwzS<5wk`IxoMR=0XP&%%4@*RQL?xF_v=Bv*dOU!b&%Pk+Adr|Gj! z-JkxuvBWgZG*aTcu7uF*g6-?)#cSVtD0?gHtVLJxWBnWrj+nE*xaQnmw5&cn&Z9f( z%J$7l8hZnDugURjTf@5INb$BUX?*VI#D8k_|F0_g7BAG0Y>*k&^nyk9_Z4w@L#J07 z6YlPGFXwZpVQu<V*EL&6e?^M>f2;KU8{RUU(e#emH_M+*Y&AdMk&a)F_4aQTvA^Wd z^W@nayES&H%VSEt{ye-Fm3;45$;{abwfv_#&gwZmQ~0(i#)Pv->D$7{wR2)Vs7i{Z z7nc1!u5;>TXoRSAotg0Y^or}7JdMBq;GZ`u<%|7sAE!&=nfu>#UoL22cvKXx7rnx_ z<LOl12{sF|e_lIVQS6|vBC+?;8>@9wITznM<MQD2k*PAWE1b&(?Bjk%+}jyiI@$2m zyG_w~o<)l{Ez^E`aY<BHVbA~SC3f@GWWSjoSoHtiui~snKC`rs>t!>TE-NT;xV*da zGtcWBn@+tyTC0|Z#QDry-~B~n&aHy8T}GOsjy>1<LgnffT>tZIz37GeWo7G9f9`Vs zdNASR{6%?vkA81Scs}#d@<6XH#-jVRy05Osl(AH*KXjis&GW0}oP~#uT5MrkH&gD_ zv=5Uqv#LXie6m7=8w;Ll-oAhT*8Y<T_nAU@Ry^CPG$BYkI)Be|t^$wzz;A8ldao;$ z)po3s`7`Clvb6?Rf@-82jy$=oE#=BmB7gbkLV=h~i<Zvb$C<xQTV3hpGk@=v?ZKaB zq|Tekyy;2a$_<yUZ}hTHI1$Lhab~q->6Oo#x8)wx_AH(7V3TcB;MJC&_4{8beQ#JU zvv(e&)P5c5f`H?fUVU1+L7s0>#bfXN3HNi~=q59)VwySe=={eXmn09r*eH{r#qxB) zOTn9I9&dhs@mUsirFiD9XIoX~PIsSRbZhG0lbV}5XM{@|9y$LkZu5on6E??t{rz+6 zh{*}9=?7+bYH2<eDxKZ_bjvH#Xz9N2d52WE7kfLFL|eRkD{<3_l`~@d)@`-vbC2q@ zybeuwa$a(0WA~Lt(}Qi2e&?zy4TC+?1w^Ltdp<XMz1NTR--lZd*M`lAvGdGz+-vgT zkblKYVb9qP^Dmo!i^x*@HMf}SgzY}-dF>jdH;)!*9(|Nv{iDJBhSN`jrE{k*nkVJH zO47gXs)XnX)<^F$tjiZzwI)e=``i#U`B1TCn#a`d0iJ7IqHgquvFGl~R$3F|wc*f( zR=;k(u$Wanata3R4X>Xw>3ClE=nLPt)BA-^`_jw5W>`;DY;4K;a;WV<NMUW4+?4EB zP5O(>=YOqOupzu5nX&2k)Yz_;?;Y2)O%qnyd|&z5-Lx^pevXK#<>W(wGuN(4xNvWA zip9h0`+QkH@%IH^XgGGSR86CBZH~}(v$>z7*n56|OV#6<l*=e{&9XRMc2{@giT|BK zp)2)!nU`~|6-wdV>~=;_#8`BmZ#8d*>i%URKX!yWEt$VwIf8HF-{-Yi-4Z8x<78fG z%hkQ)`*r+|^*3jhcg&*oHut>_F|FNFe4{VT_&|pS`>Bn8B+k`7Okex5(X@K@nUsLH zm6sMXZr8XYaO2rMw|TKY3|E-)H!~F^3YxPnk!I07;ie_3vFAZ7o3`z&+&6;!=0WN? zZHHBZw#}QnXbrQ@#2KFa>)$X>t10q}DEldKBkaqIbbk&(QO=04XB+38Pdg%5elR-y zc0o34Ymh0+U9G+eKUapc_$*`1o@tf%GbfR4kEo{O>0^9OmXnw&J8XCEd-qV`)0dTA z7dCo0_cHJ#{Li1t7IGjaZ0+_r1==nCQ$@?Zv2WQO?sReMqOOy_l<v5nwvFo7R+&>! zk@QYm=yc6)0~fccvTb@iUb3M}KVI$f3B2Oj_37(tyHJ7ByL#J<oHCn}%lM~sT>d#{ zt%m!uf4R>B!pgOHyk2-c*~{Q`j&aHXDbvVr|Lx~mT%Ivy%g=pWmrqV!e_@H?uRng4 zFK1nQ{XDyJ2IKiITW1}f>nE#B{yt29vB)8A%Zl?eW~)iIRzF&yDlA>5u{U$O@tTP` z%PLmfx4DzMa@LIG;9!r)c{jJMTX(7A^64nu2Tq3FXB4=mzwQd0tGedNZii`38|np4 z{A=qyeeVb7>_G9yE_V|0PMq*wGR1$B?XGQq^>qJS{cgEX&e^+$C!EdE!u+!4<=&(o z<-dZW?Atx!zZ%9~T$pY9O!9|azOU2T_2)i62-I9VbHVc66E~T@Pm4PL=u1}Xgx_yX z9~i#9dxO7o#-<N16lY)kcI>>L^&FXPJ<{?I7m1W6%t$i5p7)@B_xZ%~>~)-16Ayj0 zpZ@8-`qEX7$GskUXcS)*w%EL{ENQ*DO7-F^jpCc?{y(q&c``w~@saPnI^*5Hx6N}t z-lTh7Lq)FZS#tF@(~VMTpM6^v*?!GFSTo7yIjg$j4C}k{&3(5DyA*UAH_TcYe$_KE zm0`9`81t=%uXX3}zBn~0tyEVhs-nWsx9rTh$7i2^U!-jRR*Y%+|H!&GK8)6kqPJCZ ze%5eWrJprk`|--D|NqmcPX3vawO-j{$*ui+c9x&%53&?p=8(Iz(r=ND#Jpt_IZjk2 z-TL~Q+i%$s_oEE&|GJ)yZ@cx{UGl!tge!$YMGG3EZM6+zt}*2`m1nKr)?YdAUeUiu ziK0c5cAP$E{9iiYnazB2`+7d%X<L`)syT2@-0Hym>HCx^n|_NvojOnO>9XynTB~L+ z&N|3buaY6X<a*5s4ppy9hm6jAY%;T2x#5EI?;Y8K4F?O9cdWP{DA=pT8GPCJK#}6J z)M<xq9ObV*^P}c|WJMU`kLkjpy<D<#$;NAUt)92#N4=|*agD?*i#G0{tL;5^z23FR zzq=l?Da!v<@Qxj=jIkbC(pMGF1w6SaZet#h-By{UTf0-k$=Nj4H&;LFt?PlwuSKoy zbBQFgmrA91%05gCkNX)?mLE2~d$I1{%7q_JJhjYJW`1rj<LhqxNn!)vGwUUHM0<1> z#%t=NoH1>f;#4iH)BQ4w$uawZ_O0?cdRIQx-gr`csxV3==ugC6j}>z}RUW^v-m&h{ zscnIhlYQ^53H~7!W93nFP-B^;e6U@sBG+Egj#P752`&@EP4%z3e(v!zVQ$&JkMXVO z>SrrH|2kb8E6~5i;H-O(c-^P10h!$gH^%+>&1ZVxf{AWLWJIF?vv2dGgI$e994BkH zU;361rmwwXetXQL?;EE}?s@i|t$m+sOa$Me=yvxROivO8+Y7uVSN%;1$+~vqVB$kx zK8ZEgIRmACrM|H~7xl^8=1Z^T!>Jx~k}ff@zL{Jq8applc`Xx{@V!ZQZ@X!q`J$}7 zHNgJHzil4A7P~)WH%+^KJm>kb`i$R2x|R>vPWBir+Nt^Xz{|p-hZ{m(zR{Razu>Z> zOjfk#O%^M5hfnjnW1D4I4c;vAEL%45$v%Y{%G2xuW^BLq-`VM~m+i(|J7-TgIJ2as z_WH6l0o;rXxwD!(TqEBqF4Ns$F!^nkRnBS69(EobXS-C(6WcmWZpqv{Y&kb1T*laO zqG#zArENdd(y#yWc%}D1McsDplgbSC{yEix&eD6=E|g{Buk1gv|4X;Iqc~sP%`X*7 zjO|XYaerN%c(+eCT~N+q>R54nV$Z2&zpI`1u3Vq7HzUG5YQ?ezCr!HaGhbcbrvBXU zsm0xA5(P6ZIbU!qvYDr&QIgM;)j0Vhr-RX|_~38OC*S|j6`s1u{zg(sW=un$PqN?@ zot2s^Dhl(w6+5g{iW1i5T>8DfQ#yI2_EWo**Ndd=pU7Q&{$z{XlxJcEzb7Vb=}7-0 zdRc>U-@ec#zYo4Uz})$Jq5Jk}3H8kHu8V0q+*;r`flu$tzFQBgVkGiYw|?ch`k|mg z?c|^TUoGDIrabd`HjmBa%T4t)L9)BMr%X9s;rYJrt>4zk9v3n%y*}p@?C`SB^@pPw z%c6a^T)8YFt}odh{p((jTUMK3MVX&hyMFs8#UkODC$8~NY|c(NBojOBn&g@5R{T-Z z)}Gfa{i~Jp^r7!Xp4jzi?Cv=WpIj1~6EW4LYe7Yp;)#j}>Zkc9-)&xRyOz1o!%g{m zMZNYS<Aa5DqO0Rs+HW$8@GXkH%|4-r*YNA)ui}-BX9fS8to)erY(cK6FH?^GgpV8I zGCleybS8yAF`nA6{j$cR=LzW(Cw6m7UD8r~sBwP!L)BaQN3T@I{OVM_>98{GgL$>p zo;4QwA@Yl6C(R6Ba&5!@d+XLmhFw{EjO+gK*_pq)^s7rVRm66RCzQ=7=i&SpSn1sN zPRFwD|6|{zcbpNt#|lH%H&*2Y$9{OFa>^s1^S4j(gdB;)3#!V~x}0On7f<_I+2NUZ zE+fS4Y-~$(+bro@KN}<Fc0N58dgQbH?{e4Pe`_z+7a8m=7JDPqaldU-$C5L?YLda$ zhX1=KIxRmhxAcwr<*m<OM}Lr4&uZf2J`(1YW^+T)Prm-W=*yE5C#G#<6q)MtWsBd; zdzT+QEng|$Z1auHVb!E<daLd-Z`;i%of5wLPx#(ve8sXmeocA2>F)WzlRj;_&RVYM z!Nc8H`e}l<!=_UwJ*Kqnab1~kGGNYy`HySDe%Ua-IwG-a%}=d)PG6Z;8QT3?>X7)p z?t!+us<nK^<;O?Vw$`#$ZTJ@Vy*gJV;PWB{i7e$t#|gHxtpz%+TCQ_u-Wk1PA|L<m z`|%71Pq3>^2`h7dGJBQ9(^&_VU;2DiT+|~{)T275WRm?v#T8j!<@Wfe2zwbFIPh0C zul&~A9VJ_G{><BCY3=+lTwdfahy2s?(%(CdsyTPJrdz-5nQ*3Lg|Bh$seNk}UHy?{ zc6;K5X+3pu=esLAo4y+TPJMdgz~xoX+iks4XD(iHkgLgmevtg}hvol2R{UPE+@pPU zwoFOKy8y<|$r1a4G`fU4V>UUtACNCCD`(v|fm3E){WJF$2a4T{tgacHD-2V5k#%RQ zi;J@KuOrXey)qdD)o1=Yb5$p1=Ik2nhs{pK*EPR#+H~CYHn*<+|71a-TTis2%%0E# z$LBp@mb#L>M`@v~U_{Hu^ZUN-Ivo=#%zMo?=tPY6<NF%ACeJoq?0YDinN}pmaOmIl zV}A4M3x67f2HpOnlxqKY>$k+EcP1$9pOGMc{J@=kirSnn&XoM-eto0M>4=qL(@x9Y zj};s`3|>k_irSr?6S!OXkDjx=tQEiai`1LU|KYb>ZhT<!p2hdABje4|DNYR6)$e~} zZn;t;?cjaxK$X{bZ$7?Yrboh$truQjt8!@3PqjFU{Ok?$-**}dO~1ZZ&YdMKLhtUD zCHX5Y9`P<%u=C!!CFy@`qR$*&<Lsjz#FPJ0^+RTV%F#6$H+&Kjwv{c>j_zb+NL)U( z+1BC9eNL}fy+tQmTpAbs656&d?r)sP#y{m-z6DerWMuf>*W|wZ?ZJp?zY49_N*}cR zz<T+PV5&f()>OW}ss63a?9);w^xjnzc=u=qkNuBLY#9~)QX54*V?E+JBVv=n7j23- z*6PS^nC>xIL*2Z=#NXlfb)~=SRi>F;c)DO-6>Hs9d9KIn0==Yax5*w`yz;&B)Sz&d z-HUH#&nna{N;_W9<W%`dRBiXqrT(132eT&F%)D@TOWW6D6CRZ9I1t`yBQJfVW83}9 z#*SI0osTEnx$@%t*-5JP2d{KI`@YO?cFc#|qM){|JYw8468F3<zJB7`JB~7@`wQo3 zE_s`+pk>gr!fFpUN225R8JD(aiYm;D*4pmB^<?SdER*;dM*Cg|-|2}Ke*IslYsc;j zpWk~u;pOhky`UZP^=Hrh_4yV1&K#WHc#)^z@RmDE4fq%Oefq^Q;oqzWEsbs!`jhh* zV`r>7bMmRD1=GP!wWGfUtCkqqNO!+m-TuMx?`0EB+b4=M{VnSD?B||nC6O4;<Ko|z zWg1&pziH_k&x`vMmdyG1il<7PZ{pm`hh9I*6P@?eNq+Xd@bCUlf+waeuv`3T(T7bR zx66EcFSzIVM+U~)t(HG(Gxq<=o_!^o*RMk<?QX~=!5FVk5|i9d`@}4Zlt1NlbWi(= zc{9I+m#hoERN}nsaNVIL<-YbOBRJEhW$VP<P`Me}QO2Vu;qyiLZT70b*}jXpcX#j7 zVDwhdIwWTKX;R6PZ;U>+yY2>UJ|gbmr*(Ci!lXyxm*sm}IP{FTUV5Y~{Zz4Xwadhr zeP;zKO8%a>;1{7S8Iz>`J}<oIlf~|XElRHxtlBSf)UoHDY5P*s&2w{4PsFACUlX>L zfAief$rS%pJVWDK{uQyA9uYpTBPM>9eIlZi@;7z+n)myaw@ixN6|(T!W?zvlGvE7o z@`z}D&HJHcmbdG8T*93y<p#ywU$Xb4*AyPn)3FiE&W>2YSnIIkXGW2WTkVZ(sj&QW zC!d&n=$_;_Uuw@8@7lERnX$JM*DVUjYA*78biIx7#^wE%_Gix=+9SFk^g+}i39VQ1 zEE_@<1qv=Y@3~&K^W$B$%4=DZnPMwnPwBRITxVV`?5fSyV6gO>6ju)m+iMxS`w2WR zR-4stJo7?f-Sjo=y$c%|#bkwL-93%Mvu+2U_;O<HyYjg6>twQpmTg-v^Q?74P3PIA z`AJKUF7J^3ex)(fCTqHC_q|<<)>Te*zF+WO%j3Y0aMvCE?$+u_iO(3KL>~O>wKo1C zQ81Im;h53><~6lnO|^7+laj>0$=J<1Fe_m?AAgC5p!NDr?dv}kr1dAovAiqYa^I>? z<l{Ay)ORKy56Mo-Z#ZXS?a^uCH&-<_xZhClMA5(F6a43}L@j!J@<E`kh0ET}`ZuO^ z8r*+V71tww*(>E+?(xIBZ+u#_*x;MxcmDOgwuU=jZYrvFEBDy%!?}ws&d&FYyxk{> z*RLP-us7=ESKii;{<;0>`-GYwS3kI<KB@Ko@wjf^-lR)Uk8X{Wh;oYD?t0Som-p8~ z?$B#D3~&92ik|=fNWsaPVD($;^aS$@diA;|bNF=F#T~Ogeb1J~d_!!<#W~?tnJat_ zd`PdWH+As0Ghla{k?`{8WyXLTlO-F3wC;!Jr2kO=rg!JT?%;|e61+(lU#@8>v<N=> zgg+)d<VgO602j7}^QUC@&C%Ktp|bkJY%gIA1I6w4Rv3Pk=w2pu*6G2oZ>(|+`+w!d zuj2k5x%-~PG`X4m3>~L$M>pS?S);l7iFnq};~^Hmf(pD_`C2#&f{i|$zHb@xC-&mo zul9;{2}bK<R{V`p`FiNSLlJk)loi~9AAigWm*IRePk)l)eCyB^)1@bU2w2o$6)<6o z`uUg_)02OsFIygHR{CVAWW>fvQ+|7#+Y`>qI{9tJ?teC33wGxBJ9}qkiA}ryantfS z9+v|6__y8p5dUgrtns4t-25jeUq0!r6!&`uTUf1Ng#S&wBZX6p<!{fEVBK)e*1^ij zr0?mn{zo=}+V!Hwwo?<Dnyy}Yn$|Z-!=Bl|V9EC_la+sM2-t3$migpp>DA?y2LBv* z9to}F5}5Q;)b-BaopTTFUH(8W%J{hVIms7Gi?8xWs->}BwENS^Sz8vaBzI9L>p9me z@AzZ(f9h7IW=)M*vGXze_IE{Q2aZ;@n=TJJWKrZT_2zeES<E{Fk)^3=ffj$>E8f2# zl^fm0yKnXEi???s?tEx#`7wCo#H9aMVpilnS?R{-v9i}HVTNj^v_{`431`+H|BlLj z&cD&l*P2@PZxOeggwyjD&4|beEeXeDXa2k%^|9Q`LPYSE&cwJsUY6TyAKxo9W2^n6 z$QkAv@N!DK+OGrIuaBv!H`&gRES)W~`gzzN@2$)gVcEI*8~(0{smOnxW>nwYu9*3* zZw>1qMlL<kqS}em7Dmi-Rdfko8*KZdwK<TpU5NME7fU{Y)kT|P6Ykf)uXMZgJ%6e2 zEz`^wNBwjXa?0ZDlAg}IF*oAbn&%Jf@66Xbaip5(=-XGb|A!u~{H|uTajw7IiFCOS znrUgO(l@HA%J>))PcyFbQ2w(?&B-;xbZeP${yKY}%xRK`K2223-Qne{@&Aya&7C7G zZ;rX!q-?BSJ;9;D*u^|9J|;}x(YDdwKt8F<-D`i_-FFNRT@sGzFkh2$_$ek8=6mkc z#&=OC1w$fbX1U#(`R`}TonJ3_-n?D>G>sw8KIN5g!Fi^n1<F$Q|3!xc-CD}5$s(fq zI`!P^zZY0HOwPHflga7Ianv(#ow{tf+W*|tggyTj&hsiUztlFVpKGSVg?qW~B{NIh zez-nw-}HE1RVT-;+4}d26J~U>+5c5mxmf?Sv##+C+q!uQA@=KB_<tmdUb`oC_E_QT z6;Bt~{`OnNAF*d<z@d-U3w)|ix9u0@GoJG0?d7)GBlW6zG78Tm9u#YyesZ5@gI;^; zy;|lsft`6<GCF66u}!)sytCIlDQVN2_s33L-E~)mL&KQ&z#02#5|3I-RW>i1z_@nz z_op}BDbAR*t$xciuC<BgY&ueIt<qnT>RlBDzHXDb@L-MlQU|5*HIX(VlRJ)fyIthY zJ#jqtza)p#V^4X}B7MF~Tt<qAKRo%jp>Q3$)GpP-3=$5{_phz9?TT8g<#b4VuZ!Bf zxXX)GxIP|vcA@C-{X;jGGa9UMuv&S9QT)@Q<IiSJJITOu<73COce`bMQ}y_QtL~_0 zWVkM~%bK~CvHF<u>cXf_71wKL3g*ily1AH9C42XgkW+iSmjr6AOkOS*UCzH`${f{O zOIAGP%S=js`QgOm4@^_}tWqCjcl0v7YsqoV+$wtJMJVU4RWql|UuLIy`jw4<z~Tjq zmk0@;Jd^hD2g~+<w{32APidKOy+h7z)kFbV^*GBr)?$Yqlxt2|-y)o~b@H(rme(Jd z1#*}FeSG>}?t=-}#KU$^Sk`QmzEykI3C6CJlZl(oowUvAv#r{y9)7%ZcK8zK>^*jq z18=j;k$%;=<3xV`ayi}YYhJ(G;J?#u;-anL%0|oh`ZwKt+Il%tS@892@%B|4^RF^i zd$By%Ut<;6*CIRn@|5_zxN6o}d%m$RKK;}x*J<LEV=9y19o<qoW5!Gd`9BuviHZx? z-aVNRxN~N#*6ZX2vI=VgrSq2fhedfj3SPL-;Ow&-AC?MCGQNLnL&(k50?+Qn>wY~m zXH~PyL-rd{q1wrRrg(;L>(8#R@VjOg7JN*xIHa<FCDV*Z@m*XQGjEmpXm9;7p|3!t z`S*pZPnfrV`I7u&;~(k6w#$}uPB(g^*d%_EeLmCoYa8X=twO@)&ATSPD)?Tqm*R(m zPh!`9-7H;v<fpWu?d=H<`=>>%%qfuBD%kSywfT2t`-4B%t>0uF!<aDX&5SKZhgtW| z<n?Irll${q(uCpV{?ohr7VX>Ryl#%ex~<FBxb6$?w_^T$s<Wit^3LbX*~=`}`EBZN z_-XO--OCweE6(WrdAwom)x&*8bB&uAI{3LR6eTCW@s3yPwc5k^*wQ;Cb;j}MMr^Yd zPrB7$n>O7<S4@asSL6ANzTCAJCpBFOiF#o6L;k1X!tX|l^qzbOethNS>PPZBlj5#b zhdHNkmSlfB*vvkC(<<h=6SY^jq^$j>@_WId%@&4}4mk>UuiVSOMJBWT$y_@Io6CtS zgWX;}iH$Oq|F$q*p8rdMvdUx~8JPm{U4@q}Jx%?|yky_(`3yl%!gesQr!6Y^)ityG zyRF~R5YZDHY`d0a-`JLN`Ki>U|NKEouNT*P-#3z){&w>!hp%-yn-+Fm3Y+ec@#4a2 z4Q-hOYYoGSxtU(7-@nZKaH{Y@I1{V-!LAKY>&y-I%r)Bk{#DwqyH}SRuYGHl+j+Vp z`s2?(B{7|MSMF?1n7`xm+>1~9FRh>TZq5&9rPp;X_bg)8SG7utUcR!|B%`}i#&N2O zM*>sL#F@ucv<%YpPl+E|@^zQk8m7y0)?PnnE%vqjT*x68xr66L1Acdz@7G}d``*pU z!hNRj+f!AUk&7FOQu>*rdj9CW^V!aDY<E@UU00`>U;mUZTmF0c3s;#JYZ_OwJj;xf zd9&r>^;auiaR=;J<-RE?h;d_Nvi<Dfl_%GE?L74R3V-9i-C{YqYzB*&KOeUE?CpOy z`b+O`mrV!6R06|S^;M`ndGz!{<Ux;B#ul@5`<|Y2yJj&lL1F6l*_vt=xyu;tAN=xY z_cgn+y^9{+o#G$1?v1#jOu)5r4X^mKf6GqqSm#?ly>W$h$f~S~ho2mKFhe*}N&GdV zc;%YI`j0-^>^<;uT}?{G$?%FwtxG2kec#kJk+1OK*<C`GTZR34YO_y;tvkNDXA*nD z)6Nr0yI=Kezp!<}YmYv!x21c6Jc>i)ws@3OZ~Gf`+|>S?{A^{Rl^a!Vok`GIl9Rmq z?w_=c=O$lNzP5E+<ia})mR6JQ#oYaU{X6Hg3L&ik(+)mn--oO<C68X)U#&Q&`9Zj# z<BtE-S4%WY7tU`JJMMOdNud1u9YsTX-x;Zsn9pA;e{-x<xbuI>D%R5-x27)NwYPqk z_US8O7lifo=59K|xUJFIMc%UHM$Ojz|1;k(XRj{1d}!S)na`RhncJQiysYb7l4|_; z=%k(yr{<?ueU0wzI=cIC|8j?=CR`QGE2kXV<)YAD^GkN}*>KNhInzI#!Uk9894?Qk z2|OpaMq^iywrA&&F293&bq{XUv<N!dyUScCV8dJI!gZPFIi6ZMP2ua%Rx34L-FbSY z!%_jY>=~SH`!j+?<hLoMeo?-ceIc(`Io?>|r+0_e!x#H(Gt<*jm-$vk9{uOYD|zPL z%e={H6JE${T&P=l!+@{(wswf^r~2DZ7pR;3Igl|s&m)U#lgqn?Q<~nz)7UfIjw>Wg zT5|T0kI+`TR&I$ezfN*?x;n5O^U=;0Uul!JqJPdUmLl01&u=YiHq1WZd~a3ej|b_~ z+YT;o@8kU+cH+{L=P$}$zdRV6y)t$`LtoT>Eu-?L|Een&U35|Z^d<Rdcb%F{8B5sO z+{(h$-8@nY@@M}D+H?7PnZ&Eb&pJEy*=>9%yJ*(ydxxKH(D)_5Rl!jCDkb*Sx!to< z<u9-Q5~M9)7M3V|tV6XUgx|*Sr{ax2+3%*U_<LmKs%y6&pZI1sznq8PXzO&_&r@5? zyEjSBZ_hr;WoIfYpR?Jc^s)IHHG_A@-}p3hJ>U7h)%4u|rn^Vpt9{%4MOgBV^J|fp zH!Iou`KR_iIQUhRQ)|6l<_-VG+w*o6tjoV>dgxuwuHzq8oK;&s>G{g~gU{ZZL>C{M zGVj;9=W200>rT$Aoy#_NwUes;#AB}8leVw>c4X3y%=abP0S$tOeAjXB_IkNBrtaFi zMLA1VxGPtu?NUrJ(4REP*Lvpdv_5tlrF6NQEKYZ)%={i;l9Cj-Im%Je^e)3c?V5Rq z;$lN5t^F8q^S7XUPM3Rg{WZ78rgz#cmh)ffvv}z5wV-6`vcpOzk^*hdq(*+9XL>`t zNn(xpJ2$ht8`diqhP|-<a^C$@dW>&da*Ag1EYIVYyq=kAC}?Kv4prq5P;<HU{9DQT z!1sqX-#J_Qh1Jyk@sG0eBG+Qpe!L#vDgEsA1Wyq=->uglv+M5KajkN?{VM+2dndgf zsk?W0Hr`yH*sJ99?fPxz<oNf~zxRI1-|{;{hS9w~{c-PaRd>eatTjH#?sETY4Pwr_ z%e)hO{v`AIFC7!c%@xzXYA~*^*|F@_tLsm~b-5z_j2q*4g<Z8<dzk9#t2nr4@N~TT zJh>!JUN?e4Bl-TfXB=x;68m_bdOuJvx7zcUYtzmW4ZRcZ`RY43cXH18CEQxMNRC71 zK-PNqL}`ai#<fZbM-{?dnmVR`v1`4NKUw;Gbcoh;^*85!IUjxMC}->uwKFL$aQo(? zUkbH6I={{o5SWwk<AJbLL*bRFGet}C)-*)hzVw-Bd%VFuIQ!OHp^G68?>P(axjtcU zOXgXzbE`5fePhy{Z!(!5I_P!eXSK^bW=X64pR%@lh?_Y!O;X;%5uCQe>0kcWtREF^ z&-Ks9oa$Wv^hHTmY2~6Tab>9$p04}9vR7{_6%J1n;qgBp9jLtRwdf6nP@W~d(neX` z@>4$-`@CUXm|(j}rE`@TC)4wvyEt#oDO@vW-JxA&o$uDI|6Y9XVqAy(n|7;b=coL3 z+joI=yT-x5{ag0eT?;x_)qm~Vj5gLz^EJz~j)h!!sKj&1@$n^=()EYF^#0&KU+}1E z?c2X8Yxf^`qVs3xpZdwlS!-u1o5sJ-nwzmh#zA9oFkh;r^$jQfXGW*^K5YoRx_JI+ zo<I6~y>%CvzmCaQ*&-Y^;m`usdJdmzMT1S57t`iXn#Alj>A80Bzlkd&o<tqgx@??x zael=V?kum?TR;7qWB=!+U9?ZT-nuPRQ!?C}!>zJ?rok<z4-1NKRwlXJ+x?(*&y4#4 z(<dx)HrlLF*X(I}+VrgOPjMwPzkT*%cJ(tqNp?JEGQYpC`|`%qZ1<Vs&ghpiM`lEx z42l%G`l*C(OZ@lewlkjWSQI<|w&Anj6R~A6fntH5Z@ydMd3r~}rGw_vCVeP5Jee(T z%At(qSvr=fwJX!M*H`ap{dGg=e62!SzV5YCmQK57ysY?q;;?tR{kiz3UkWrrK3IOL zWm~U(@8$>loMmeN_MSdDh2hR-)hlsJ1-&+@<?CH(U4K`&tT#o^;zq}djycYgKA7sd zGlX8>wr}~wps5O;cM7r#7F?QOCsX4lym;s9N0RZ+{W=(bM0On9&LIE(@vd^|^;@DN z-dt0w*`8?XmcUusYqailu5tQ-;7(QUyZ;JjsNMA9SNDrgzvdyO{^IZ%(^lPg4Dt^; z)h?}hy2w@_=lfZnbtXF`=E`sX+``*zy7l!Rfyi$)GP70$b$6WePg@;l*LAyW{${3C z-m?GX19eZg25#ZXx$g9|^22wl>WvR|GYcxdF0WR2+&aT{mb*dsA6{jv^pDRLY%zZw zHTjmPaKHx#hh-m&`fvS^t63?znxFl;Cy(Sxk)n;e&oFzro?f@@_5@9~h-B3Tk4`*0 zq3bIeQGK;#wb;h@P6>7^_rF<_SaC1((dwkhPMZb2ABI=065<GY;Z@9CGBeEiZe6VA zJ@?gH%KuK??C!U_E#aSK*iJe5W4+scW$u|Ad?4ecy~>J0?X>(mRVMpZ_<Fng-<_H6 zf8*FvzDKvhry7)==4%mf691Jrb)JN~Yvi;I^E^&uepIm4JIiBa%Gp!3!gkN4&#Qdi zx$l~C^Yl~=+x;$QW`>$Cozm;=ZE*iganIVBN>c(#r5|Kg)|{KV@ok{rqQ1!sJQx3M z>u9l<aEe(p%(7cxjcS(l=f1n!#Z__{)=1>pJfHD=V_R2V>FXt1r7u4*FjMgCT5+ZJ zX@#>^>b!^C%WclxPES#iQ2PFN!>i0l{iQ#HYtC`sxUT52+VAYIt@}<Dd~ne+{Ihv# z(e<P!fA8}g_F-F9^#7*orRAdh&I=AF9$-;d(_l617WfshqgqnywT7$tGe(o8lkdDU z%u_Y-J>SV_r4kgMcP8lM-VLTMpI1G9Q_ie+BK=3_)j#^3c8N(kMiF{!+l)V-?U7x} z*xAt-)EPHD<aE9JrG%yjL8b0{=LVcuP}X<feD8r-LGxN(-&po6v+DdTg`-naH_9Gw zz2e%>YyPx*YdQyqBu~M;dv&^QLTNKT?VHs5Fm_Gk@qNF%*0mZW><%!$m7Q@Tl%a|3 z#^ma`m1U}`<&MYJ8O(cC&%P~Aplg41+t>aTo7S?Y9ajj}tk=4H@Z94KOTIi=D4OP0 zB-3&4?+y)%xk;sakKXRIWV6d<(fO6NJ3Q-Hf6d<m3y-&1MLcVko;6MH{PA;|pM<+2 z7Rt+|yIF~wn6+MaiFv*3Ox|HG&#jMBUh<yt5euELRw-&$l|^@0WN76&<CY#KSryhx zH=JTxpGmX?HJ&Mx<5;t3)$4}7^T#4%4CInlXuO;iem_I)@xiX;<pOqDft8BS>#Mzm zPAYYADIdSLPodNK9nY438#n1TmDY6`UVORvsLZ>fty;Yahi7Tb*c1?PYq5e4=R3Qi z<1^<xUh&mQ>ErC{EOT$4(68QqNWkTy@stmToWI->jAfLZ)4lGz=BfU-il^3xTCbYP zck<F^r`?a{gn!ud$!&TY)8!wNx0LVASrZ+_p!=agcFW|_+PC3G&w1a8?0WL+`=$4D zmefp|(s1s`G4IYh8;_`(z1PtZ3x1N9mb_<*(GA`|^MYDj{>vPSsP)V-JiBGl3%{dt zE-f$lR;C^Mf8K=#PuH-QWgp_d1Ti~3XWenZG}uk4U2l&^fl#<(mzik4%)vAJz9a@K zdo^nZoUiM9F#ii<(ef=*n3?%*FLdA4D)_x_?)|;Y{EIpHProoaxBct(uICk>z4Vqh z|H@!{|K|R3Bh4?F+Eb_PjC|uH=#grE>G`3F8z=fHec}C6(Hz#GStWm3^R&+0cXN5Z z-Mc&4$90OzpU(YW8+?8|^;!GtUf+JNOEUKBgN4f;eO0c$%DDI`=em0;8g2673-$@8 zP2Ke0wtK10w1QW~>Q)BZ_iG<+-ScMN_g&|zPQ2c|m_JMLVfL;+wtC`~k9I{BEf&6Q zc_oHr(GKs^@fIuJT8LL1ZJ%-Z#)E{6kMCAl&knTZu;VbOyTh(wF80{rud!y?lkD58 zb43}Fcd-5Dnx?SFGyMP6zl=dSPt1dt^3G&Tb!vO!cKK?IzQ5EQMyHj_)B2MouT(95 zcg)2p`tPPGua_jx+_dFny{h({9lNRooSgo#NbdX<FJjo(XZ+Gn;zMYkPQ(AFX;w{d z6Sd#6r1DR0^4wtf>{HqjLAizEUy5t;K8Nz%Incdf!QEipN5={(TW)JPF>QTsE^7Aa z;#IG&a|9aLo*X@x=;ZVIscOM&H!Zs!=dD3}y<XlvZk<y%teasFVP=ssnd71F{SEJX zk|swzsxI1|_TiPusUMM3A3f_{*gmN<J=Tx+M0M(HU9BgY|1LgcnlHJ`SY7ON_kp@1 zm9+<#sxk+eY5zOuw_8nrO-+5U{DKo2uf?j)ZgSV%^-JfE{m+kAwwoWzV^{R~n=ko4 z$WSNNDBdsXUCj!WIg_^ctouCe&#W3-v00}pO>Zq@`#URkR_*OyEdPb0_9o7JzOsUA z|N2U`4@;E|f4`CBb)9j_)WFzbzv=O!=^8y8Vh0Ovy*oAM-n$Ut4T^idUV0fgg(K;< zR##DPR%~Pr%jVjXH_euoTK{fTdy}j=!+yELWaDN0sxsa3$?lVmGOaEb`uDQ&qlFaf z@flT(X6bicPh4egR5NoQSF_co7d>aT?B#eb%{f)eTH;gJ#n;o1yw~`7<HEE_8O^IT zHw7$Q7y8fhs@qMTRILpH8{IV4^H^ysv1=yyt9Cc64sl^oRCGv{esFuunRUk+jxg?? zc1!Qg<o#aW9lPr<PN?OPN%6P3vDvV1#+7NNrc(dep0>Z#K77>hKi7OUN3V59pC9E{ zy~Y3VnB)a!-#?c>?)J-Y|9Lk=Wp?275S@q5TCQbF{NTQ*eOvFYRNtBtB5#TwA2xbE zYpd<Yxi@v9#9|M+?Q+$e@I7CBn()7)O>0Xv+4tKmZCQ4MKSyXo&6cpmKAg3?GuHgs zcK4*;%6)wn)iVvXX1>U^%POnpv6`yWEa6*aal-4bkx|;}IH8^9f*ZDIipyv;c-=c) z@3&E8bHhFFSDih6>r{@0{wtT5@-l4t?4%~|rF++xyGrFKB}f-n%G>Yjn4Y?^vMFQY z!6}a!OPMcL2~Gbz?ab5WCC=+jyq&afI-c6KJ)NVaI_uLhu^-xVxvJ9)(<_(Gc*wLy zvLh!v<mn3G(Dy4}O!(2oYHIjlnNUxT_Nn(bRZoR$PF`_hW!?1`-**H?>i^Xcn7F8N z(XF);(k?_-?lEQO`0X?EF}sN3<AXOAJUlu7!B<`1d&P;LCFVH<&3hrHxTrnxqQvv! zMDCOE=Q&R)-BI2&hj-7;3DVMse7E^}vMO*gXD*3S;wuWwj9`;ZJ#<hZerLj)_7_#H zb93%ixqY}X^WhE?jX<lCJH`q|_HP~1T9Pi!S-RE!(WO1k?ICaOJkXLqQn)aNJ+`C9 z{OhqdvkVJ7>$4NJr%&6w&tgm4jH)y8{yLNHq_BK15AO4v@O)D1-<3L9`{EjAT|SU} zdS7jfp`+cdr&|`R$awt7<=&#t=T{z`ZtU`VUB`QcEf0U6=9iwW9wn0JySB>lcIXB( zu9n0q``3mgUsd93j>_)axBJ5DgiG%44mGHs*O_R!RR4nL{UuSaR~5ZmczLR;BCoyQ zg}Iudo-ZaooOtZbS>uN5t_@cdtDhfx^ziacE?0i77lQo?apAsCpSo9W+k3>TwC~sT z4R<D`>+VUPUc{~?S!pDG%$=1jOyB2{RNF1b<)Y`)_BgioJ{MGD5_@TW^<w(=e!-%6 zhqqA^WL{_NJt*(|{z|4^xt_?DQ^7Ugezw<mtozpxAR;ODG-BI_mqC(kJNAil_<c!e zmRD#|C`o_#+wt-2w9|8BmMqnLDD-ptM_u=hQ}gC*&|AD{`<cyI$FD5>!nBk>u>5Cm z%ITFECcI8|&Vn<WpWa=`!`<9`V@Gbgaz}R!b6tRA;V(TkeYFQ`j~&P=U3P!=Chzm= zFE^OQYSq~Mh}byoQI4Yf{cE>ZKdN5;FW-47tKIKoPcH25%A9$9>fx9EODj@hx6b8w z@ls~`)irw4zj>Z?;6GZlMnh?%M1gg*cIzK;sp}i37SEk|=HHXTz&$@3EG$>fch4w& z`8Dv{3NE*WbqDOVMRy3V{r_$2vJiRsJzEsF$f)mhS<Y?h$nzm-gRb%A+7FS^i7soe zMaLMQU7r}ZjO81@%dc5&`=|cSGh95oByawFsc@q^Iu`dO5B-(p?_V+BJ@jX+g!4?9 zf06w$axQD{uBm+DUd%e{_Jo_8OfF<wCindO_BHCW=luFV`zNb)e*WY8GVkmhJ@!|c zF6MFfAFLER#Ixdd{Js^{{Y~PW!KSR=!xThj{ogJ4>v#4<z0K7k7V*MgdYl+HmT&ts z<F0^G`?iinSsN$rdU#YfHLTyEz_jKz%Vtk~7dMux3(w@2{QVj~A)94(+J=wcW4(>m zTB`A=eJU)Ux}y5stY5cJebov7v})e<mz^%jnwuAiaW70bfBqKBzF&X0EL&>OrtaF& zY-znh*=coDi)^&w7TfMer!%~EKYOuEAZ8vn!zTOtHtp;8y?_1GH!tzuqg1&7ts{Ga z$~ffRWSd0A3>@O!YcD9zx_74AbKa3_dk-vs9~Ph-dwlZhxctr{*_Iv~9&y9F9)^N_ zH&08vRoT}tsqfFtlAZjKF>7ZX*jJO{u+*fp_-fkGi0!rKHazu;TlwOZobCml-*c+i z7BHP`6)~DUp@Z$~z8ev{l}c^DoKbgsd48YJG8e(Dmp%*vy&+5ubF_2&N}@ky37(Mq z!d6_Wcx7|2m&x}dtX<~P-6gymI^G0^nol{zvvtkn&`(8b`;{L37O^V7{hI&stizZ2 zx$5gaJ>fj{_w+5j%N4!%8j5&$WA;vZk;rvcWLatrZ+PXht`(n+>Q-i*nj-&e`lZ;Y zt23H^A7}B%ymKI6<B?;kdV)<aPVbDHllJ+rNyP22U*4OyEe~w=jZBD<W4|Xm@yAL2 z*;10m+mk%_ojzTf)VnG0$ft}OlWg~R-{0q=9>j63Hnr#QRl)MiO(Kpz!s4&&nRm&Y zlihK(w1B6SdE$#BpKBlYv4&?P_;9bR<_oc0dv?cxSHf8~KcswY%390{3f4q<TdnJ} z+Sh&k;s5(K_vgj0DD6_adf~$5>8v4kt`b7k6QeK1HY^Og=JSp<X&c`jC&$Oa{W*1R ztCILi9;|#Ax<!6^^pq>LYfdZ+snX|Aa9=;4t<=kOt?8n+a<{*mwt8;l?ci(v!oGX* zuB7ZZ=L;`Iirl0A8yQ!6$rt;2)lTMEnS0Fa%-(mRx`o@hYF?K<wLBozy~t{DSFZL+ zH=W96y&1i`d}cS=7cl?&IjQQ!^%tFb=eTdBrIo}B&zf+=Rb|J_O$94HXK!9u(G}h3 zpQ*B;<ibhz(~Fq5*<_s8pHctd=nbj8J)Pof5}u#7e?D_(&CmPVH=X^$7s}VDpVQr< zx6yav2}7Bv+3RCjw;QEB@7;IZbYkZFrvVRUupTW?IelZ#`liOC@eMb(M#Lx?vemy{ z{o$_ltFO(1N47n(Jha>O+s_c2o!a--M%|D3w>c#8QKtFv#TOSVe^|Grx$tT6rl*tk zMZ~;%e?0D4-R!{rv<nmVWH1J;z98`HYTA~o&8JO!HgZ0?)247|vp~N>RR7l+{}s=2 zZ6<BHwJmu`(#xCc3#ab96{51AYo4ayOQ!P?_A#F6-=?{pU3*jFzJ{fJ&eF4cXLd<# z<nl?0<-S(4>_^U-2Zu$!=<}~jDV3Ib!G7Y9tn{_+lQpjAZk^~}q8=&kzg))nU-0}* z%YV0DK5}QW)52?C?W6LTyg0i&g_+X*d2AQXuW+h1a9On9!o^LeBS-OzR@vGs2cMcm zR`V8iX-`xwcdT~jNz<6qlKJSN>x|`^8!J!i_ypLWVeybZ`ZCaND*qGFEq_azLmvsV z{9^Ks{#mcSh0*J1-|Onxj&sjGDgG#%vLLf5tazVUvXM3WEZwRW*6J<7TW1Dsa{Ibu zZN=kb&9#BL!rAg~FFjFM9@=2+yLQ6zc)@UqIV+xMT;!d%I^pnd9?Pp&lJERr$$3*F z)-L!?OZ4-Bh?nLoU)|QPXAb<uu;w85{>l9FX5GA{s^=S8q}-EmXI;w$M{k99IbQ|t z-*~g%P3%xy+>0rFM(ppDPE73+NLF>IQ=6BvRWkGX%r%efmYw;>z^<NlX&qBsvFhLG z(9GA>`wy#xYbKktwm;SC%B+c$-^;nvMfMD9-y=oGD-(Q#ni}S>^*?a*&##K=+C6KQ z-qkrSKmW(ueZ8gY7koR?<n+EtNn3qsb<w_A4{I{Fim`cq<M?wcJM-y`(ler`Ch1Qp zG;WIh<XBg*raJMuaP{q6AAh^b*E=S7ocCkBqPu#}_HXrSDVc3yZNCfWGG1MN^6KUT z70(-!rRH@Ns0RFvDl}hJcQ~qZ;T_h}xgAelzS_N3^Kr<;%o`hK7%ETu%ea|I<Wk=S z$5lJi^~2SsEw`F8>7EGV)lF&&DvK=t+uQbYZWCQvv-kd9O&itK&xIA$&phLP7cph0 z!dG9X3|qc`m&$%E;}Q-tFrK+G<ir|&rtivyM`Zn4)LFlKX*8|bzAkJ2C*8x5e;U_B zi~7A#zE~6P{%CUOza6Ure|hvc*i8KBCRHHPHs$xj%AJOvl|5xOSFRG_UsdIPO(iJR z@4er=mlYwBpFRmly!y6pTgRfRs58+YJq@lsv01%MgY8Q&chEQ6!2Vr-m+a%#&hgYO z+{n{qy^hatG2bM)ZH|RvtUtt$u(zi!xx7ADr~HcdcKNKw8SVEih30KlH?Hm2x!{JU z<d;ddHvdkYe*WuF;+_bTNamSys*C^Hr57BIiIx_;_VZMO^PK+7DIK4#-Tq`Fn(yn) zeYx&~M{`-&Ji&_!>^oxYKhMi<v0T%s^~>Mphaq2h_|ec8+b6JJ4V%6s@91%Z15J-7 zM@)G)dxzcI``t6vsc6m&jC=3s6jNxz!DaD`ndjtQ^WLnrznpe1GH2u5a_{;<S+gxE zYge8yZ;)qWWIf_N&rDuH$l}!#`Mfh;i5pj}ybu<Bkj3!7<E6G)Uq8&&W7@LxvX?q% z>BnzP{~7PqH_uw!IA6N?g!7||HgEYORgU;CTEwc~GU@b|I~=DRmdC7(k?N2C^WrAI zpkDa9Hx~S>W4<ml^W1aXeM|OMOJ>n!X&YV|?+dmRkFBuER1=>1^}R8l3G*Z0m+kR$ z4j+k6-{)a@^geId0oQlM{|i&%K6nMXuUK%plJWG+62^z$eGYSNkJ-0c`J;j49LIt+ zllFJ@ns0jW^VH03vm!IUc-)c9S}V0JN;Kgx&%~t>u1A-5elC6>vA>LS_VbpN4^t<V zM?c6~(cN^##eLPWjKq&0Ph^>2c<(Vac-f4-y`C)h4?I^r^yufC4d+y^Ug5D`beR8d z{3+fea!i+6;#{4MF5Mes^=MVl-n6j%we7Qco+uRXAFDmAJ4c2oI&t=+@2N(`cV~!w zpK>Mr;L)cCrhQm)^y7l<r_MDh&+iW0+TmZD|JR78@6<v~>$j>;6_!oBlPglpFZ9Rz z^TZ1cI$}Sabyr0^bP;vjqWB~?<M*3GM}K~1OyzQxb3M0s#;2D_JKE<&%ryApHQi;3 z-wCap`zBS&osAripA~8E6w$b8lJWH6%_&yh>K_t}UcTVI^(#&8#0^iK)6e&4r%Yts z<h*aq)6<JtOmtXGh2;;4tQU6NEnVAJvnB81oxsqXUKOTgzU*68`p?{Y@xq&ntL{Dj z7rG`wq3R@aK?2YD$m^5Luf#?2nS^b&-Xs07uq^udf@RM)cP@3P-u`&m<f5dnHQF4E zTy1Rp$8O6WwFqCaHE+o_zOV;6#w|xq=rzx9;`;UB`RbPk<uX6HOljV?bJlEUk!7zo z@68g3{`T_VPp==howhG~Rg&5181dNI>fgci>xTk2YMwdyw8r!M(Pfe*6AWd!7A)=i zIDh`!Rcyar{@)yRrmbs%L2}pJGN1i2C6;1~?EkJyUX{GN{B3umZNr4VsWZ<+ofY}i zl((ZG)<Mza@iEnO5wZRi@8pHqrzA=4urATNcS1`j_EHM>mXy<*!#OhRK6kv?^_#iz ztZLo${&^+`x14aiKfCp;M><Q)$D{6NU1rDBYAA;CF`9N?-}1B5`rd+Ejrt9XLp3T7 z{AxS$^zN_Ohy8K_4|Z^_JhgepMdJ(78#B}nM6Q`J`&Y`nq+N$vTkYp-AFrLkxW~G4 z`>wtA!P>3)oBz45U9#@{(OWrdb<c5Jo8<DV^|jH7w#^=FZihZQnonf#k6q)jVs(J* zi-_12HjHZ&Zi~NI*Bg8((8woa=1R6rS_~?;3wjs#C9JNI;kz)uPk&$L9IK007AKYe zjtD&)F_*`&LbTUUpo{a9zq~<O-jcQ+uceQ_SzkIKuxVQMd!FYhi+)~v@ao_$HTShM z*$O;wrhng@&p-c>?xa02+zd_J+wZ)4`14m##RH?Xf;SoLd(8{Z7YB>7A2w{VNX%ER zwqEFaZJEKHng08?eyrf!qm~xI;Ic_Buq!l7t>E#+?A@lbmx#suc0JIezp&UqZ%?^4 zyMV><P1`groM#2R{8l=-LG51m>XS;f*X%Y-;wt?ADCyn$(&?wxot1U(d!$wW{ZUez zW8i{EHhpp|g8$FRntM+>R^O(z>#2Uo?#-on8f$vGa+d}$rYsfMz$#W`|AO(Q?6ke# zcd4&1?4SH-xsLbiVAaQLmk!?fskmUGyuL@JmzI6nb(X4|0VgJ$=r#?#+Vou1zhy2* zwrcjt%Glc<*9o5c5%VG=+sW50Z`p<Bn?+MszhIlOvh~F*rqI0_FB$hgICAE5^Xc%L zmmh0uq)pzphTp(>_u3zRg*9Cpu7<QP`!rP`M85Ik)vYGwD;ayLD-WwGu{^)fwq)Y8 zu+s-;KTqHhDe#CguRT@X%=oi2->P<fqpHOOzsCJ}xwRf2oEn^jLk-+6*DQO(r_Fh% z`%cE+Wb+AMT=s9Za{cf0R6#`UKo76`e=XrT(*JFBIc7-zTXW!ydpy(ofSVglZJBKq z3^>%)KJ&L6?oZ9KKl<g(dp*mITc3wl?$QWVpS)SWNO0!)BYUr3G%H~jXPfDwE6S`l z`@qGn@UOf9lM~vF*lg2p-Ux2py!T$!nmczM35l`4+;m=X->$_IRy7%F+OVei+!2T@ zxxf0$P0u5iYwj6cv+X+Vae66J)vtY9uFXi><C}C(Zuvx&FCY5VcgDJ&zLvJ&U#}eZ zq7~}JbLM~jF}*+QM|<~4XGP8ZHv10d6-2eqN_n>U{@UO*OnFYfR`pb!f8}uYzVxKC zzwc|ziObWpm?{;jyZ&+~^Tx9C;eO}ZVy_(StY3Dxwsd;U#O0~nd#%l{vel=vt-tyG z+zb)_%F3u`7gnBf?oWMiGu-yy-S?YAeB&pb*xPzZ_i@?GlgBnqF7SGHGI+mgX@=-j zU-`=VgKk`#_W%4S*lz0-S|oq5QDRyt$211Fo-aT99Ls_hzdQ9vCww}8g8RFlIo|xc z`*!7|<yWvUrRYxLWz~*y%&=Fu)VEMKb4lah4>sqVljbrXopnUNsf3~UH_t9LlVAUK zM{#)^mR!Uf^6u38`POYWPW36S@Q%E>^YaIBm5^x`rwz96bBXvSki@-~rK_zbtoTKd z+UYrpJsWRDi^myRn%SK^T$Wkc_b^=Z|DFSe@>3ou#dWT9;k$oEweRnVmWG!To42mm z%JeGbNzNXxfN3f_Edoj^7KLa&-k{l1-OVLquDxDr9gB^ig<2Z-Hs|;`{<ft~oSNqi zsvd1WpTDDT{w{THAEpP}9{#?Ta=!Ct0n6O{S3eG4kNdBxTy!brX?DuOEx(GF3T557 zebhd%JNna`vo`N#_6aTc#~F~$qUp)KgmYi**?$>lHXTXuHNX4ozW9}^S99bxXqj$* zv-r95Ho+xlGdB6j9JJ~F=(m24{d!gdp_<a=QZIGyUZ29ZrseFeeS$GLD+AZhR$jHr zpngg1e!Zh>9QHWrD()=_|FoRRE9nSlry+mms@1D>F57<ESQV@EOyzbdPw3fS?>BcQ z&fCq*w)bo7gfF_c=ko5gxbs$HhP3TjWu2zQoA2by?R9v$lBqJyyQkKK*F3#yQHR&V zA3YD}2AbN<Vqkcr#<n?}%k#yYw_oxa%05hNxH8vH#!k=Zh5q62LIcPCx}U3Lxp&R| zmo?p#L&iT&^F`SCJEb2_Z1@wkV%pE>?{QP#H7Hqonmnl3v80Scc$HnvA>&)6b2Tbc zOt@y;ev>5gV(;9VZB=54Mlsc9E_okc-VO;ap8IN6cEGF6ahgFBA~QIpKJ}!EEG*4_ zZ1{ic^{c;c%Vd=Na-Xy3p^_Mj#Fbq$-iNH&H|2Qv#T%Qh8T72IF>-pu8fW;TOr`Jm z6LJ1;r?*r;Wly{;_rzAVE!@%7D$m%w<(h?<(ksKS{&tVv6fXV!`$+B+=~g}dISQfz zZ<78k`n&a`QKZFQ-XhbTo2^0<G9p=A<D#zo5wLfwzk7A_Br`F-7^dHR$@e&Vyb{iy zSo=aVjcfD5NHGq#S#S9GO+HLk)>sh2<Z`*&w03FQjHj6?jBjpbY}@vfG5p7=9ZOpm znOsPCcK$%xo7o+Qlmc~re%g67F-z?44u#L0CV^X?`Yp0lS)OsO^-Lto#;W3@H~d*c z?oamkc{TAA$0gCP`_4YT|5Ww${58?<99|`^UYz<lU#vp(O}ugHI-`c`sXctkdpDn3 z9d)Dsp@FJM?gIS{8Hp0vOLwIjE$jZ&&bxb#GTZ0-c9xTD-sN~rh~9cxFj(aR|NJBI zMRN*rH(GG5%J6x$(t4_O-=YN1C%ZnWtompDLn<ogv?!z4o$o#SE4FPu&%Jt84x?|3 z?a7;!mJdB1`U>5$3E%wK$8G0=+7|-0t0!dY=L>F-Tb=uBL+~o?;QNi9%I;TAo;>z2 zW3fhF^#sK~yF$fM)o%q#eb{yVd3%WZ+Bh$f_qkh&<9D4*R4~7F;=-L4cR_*uS7gp? zS*rc=N*#}u;h~B`i?~}VVfhnuPsaG}wQFJB*FC%bj;7T4h39H^PAC%ao#r5CrLR%7 zqOZI2+>$-t<yqV-f7)ra<SnUcH52r@Saj?{<bk#M7S~SI^*8nI4%RN#K5DWh|C?1q zP<YCl7ptB<_?ESN>ii=Yc{lxx-t*<JigCy9{G6})GPA|Ed%e@N5kB;9&%)xso9YHx zb$bL~NHiUJ*b@EA;l%^pFIU_Hw&_Jb&q_ZLB-klFLrJ1{*18obk*ix{KOK8LB{3jt zYUkXt>p4A55gUr9WvV!;brwui-p4<^@9=Hmhadk%zG>1*dhu($$`YQKUaKzKE9vts zy_%jJJtA}El&4Plk;RuKn>jx>W%a18Xxy<bX>rW6Ju!X9*yJ@PW$4TA3H<Z4B<t$3 zb^4oCGS60@KA~};()!kslh>TgRpwgkytg!;-FEq%XPI|4%Ch?u%sM_<xBZw-aOZsa z@{|YC%lfq?qsn)R`TupRVJJMUwdd%8_YR9Aq91ELiR`smIQ!*FHoMbveww^G|JHR{ z)5YAqC+4g=Y4f`3=h>;<e9KHf$h>U!=(Y>El_YU`|4FI$hpyNevmTjcm9Fk98>+7F zVDL2T=D(6NCHd;A-dsrsx4OEfB{Sw)&P`yr;@{Z1YbJ+I_&sCOmuoKVW}T4YaGd9v z(mv1U52ii(eKON+-jBe|TQ;mrp78Oes<ddy#SX1GMG5j|Gmn0i(P9bNRW2Da!QtWR zsov*X19lu$;AVfkZ<e^1_Ee`ywe8B!Me80cX^{*xoA}$w@+4o=+ebNuKL6ZiS!I=O zU$sh4U$vNdTkM8wolkQkCGRYH*7}YA$%B=>CMSwkvK%cI`e(N;!DH7~!Ho~&_K4Ot z+g-^1_Ih4&>6O^j(mYpQPPtSbqlpD6PJ4xl7T%i_bT)nMFRS8?z%}2T4j&ZU7A<I? zG2`RbjPp}_=Sw^D<XEdO{QdN@hrjk+&d_f^HXnT*{IXL@WMA0SglLz`i_((stv<Si z>s|iy!Z$aQ7hFtjmJ$qczNNM$m9>FW?qu%61k>(~OjZlRCxp3IylqsFyKb_fJX7@h zwnx!jUah|^vvTHHpH7uDxh-GjabS=7ez|jHf1+RQN!sVcuv(kT#m=R2Uj$>$`W(I) zuRIM3Z)H|RXK<9;ZWL+Pm{xmm`MDWN>y_sUSlE0uo0^`Woodyv!2Hv!Qx_ZJntpe8 zv8!+FJ2@eF&5g^MC#7VLe{xVWdu~44`PPG}9f!B+X)apOuy*O;)hces8*kp3KQpz^ zV&%5%jq{kdCqJFMXyKga%W4Z0W<F`W{z0f&*KWgfZb$XIR|F!rA3l7UtD8MwR?DW# z9}`$w%<VK5FQ|CxS?SynI6H9e{QZA+ZQTB&Lr3S87ejnhv8wds$G<m5xK5k9VRPQ2 zUGs(OR37?XnRbHDGFvm&t@K=2ScBJ!J(Fe!K4MCl#nvw79+OvpL}2l*tyyI%hYdgL z?Kk|h<m7(QgTgcHB)Gq3NXOakwVt){)xixv6hyw<U!`)=$(=!JMazK$-+c0AR~L#L zToJP7{EX^bh2NPwc-q!SbZyUZ*!*gLvcliUcfXE4sJ>fzKIUne{jwST_f|4kO#dM4 z{@Ubt=c?|nNmH54e3vyZoICHXkcm;Je&CUXX97jd)P!o4qSJmoG#6$$ewvw;yDVL! zl*fq6JN+Qr`xQYk^_O>uUs}hnxV7WIx^LgqP?t*)*8fih{q5T}Gf`Hl&ib9}=BNIP zz4CS!8cIqnEXpnlObH9h^Wb&=D<fyQf6MkeejoR4k#(|-+I~=A&yT~oky|plqShF^ z)_cq&to^rir){|7KA8upF2{CDFm2Asl}~AiNO%=$@~Wihj?#x$l3%4{Sw!wW`}12w zL?U~|t*6zuzF)n<`F75=Ne4EYewxGfCtGG`XMFu>uDlN$L@JkV3Cu~1DO$+$$!<x2 zrRHi;#xzD1x$d-AJen6jBs8sOGC9~K{zhhgWWu75d`B0FMQdGd#hkeJEP~_k!2>07 z`a;@w3Rse?_D7U+SI^HidsoHJ|4H))(|)#XA8IPPyl!Tl4xQ6_!M4%tYe9G+ua4=J z$>oVLx0={@#ETZC?iLE*PvYKn+)Z-wo`v%mLbpb)G-B7Ecl6Q+nb>P?TQ+QZt-<8~ zBXobk<!4*p3Q0vNrsl}yq|CVS&TQ)jRX?l8_21;b2j2HNd!OaI(#s9MS@tQccp5a{ z%mL{zNW-)wLnF{(kcQ@I29y65T$}sh(1y=HeSdeiO*2t7$@|R}Gi~A;w|g&}+yrF) z+}E(nJ@i{+(l$fCXSZx4L`;^Z2>;l4d{OF6)~c)DDzDDFTl@U0UxY`Rs$9?<Ip67D zcfS;6{}fSJm!)w>**&B6-44MuUJHNb_Wj;+?{3yBK7;?6w=VDaqL3`G;(hLXziBf3 z_9BPg2^^m7qg)-===HPK>VIT}%b^F8rTPnZhq2^*3<!K8k#TJC7UhHz$62NaYfc_H zA>+B>=j(e+la%L$D4MV2=>I5WIrUbk^$x~!p{D0LdHE(DFQ3iY*kmsBxG315E-}22 zX?5LQn<+g`|DHI>>*-f>-tWC{cd+dF|7T4z%EPXR#E8#27OL`2d3FE9m`JVlioND{ z)~|SVr<$2@k^kTCYjm8ya$LD!YWzlHU%-W=_H&X~4wMH=P5U>o!_VUJlcuNz_hawn z%Y>-*um??Yaxjv$%3XE%<MFHIf>B~VQaLV7qPhiYrjF&!1{w#;-q|u}#JS!3$hF6* zJ2vRW)!%1t`&7^V`OkuH=H~;KYVPIVV>!6MD0M&6%3Ra3X~LIFFPtgd_9KZS%;{yy zd&P1E$-r;D;#`@ocl|FkRvZ?QwaHi#*_Lpk(B0vb)UA7|FWH>dNGq<J@aUej*4gO> z-pXAam1Xx-j+xiVZ@Td7NbJnTts(y#{(AU)e*W;tIrfC9vy)ClD*jGRoxAaqsjZL0 zdxJ%{m$_Y6S)!m|zfyQzq23RrV=ULcc(5w?O?0?ar&Mp$=c*+y;Jl}5)@Ng$SrJcI zmuMVX@-ignw#F^~WecQJAE?G^JY3n{&Xn!Nc63ef>bUD%WgBaSnytStHJyAi|C#E{ zM{PUi1ZD?!n)v649<ErFFsD<7c~vok|0emH>AT}Oy1Tef&u}z4dMs~JSB{{?k5$4G zDy;>2S{OW74skzJcGEhYZ+2Q{l1+wXs+EY-lp72y!?YGi_;JiH?3|&r(X2<_X?A4c z<fM<67KBuuag9hgpc^Kcq4g`{wB)q<l#i8LY7`3__cgAcb}9R{y#19(Ehpwp)j^83 z{~5RbJJThRy*T>uJ{`HQ%Ec{C!o>{r?Jcv`vUtmKZ`<y_>s8=14?afYthZJ-_-qQN zTq}?FTmSsc%-S<*`M0v~FIH9(e)jAyhgR8+{&1y~=V#VlFP7L_b12&9{_ZJ0NA`pp zemZ4l<Lwz0%2a=v_iiq)m(SaUEn7Mcet28Dpi}CchQ^+r6UX0Z8^7yno%;RA<&r0< z>+Z?>$QOr1mqsq{m;c<p^|oN?ryoy_-H?lIo5s22bLgz-YoEOCNY(Xk`Eb0y`*!Fw zW42R%wx8F{D&Ku)iBXu5F83-Q=8v1C*S1tzZP8V6dUM7o^N5O=e{$-Z;uyYp`o>}V zFDT`2tq^HAxQ1!hJ?@3tiRPbgZ%UMTHRYYV=O>v%i%Sy@cQ)FUKDqh%>Y@(^1u73~ z&0A~DFA@LC;!}TOo~?@a-1PA4XPS*yg<aNdD-N1hD{68%t*$cXar#y%f#{?^uI6h` zzs|pJE}8k=epT}p*D50qwR79{JnmV1?BKMPhixyWq)5$QykOIbf7RPH*U2uCd3eE0 z>HEy$#Vks1w4dBmcN7jWPW4{)W6rD-f-8STOMgu?|Kua={cStXwYB%ZT;$#|#q-e9 zZI8}o2lOn;`};h4*A#V!3%Q-Yul}(S4%uxYXK(iKVEwwbuRDWpx9wVc$KdG3@Su95 z7*+K){^mOz-eH!%^d%Snd-Uhiq~m&*R$LRfVsj(7T;Qp_WW2Ly`Gs9wt87IbJsvEy z4_y>%FS4@v`fl%w{d;o)GuC;RO{;h$IB#OjQfBW1t0l8zSvf=JPugvJ=;+SLc_I}O z(WP4#PWH~-)+=K_vCF)|=)%ID9|E!kThw+q{^P%2Tc(ik*!Fd!;{AhrZ$_*BoUCPU zE}ir4ePzbU#+lX!Rt8>=Sfj^TH970Oj`=+UuiA`H@5R+*7;L`WQ#@Vv!g1jd%c7E* zAEs}gcDbL0b6VKUeTg}NTXiojmc7(?@|sJe&&1Gg^JWA-RC}2eF7d^nQk>KEeX6U+ zTxFwgPe1<k{nZo7_eE+K2lu-SpAN5A_p9DLP2cb;tv2Y|L+cOQ*XCQjvt(SPD00<u zjq<TrRVMYL%9+aU$Gi9xKK)vA=H{>Gg@=oyHh(oceDHm{%D!)0XFn>oNYq7CTkpRt z>k^cf=vZ~$vsaugP3hybvuE!p{K$*h<dr3TjU(eN=NBeP<4x;Z-<RxNyYg4vt>d|| zT;;2utlx2OtD(U<)r-{!zdI#+{Bx3vSanA+)9Ngje6FO)j2_YLn-@*}T3>Lt>CziE zYx`-f6Ov+nD=2+>x%-vroyWP!GiEL*%4@k;%lc%GbYp11O~;F`LhKtSKHt7JcXos} zlgRqb{i(n6?wX!SU83;nd}GW00FQN@Kj%1~-#X)T@kAe+xW$fZcI6)`f0ZfE6@S!B zw0Y86rHU=vwoa?f`m3&!$=p`7Hj=GO*xC5z<hj$2ln0c4%S~!ovamFn@lgK>2Z^jp z9K2PWL3O`3e2Zk>P+ahH>c&s!bnoXROo`!2J+msJSXeFmZyVpD&sW&EnXl;23M#32 zm%jC%*+%hc6SPFHUHxsnll##up)#||XDJUKJv$bu?D}AOsLhJ{45ef*FVRoB?%@`0 zvwAl;T`Fl*I)3Q!2UP+0MV~?^PWoLEta$96;~9wyE{VFOhBF=RRi@PU+CFxir}V__ zy7T9r)JerZ&Z!*g6L_?3^-Hd)W%(LmX8P;OrYve*ygZRf>#hH#t%0IPQcJBY4(xo_ zt1!_h`mA_Qc}>TshwR%vHtj2&J>72R!!2hSx4z!+$gHlsCx?A<%S6opF{eVszfo^j zHFw7)GeuQQ;FiB2Cw85&NZ3>0X56_VuDkh(&vyIxdwe{azPC*&!ggE6$&Xg^6q$Q< z1D+^Xt~nL=@6XN6#}>CY$CR@@Jt^5Kx_W|EN~VZ+-=p?eY4>fL?GN3W$hw_Levx6e z@1)-Esd;VP4`$yAT%X;$at3Go40rZf6Ku?6j^9z8^<!R*_1BEVaK;x|6C`&@|5&iK zRi)o8U%ksF{OE;W2gI&EOAjmcyTP~LVBekDH_p_56xsCUm;A;PRvYHmxW5(Rtrndd zf9T5Na#qGi?9oYO5t$xbTfO($yqdV^`<yibTQb9$G}o*=$o`+}tU^HNi-yU5^Jng{ z_E@E?b2@Y}`|OJkq@QuB?RN9kS*o>KJv>kCk#J1UiAe_P34s>1uTQS$?)jQ8*Y&1n zrpCIv{Bs-n4i(N{EyWf5Xru1xr$;~aglu_xYKzc!35kuZ8*-FxIoiyWQp({e6!x6= ze6Qg&J!6A3^R~O8d*A-3eRy=+RQ*On)tIY6j2}}y(vOuZi%q(y6XRafm(`zAC~?Tn zY9HT*o?DA1RIiz;D>HxjwP+Q_`<s@&oAuOdR^Q)@yFBl<ELwNCA}KrPM#W3^(CV3T zk0ca(tnJd1wRKPKUZ=EG>#9e%+tim^8uPXl?x^pd94sGvq$WygWefL3iI@=Cs_z!n zlAovevnefzQayFY*7An?%41WQP8v<TEideR$wr*<%^j=BUpGsrWX}Ed;0%lHmICkA zmy4?_+Y)$=<`wQrvHX5Ym3@P;cimJ$hNnw~7F?Tj`OQhichv$Xt>&5Q{FKqE-hVB5 z{kAL08_!<9s~g$AD0PO#gzE<E{9B*S*|hjf=JhmR!Gtm?w-2QpNp-&tZNJo?5!t(` zrPZ;G({@H#E$fZvw&ENgy;^QQ-F`(*G<EuuiyZgPx~G3UdD+>=QP}&?k;Wqrs$R_~ z{v3U^Y2(J(?;Z-iTN^oTvcPOUL9WT4u0?m(xJ<bC?u1;e!Mf>DN58B7_@K}0o^~)b z^?jQBES~tsYAYD+<mRb{_PRVe`L?WEp{o9c;IitK6({2!ta|Ai{<BK<@ByEW$$NK| zWxFRuCRzn%T?$?IV#R;G*C8(obX|igogx&t*ZNiz{$JU~Vq{!i!<aSsd4JX1urT(Q zrgPrN9<Y8S@1b_|tifaZ#e&8XrT?x3wH$usnZNes)bpu{7v>%d*zCOitkk}lA?dkK z`P}a2{QVi;z4uvL*%}_*V?Fb}>$x7bS@-62RmVO9X6dPiew+3=Nftl)siJ<cc+ssh z%(~ZCNlNGco~7CPFJQmzgynCSiZMr;71n&xJ)6??lCw0x#BupfDVLC%J1!-aabAVb zN-jqiZ@d2BNO5^|Bim8=6IFhpvRw{x;j7NKg!t4c{oR}FafWT_b$v6H!*6p6UHsdm zeilSmxNmQDT;Zc2>OA{p|KW?uiZ0$i_H(v$9oX~hn|goU$NuPhewX)b?Ea+WBN)2( zyi)k~Z^z>qw|SNF{K=P$VO$u|<94+oSis@|OHk>hThmSbUfo&7;#XIDYxn-*M*m%v zTXr0aEt8VjAIl<Wa5uEQaPMDjW+p{e{?e))je*IZygWWk+Azz5U$Wu&k^7nc+RY&< z1@~S(Ui>y`ZN<q%qvG}hwO9U1FK+vzYP#hcTXN0zudIK9A~|P8IrRQDI-X*a=D%pe z6HC!Yb@6f<H!t}7a!ko{y(VkCwI}klPv#@n%ZZLp<LcR$Tb*q?f5byIBc<YJ;r@#J z*wc54k0)jOUNU;HM1^1W_T?E9CO2*i-&yzmQ`n|!OME4m59Tb7Jd}AUI<lw7c=!GP zmwzoh@u)qhI_v7$pGkE(xq_PCFFUTiIA1+JF6i(6k2ykV!9V{>U-eYs`pCn2XO`;? z-)olxd6SlA^%(Ss)E(MiI5+qB`xoDCex8^)W17Xm?J`qqr-)6us3E*YF}c~y+&Yhc zN1D#_2gy3VymNJI<W)ChIBG_FW-+g{S#eO#@=(2EhpnnZ-E^z<|4S}>{JhlZ;ubcU z4SVz~?7cs~IsCy=>cT3CWlN_u9-D11^Pu&g?$uLKuTTEpuil|l9a3Xx$r^a?rL6K? z6Om2M3NH+2w(W|~OuKVbcV|)bEa}|(2t!u>esROrV26it_3Z0Q{zV*I8TV}3=~szY z-*KN|;tFyo{}Pt$D#)xA#dpO`;O2(;C;j8sPhk6h%E#zY`R`Snx8D}te)ajN?TKZ^ z3O=59Tg@0W-YnAo+P=qe`+WPOJC}Mki5T99sj#@Glbd$V^~L-<{YCxz*Wa}3)?N}) zx&O~3_RS~X+_1j4WZ$d>TpRaGAFkNoz{t<};aj9I6W@>5iHb3r)B3dT>$)7s|GxR> z<$}9ht6YC5nFOo$m8yojNU-<1?s=&GEimDQZ(oVqUsJE_$*bR-nE1ullI8lv6Gb84 z@?zfA`7oUde(cD!te4O30K1aQVt<F_nnx<d|I6gt@44~I+yBQSp1c_!k`8+?Pg3K4 zwv#J+L3^)L&bOOeW;X7=km-1=r#HIXY$^B0es1HPqR$q5*_EfYOh`*!rD)o+9bTq4 zay|>ieV>#OpQtCbx|5?|$!}KSUxGJEj=tJ<;o=kT1!{a7zMnVz$CqOH%W^4CNuK*A zw&}LK)pJ>D*42AoJu7%FZ;r>`XUh*y$jE+mN`LmcI~(SRxE>Z03Kq$++s|V0V(o@2 zf!l8e+GL-XjM#rpbn3*jUjH1ff1L5D!l}*ttIQ>-h)pwIeCR%Wv7~RwTfcWdR)pAy zm;5}r_3*L@`=lSuT|aq!%t}?Zrf=LI6mMJ?y?g0XTlc3;pJZP*c+FB>?01}1<!$2i z1s1N7I||=gCcRfaBL1MUFZ*oQ-R5_C6OIYbab<n8XzL43mq*N=U;B?;@j245M8U<x zq4N5He{;{(B}I2i?f4*n=lz?%xySccivNp#q<)h5(3c|%(|<nBUfFk!*F1Vb?(5J$ zSEo;kxxl7#hiAc&(|=d<oZj)Gep$<{4@upbbE^Ia?hxN5_9Ok@YXK&f@;IdptV)*a znho4pe$9?kE%{iudEU<xx{prpa_jl^mTgMmy{64x`yyDk_WcR|(D0sD=KI$0_=-~f z?P5GH_g~O3)X3cYftR0O-7@~ck6ZG-I&Ze!oRGEJDLm&vUubD{s$T8G2hKC(BbBfG zHOt|dnRxEw(%P!ovH#q6t8Z_(EoJ%DYF+maxA`BBY*Z^_*}U?Qy;^3QsHl~>3tL)t z?8|u%cDiqUvtoT+-Tr^px=|emL>Il?^jFw7BvRn-tsq-Vj!B`Wdu2Ad9{!mn+nQf& z@#~XtZb9q8met>tzs)$jKvkiva+k@+yM{mHF3<UL(_2?9Ui;A-_l~;{kNR2sckyKW zzS8?!kye5`%iF6l76#$B4U$W{Z}-iu>whDta`=f%GE>O%NB;#J8MZ%ZiF*F)VU0LP z&C59Z7iS~PcV(~nsoHe4_nd3eF>7Pv=U;YrNfenSE?$yUZ*)~}MqZ<Y{nyokwjsBd zT<8^l?4ak%EV(!S-h|l`WR<n{FV*qU(OY<D@u}{^$3NVY;JtrYYC+yHovERMUshVa znzL+cFH^m4>HbL`3Jh;vUZ}l#X#NJ(bB3om`WPJ=W<2XSy>De;LOKhNxn6T|%oWkR zZBYwMV;6<xi~W(j#JQ2-&oNtX<IT=}e;+gMh^v0ONh8Gj{7T`@4GMiZ;##i~47q<* zU)AuHWwNynzH^#k*-kMF<zvm4HC|gC*?qc_B|n*6(QsqM=1YMN->1x4%(th=Ka(L; zf8sU=j|B;CJ4|@@+{jokB{t6aD~Iis=7ST}7~bUNcYU*ISpKC-F-+*~?(d&BKKb+J z`(K3(6Lvk-KD9%%c>8=Kos!C}@`+3fK7EPJQ`o+r>*#Coh+EnpjQ=S9*G^mect_~g z`z?|Cw|%S<s^OYt!o{>w=op{xTFz%nFMDnFx3wzXk?(lgYxR^io0ODj@e>oZ?<TW! z%_%&1_;lan)#Xx*Q=}dx`F-eItaU`1Y1+AN-ReiB8Erdb9z9xI{@7!`kEZ0gdIk>Z za>aG7^;M1D2c47d?KOHdcWTg+n!=eof90-feV%Go@APzSdsp_CmdnqM@0l9F%;tLF z+dYH2>B7&>IM1Bm_inQof5sBc3#x7Gr{w3W-0hrlQS)NMpYE;|ynV}BW<BXSeRB0R zy_E2u$7Of4JX-!fWtMCI)&fO~q(vJ3suo)`&NoaI+rG1C%`#SDF;-!|{Rbsy{9c<I z<uCInpx3cGvoxUEvV`s4Z7$*6MSD&N_?z|Xf0H|^7pLiyQP9PyZM8&uqD`UQJywP# zNyo+C2TxcS{+0g<=aIK>3TADcd_!OV=B*{)pTE<+c58#X&VfZda=&#~3cWb=rDor* zw|hhD{36wr{cp_477sByeZD{7qxH6orzTg-mzc)$73lMa<ar(0SgPL77aFMh?G{(n z+J`%9U#+>8ck;mT>r&Y>751@)_CNnquflf0-dIQYC@bsp{(27Os<TD*YZQzU{HCmY z6Wek^>c4P$^Q_!J6BY+nRh=+Rul+1(KPL#c)GJ3#wC35q>Goc^hy#yJTllLPKku@4 zY+F`*JG*#+md$aMwzr-O%VsT}Fh9Vz=<4EiGFx<Wdz^QEa}{lmeR}MWrA$#}4wtas z7KT#!3AtfqACj$~R0!}ZB{O=;)Eo5PE?jx-Lilx$Z9dH_6Dp&p{ay4?##qUiL44|* zoz(^*TFl7|)_-i;rmx9unAYdi);&{3r6In31>;?Hk>_W22E1=N@IwCX)18gFg*j99 ziImkJ56w0EcwIlYqIrg*={hei7vbRSbqt;}mc3${Ua@wD{oHI7o6hE@|DFua5|{h3 zZf9!OHMw8(nQ(cjo>834dK+Kcqirc#MWu7*=)95McKB8N(trc0hlT#RrGAODUGib_ zeCD~`LDFAbKZ}@sEe}2?=KGo5Lf4jM;{Ox7tm4G7b?59k;L!9WCh@_Chnr_bg(c^S z{JFT}gmklPQ<u?ImPu2i>Q}Zdyn6fg%QpRx=%+UF`JQ|9Hwow}znlE8xFg2c=d56i ztzuA@$%7f6c>LA`727mNw*ULlaK<L~{6TxUlbIVL?3^9m7@2Y2YD>>*yC0HJ$)M$^ zaifP<Q@A{PdLe(E9G~l(#bHlBdnK5iPpI7!w0f;?>&D67r5@|Q5GvBK{kt~J&S2V} zx1K4H`iy0|YacH+`D!M&$A16!dcLnVeydj)hY1Br&3N@#S^J&ZnMWZ%-#)wV{><29 z{`cdX_rHDo;2MYd#<eGnSL_VD`_fU-MB{AP*4?fmZx%9e%n0_FJb#K+ZQX?DeCAql zy?55-H=X#-wQ8>@e;3D+h|ljAO#OS>e}BM2$$hy$CWXwOd*Vme$Bw;eUu3sv@b#pB z(=E)d%-DbImCKCDFS?VWuUh={yl~r<+4#-MpA-HpQMNwNQm>im*&wyOVFTmsjE|Eq z-;^sC|GfIZ?AR8c^m|vA?H4ya9N#!Wu;J8l&U*pg%q$`d3=A9$>CS7SHpoA)3^~KV zP<NJrftP`kA+a<iGe4jxKUps|B`q|Bm4W%t6vd33s^Ai}i`Q~<ZkO$|czI)6?(J>4 zw`Ff{d%GmLJm>c}%l}7D$MP4ii4EO+?9v)3)2!?p6)QGw=;jg>6f`vcXT0dY#Tl8L z5M7>l2PyXz2ZEkIz2fs%@agjd5&75S?H`Emy&n~*vHU{H<-gbU8_js46a-ZlYMxP5 z+a+hio&73f5%2GqfCKFcpB2OuINX^Eoe$)2Ey&?)zx|c3L(q}yTDz3YLuc-WwT=gO zCMx+eZZh)i>rf7J5fnN5ZN9<9hYquX-5I4sLvKE8JkBvIwOOH|<y0_}a{H-;KDU-K z``_Gud&w+|hY>$oD-s11`a)Iu7HjD()>_A-@wi*0ht+FEi;Jd$vl45&eb4`u<rSaK zG>Ie%t2I0HSac~w35gwj6u~4La!%g=fUfC%{iScdriJ@&`r>7}QpH)6Q^|9U#v$)n z4r!krG@O_IYr5z72@V0r#vaEvFJF5+(&X3um%H!(Zk<jJ)*PSBbF1RZC!`2$lb?R5 zW`0smHP;&NY>&{kt7R9NS3R9RNB^RPaKO?(pVVDtBW=DivfHp7wCL~<>V5KFW#$yE zvsX5}SsN5A@<X`l^6#eHA8K<VuTD8$wCLl8wp-eZcQEUQ{P<$a`9*>8Mz*Ht>?4Vf z`nu0eF-Ye%j^;7Gr~6T_rDR7ad&!coA<jJ~bLT|*l`Ev5dNtFpcg+MbDW0!Rkzpse z-4`*Qd_6(zX4<VIthbiNHzdtG@$^+eXIsy;y+X}rUe<1nTkONietXB_rr$Fi4=lU& zAZe|Kl)jYUW08#?t4u32MCKMV3SB5%%El_yesaA;y!nF{j)t;Z0^YZUWviPN{O4gz z=Ul}0sf<aavs_<)!UfIsOpOPmE-Om8N$5BjO7m>-6q8VGVCwZ^x0P}!>3HK`yW~|1 z@9zU%ucutMSNeL*XPWD(FY~4vY(2Q%+xFQM@1tdDxto8>Wi@AiQqy9Pn=w;0@1@<r zWG2tZ{hr~!G7>l{HcnPEQ?UqpyWru0)%QbFe;i<1c0gIcb^2VZ$qSudxgMzdyCt`3 zm2!f1PIcFw89WONKNKlixm>r%G|C8lKS7RjVn(Nt`t@11$B+H(D&MJAeqc}BKmEA| z=_h{a-8R|C%I<N@=eC=(jEBgt6P=Tkf=x=kHTzwee&n|9^VPpzI&yz+ZalTNK0G)z z$tT2nrmR4S|E(rf=Nr8`X2t63qSbi=5}FRq=6EG_<?z!vZ-rR}4k)>1Sx&gT#c!Ef z&;KsPm6vt|8FgN5QB2S<_bgU+|Hblgo<YN*L(Lq_?OIc#t~7KkzEZT{+9HWx%%+wx zFG`rDu3TH6l2B57#==4VlSsm^{Jd4&GlWf7ZgORoo?v)zc9i1sCbo=>OCh{cth>z4 zp5TpGWO{kCc+|ndyAm6mVp8?pQy4VPyPer^eVOCy53y1reZnCHE^=#2ESY*1c?d^6 zE!up~@b>4}^izVZjr9w(?y_i4XF8~}_n76s3#!GRO8)<;C@=LapKa#$Z27GmC$o2j z2PXR{&yo=G_ex6>+V)lT|HPjADoX?`Gxrs|@Q{~{Qd|-G`h<t-{PKoAHn#2=>&q*) z{Wa&2a#(v&_@P&GP*_F1QeF6|u5av}ELSud*dKXnE7?X)=+RzjqjCS=<vl_l)+=ZS zhH3}~9w@ALQ00kkIAXjZDN0DppYO;cXEqUbfz3-+ZAf6eA~36vXVQh&=hIht2HeX~ zJQtBKzI(g%|M@R(*0T#JHurRf2KWgVsywO<_FbdYHaV0_xo@$xhQPzasq2G%1s@!B zWlZQ)+0dmi^@z*&jKhz!IxZaC$GRXzKs3-OLF=P?b>kYzWm8O6+-tS^!nHTx=d}In zw`xwRv`;CYVcdW3N66%VZeeZz`*bW;&S2cSWaB3<q4-zgn-pf4tM!Vk>oHv>TmQsE z!}rv}h$jwCN808jnF(KYZ2mIs6~}>z9<pjtjZWMl5$!&9Dt0CcDhu0h@^Esle{^-{ zt0ngLwmU_i&T`+JX_9iJG?`sw^Q8^!sxR~UUc`Lea&pGRONUF2nmpYlDBiL-(`n*| zxTZdNwy?iH)eBG8_X{0cS^q0%Z>GyNA!ffdEou{ex)diI_$1)0((D|*bZtoRO3mv* zF)M$t`<IKEOx=GWwBAGb`1IGz`?lpXMz=HA1PH2Yf5~?^BYBV~RK#=U<7qz=ZIwOa zuP1)rk^X3*L(;#)&JwpCeLws4>-maH8@I6Cc$=@#6SXo(V*Tm*vn!|P-^oZl?a-f7 z!R@ZX<0!=4e7IG0?|(tNhqiD3Kh%-Fb-v^GPtDSj^8WkB&Y#iY+}nKr|CjQ9eW|;- zNp}oN@;B>B$@l!2a{hhojlz4K$FwIKvnbc-a>P2E_$Rtc`QKr2mj<<t8z)YvhiN%$ z&2KmSbx42iu}Moi)U4XioO~!UxmakXvuLZiSSNdR#+#xp6ONtoz9~Xwr|bV8$V<}r z^!Lx7ATOy<E0Z5xTlf9@>|9m$^=R{p%QvT<{4W>p^0n?)@SFer6)X>*{nJ1AKY6KM z(UoJ0+jraEoH-@=V}r!@%d$Oz<z@0plMRjDm3E13jryhk`p(_=Vyf#liKs2SK6~}s zw=-w1FW)AVu9<Ub!u;27UuJH7o-jS`bG_h?zm_-OUJR>RZd~*6=bNJR$Wz6Fmu3oH zTDg(uzFULspBI~TxLoUQpW9|IWoPGMiPZFq$2P^buabW7+vDPLmIaAdxO4*-L|(29 zx&GornPS?ESNz>p{~F8HR<)jZ#uY1Z<^Ttu{g)dri;^z9h{$$2_c%YhWYz4Lon@C@ zO1PV%^-Yp4Wo$jlcihM?aMm1;cdv~40;eppJLy>$-JZ2=%CQ?McQTy*HP60Yv@-YF zjGSuyg2pyhX7;#SrB_?-^mZ4ntxWWe$v$RxcSg+Tm>VVn0;iHT)cd}hv2uYf+oh1d z9*znQ4IPTtTUB@c^8YIk@l+~+?F@(hWCoLzA0fJZ{Ie%L(^Td96*oUD<;+Az)d{jQ zH_f;`uXLS=<(u04ce_G$U(cHM-)a4o{le**C-&y@Ti^VV+*gs@_<82qd)`;t&pBV2 zIXi!k{rT&4=Ii+F@5!J0_J4b5+4bw^|Fe6gp0E2n<*7zz;^borZ}@-y-ft5>d*;un z%QQUaKCw6*IDLshf0E>Li}OX(mfSI(c|=vqb84+kL454<vu9M&l8S9Dc2C#p-uv%8 z$M)^pi??rI=^gXrQ-zn0+P}a~=Lszf{xLu1{9S7=Z?FGvx%uwhyY21&ccwkh`u(Y> zx;ihd?AQFi%sXNp`R{-GcJ^`O)Ri&oCOvyoA1LFi;k}fxCt0k+S>QwKf^YS8KYsq+ zvv>E7o%SsYJM8b?z56!*%?^&A|JVJMUjFiYVb*1lWsWQUdmcS^j_1oJPi2-z%n5EW zzs2{R+Q0VnZ~5fTSy!Gv`yckPJ|X#bs!*2s?#Is`Cs*%Yt!-TVJu&_Dvs23++s%BG z!myzB;$use57&I{-bRKyJ=Xv2e`$W?|4fg`?<XhUm#BGdmGJAIHNW$l6Z>@beHDJa z=tuLdZ*#3gO3U_cRB6jJ`7`au=V!OxeU(bS@-*%3vy;Em4!nQw|Koh`+=U(YPj8J~ zu9{=GPr5u>BRJ1J{Ml7CWwF!$h22i+Y|Z4kR6f1>y6W?F-|y7T*}3qH_m|Adj+kvv zl!84ZSOf$FL?#%B35W(=nW%oQQ<2v^ib2GRRf<tgOps4bh)YaJMud-#Ns3AAj=j8M zN`-wRGq)<!n=qDYu98~a`8R&Osc?SY7Uvrs-v0BRLP!)J!+Cb;DH{aq^98#u+{lxN zEn*Wome9z=nB4PqdiP!pQzpTdyKf!-A9Fdf>FjR#mD_hGCdZ{OudYa*A?!V;^_kF% za1J$trXUlJ6-zY)HUx;cXzpKjG=CEl`;z-wZwyxncDUD`{@i%((p&F$d7qrGzMMB@ z;>D&3?aE10pYPW;(oz+5ZDGCo^~t-R+)vrxCVO605lK-xmF|)iZuCZ6>rs2y%9}TD zPyLzh9NF~L=Y;loVUY_bKC5rp^{YQoc=^2D3KkbSH6Jt{mE#o7yup0SO<(`(vT85Q zyfx)rhnW_%TFLa7EqZ>mw)a_j@pMtk;2nAwckTLmtIKxM;|=?QG)g8}UX5NpnI(JX zG`G3W)m_XrzLxG=79GO;`RU3J*Vo4RF<y@hG-!V=d0b(Sit)3O53gS<AAgW=?fS;) zZz^NAwJdWAyQ~$XV*hh%U}}u^qA%+;X1rNmafpB3%9Y|q26q^avRqktBP8tBE+g5r zG#9gN90Ag&*NIF$-?eqO?Fp~jN7WklR<8fj>{fa8w%O_<AyYlQFKBF!o3dwBjfqFs zl12067Q}oMZhKi7waG=fT{Z7a36J>+kq=?bA*#m@roRh&v-O_O_SK&Je;#>oUbu9e zJ)@Ijc4T8a=c2O0X|~I6e46?A)efG3DM!4FY`6SlnIk8tCbmpv^&%I(Cv8&uTsT%K z-8x-oY9Sl->0Lv#`h|z)Rjj2ur-?*eJhU}QUjB)bgoHsDmuHbDe>~^aDIJwJmo8FZ zjutGr)>0|GJJ96OS&@a+4(y`4D&B3Fv~S{(D)*^(yjH62REc2mzbc|u^fb<XvpU<i z-9Pj7FJ5`&9AFct&aH0PJ(X=$JLg=Ei{|?BmmFPLoSLi7MrJH}abb(-0(lul^HPqW zSL^cHGWsq%g(zNbNbGQ6&3JH#;feq3&54n1J2cqtG^zgisN{W9I_l<E4$kk7|E6<S zY948;Fr2OatZG_Hb79r%X_|r_vr;Xd2&r7|^kURqd{M)4{`v@u)V){cUkj3)bmYv{ zX?ubs-!1=c)UjS}6{qm^=Qq7sWAFSuG^z8-zQpx6E0;NZmEZ88ZK1h!ZN)KVC7zw9 zOl9Uja9EI1?fzaUm+`(}N6W{HEzX+{UXv-l9pgX0@3=C5Xy~2xv<l8r{;g|6!(*8K z+-wXm-S#?huIQ_T-49#+v$vKs-@d<nU2Jc=1(R5XsK0XcA<<L)4q+_y{0ft=zTUj@ zl)Ds5$>Ez;&61z8=e%_8dB3sSD9WZ&wW2=W=G!ur3cGn08j}Uqh3vjv^+)m2&10XG zJQV}BxZg~b?_^oCroJZU-|-2yyJd9d+&p{sPUM@n-}3W(y;n|6Fh2E`OXk(DMND6W z4qj+^V;7yaZ;kUMHHT%>m7h0Uz58)a!oA|&2ff*yOSm@*OE<sCZq(em=7~h?{@{xO zYxl5EZCbrFX+lHG63=|0HSO%20$GP+>%Z3iSoK}6!%%DK=9Y$AJhShH$=o`!YRw}B zA>Ql#hwktNbxwUBtEIY>M<<xgw9j72>P_z}wd2BtvtE>WZ`)&{?|*J;-@B$C^NoU! z8$5D!ZQxs0xY}!)^NK)rCFYAE`C$**9<1F~e0J07$9E#+GpAh)<ld~obvGdP#GJen zZz8kaZe8%8-&X#!?tGrhwu|4KliC+^zv+Z%$@z`na_4+L8u;xMdraf6wT~a)w2}Gj z^M4obor#<JY^K*$F=@AN+%7lqfY9!ssZ-5k5=)A%{{KB^dy~RPcGf#Hf2XrF-7zbb zDmFWP`awZ$bwR}CrsWSL!g%g3DK0DCb#0$>fYFiHwb2tz@5oK5&;OruzT$-0iG4Ds zuid;mcdpQ!ts9Hd()xFbCYMaK-ZU>QRQVe3#{O&x!N-w&*L`QCxd#>{eH6T-$5j4! ziCXlYC;K*p)Iaz;>kRkX&5Z|LCbcMi<WD|v=TdWklfCz*Cq>8AyVSqtUD3@x;Bx1) zA>XS8PGix$=Ch}smwWBdVPrh{%qIAw6Hhz$%Qv%cKjmNk_l5ZX&dO=_&&}eM%YJPB zwsAuCdQ<LyFM|*L%ynI_y7Ik~7V~EP%dO(v+g{A)($86}WR(B<vVryq^;k8>+_IlJ z|B}+a@f=>GrWJTDifxk4M6W|;XC;5`k7N?zh+H{M>w)%n%dU7&q56|6>i(xK^VLz& z=g;IwRti4l%@=r(*;_Elc+!qib3yyJSt@o%kE`9ft!FR%{PC-rCl4Rby3@+Pw??$4 zxK8=}{$n=Y3wD}?Tzn%Ql&;5n?&=lmM1Sp_@5Dsk+ufcxdz$L+b@vqxZZk;<lgbkE zE)VqIy>9Qc%eUS&WZmg;(w-+b<)cZ;p?5*6KdcrGd2`HbyRGL7*QB1b+Z&{wiWK{1 z9Fe)sa--+aos2zdOJ1423%qRQFWSqrZr$E5;;W||T$x#|pZaLij2RMZZ?xXd%Pwrb zn%<yy<_seXhaB_u<C%<|TjF$IZ0<fcZ^aqAh$EU8W+a9@o1A>)ai#R}HMNRtXIgYs zcDe72cbhF*^fdCoPeK0Fs=v8=^UdoGCqGea6!^T|D1rZ^Y1U-NImL=DM+A<)<hdgA z^rq0W1<YN!nTzG7-E1wdm~-dhoc~VM94_l_KR)Vy)8}WW^Nep#m>3!4u1|0Nuv+5E zl-+)18fDM(rOL$kF5isgiaFgDANVh9>z9ZXbHBDTu>IxO&(8cxoay=B*%J#BHeHXL z>e6y4&NYs&|M=y-GoDTP?7t=V?EUNEmhszOZkq66-69TM?l}wDePZ|hRo7^%dLn&5 zPKLSr)T>we{CfBH&a0o&)Rx}+podAL%+`LRf_ogtmwg`|IqAKeqNT9<BHPiEKKow3 zRnocI^k7PMRCo5dYQE<W-F^LkCcO3fF?)UKn$YHRipmksB1-&3PjLwCQ(E<U@~hd} zT-n)gOSWI1b}mmZ?^@aQFS3hv|4rYQ(sgtrdy@2l*Xi$NCpm6tytOO2`$We%!Pp0T zngos{KCi9Xpk-`&Tg&KcSk->rE5A1ju*5ekUp=RB#d`MO(>FiZNIiJomfj<<-GA;` zr^M~6|8Y6_eqVlN$DQxzqc*6fn`>r-u31{)k~YmkdFHc&+C0CPEZDfgd!b^)&yYkt zo^?D@Q;k>e(G&0G)V?h5X7cl=J(u$0_nQyc9sRh~=?Pmw%BjYpNP(Bi89YmwHahLo zel_(lvs2>?g~Y<&7nkh}H<mwc7-GsRbor7`&2cd{p6&8G4=nf{SiR_h^<m@Log!K9 zmt4Oj?OF4TO`9c8VRO^rK8b704jMc^3@?9)mn}1&sNN{9zpz|xjnktr#R;Cz>iwn9 zGUv?@F05R!fQM5y<?!#c=%Oe24>o4)6X7_>(H3}5qGZKut7R4^ecl~ecfrD-NA#&| zW$)~6Khb6SVuw3xe=XzS_@6S{W$pB>B3@G`+nEa*2z`0awdfnqTD#|st#Tp-;nK=l zYZ4D8@V>~{x{q}Z`$6sK>AP!`A6FWjdR9_9`9<;i<cBg^yG1rG6rVN0<<5MS1dHij zDI(IIZkjJ1Pc*&$ecO_1d71p;dpA$($<9?~sWd2Lx!;!gMZPFB`nIV&`&o^7OFWK0 zQ!EY=uyE<zdpxH2N#(EJds8jgj!r+h+;sN-%Bd}4>s0PW_+GuK9`;yoa^Fe~!{koy zvMV+A9*ke+&CBGx8_b-o9j2GDXMa_eyV!O1+o6@2YgauBciwNNS8}#H!__vlH~XjG zl8{~J_ilRmRa32nZ|*fA#<IJQb>3e&#+5v$H$nAdf5fbHVh3EiHj3pHie*br_gu<y z;=mylr<ZTd9kSESlzzPGcM3iIXq#Br56w)yzf0V=t$OcJG1V`DNvtnjJhA&I=Z95O z*;ooKZS<IxwMu;>Wq%%DyojH(>$i)P^png_X*QCDTHJou9+^LzSMvJG!Fe2Ej1|?n zZ{-{uo4@|dZ+>TOyjl85kK@uk@)AGi?mu;84R54!R_AFs<_}@~9ajbFQkjnYxh`>X zQ)fZsgvdX8uZ47P(Vuy<KfUbwsY$Z)R|(8}`+55*PoaBWcdNFQ>pLHME;YSy8o!N3 z$8L__Kcaq4N$I@SULSnvMt{y?jU6Xee!qC8&Q55f^x^nz@h2}k*u<L7Sz9y1O5~Q~ zw(t`T9LEl1`LBzN3A`3QF-svP{7x?Oe0GbVOXa&(i}UkMex&O=`@7M#7;blW?=FT} zKl_hazs~){l#tQ9BX;kWs0q=P8mm686pYi?uDKqf-TU&?u^lX{ua<l;GO6wJx|F0X zVlBX1z<2&{n|aY5m+NN#Z~XL_DjQmNFVC$l*#D-dl@phSK<Wq2u!W2>7pcEo9q9YP z%XDe9Y|yR$Y{r}3YUCEndZ``vELv?PCH?zbvs|3Z4wFm!?m8}IJGEt}Z||+teeEXI z33qEdk0$Lis{Q%m)W5}h6JG2xf4}g#!Trtre@|O3($_T+T)%eqr3OP4_Qw3#*H*4z z%a5p!@Tt63)x+^h(d0mGY!J`o7YW9DG9nYKG_LVRS4}zjKj6>}Q}#CYM(13c&pC54 z=d^7$v}a###rmb#=<+I7{;k~SgJ-YoQ4$XM&3VWwj<a_2T8}lycKyuUE9kVnt7_Mz z*u%zNUoXuv-;~zK%If(32gAOIzroA$Iu~yGwfR)(F4g=kyR>&KU2E7^F1q#3)&2KY z#~t*uve*_|zIyiB>9=JYo38&zHrvBnp3Hk{`npK233*4GCD~K&pXK`K_WStMpxU1I zzTq+(6Z_iKPd#S47#VrdcI#@#PY;Y;e_KnO`<<0BDMLD4LVAY#gr|+qcHgx*DR=LN zPD4?`F55@d3&M?>K02idzs~rx>5HxE<)4?UR(p1Ou9vHtD6E$$d*SFwpS1#>YBo6~ z$KPx?s^*`#bhpL5xfKg0OO{z$#WC#CX{-%+wXrncHuLp`WA|n-p03HTRXV)<<c^}* zax+|#^UMEa=sekFIBh0-=r+^7ZExnWdSBl3aPz6T*;h`)-=Cse5~P0lqVM82=GI&1 zJUaVO`=y17Qkm(>8>!2Zj%R)q+I%qj&9v2bN;l;^_j&wp`{OmqF%#^Ug>F;*#%wgh zwj^->>#4b{woh~%4o2UX`f2!D-)9YP+SWf632ViR6hB{Wonuqjq8GX{!DZtt&Y-?& z{>S6}A~erFQ`q=usqd7BvO9KLOYeG@#WMY{m|wub<r>Voi|(xw6<OhFy5Vxe^(fOI zrBz}=au*W6i|HyHY+Z5N|Hxg_)#fK$HPc>tbspXN;JA-xa<diB#ov<mGOSy#ByC>O z6ycKfPWh_7U!>->WluLpEKx0P+7_*`&xvc}IlG()k!{<hmrQ=7v)KJ3w?W3*`38af z#!DVfQ{QsTX==G$#K)TZY>NYuzbNf^cJ#>PLklaH{5ev1O(uAKxi9NAhqqmvJaZ51 zzo|cEZT&(~oo88RFI`$(`*u0^!v5adD?_^t4LU<@D%xD~0uTHvDLWLg{9xT_rC<8J zQF#n=iVqz8w!U`8k!b%#CjyQqn|(}?SR^z@W9PR|wL6{^B-MFunesks>lssyXERGU zyVzRA+fRipY-61vRq){abnW|I8_&Fm^LrW@%awDTD}Sa;WX-nMDf{)pH(q)2ZM&s* zPV<^?OF!?@Q+~yxTM#T1;J0W`^Xl4PUDiqFVL_Kt4FwJxYB2gQ(Q(~+aPs5CyeQt! zFM1P2e#%O4Y@VjPjI*mw!F5%PV%IX>T~#XQ=R7*X;koDa{<Y4}o<E!TR!#lj&gnhE z5-W0SSM?n?UckE7+NaPX&1de7#j1zu+B<_*?|+r^G~c>U_7i6n|2}c&|4de2kF1<! zwJ~;oWT4}PE`wQLl4pE)Vw&CWqwQ?k99Xt8;91JE|Jtt@0`+rm|9Yg#q>`m{ouxsM zTcfn<Nl@F@I8|0<-r}PMkDd4FevN;c;{Vv>uHN=FhuKa?o#)q8d;E2-B%5Es`mMSb zGLD_EPtaDn@yY6p?$t~EzdF@Ft!25{TOy=Uz}v?XW}$M&@@sWkpW!6oH35s4vm2iO z>O3jX)F8k>_rkJMflcdM%BQqFJgy#+6!4%`C9+nua7tvpRY&2{Js+*gx{_G_*1Xk> zi<5}=)@jL{7Wd48*KxKrn@fse|67Fw=Ut!lK5?n7Sns~EbY|ef^Jf?6ACvm=VDZZM z&O=%o4z6{#aLVj@|KsTWTWf_^`gLDsoLgQmpcD6qOUBIS8AGs(e!9We{hjW9JZ@jT z%{RSTE^|p~?u{LD-S*k9zbfds$7t?9(N)_|9*7NmefZkjnzsf_?XD3=LQYkrb5w{L z{^jDlxvtzvPflXq#Tk=Zzc9B?O>F*^n<~Z?vX?!maLbox<~yVdzq=g0@Lr$4yK9Zq z{~tHc@iZ5&xzzdX=B0mcOP$tqF7FqWo%E!1rqKfyVYySKO3Xb+cwNo^C_m4#2w%KI z;K1av*W0779e-02Ecu(|c}2m(@V}xL_r!#qTKT5#mgn7=x0iJFtDVh)%ARxPtjn6Y z|I+bh)i+VE(z=hbw%*O|S?m|nKW~zB{HKt)E|t|=k9O;8h^=j&e5&u^MCl(!B8SbF z{CaQNzCC(#@LnnF*Hgc`$WBygvfZ=i&-TlAEN?#bN!Y*K?ctm4(kEo6tzY$u_b1b` zQ&+lt^m4?~{<eL#yW?>{_>AhyG`Fp-%Z!}2I#?HOT^Q{s`m#NJ?Z?ewIz`j3Ix1h! zJt~&=crK^)VV-|CjTv60YJG~mWtW|n=$-KPtD14?6#p9@?K3&O`OIXeiLD9E+<Voa z-Je-RWrK>X%3dA|#W2NnkF!o_*w5W>(9e}>Bsuk*ww&uTZo3`x*iE*VI2jcL{oY}E za%b`7)qhp@&tU4?lT)9gxZ~rTd7gP8MthDro5UCI>b&l6GqHYhP)5)zhxH7}0n?aU z&MeU0{NQjq!`6kD1q9D}&ys8XaYs6z?TJ^R=;}`~L08sA9W_0Cyqsfyfylhn;6GtA zrmd8l=e4-Y*n{=X^h8e=&u#3NFCCj+eLMBGV8{OQ1=oE~+!kbBGk1yDzv=(OB}FV6 z%Cpq>&I@`!De!UJ7uQ%BlZo}qVy^IA<LbQgYQmX-Ajb!r6_z!#Y3={SA8vlet0MMV zM|)RUoc7;yro0<?FNd5g?0RihHuLUkJ-6KMH4hgnvp3|=V)yS`A@**!&rX+<3p3qT zIqX{OarjD!)$)JO*ZtHeS28=Z%;Hk}_m9thANm<w+azbRj>&F&qd-NL#*7^a^XG9W zHa`k(4$~_>v@zP(po=q=RsM_DiBj=f+KqMlj-Jgg`1vxYIC}4Pk(bk3djpT^ceb&X zo%^Qn+tpBnGeK%|a(=DenYaaF0WPV|VwaCjVRLW3z+=y@thwd>S++}_Z;Wr4+w2ct zAL6lIcB|@|>Hoz%K3?gW?OH$KFPETk?z)M-%<<E%rEV_^;5oZq=iRL6E^UonOvR5> zA7wfGXO+|a>+o>i+Gxq<veli6zu#vIWHk!MeOW!rw2@(XM+lea5i=n_!Sl<lYEL+H zwCqqQ`g?X_=#B_yU&%(USu>1WXYQEFsB`|atnR9F=Rf6gEqV2^J>?U7SkVO8pU-V1 z{w#R=<-?{Mr7sNS&$Fz`p0ef2+?xHROJ-;<<qs`ZNL`^YZOSxBR$0N7f$s%6?wq-N z_Dh7-PCuKu-h!TS#TFJ<AAW2|pXhzNa9eEK??UmLiG7Nu3x%FZPEhU$RIA`sEWM?( zr9VdF%U0p%YYO(b94J;{ykB83KU036)eIlE4UucVf7f;0k*|Ah=h92dCc3}poaDRV z!fdZ)@^2%O?&i!o)aA!t>9W?t;&u){qpVTmsZAU`dk%JR{WN}<5&z6T!Yu3RDI@9C znLihE9$Bqi_Fk@C=d;YoPcqNb9iP0rcyRBQmhR}?vp;DH?tkImHDSl{yo3C%idy;S zC3YPse))Cd44xpXvbQtm{(gOdKcsw3^Ra{zGM1C<|GkZV#8Q80A)k=y6<)3NGuz!( zO?;m8_vP_pN2eY;IJKqhSW5=;ZuJ^#O%drcYj3bvsO9?wE6$kH=k@J_Q23p`|0(M0 z<i2iC=ghEZTzvU{^vYOg+37kbWq&rkoc)dA+>P`p)!$C+Q}vAPU3B&R+3D-`726ht z9Mx|PG><x48{W-#y5ww=k8IP1@1NeBbZ1dF)Gu_ttQ1nDwB|BT$HdC=J=SF!?S<8+ z8{g^+nY`rS)X+Gm{Y>@kt=ePf%Z>+KG*`RSv)1_C;;d5)-+M19hOpj~&s^EL(Ioj& zLEp)QUF?^3CjFhV>)EGOHC2|6bn@;kJ(_U*+nbQ@#U8!?-#-%x78dnMn04fS#ubl= zVciEfqIqAiXm$Kq=jibHYtgPf_JYdECf99Mjjk-qlKHCO;Gs}Bq2++mfwTkZU3(sK zJZ#_mczRj|$HU;?d}nh6kMqe){w>58A;n;G_2SQq>(aL^o4@p0dh0>Qqi?M1Z?Dt# z)<{a<e9?FN*^~o$&C!>CFMr$Kzcp821(V8xIUDC`)jZp}zV!FZoMkaJHpeZOJ<PK< z{k-4ir0Vpqrv;w<`Xsx?wcKo4`R|MIx!KDm^?a3T5DSyZ_))O5$bh5g#l`LU-{-sC z^_{&k-R!07<k?rR+|Dom?`nQ_mWlbvCG*ZM31Mz#b1P7)*t|hv0!!1KyYt`M-B!DA z7M*Ll+v)Mm*KS%}CTuM)XJl@k)y-@A{Cm#robA3}UHtO?x?bJZ#$P{qT4~kWu!|pr zi%!3qFTA_t=&bwG)(E?4f0DPn_foZ9I=gH7x-Mpa<5?08Cvv>+M&2#^CAw@%%(CmH zM^`+XdFJlMjeE?tq)2wGK6ZJP?coP*%?Da?gcTop3KVw4JeqOm?5}N;>)yTl!+-Z~ zPgYAEC!@YYV{_sr=SYROs*@O%8CU2=ZVX#+z;&MAv){clc$jAJHaJXNV-|k*k;wVv zHL8lCha46fBw0n+t-F+x$IbHCaNi&Ew_7GZxEPSQc;SD>eQQf+zb#w+R?YK-&2!Fa zAHS64J^OY3*?QleR>qA&8z1a<QT#mf+-6>##gemc@BEgQW&dy6&21MeQsz~NYE%R< zt4d0R_3=%=GE*_^^3K>_L08Jt>$TEnrYv2Wvht?awBRXI{=b%4s!_P}=-s2oOLX4W z<W5+#-`_RXZFAXjqm}EQu8aBhQt!U{pU?(QehyQs7n|?S&{6t%{=CbpN&lO!?0+Qs z|Md}D+gD1NbC~*F?SqyzIj)^GBfaQ^!_SEgD^3)AFmn^Mvk;NAlFM#sVB=xpi{fCA z>FHo-<zV3y<c(_Kuy8Dp@R=3yl27x)eWtZsy)6vRPv<XR<i*=DA#kA+*Aqv^X69~v z&y=GFofIasxydx1dRubLsWIzdS(*LJV@-B{ey$8*yIKFXdK%l!^6hgi7S(Tm@h4RE zVn%mn=!#@(lf0IDfexxqds*2FXE;k$oPK-f+uAR4Cy8{;pPMqvEmhyK<@(YaTVq!n zPiaX{oVY-HvWAnRYh(J-o#7P%0YU<KDc9I~7d{j`sO%)F=-}YQ;_jjn{{Epxpnplf zh=-Y?O-xRT#XhN|1P&G*i)EWP-7yHWpB9!YAuqN0e&EqBAFh^N&EZ?gwDV5b+^_39 zXBpSk?TF3pW?j4N+I6Y$y8Fl0#5k>ceopMNWaYkRv9oOB(_h|xfAxJyzNg;$`P=?$ z>KAjm%zElpcs6CFw#=6++r$rqS!K3nFZNu{w)o*BU$Jc$eyA{C-#LBh%Z1m??v|~+ zW;OTk%j8eH7N^`@dpK$Bw${8=Q)4z=472#^Te<evruVB#7i?O|E%5jIq&Ta&QK4E- zf13XbnffW$k=J*|r4Gi>8)cPCgH9D&#kD(433Qr%^7As@g%KK!JAa<u9)J4TQLD)! zEJBycr%Z}TE#2F<IGXdu0%eKZQwEZg?n|9sdF90ZqH~P08hQx^i3fY{2}HVSoha!~ z-SFM?P1=QyFpY&1%L~j)w*~N|6_~%hRe9Mye)d7Pd+qOT-*_S!@+Um>%lw8p{65Ep zYA$dT3Wly{kvMrxeb&+CPSck8-g}+7|ILrEDV%x1OrN)zrmJuEDAJtd&@N%5Vw={` z=CqK((dp}Mu_G7SKBn)U$0a!_=1$U1&qLF=YzzcUv@Zy$O<)!k3u;lECt3P}TS|aM zNQ#G*m(y6xSW-$(gojCnhf9o!iHl2)(JMkDQ-NL1$E9Vizv^=Z&xyycxhK7TQrp1T zw$#+Y*Z9;cM(M2ILPr;$dbr-9Im}{h`)-xs1jcy_rtCa)D|6G64^rLP79xwBwUZvE zJn;R#=ug#B`3_}E^ER7p^9sTay62m*z2^DdCo?DZRoHrel`tKrlPyn_zC^uhHq$(v ztt{Zm(&_EA`rO=7cC!QVVK4tiX73BjIvf9VRr+Ut_nn;fn-c|R{bPS&+q=43pqJ5% zu``B)X^SJvwevnc?3ZiI7O(I3^We66*CRV^Yo7yqWWm#j{{k!Bo_`Nt?d>HQb8mg( z;WPG}M}1U+wcfGkOo+>yD9+II-9Sx6alZ2_gIyudcI}XGeWboglkb)vd)B$SnHSF# zExxb$xOR%0(bwz$jK9dnIWj-o5o7+lNoT^>D#2iZ&}goc_iVRuz1}0^&{I33`|y)n z0+ns1^Ydd4J}T}kP+W6UZeuZ9*tr|$J{P@|x4yNCM`ON3@$0hk^A`i}>?>q4`*OPd z($>y7<)^eHUK~_m`6=z5o0aS(vsYHfe@0j7lEQ_i4?itU<|sAck(Iw*9et~o(e9{^ z?b$gKTs2LCGuc+0%4^`8dN1(bp`%(dRUV;dwN6QHUGF@hoP)=|Zq+p=AHlHMMqDcV z`i0BVnQJBgxt_P3-t}RL<brg$*=@}YmmjDtwJ44JC%R^t+ngR}CWYs}dv9;t>R&dM zZC1YY%X>VGUqqB21)sZjyWne1?t1rBo{0{B;?JHqeDG(;_9o>E8XfAJgM*?9zt5_Y zu8jKo>ibpBs*Xt;<sR;OFTbncP&#YGexDlS&!zh3cQ_bd&RV!LDv1BC()WXj5?wLu z2i#^am@iN*)ZcTAwYO-6QtaLe_Nhh6R`RkQ1u^0$X2%@ft7K{O=5gb+pG$tt`<LN! zvCQ@AEKh|R<4fAJ4_YYap5)2?%b*-N^Tj9Qn3=xWY1>Y6WZW)eihihb_x8m-l@qNw zSMNG@v@hLRW_5k)zq_k9&#&is-e!KjU8nDip!95s_2L{t441CncNOqCFn`Ult*z4q zzaLTGcj@)+*A^x7i&J8b9l3J2DLpuH^%0fD>m}#AY~){_5@E}=r);LMoZW$C$z6H( zqaDoS4gc=FJNeCG2ER~+Bfr*e*z#)kq^G)f?JqvfE(`RXX>)tsZ_f>t`(`Xlmb4Ju ze^Df9<A%#=2GO@x)orT0o*?2s<)g$Z4Nc>$6rS@hH0C57UAMq}bwcOA-rtvI`~KP; zqw`+i`9BUVqjL%NnoR1~yG?=^{>C;n^IdnXyu5b4SCIewQ|fD?&#`^mwXi5MEUns1 z;!W@BuX``Qy0mil-Tsn$6F1-FomBqk!Cjwsng5>K#-2W~@1}$3wOyY(uhs<QSFMVh zy^LG;z2XG_XDj;E`+E*0PTf%XHHn93$4l|2mB#1iw6U%^+WVnzLtMG9Y9c3BXh-jn zeMUWR#B(ZQChSr#s=489`e%YgwCOpSlz-Qlb%c+UeKdHN7^VK{#=)niXWw&Y$#E^U zyS;jOY3J-XIh~UaCWwj}f0}xHiG-xy((V<78@F#?7x}q6`u426eb!0)MO{}-`n>R` zbA*g%?79%k`aNfEF4MZVK>5Z*-{8M_Zy&B@Uw`JxuP-(0&SoEOUlz5>Ddj=qh9B<t z`%6~()V-Y=&aI}mmd)RO-hPhV-b}%ZUNyvN%6F^D-ITv1WUzbrnUL4A-@DuG?lB33 zGl};F&(Oa0WxI^+@4iTxtO+-^tjgbKe(?I{$e`}XZ>N792+jM#s{Lcit&)IUvgtEr zqI+LtZC|k<?)k*kYmyfiI8U4O(ju#^bg$I(JU2_lZLA{B+ve>~^;7y@^On;jwC+!P zjCy)x8}rl4dAdLM>j{a)PY+5}m@K+D{E67inoW~FN^Y8EQkZq_kW7dF)>miW?mQL$ zUCv=oY+v2>?=4p{wO6c^_<169?av_IE_p$}Gn@8Z@Hn}F)$VAfZqKR~xu<dFmvS}= zx4aF#{p;WEmj1_*iT`e!rSJW^&+q$gmx@)I_pP#QuU-4ml;NWkna`USF+rAp7R!Y? zG2swJ*N0^p)4vpQKbgp;yr=rdWDlXK7Aw`3H{Fj^Pwsx5!nPu-vhr}R;j>J)muWxt zgw1F&d37&eEXz#K@mZIv#%axjTg?vr|5B#B4e&Y@=X&bPlKa=sG``<%+;~<fw9@|f z`=yU~W!}u<UZi~O-p-<fQm!WAS}ik#e1x8UzVwuPlIUm6uf`GAD%}E)2rgi`9us4> zm-Tr7&pp{E^)qyx-$&h@m^~|B>*X_(y8XGS3(r-gYFO8(r>e<MKjeI>;lt9kZ{EC{ zH0@nWTr7u4lc?H>sjMFBi+2_N-IdSzD@4pRL1&g=L&N3YItQaJ1YTdIY`$XqvTl~P z0(+sumK>U25<l(fNfmnH!7VA$d2>Sjqn*6H%Abwx(l7RMK2yE7*X(pMYx=S19jBkh zZkTs$mXmDU*3j$XTY9AU-@C87r*duHi;rJt>c{*kyFW!>PV3=)4zI4t6<%Ov6bbmT zS-Pa5Z12JuX_d~^zrIgxwY_+#V8+Foc^CM9^ZvZP?b9>%?I{g4pSB%-p@03yVxj+Q zjICb>@~IwmJ5rNyuj|~thnx3qeN&;T+OfJU%<#(GJM$8htaA@-ICAZZd&5dYuk~Vf z`z;REFmm0z)75?TWaH_tDfjIcDv9oO(t6AFc(3r!#+N@klBMhRFxGZn-2U^*e!i<G zd9)s%46-TSS1Z_aYOVmslh1!yzFYlx+_bl>#r1UGfuhboO4d&KkA9xm+-~z{+9d@i z(}X=;YdQ0bV-MQAd&Tth@BXmfkYC~sUG>}DYgy<2T3Ew=`B~~cYel=94cztD+9Het zX1$zvuKAk467#f$7jvG^W)7Qae_1hp<~f6CyL65})kU9mBK9xfX!^uf<iGIeg7#fC zR<^(X{@c=~taYjQ`5O1~_}02F*$oEQgg@S|n3-BEU2R+T!sFZL?aFDwH@ofMYn4y^ zCpM?$s*{~1yQv#vw5`>PrT-c}Fk3bsWy#vQde4`-P2x<Nmb|aJS7t7p*b~DrTW(9S z=_PlE$myxof3ID=5p><sIOut`sgKv(z`2|YEOoBQUuyGkajg?OqQ`OEGqkZ<pRv8# zuq8Ime`a*YO#Ri*TdwK`?tSq)>`4s!isG=@Nk2ZCEm3rOeCbkUf5SJ$dPyrTljaWV z_5F_}*Y4x2mx((2#$)ZhJ25%AilHkiPk&&)_hf6N`L46y_Gj+6{$}%Cev|TY_On(i zZ{NSRx@0czA#3fY;uYWD%Fp7eoD`U2m-*?|!MOb8SKpamZTr3}?cjt5Oue(kZ>0Yy zd$Mq+bGqLzuM<<IJlXC)$@oxN>V{S2LdRat>s@tpfmOHEmYjoG8$IqjRxEFEadFG^ zi+A1PBKT&1<+iFxkz4eZ{GIscP0gXDZ{NJn$ldL?P|f3YWB4nR)H0p;dD`-4pQN@V zsxJ8CogJU;r1^BR&IxxXxuD0AVxrUZmmYf;9b8tvsycEiU(orjs%6Ekd!|jA<yMrm zYC?Ta!`6k&&t<oN+~sm~lF^FK0W#$;{IxF}lhKQMw_w(X2%eNro!?)V3;G-D$4t-J zC|Lit@2Xe|?=-3Y$E6{8haxo3R>q%qf4(;S+rMj{O&=#ZORPVBJ}lZxi7mQ`FXf3j z`wz}zr)qL+uiohI%{G~EU_n)Mu-${nh1Z?^85vfV^SgxfN%#nr8MQCFb7g8v(%eFx zKFcC0cacfU|7vt!KYa5@Md4)AkaE-Kp0}=umOj_muYW4}(}Aj4wH?PEWgDmM(EeT% zdU@p=U!%Z+S!~SfcYc|*Y3;f5LbIldeyqvAF)dhD$aD3M_;<GKR#GXm1#_mTuL;Xj z)KTKDE&OyLdwJxm=bAM$j@_wxtFpp$C3DBC?~!j-{N!9Ne=(0gYwZ?(@sID!pS|oT zc3&W4vg%^gIlaRk&#aZte9n|_I#GX+C8K~dMd(NV>-y4PEgwy*mNzp^`?lOh<goF< zqFpgUQ|8XP6r6bL_@3OL=tP6Lr~Q2Un-`=g1-P@P?~xaXo@y$vtapF@^_W+0cKWPh zXLfOU8<6W>>T~PDWy9?{@0glf88Wo_=JEMe-T8O!?~GQ3l#TN>E)?WsiA=c?A$j_Y z|Dl5hhgtT`TX3b@-RxI+@zeBshqbQTw$#-xziDyX#7%F;TH|k)3UObKi*MiZ=krU2 zJ?Edz`E;W#f06a}TjzgXj6BcJdh_W`jsp)i7pYE^NssD^eZ-@6dH-tvYwh>8zT5gl zEI~dY{>0A*UuO2itzOep&3%6Pm3@No=Q+g>D^LBQ+2IvlmRg^%oMRD3>c!$I+msao zOz$pCiQ3EaPCZ`H<xa<)74=+;Zy)AmIi~w!PhAPG+mY-3O1YDlU2C;p>!EQu!J=O~ z<>!uBHq)l;ou>Ag+v91))9+`t^4*!(d$DBwWNlA=uGUWuzjto^^7OFpzkfNBMmJ4U zRwtC4X}Zb4+%KQfH#6>na7w?tC)-5Mrk3afte%Fm8x;CA{4dXVQvPk}G7Z7Ksv=8Q zoaOsn{@zhMup!^eb#F&j=l5e)4y^05ue_JI738$N?C_DPOEw>`e|yh;?SvCH5#Q&# z%!=|i7C&XKAM2)&-1|BIdEbtcJo_{G8QAKV=l#lPdUAbcM(o42rzZ4z=xgxk%<##1 z`R&K`1s~=<p2ij5{I@Gkux<9D>s!=~&neB8;GMeOucPH*<FDS}Y}pTALOoxwY|62< zYxd3)i|yat&Y^ri=H{6zZ-1oOFDfqd)|tB~P-Sgn18<_H$W1%THP@qm&H9kumg)I( zaYWvZeJ06PJD&dSw4Z$^pi|ECPQBFZBPxs$PtMB=a5@XEdeX7+N)^L$&j-`<uT4C^ z^;7=r-}Z)|H3BxhFwW69T(;nM>b&;#d!{?gdg1t-`4f+#TUUdX3h>EB+NuTu`*g zWK*Db=i!M{-F@$>7A;-9Y&CmZpb_`|{T6{&4R*aOZpbY9w)E#e1|<<sgFBzu_B(a` zOc0%Im+$D>aaJ(r3LAfxOn`-ARYc*ncNIT)oZc7xU+kxr`YY~zs{P4U|A!CTDwfLr zteSUGIogl;es4(Ol<fu6XKa}C^OV5^e{JJfgPH}Y(Qiv{upbZVcq^GLx%EfQ#8qpB zdL2){$?V)&YA&K@;>RTa#Qm`7-CbsaD;`|vvrh>9#mRGIos>w+HTQp8zeUfU^5u|R z^LJzUH7zS@$`1eBcud~pSV^kyOPzIhT$veqHA3PK9dJ8zXZt~>u8{K=Oy8YXvXTjM ztWyr#d%AqxM615(Z*K-Gf99Pc{5tdedi~G0?;VUkC&6+iug)oDUYMfMmz2fL-pl0g z`RWJfrD%Cqe2r4-VvcaB2wwQ6<+q@~zECFHdAuP{<Q~o6>Fuu@zE$nQxxTQrH_zME zRxXSEyFNrcdBZ-t5-E#$70E@i4>-#mb;V2sexLW-Y`jOmf~9osYp4E|A2w{Ayn1ft zRFMhV_p8$8zP-<#;(FRBH*eFOB6AayxVBjB*B|nWW4&5^Zgh#=Jrlmy;?F~$o#)%W zoc;1S+Prb`JiP!(o45zF9ru3totvOstX?7U{b@@+v$K84xBDJT?tiG_IeGX6yT<Cm z*9PZ(k51Ws$jQ5ZNlD_vMZu3E0&bVso1d(I7nSsPNl^3Wf6E%5O`6evN6Ok_ziHWQ z2D$CtQ*#?He~4&qW>~Q8y>Z&J-nvtNMS2X^ZLidcE`7F4Z$*n_!6ha(=DUV(eUEO- z)pDv;F!0%X@ayTAdUkv5h1sv%AM7i(dM;&Zk(f|;-mRlWC~5Z%*&DkK?0=-bIpOt* zSyJALwlVMY5;_Ds^@1MnSjv3l>ApPs$8)P^K1sJakTySb!hOH)l3AV`AIeO8%ww** z_w|j_Q}UhICcgggh@CxLf8peerbAw>|FRYd#(14koPKhGr+WD^=Z7mE7t81yPJBDH z<@DM!ak_er>t}7A_s@(!^x54Ty{^5v?~ckSs-L;7vS4|6Zj0XoZzFx9`5f+lZ|2(7 zYd`H=E8`Ga`_=!F!c{YFP5vnzX)oE9NxwQ-US0e6Dw}gja(`3%MTX#dL)q|shbO#T z|CZIL`9X-PydTrYoFFX~+1T6PcV$`!rEQ4X_j4-i^Slc2R(Ji2ZwjJz6t0bQp00oU z>S?w4^EV%DuG?3!{Ojgz%j3*;)-=!Need^A<=N+58-ul$|2%mlKRLxI>tFb_DgW6@ zs@E!)967wi{^zG<yLm&t_DXVhOt?8~*PIxc&WAIqR;`uLz4+n$7qgTwi^=@FB64}g zMFv|BMIVbde$|*<T=|W$<3zyId=oXhD5i|%ccOzin`9=s8JI`9-dH00Iju32x$YcC z&fH0bS9p))v!8U|x>;?j;f!>ST_@aDyV!kFo%67SFQq#4o8zWD<JOoa=7-LiE9dxj zKDCp`u`U1oEkkvy8KcE+xfwAYRwsWIO8Hc!%cO_iTKwk6t7!^<*u|osU$g!c{*Ck6 zjKeFKKDykVlKi)f`|+e*9Qz~}&VL^-Gs8UL#C%zU^tzdX3cjCOrQbYK?hH@uHs*Hy zko#J(`oqgzZv~egJ`o=LCX%^Xgwx#TMu*1ht*5dkH!j%KqoaM-_V&47YmO%u8UO9^ z`^w$Dc6!OOnZnnuy+7x5_3=T~im<cMDzQPco)zwyt8h#ChM3VE&x0>`&!p~|Ywex; z{rBsWuRG_6J<5INt{V3E+eHP{uvx1FCa>&_mTUSVK4spbmCvSG7fv{MV-DYf-mI{z zmHQ2oF5Wq05f=M{xv=crpUYVV>Yt(|tNx!lUNf^I$9T?y><Pb(!|JYV-<-NY<AI{F z-v+gO;feOMm8TrN?xeh^<i)W$PaiK;X5|y|wLTTMsww$Tpmb()vs~6h=GKU!^Huzp zZ%=9r-50y$k6eG^^u`&#_Ds}#_o8aMd9bb4f!^F1`B(Y!KV%v9-1}}H&vH}J^vYkW z`jXrBT}){#DX9;5#WyS$v8?T!@y2VLvdIF|o(X+=;@7RB{Z{6<SLR-kDx0j}lzl%v zF!|7I{>pbNZ}pdn?up^uH1)@WWS%>slHbEaJs)q6vaPv#_VS$Rd%xHH`E%_CS7+I! z+IP9DSx!%v7ja!WJ)B>CM~ljZ+QM&k_m?b{+Uv%dQ{dg+nK*S#h+}@<lCZGQm^fWg z!L`XcHDY_}J{f-a;CU)=O4zPtn{F*y@<i|CUeT2%!moTAEp9Adw`!@bhW6CcTbS1r zzIE*1*7NPSFYktygHp3*zHQ|4c01uQsZcOBaMASau8*^91wNiXE4}cr-zClr*GZ|L zV`lHMe0CydUs>k2M?#l#cn=@^#T>XM`RcUm$F*udxSGsTKGc41nbNn7n>CrmEqBT{ z&(MG6{gthS|8_>Ye9$?WT{ZqydkhyEF>bcas5w;56+Y+42{{F`IZOES^2(-Z_eADi zD9Uu+wIWu_XX@1}cCz;?pT6D{k|tiqH0jtW3%8p;^CpCr`nddA<IZ$$GEeq~<WEQL znpL`{-AgQXdpY58x7oez^}TL4H@^|w`oX;Fl*e`R^>3bMpWgm-?nb%0Z#UbrdfiQ# zEiN)&G(<?@i&iMxrA<{YFPWS>xR|+Y(M9LoR$F4OpL+ac{;#hqI2_{7&wTv+;|US= ztX18TVXPbmeKkF54aMs9)f$`P{|DZD?l320)5o=a2VE|#diqqbnJcBz^TUsykKbH< z-^AC}!npA`@1h3I$<_;(2IYM^aCqIX3ubG4g(Vbs?2(E0E4;ZXalPOh&R-el)~W_N zN46QBUH*F^>s${-pVht*6ZiFh^k2FB*6wQN{OQU}QLi11jQM&ZH*`H|57kT0Yi9Xy z>wVm@FM;<P{+@}NeMxNnd&`uHO<BE8x6;-gy6WJW6EUyfxjl#NyIb-0w&HttE!vqL zoC*1L_nL}6<I1TYmTTH_CG6?S<B>XCD*0>c1bNxVZC||^_v)mq)KuK6>e8NV8gbF) z?DM!7=}!Us&wf!ls(gRO{)+{AJ~|a~p^>{v?su`yt&+PQurfDN{*;}q_qUjx`5Q`Q zP8YFSUiV|EIDhrdF#+A+4G*l={k?SGCarY$`kYTSF0VV5s(xW>ObYnI;hx!^D$p#t zZn0dPYwm#?d-&UwW>>0NIxkjdiwd8##wg+O`uF`Ufx^$<tZ+9vek8u2=D_Et;&yq5 zd`{VxI4T{QZW1?rRqw84tD;_Q%d04tzFNX@_Cbzf`nOF%qV^45ntrc-NR&8)ia$K= z^*1Cp@0rh@%!_Z=`7rGa@p*VhY5#v`@%X1dW9&tzfBv%ev+T~+M(JmPrUEODKdO~5 z6JUwn@^-;N0r>>+>n9BA&So66npWQ*ziGm%#U``4<cgRIc6TaOyG%K_d;e7pj;;IN zoJwVHXJ&l)LpLz8Kvip>tAVg3HxsL{sOc-7R)tOROSKG-&tiNy@vm+>bKzpIH7PIN z9V@-6$S-biIQl@=^@h}QvnMWQ+cGmu{MfdncX>C&FR$rLYW5Lkn<;5<aSQ9|KZg(1 zow*$3?d5#jT;{7&T>J7R9_B@t`YRUHos#+!r@JROlKZQ{+L+I?O<E0?@OEf@;aneM zXm>aBbjGcR{IYyMPS{;cpS9uf|D@Z}&Dx3c*1zusok4I9d=$ZCU$e4J8`t*kEZkJM zY2(`OKSTG*{|{bXwX15^vSrJDd56!xyx`x7%^U8o<5#`3{I~{F*Cv1E*B4p0Y*lFI z<yalDpMB1|v{1jWP5)WN?<{n^Z1sQAq2+pkWv9-~*JN8H&Kc8o#n;MVMp5^vLkX^S z8rfzu#17PK)?(kc;OOT3|D96=-i5x8;$LueSx1Y^iM@{^1NIu-PiIR0euj;==+|eb z>7UmAsW7TJ<+eTBVdH}9so^WGFEVoKGJdkarunq_C;qK08|~LROHFLzsgAm3*vfib zKXjMNbUE?KWvP1>+J9PMXL9M!{H_1xirFnf8SX93*zq+c>wcESiZkan-z!dzU3OU8 z?n6Z1&OdLTC5H2-b=CwI7AcrDUvzJ_TM%&Vlkmi7*TpC9U0K`8_ugY>-<z!VOGcr0 z!~PsOeo=9w`S!vkuag%&C=t&x^Dz-JVc+U;Wq1A*t;33EZ*i!*zl+ZJJn`4g8ud;0 z;!5uRR_I7d&z9KlqsIQ6)gw;)nx^fekI&@l_`;mEcFwKZ_VdW*?N{EcOXpr=*l%Cy zA{C%k@Myt;OE#A*_N1QE4(du(EO@_{y(<6Sy)UOu>odOC-|~3!nV%CYI=g?ma=h2q zp3Adc^y>w72{tRS+Jco=jLwLvS!@)Mo_k%YLg>liiceBc3#WWKDdc;(@}26$$5QV# zeeZk{G-#SI)1x!w-p#!a);F(Ayzyzu)}rFRvY&j;d>P+W`b)0nyn0!(+fhMQyt?Xs z_kD?@z7H0;SU+Y@PT9DLB~vFx@00V*hrNbrFOprfy638#(5`=d%lGV;Kl8Xxlur?M zD9UY3-DGVne>sznOCbII=0|1yb%$0ISnriuY!xu;v{*>$p1Dg+?IxanwK*#$byH74 zNY%UhM_m<vyLPhlH1@gAy%XalmAsG5c*f3>>TPpmW*&KMY;%8sirB&Ud-h(5=BB6b zoaQ?))2Sq<V8w<r4+DH|PdMnZi+3r%h~43}M?RaoNOp6^M;gyJTYqpxYj5O@Jx-hE zDs*1#b)F+v9oc4cr}9kN%LP*34TL=&JkPrSe22m+FMi%LftCs_VxKo~AC$0=TovsQ z#l@m%KIuc~!{7J3dfB(L{4g#oe7R~`xQW2ri(0>H*(R>PdRfjrDlxQC+h)~q0r7BK z7w+|Y-b~!uA{KWwXzQ(_xfy8-w3evG3aawo@vyXcv@KlbspjM>oQI|^{r9KbqhG#r zeePuCM~Wv-De@S|F7ewY(|mqT&e7~wNd<q;zP?<TsHf%{6jE^Dix=OVeJq>rtY|$M znVs|bdUW<v7S78BRcWmX?K#CcGWtfcA#N5N^6QE`R;*_Fr7>|)0mBU&sX1=esT`SH zP4z($vu-YO{ie~Xm7qIQCSvN!{W4vRqRioq6Q5VSp6$2bcN<Haicz4(%KN$^R?q7% z<ZiAKvc3~>;P1xGf40fq{}}En^CwQfQ0w{MiYcO(l@fK&7#?49Y>(XWtwPT}%DF7; zUz}%Y*e<U4*S7JkmDawl!*T1*Ein4AS!bqd^~De3nyU92ulpw_x}Lu!)n2ivSik9> z-I`16tmVf}{#{W&E8)$WEoYgREPlZi)m&P-?l1GQxtaS)cbRstFg+8yG3njA3XfZA ziZ_@4-L?3%%18YrT^FCfkYc;f*s+ZB^d_sh-q+WdtCnsJ%8Sujz}(6C_}GdkHA4OS zFXw8f`ig7c_Umi=8?vZqy42>b{igDf^A71qwx7zpYGN4m=8Z}5rzs8p)j8W*=PJu_ zv~XW_Uzo7*q2=8C8+n2McD`*D|InQKzuoYR)|{)Ri=|d@`R<%PuZ@l2AKwn90OsiX zrOP+fY&uqLyLa0yrgd3-f+-0erY0TV!%oPY_!;4`!aH>p@9()`l51VqwwTK8zrF3Y zMvjYJO6<;E!VW8i85k@=N>(~{?VfQXAg!pVXoHajOUui&Q;I@DOpLzQ)t*}Rzb%ey zk1TIH!(Vs(Y=#MA-`XDTnavh=LuQ7k&U(FG-SY04FNe)GmdWbJty!t8Q(i0DefGxN zPphm_zptI#!<^08Iob5OuE^F0ZOZ~=Y<8zG>Bz~6<pxXTidluQvFThm?zgP+nAB3Y zeLp{~I$Y(Zuxr)zRIlqHT$95VecSi6?Nh_cd7r)s28C{C*zbO=F=_ejH#3-%TVBb# z7^KBIiSjnJY%>k6*w^dBb@R&q0I6eveTrd!-!I+!@JiJo#dBLWt=;_V&F##~g~n4C z)jsRsV0K>OyO~*l%aqM+)y4gDt)eV0Jm^W861elf)sb)e9$PfN5@4LQsI|4LVM}Uj z)8d@%Gd`HycZv145b=9@LdpL2yUjC-EOUwjm%F+9Uby=sbxP^ZK=%XQ<{R%!H2D{y z?LF1~ikIb8^#u=>ZGYwUzDZtT$%U9nT9FsdzIOGW%_z#x+ju6jUaaJw%!RjGUs_GI zoND^7;^M;wiTlypD+GGmCo`yq3T;Zrz4Ph*YI9@FuJd2ye>3m5yu@L8VrKMp;jft+ z9w}{j?AFflZ~3BfMGgOZ1qTHL6$GXK>)&5?+i}`i7JEfYR#9y~=j1QXI|T#Z_}#9* zzD0Y-nNRcn_{;tO-#cqM|EYYQt7W$?X<xsjy@<)lZbQyS<7L9vKRsEec_^s<X=-yM z_ousTf(lzgBqAgvK3x=KDRNTNFyZQ&urc_P$hGw4Ka6zFOe=pnWwn8-#Kl>McHEU! zzgWvLbxX>8vlf@8H{a|V4m*7i)w&<}*iuGqUis2PyB<zl6nU^`!XXcZwht2yv~1oq zeR05|gB~e6-YpY<^lswxq?YiDo?D&>`*6<vBcNYCd#j`C(VmByH*yX5;_{Sb7@025 ztdJ-ub9QNbVA^<hN@%<Lo#Uw|HP$IL7<C@^b+=yitzA}cnGM&wWu@oyzvQu7OK#`h zacyzSj?*Ehc1Hbf-gYFYed*IO?x4u<nvnL-k`MFB*@JF2NVyk#SKi_fIk85nH+}iL zBJOK`$BmMwp08^!bBlC0oig)B#-~de6D;H0w%jfI8x<TW7+q=8F~u?J*`{x~>Fruu zUCq{qC<b2NdQPqJo4@q2q_m=qr#>A~4{dwyb34qWb#Xk;{2N}X*Ozs<Wp8zpo%^il zYk2*G*s%ZCzt^umoA~);;%9sBmkEnE-27@Ep7*h!$>&`?>)8$0pKbVhKk|06g~7)4 zlbTg82stn_i?uNy5GYTb82xDB*@@1_7!D{nI3_(*N<4gMvXa8VgANBB4ksKq?Cuz_ zV?%&~V<W$0_UYg2JLWSoE{y$kFl&E7kLgFLyG-{do~l=ScC0D+xKuL#?y1w(J>{x? zT)@X!5HV?*<&GCwJpZrSO>xj?K6qui@4uBsJs<Lp8w9WXxBmMgiH%$LZ&16w^_Xbz z-PQB1NuA%%&9Fc9no!7_O<wz3Cwb0(y6su70qe7?X-coZJ-jeg>f<S0rZ3BzE-~l7 ziaR~=hN|S=vOWKU)<@g#(hmsxw_CPwbIXLVqg%hP>HJeQTU>FI!MX!89Hdt`?b5Db zmzHgObym-4hiF!UMX&Cw33D$s+efM_x_>x0LS;*O<g2|RDb^X2gx@>8obo6_;q9aw zZ`Szd{q`;QdVZxfQ1t0p>Ah!;L_Bl+D*VASK4zJWj>&@=^0&ThR`9bp&>lR$YoE2E zf_2&5q{uAp!qPwHDw`ivcQ@YU|5?26fd9w3YR9wr^K(A_ZC>Q_nrlMVbf)Y3Z?WCd zij;Vj)Tud1l7A1!lzUg#X+=eyQM7d4zLo!1qBr;J%6AS^wXW6VqzcyGJAT3C9KY|B z?^AY#=}cPoM|u90qc#GU6q_oFw@Zf4i_iYsZ^sxRJ$1$Rkb0wYGIoCDljbEmNYCo| zeOj`vj^%M(+Mi9{dG!bMs@DhpU(vkZsOWvb$<=2bSEjsMd3NjigK>GOzeG)5eD^Kg z6de6QV9^%$Upbw}RJf<!J!2)55t#E-)b;L?q_<v2PQIx9WK%x*lGTao|1(5(zMtx( zKjCJ;te~LPzYd=<vZ&<M{CC<skKrjxXh@3Ht-I=HA5A>e*)#jrtor=ceR{d3I}aat zv@D{J(a}F5|5u&4SB?IHCBI*;o$#!sd{v8RU(gE2b*mp+sCP@<x9fALx&7#SUi8G( z7j6knTQg<h<+R}D-oF(JyEiK<?M<#PC|Iw(^V#m6Ij1h@{}1zR@42e}ie<Jz`CFY| zepj{#$*7zB@A~v|&eD*X=Yp6&Y&q9#InjPvi26}s+hv&%I?jH((%uR0?9N%GXz}S3 z>wKeAT_P`c2%3~8J>Zv~Hrd|m?f(_8CjD9D?rwQ-#fz$T6B7k<n^G~C%I~*i8MQyD zUyh8;VUu6OslVm9MA2#Y>0NW)M0>5Yeyb7XYqy5Q+^;!`HM~jDJ$^pJm%_7a&+5H> zKYN0&=Q(v3>C;EFU+lj7d+X0E?i(-f{x*E^=*^*^X;Y%kPggy)zRTkF?`_t6zQ?B= z%SnB7C86|0+;q1U*BAGwPd+Uxv@+9wwM)yS>WOmYM>J+x9j-XIr*ZlM&5DGcWna82 zo@`{9?AcOW(96I4%F+FQ{Ql<&ecN+#r}A?4E6wlQF5SJAzf9O&XaWD;k5i8R<ra(f zTHJHTajN9{S32ut|0TC4?eTnaaRX<!?ncGJyv*LL)Uc<l8`fE5U9a?+7bW69?_|n} zNx8AB__wXQzU7Qg#RW0VjrG3U^`6;vwf3s~j_tbbVYBnsPwP4M?;KCwKF#QR=?mv# zC&o4#HL)pyS;Y;~uFKv|cMzU5r~8LPZ}Fs+Zb@Cdr#DWx$y6oDuONHjsvoa~qFZ&% z2f2?E`lek~ogN^6bB(v`srzQPblCqro1e|-uCgdx^xZ92Kg-FrIv>7o(<)Hexj%#3 zsHE=PhZj8zVJ$)~+mqv!wjDb<g-<U_&3?L1K*3Id2DWUyb+JzO^yXLI(-7<CFZ%r} zL8<0P*b+BS2X-x6!)Za=0-f6D9C^9*_}u#|!Xj!+?*GY1%<cHPW}V{(4)(MB+;bl+ zEOFTQuw+`~q|6O$pZy%Jo!A;K#qA;^p0wU`aSi7-hbqzfm5a+aJ~c6spQ@O3IM;Za z7|XZ(lq((ILV84+&IB9~y*a;pXGrqGTk)>XR`|Q?|1of>zW-L{#szaZHiHA1ZtiVs zocGxGY^aDnuC{CS-;$l%Gjq3m-h1kXZxze2=Q}l0WUFjkxkAcby%n*!nWuDX?;Y-( zyTK3SKK)(r^M~c#sz+(!tq~7;RL(P9W=Vfjm8IzOtnsx`rTf<AUiIqx-&LoDZ*Z4= zxApy$*8BUO^g5<J?6=*dvFTf#uA%Btk?q2z+E1Lf*ne}_F?G`6X7Q+Po29zuZ}w8& zV{Z{AzN%NI$EwP8ebri~sOi4bSbyo?I(p%y@S^VUZEhD%hJ2sT7E$I<B))kL+pX}I zLg%)|cIl@q)wW%IZ?oLm6Q`FrYFDuxXjN!=E+U&W^|$@b#~%Wm*!hpB{d#@)UsT}2 z^BcDx;gmPJv}4KIlYGyV-n6Z<N?|uJHu_k2WM#Ue*W(w8@%;a{xR%_o?*8+~yvgO+ zkC4f`EhRVX&X=+H>8^FY<zrEmNZ`3rU%^MR83MBv4?fCz{-b=snX=jYj$gf8<*dTd zH8XaK<j*^H0e5q96%PKp*tk)*<|OZfD={l2^R`^xB79oey6jKz?7!iO+#6%<e&ljJ z-qot8Ag}*TyRC2LgHwARPrnwl)ve*iA{Afub!Knw&N>>u!kFWIx6Z#*izxQmcow(W z>n5>%WRVr#JpbFeiOg*BGtUbM|GZVOVZXU^du_<{#*j?&>F*P_9MM`DAk_X;bc038 zvUwfz!+%$Eq#b>i{c8WljUj0>(spL19eQp4IqHwbl2pg0w20;B_wDT8<l1jvH|M_V zWQ!e}mlynzi^yhQ8m!;{HcTdW{*?(=D!U8a#daxM9nbzf_1jb(KAwH9ojXcZF7})d zSQGOp|9<+BIrg`jZ0FkdMy<Ut{nn$8>)9^-QRe#eH6?0+P@vNz#$CZrW-WN|@ZkgJ z=*xdS=k=SJnV5XxKeP5uuENHz+J-q=)vCY0Z(Moz^)>JNf9~wvIN#(-n_EfvS5ZMl zOADoy?-S=d&^enY_Ps~sZlJAL_uM0P(^TiyR>V9p_#N;=O>>9Yx8MS+v)Y}hS^j@) z|NBi5e(fu1)ii(F^yxaPsyh$qTwlZKmott3Tl}5UtpD6w_%gi{dFo@^&VKeuK38ID zV)tX^`YC%|AMf4Mc*E;UZ}x_Vyka5+pV}lpE@oZff8jH`249H7loi=ROwU$s%JJRc zu<7&~m5@a@!W6B#1s6JhoczsR>7P#=fBGWJko`74(xxA;;40gq`dNR<_S8@P&1n;+ zOuIB`(xiY^&&vMWZRVPfSJtZky!%1M+)?96WS~#uq)xeq0kdDuy*_)*qN__)j|upx zt6BGOJ>9G_%gQN0z_@YVa#fKeM=!^u%P;q}@vWWNcU1A>#VVUQmuhUR4T~c50^gl! zov~s2#;s+C^yYL}aH>y#)Gx?r`|EJVH6G8MH&)s`?>gXCk+Q<w`uf!U^HhzDgl@du zeS3z^=Cc*mnL3=jE<zRRWlJ8vNw9GI<KkQSC(feT#yg>*P<qWm-LCC7zB*6eD0$Rn z$Fnu((|;vL{_A7VzPQb0<@5TZ89{p<ZMw2^$)P=ymwyhgT{YcHwQW+t)ZanVk`LLa zv09X_np?X#Y44$ne1<Vk40#`Xw=WgnBA;b@DT@23h_-L`g8C=ZE=oi*OfNXm^X*o! zk=WUw;$!@a0&9xR`Cr7$x*R_L-hq4l+srGjb+h~b^-Zj`n~-Yv>rT+p(%-3j3u+yI zo%9Jl5cF?%-QH;HdB^1MuV_B#aNqY~dE0{3eIFzF*D4fqylu8!tA9al>7G?~FRKC% zK2Vyq`iRlOoT*Bu-O}$aVrX2PE~CrBG+XStm4&)$P_))hwoB$lR~PK@QpxFJ3_ezw zq{+f{yWRi5+BBId>eurP-)3dm2)uW!aJst9Hh#vvhPN4|X1z%_Kb*3zd=|dJvzJlm zHT$pGm-YFKzFR#DUl?7o@K4E__)N!ir{5Db7T>ylaQdUt<rllI3R|7~ac0uK-!k1C zJO5s-nOU6il;fLP&VlxaF+~b;NjrY#^d^5b*w>Jh%k?*mcgEX^m*j2MY)x1yx$gA0 z==dp$>w*?&`8oc1cvW=?zjKv5ul^3%`S+WmUw=PeI@7jEY{%L7o8>#7u4O7ZXQU<> z(Kx@bvhroBqNm4+yY4@ee9sr2-q2`spJ&#+H?|CcY{i)u-&Ex_Yt{7MtUTanbk@w} zZr;h(T|Bv$m0#}_IVaNc<2kF#y32}dzlKzpIfVvYpOo9wd2;D`KfSf4vjoD_W4m6? zQ_r3IzjR{i4$Elq@9V<2Z!_voi}X}FHpx7M`>XS2t1{O8OHOC3OmmqmDbiQwB=c~$ z-Hz~G%FOGFrkJq5o5`H6oEh(2WYBiO>3HC}Pg&lZV;_1cY-MqgearK@ikox)y(vHW zBbpD0{eJjE=h)qvdn?Y!PIyw(ck(<t4^yo3qGgjs5B9ET5(rPqdvb1fHNzJXi*K@) z(%hS8o_ky2-NLmuwC1X&^)lb1SAV)K*3_L4k(XOl==`knf7xHHGKB|@C%zcgn=0^~ zSJ95;*kd1?d~N%L%;&jk68CEBcYd`?crvl!q;{auC5Ab<SNoFui`N}?DdO72!<N5c zqDolq>6LqzWaQMi_vkB6^Q%$HEb3eq;GONiT5J{L%06jXPSaq)O~Ep}?9RH}YK`J* z{HNzdTPza@S*G)n@1Cym=eT7Y^%f7De3EbbI_9jsxoquYp^nY-j&YPu<CyTY;?WdN zfu{=|sB%xtl3RNJo%hk>^=d!eZKw3qbunCzO|FoAbAaiLb>vgqj?i_k+-DCxuDr^` zJMBrKtnP`@TURXeYV9l2V?^W*UePGOn%G-;diUB{3zWQlW+^N><WYP}<muNl7r(q> zy?Q0CvbfjD<<s_U<@pD`HBT1xJI4`k`uTx)B)in=HS@AQ*L^L#T6Hgd_3DWd0`ts$ zqLgx1cm$=qS7yKP^Vy9*C+q(llnb91KjXEq?eF(bYWAKhe&_$$cTax!*>5Lxekr#G z1b!|4{CC=hS(7B5-^^aH`oZE!yW93p`Ec$|=Y&%`9vVfw%$fM)!In)E6B&13%FUjC z_f+`J^)g%9=d5fKnpWMmEdNPQ#_G!}<%_s-Kl@(NF)rT_8<03DxT$mP<(UrNI_jI* zltmi^dZ)V7a6MPZRKB5lamo!AJ@*8S`E{u|5vwLoR^=_V+dKQVSNh7BoA<XS{K+b8 zOtj%)T)TJiUTevym2t;oBFcU~$(elPaL|?F;|CV4`dImBV)BMR4;I`vdS-kmeA;ey z8Ru>DSDe~mczspUtq&e-?SJ_iW14@Sf3Fx`J@H4?{%f=DhJDKAxRxYSd~w_C2`d?T z`OMZQ6+SsRPujI}-yP3iodWL?Zs>hqe?xMPOx%oe1+jpnEZNK1A4_Zw2_4*)Z73~v zf0eMzM30`y(ITCj&6-l52hK~$l{_>laZTkD=OP)^6PFJ&`X;*0`Tp(0nlDS2YidaO z_WytVg6Frto@{Wux~$1`ncdgK`b55NoBm&Ka-eN<rk8NISZ%N9ySN9@)t@CbZoiKA zI&u4$%g(yeU%ig0mAS8U<J}#<>PxM)R8cdiYOOr1ysRQM;`+32Gq=<S1Z@|s>G1jO z_M!XCq2ofVDR=#rv7b1-<EXNA;h9ubmidZ3fl>3*KDeH(kL#0vUw&A{(qqvNC;9uk zS2L{+3N&>6f9TPz%zNRAs$IU@j?T(vcwc<WD&x5+(-oa_RUx*iykQmhjjf{;O){f@ zOBk)WqWaCVd3o>hyJpALQm$VPtG;hix!vbUl!2e@Ax>HE*z_L<%8tuK$A>ET-oAOK z%k);*-B{;Nv+VkhoHZ#d|2#969&U)XUVZ;&*Om;GOB%j&bnBj6wtpBbyZ-ON<-QtT z9cn3y4l`!XwkZy~!~M}k*sYXRz24QmWa}llKf4xZ9{yc$UCil0SJ54l{=jQx0zET6 ze%^P5%ic}De9F5A8oIr9Tjdw-nzXj)@Kh0=%dO4VnQSc->z3Yo;?du9VaBO9^RlMB zdEWnT7DL0{>E5TGx}5zUwEo+hLmfi5qn{eQ);=ko_uAyg(Ys5Z9-UY(#8va&TIi;d z*UHJAd5Om#?R~#1`J<u4!)vliyCyCBw%bIrZ2p;>yD#r+vd{VP^2Pe&7n3GE$&uj` zi%B?^ZR-^|>Duz5XBVH|WSZ*U`sMx>!RyQ~_&cYoF8n9;u4ZR)#r*7RDM2$*H=eo^ z{x0O{?74|)HT!Cw&YmM<y(9gpkB5iL9)+dnW?$XXep2yUg}~$;8;oDK2?T8Y`u)Qj z`46Y}Kh4u#+vRqu;YrHd-A2}bE<Jjtqm}T{`0IDwn8Pjk;oUWB^t@d9|An&#cpm$@ z>bvbKZ7<*MjhkHerzjdUDm;FuuRU+ZDX&8lGIln9FFC?~QHeQptIW^r?L3p%f_~pR zo>3v1@gw%v&9nBWHNBo};EmanbV?|q%>8fI)Jqk=B3oQ)G%oAf_r0E?s$nm=((nfF ziogoN8`{%)bJ~t=cramoVs}QTA=kMH3VRQDXWv?^`?-1M@~x6*WF9Rnnw%nBBENTP zQp>4ZLK9c7X+3}M*XGF0|MRDIXs&vlxo=s++CLkj!?Y(zE#}Ni{5bXHvvTdt>y~WZ z9&>={A|v}>y;)PdESN>x9~iVe(T@4^e1kylgbQBRCmTBSJyYrJ@v%0HW$J%;;|){i zbV<D#hDQAj=T`aLZVUQ!t7k^i_Q_G({Qmzw7*OuUZk)fnp;<ppW8Z>*5>H+{Rxj`0 zQTe~$XZ=+k%O7ifa(b&LEWfgT^-;Og1qT*ATD-$u#Guc99+%^_k_x$d@8g-RAHQK| zX0*PS620x@d>OWk2MnKjj!&;~+Nhx^T<B`)aKQQNGgWc>jTh`M7|-iW7W``@us>AB zN}-dLyC_JkKri!#*&O$r)o0f7PjubjC;pU8>5{m-wea#xkqtk8whI0(*vIwk$Y<M* zGqQ>)w-)$aIU033?L&&&Mc43;8+l7F-al=4*6@)er|c%>a+w7y=X&kF)W7pijE>YT zv5hgc=`SJ@<2ra(eq3b9@H%9l=k?P}Nvlpf?>x@>!`^6x@3Z~$OU_MRuzR1;kNY+5 zANQ6utyP-;zf5d>Wq1I`^-Yn_{#9((Ket?_k(JewWg?GTC+|hBe!UKp1)^5=%Xrs+ zy*KA;x0aa5tN*SC9n7TP&StIl;7?t3s?C0-opjOBkUD>c9-jc-r%l`K+Jm1gY}}v5 zKIP^-{-+cFuUvoEeSKDPSNRf;A|_oE|4R$|7SuhQc%w(PD|Jpl=Ty;$J8CCS-s!8q zp!?-4g^8D3e=NAltzFT@boQ|BSxu?N-f43U&K=MWoB6sSnEl$H^*(jq=a}E%&`=fF zx6CwR`j&O-n)fPl_LMJcHEv<K{pig4nd=&UPKr5f9Qg6o=it5dj}3aaaOeKJ@0r(R zZ+f&XO(RS9@6=^ab``y-QfJV*!XceH<(`FI<%IQ<Y%C&p`}Qttt?rCmvhTzv*G-cy zmz_Vo!DIiF6Epfwt_az3F?YFA^q;Oj)e@VT(<3sj=p<Hby<zvTT>H_r1#XprFB2lq z*{qnRATFTxVCr2>E|0(~&P(z$GJC9wJ6#=)A1ZyL`0+gR6s8>B8~+w9d$Dgq-bdw0 z^N*gsZgVdqOswjLPPph(iCuv@V$rSrhIT54a_{;(E_9zaPwdK%{D;z>e37%eMDo|D z&oWqd(DlK)`_hcbP7e#WOfqR(X1`2h^&D5%#%6X2^^AWOUCHrtvz46Wj;{C~w(q<D znhi_;I9?Du^yB*BV!!Mg$?O4a|5ABwH{E=r`F_bugPP-?86Qt6tMF=l)cNMoM-B1J z4&JSa6D_2}Hw50kob&C<JOR_mIn%i;&u{-ZDK<K5`FCZhHdk-O?N1mNN*_BZf49D~ zaDm$-{WUWSS|gWruM5Aj=UUN6#@P?<g;f^{Uen%XztH?z)z7{C50*wZujXgi@;K}5 zp<h>1{(Rz(J<=+-ck&nUw|k~e-naOhm0~D|-Ea2iP8pd-woi@zo2*=}GQs%yh1{Cv z^xES_mxJ~ieK~P^-Pt>4Zx;S!i<T4lJ!5xMtH)dpPHPdHjQWR9JKoGRI&zXXX2vdy znY<HhuSsq`d;0w+(H{YqyOm>qot~$m_WP#h){dI^_bV3WI{onezNp~0iK)hws&9@f z%5^UOYnDs95))r9qaycxkNu|<*5f|jn$P#w|F1Nyve{@`W-_B`X={F^=?@2|Sr<x* zl3K4!tP%Wm(t!K+9_0t+Vaqk=Djr(A<MYFe%PS_Yy?Kh&qvXU75tA#C(aO_9TAiNw z-zwp6+5dEh$w?y*lUpsbPB998KN{Y3>iVzi7b*|U$Wr{D_PV>J-A!`e#Xnj1&Hi$4 zE&6%vM9Jgh79Zzsy83JF=`ArfPT{-OG;O>5qPD|H;?F9h6NZd`MGbvd>3b^WZ`$?t zl;qkbqf)D@e{O_CZi!W#?U!|4SUk=&{qCa^vpD&Uv&EcvQdWhj-<bNLYpUv{tM?rX z(@Hw#m4EGg?$P3NIz?Tn{aKO!pUgt7PLroiRpql7zRgT|eSS+=;n5za{>5ce+1=8l z73yTSM)0)VEkDmRx8uU>>Ko6xo!{x)*#1T}vhl^&Biqh7f7aL+uvNoms*Jjd(-Wr4 zzqkL{QTDx6o3E$Gz<pV@@6Y@#r;GKhOP-{6UQ#&C`o-U~=-lylE_Yn_#r>&2wZbQw zA!+?#zf(Lh-0hP?mWu4XcBX5ixYWbvdlyXS2}=Ba^3UC0CTq8~?Z}tv7P9>=-jTRH z^dF1to^TJt_kN+f_a9Z?{44bBYNf<5fmrjEhiXh>bPgZ3Z&N6IHt*P%tfdp$y?NT4 zPTTHlUHGZ!n!oR}?7Z{WTHkiOKh$$^?#!fZPq@#;o8*7^apBnhb8Ggft(QyXu{>zo z^QS7bR^0Yj&iPY)><KTtnT{T;xb3_9{j4LGXGL6(*%RC^A~acuNpI?^=owX4JYFpK zYyA1@^iG34KlW*)U2qOqyW!UN`s{sg!VhXi1n_Ll`r=k-tkV8$MWFVFJ4{WQ%Kx_+ zU#xr7@!`~CtEbN{EG)WvzVmu>Mt|b7l8h@?Ub3Bgr}(;B<)B^IpBX<GmoV^T#+&Ya z{LOChbJhE|=0|<9ea3k2%2Dr-+gl!XE6n<|dP3Clqn3(2bB+h3zCUJFl|8Ab{hRv+ zmQ}mOd{gH%SpM%#JN>W8%hdk&#r;>^q&w!_RFvaxeOCOzneqAdx{do~1GrO}%~o<e zcHWa|A6L1^H+By5j!A6)Tdz-XpAp=1^oyJIxjg-qmX;i%VbwLNGp8<DI(g-?wmbVC zDJf34W&6B+|N4V3=3WWBB_)3B*4?m4fm=@PJ@YX^pKXJ%^0h1d=aVPv?J92NzxH;H zk?Z2$b=BUt9Y4Ca9=`obl6RqVW%K<+ku{6W&)#~sKDX||k2dFdMJ#eh%J*?=dx>=w zr8Mc5Ha1rp@U`~e&;2%i#Y@9`{@ibta?C4DpZzm4Ft5IMok1Y;F^`fu&&cNXg`N_t zjtjquap9h?bZF<g6B@dwPuXpau3dOZ>|WPe!x*9c%x=G%Tx9N)Gx&H!On4(#`7UtV ztn#NnnphT2zqN3(=<kem9BR7uCRNrJ9HNr!J{wYe#T|dUoAsS@<=$9&Yf1Ij>9W~9 zd;-sJH+Nm;jI<Q|v+j_@hq_0LrXJir>s!+Wp{ELbX-nt!NjI%h_<nzaz525gOP5Ts zT;;7-cbV&h#`9+n%|9QAbNl;+E&Ig*-`>B)JNJE>_iFA|N9n?=yHji51YP@hK)reA zE31Q>+?_7PeM^YqQ~5G=O?YA5+pwgQi*+YYIaPXNXV<C~?+jVFG&k-zq7<z5`%lP^ zkF{a_p-1w=n+gPuhA1659qq9rdER5aUa_5z4lI^<&UNlfQ~EuAfk6LcyVrlW?sXE6 zw@dp|U&t9zxuY_=jdAD3`U@}1vvZRLo6kRbrLTBfWip$bSm75D<2p$ZqkU1A%gU>L z&0LN(9f({W*>+q(q*2U)MZv7;`!aR=P3eC7FK1s~sPUTNRougO^9l<#I&9k7(Zazh z)|0c6^On=5JsljZQZ_C(@AYms^D5cUz~S|wLGwma@TEs=Y3ft9`8?HQDDs(FdZ9!q z>PZ>HgD@2{Hcoaue$IBsgA8)~obA(&Sy_lkG4h|EU^P)|mw5`87-QzH9oacLY>f>9 z?4FBbZz?#Z+3<U`_VBbHKhmWa{4qIhpZbxrH?LXTI&$D>2LFTYw=)-~F816r>3I&{ zmFp8GRQ#>W{8PH)(TDg6=l<;%IkVc?w|wogwKuevY+rq(;p8UsCHEgLUUT0%UQW1g z{kFTS>s5d2#jZIu`|06x0oVI)$^5w6z4U+HHqDTl(~>*3@6O&;{C@M3#H<Bre}0$U z(wRR)uJc$-`SX2i|AyPkY{|^@bo*9rt?us3Ec&&6^CQ*AGq!TROS7rkbn^Qq^>58K zuDw$NvUT4qzP{0_@0mx1#;-XikH)()%3Tk3+I0HMlokCO&;36&%e;DX-0Pzscx|L- z7G$Yj`w`&(tax3?2{VBZ@okS1>*FmxSq0ou*YYj8px{^Z;9;SMS2utACPNMZ>5JTx zCN5Mt9W<lu&IE?yJ#!hi-?TX?B*GG$_WPLKy-yxDWmIMc?pHmK;CrwkL?hruf>G=4 zDF>T{1Rfd+tazL_Nz*36z(X_e;uGg%zYj!LtOzR5I+9_#DkWA+#6#w_%*4$v64>6d z3Cc@OI<m=)(@1O4kr^ihx82TR>=KE{Fn#&ZHb_+Kh|wk64JSG-JqidG)!Gp`Gwv~C z9;3}7&reJ3{^XT?Q>jt9@N1IMDbss~0y%;YlpH5<N*n7nl&8H-I4vjS`c3S^o=k&% z88auRP571>%=qO;x$EP7RvN3ER6f4fdexO*H+#w(-HgkE@=xpI=PW(3l<D2q_grf^ z4)E|dFbDg!yQwMv=9{$U?frzMpT8_~xvJP<di+HhYq#<J`I8hJ;`|fceJ=dSk}a=c z^DUZh=l9_IW7$#(a~-*lO7WY&e(AV;JM+~^kNp~g|F#rv&zK`=qhM<JbyB|2i<Qsp zqyMj&`}EzWKRWZjJo?%(sp#{9<Y0@U)joUvTP2^bOgWXkP-5LF_ZT;UvVIxYQ;x~Y z({p^v=3akzEmAB!LH?T8jO!AYw-nE7nUx*!&^meD1+T|NlTR5u-=Ha(<ZAAF^7tA1 z(^a}hgt|C=(qC1T&p*9q*3Y;qev9gJKke#%CH0?3O43$+y832`*s65Z_c^CewM?}B zpZ#;2VX^m<bLN~$>QVF4^5$LNTCwEo(I>6{MUCBF_iZ`YsXeFY{S^6<`M1{>y)XG+ zUGPZ#>UOcx2{*&Tesv3030U0>KXSU<<;vxsf3A1ive#WLx!t35IPI(J_dSaw>h9PG z|2mfAxzGLdoRWKsO5+x*{8Mv|-mPh0eEY?f2V7I)0uG-kS=V~(`mXfJPYR-wWWS_E zC;TrleBbf%&egd~mqyyhmCnms#=EewiEVw$Pvc*^f(2e&yRY~(+`(@Bwj--fl|Ev# z{`+Rvn%i9Y-8VN{h0SwoaoJmNXL4u!SLx<$J2$p`Y>0T#eXpvTZ+73)^=i%f$2!d_ zH0o79Z)|L1OP$(!>QEcoM5e2cbFW;!I(PA$DI$x{etqh7b{Eg|sMoiujCr-XUhzM% zIsamT7mxb-7(L!l28MtpUIE904qckXiV~p<t(lH<usORq99(oj$@!3yqmy%kqmzT< zK}XOM3B|+Chn+fRafFI>ZjUucztOVn_o@$9r!=HmKHAN7*1UVosT()9ro_J8cx%J` z&mIbgwdd!YTeZ(Q?$_jZPxc(x_w4-IhTcOf|J{hXC&tou(cI(dE!KCb2`@U74p#QY zpD;W;GvMLmng{K|--5o*3Y{Z%HKOix<D1>Asi$-ve0Y1~LX4~H#U!~EZSgKr;!jS^ zl@C+D_j<{$FC~mm|3?45H<Lg6L!Vi5wBScsLDl_}Pq|LN^fgkz^=Z3=fAOWv#|=^w zMTNi3TH0`|B)E}r%Ce|m`%;9Wsu#TdJzM$I(~zTO8b*)KT=(q`dT=g@H`Z0z+2l?~ z!~Azl_g=r7m~nIpH_xtj_diZ6=KRHabHklwyzGAeW;m9HJ&TDw_+IAtb-g#mhjh#D z`9?V8cOIV<%$#;PAvSaUQrmlhHTkVF)Aw*n8eY0~zjfNf=sO$Y&-}~pIA%73(Xyf4 z{N80*tyi}nUW{QY{rXzYkaOt`&zPt3=l(Cyir2lR`0%rGiFM2e6^E*$3G+M5w`iIe z{dgSy&VKH*ZlRQJuCDxtX(uvl?L1bhM$WvpQ`kCHr)`>W<*b8GlMXQlABzvu-BEn` zW757QKl_?CMAnohd+)z|=V#L|sY%{fQ@`I27Jd6>_PRQe2j{mK+eUaLzIZUpE%%6? zo9~NS<)D*4<JvBA+Wly#Q2cmq!NW_TODmt`tvGu2f*r^EoC~|#btL3sxh@=j$<<}P zzph7oMN-v+jMtB6JYOqp#w>E!C10%O`*zN`KP$ehSS!XZ=rvclL$x&e#e@Q3hl*`3 zk6x%wGG*-UQi)jABDU?)1o@&(cFKD%`F!xUUliiiTBE-t&_3vti<sldpw%hU&OBWz zR$cyC-rvM&QvTl*j&)-4-R`gB9~%`FNrmn65R<yG{L{Vb&G!2p_V@8E;8oOc^^BKz z#}>Ue@<?I4>#F(J4|jVU{yy(c)!yAwU&FNp9&pbI({H>{!Xh56q1?7yO7n4kSVn67 zw~9pX!_L32zFl(ZVEXdZz{tBVkGy|v`^>-T_C~{+gGYN;O?hj4KJ#>Nzukt&Hs0e2 zi8CZN%b%^~*Yc?N;V*KnD(l<6SEc)8;?gtQ6SPh(W=at@w(oh)KEF2f*IAW+vd7~B zzunn0d3V6XEyrukUzcp0=gGb`{o0>}N%McmJPFlFdo0Y+ZTfL{+}z8vFEIpe_<ro` z(<yesalcZVf@9Q7v!|bVXt}^#L`=l@%$BKkuh*aZIZ=Guo&&uri$&DLPG<UuWH)5l zP0qTRT9VXfvin+u=MME9z1kCP-}2^i&a8Q3tjQoO=h&S)DRXw4vfOv2jPAGFZ}dGC z{B=g)Y*5{NOH+>IR*97=WnXuR8}ur_Evj<dt*YH>|2H8+XN{t5TV}wDi@Do8ZXVmY z!Bg+2=}%v`qE9dVEl-;o=_W2*<azg@#&phKf;$8>%Khixvu!^8=c4+~y|e0%cNp2f zQeAoO(0l8UZjJ-7A7URYtB-5%y3nFmFfYHT`FPu$=9O_m+Yf&`tRb<F^YY7EJ{RsS z?Ptn0E0sAA#k%?IDe1|r*%9$p{7h@a9&fxVlBRO=<c<p^ywZ#ZngdqMiA!hn$_P34 zE_!o!u(@oh=I2FrXEgIF*ZAtJd7d19StZ9RcfQSj-hZ|^JCuY?!*u!Gmofdmz<6|% zzy7bB_8AOHQ;M6mntqMD@yL%)Wbxdun<ss(|F&F?|4c&4lB31He@Sf2JQ|$%F-_o2 z#J+nURJA_4d9v`{Fsd^X-^PA5Wlh8pu2n2GCKY~*V_TH>)onBTWbkh>Yd{ObhLD37 z^;`Hi#7A{ybF?jbJ^S*~)<YKq#geXF4;8gqvX56mYQNmx<sTPcKK+N`$AsiS753U| zw_g8i)L*)9>8*RFoav9}?41~}NzjN#^u!Ivh_6R?KA(I&K(leP^)81iFBY-O_ShP| zDiAt8#nNz9gLQ06ZG-%@2R9|!Jxm@eD%W=Jdh}jt6@!z&&GY?6Gd-8j)-WlvPnmXR z^}iOmg@#*vRaegY;ntyGl`-+j{OSOW?8a5@<{=ZVhv~dLzr3}-PDQHgLehEuoNXJ* z)6$EZT5Qfs<gza+OS8LkQ@?Slg?@#9*0BR0_=Q6cH2s&Da^j1BP{x$T@RsE0lpggK zz8?7>a?hC0=r1+yc4WEl!uN5>%vFv7?6*$1@j4}{%@BDaZ;-jUzPmjoM)mxr)>QU= zm+m#Jw^ig&StW09f5Ij15DWc~`zg|2KbNx_#V@S7=V7jUe}c}8bJJh!S#kTdr@VCh z6r&X{8uhQ<_hp{AUEb-z{jJtIKBmP}Jd1A%{uSC`>ZF|PwENS1oo|m5y|1fBzxRw@ zb*{c?rPHGeMpJ%F^j@wY=oGl@+v9!#+Y5i=|0Nju-SGJHC!z95de@O(3VF*`?3%gS z{O8MS)ob5v@Be4hYSZrhF6Qd%D|c3SEi%cCxM98Go@=<Abmn_&rkCrBr50NKd$XUd zK4g*ax>M%`;#MTOUw^$&blczO;k;)93hr#msbEuO5mQ}Md(O}~eesirsm=wK`pp0H zcAkAT?Z26C)9aWEQd8~N-ZR#--fOcL`8(;_G}cM1iTBfQzm@pKT2W$rq+kbIL%ZCC zwEpWESM+Dy;`Thu@b40j<CUAzF*UW<PBwn+m=P-b@V&mXuJGN^UZYjM2DAI;3Ld%S zwK}B7B4FVQu|t+~jl{kc2k~#--q-Nol+pfa>D%QRkL;&)KlnV;M0tbOhvZodWd_<# z-IWJhH>$g?XF6Ba`b~vDmGg>Usl&U<(ub~}64g_7?9`tX`%UQMq|(#JrH?%;|C~|n zbtUDd<)bA5kIOl?_jd*8Usn{kapd+J?^v~+vlmGReQ~p8dpG;js`=0U>+EaNcy?fU zc)kr!OYAL`xqr9|PQP7TBrxA(gMUJ_-kxrcDa|G4o~m*EV4voj^nGun@?4FAUwK+m zOO0QcKZ#(B=k&VWoIl&kS!aKy${(>sr7qn}Gq}$euYFSyAUiM9>;|XYmTmVx1cxnK zwM)F$<MX;>`d@E9E|?IuYs1RAaJEL-7w+rRYrptT`S~f;{#=Rf=2IKn43=&W?b)<H z;dKya@VN?;yGhc4`!;>k)KmLete<G<+P3y)#a^q24JR6Xj&I7Ded(OmlNs5ktPk1A zbF#6VUbNG+ze(4RcWs+U#`=$qy9FwbID9!j!?<g^Je%doWu7t`haa$YEOyjrnqw59 zG$SDD&(zTEi+;6jlL`Cd8h7o__NyGrJaaVn+fMm;?b)|Qb`kr%vrqYkCd`Yy*wB6O z>y0VO+jb~jjo;E)b6q^>=Ubh74}%XT`Gu~^Q2qR%d6)7*@nEyH(PcZ=9tgi0`kql{ zqSvCvWo)gx&hP(t;QHr|wORp5rJIV6u2~oN=f;Vs_d+_;|1bQqWYQP^hws%htRGg? zw2I_SKDg(-lJt|x{@s!`Q;dEupF49Acf;}iux(rir?SmWpPw`}y}e4qxM^wmOxD+2 z-*#<UxZ3K9@|2n)ok+JUmVDc^HY)y~$nb1--EE^o#~d>xd#@$jkA8LZ<m(C7C%4UP zGl`w^!Z*k_M_78&j|m|Pd(JX&EL_Nvb;Q{92BXi$-G+Ioo7c66?zEh9e3E`*#yo$g zAaUKeNpemO>6;d02K;V(s9<T@`T4}}#jVYUqQkZ9rT+R~wVA^%<Fn+kfK&&g>Z>1t z8@d9tpEAFkEG@L~h2p6#M|EB_vrc@ldQXr2q;RI{?&&9=u1MS+mK?S8jI77Or_~Je zu5bAF@`$Ol*1A9Mj9g#sKA^gh*Vx(aj?80*o5EAn-WdEizN&ubiHDLiuZZS5`3lQL z7beH-H<}{FTQ<FW=a~%!QDwKH*rPgk$Ot|T@mS8q;t(+Nw)n}tQ=T39caBF`Nlewo zOscG~@yXxB`Rq%t)=%xr5z~?B_`FAI@7$9-hXbx9Et_MYlj9yOH}P=W<)r3~S;sfA z)?0C%3<$B9%Xa(rp}8}1*4Z~qajrTV81!f^)7R~0hH>S4FE8kP^tfE=ReZtu^<uMy zGGi}U7ai$f)DIC9Ztd*d<Y&F6t~TA)YSo811)uZ#B&+s|6j)7F-yXkzieV>ng;`fY zxKH7#sVdtGKeMeen*Ct$y(zja>m=M`MC;y%p2^edzWQ)$QFDAw##it6ujE6j9nTbI z{q(T^VskS2kFj^e3h(!S4R!ul&XM_?e`Cj_U5Bp5Kd(BtGqY#j#$pTq*iCo7K9w-} z|KjHpo6j5fJF;<b2FY!05AJR{a_z*d`K&qjmub$nbhf;meEq=%Yl|(?B0L9<Sw){X zeWFl)4d<O4pKU)(s|06?IJd64pz|<W<0W5x|B@R8Nv)c%R!-Z0mM`K$+wW3A;iAuK zcZxPnFfm;BVPpG?TK2!oUiPdi<^J7$K&En9{q!A<T}=1Yw*UX_ZZjdkHl*m}wCHcD z+Omg#Oeqvu(xI_Z@cGqS3~Jx`9JM<w=ai-|xX<u3gtw{gi<8$wqxRrytk+xP@|;5^ zt38oby*R;l75nmw+#&5VWq-d&t&m7+l}m8=D0Fnwhu=SXzBaDiz5DII1wMka`P^zR zW;9v;tt;NZydlQ+driq3m(_1=ChW*P^)GcoLNhyusY>QnGl?Z#5q(eU1aGM>TYV(Y zQ|R=io!h5fKKlN^o~NH%Rvxr3T2^+0yIptdl9ig(|8M>>D$EOewueEDRde~L2)`@( zpO2*REyz$2c^{wc^sAUJ@&x|@TQ(u#(=2CYw!4d*3bC=>RunDGzED=F;NZ!(Kdbg} z--$8W<rMbh<^4a=`Z}JHPwlTRJa%5`2Uqo9<|b#qor3;Sa-G5kD?XO5HT;nJT2*9L z-_#?^FCUzz?f;E$M#MsSRsY^UkrKT<D~@<pA1$#xzCktNdkJq-@v%(q?aSQ`y*gOZ z>cSnk)?fD5^?%yGRhHPEC^dUl)DZu1(yfPFGQQ^@`75%l)DG&ZJ~Y|n&t$D29)?`T zwObFqd-8bOWabnViSm1&zPEZk4flWitmyihXl0f;SA|x*`4{<UQC%eKZuXCkKj!`3 z?%?4#js28LtKb*ji)UVE&pp`w?yA%B3kCPx_@u-yr3tz!m8^ZaZnn-Rx4oGk<^&jR zzUL9|c4$e3w(;Z2Z-36uv{Zij^Mg^dSfRwk_;L~3BHw`TYxc>^^fQ&+Wo4b*dF=M# z^Rmw`EWWqmG~cn34YPhvoqn|a(bP>{KTYqkEwC=Nn$Mu>A{hR7W9GZKi$?@X{~J#F zWM`hbrk!(I$(zg~t^7Al>A{W#9hT1**w0AmbH8iK6d)q)CMVPMcvfrHk~tIpT>9P; z&-aq|M9K9PE#c<J=iU+wezVa(vT)OW?&ujTm8)`2u5+0BD6lB5VE3c5@vG<mjhblA zV?8CrRiv!)V}<9G*XkKO&&;iOOMc&vElW;0{&C-W{tK=L?RxvBe-St(?=$Ck_c<F~ z+bONqFJGr}tWOK(sM}g}V2#<zHZ!wZ-zzttY;DoZKC(+|XWjB`emt$r_J=dpo(=X< zx;24y!<D$ehZbk9XYf0iNX)X*UB*}A;d$Ipq9=gueB_)JpIT?WDi>aJnxR~)F+gdR z+~tG)JEz1hd8OW$?p$7S)a~){9M*2<r87+aA7ePW_}4Zq&24wqh(%oMkXd>7$&r8` zE3Zhd*q9i^a4>IQl7>-x@hX?-YiHT!#2wkUF89j0-l~tgUiPsTl-uNaTc$`hY{;(o zraedP#+Bcvy83Ua&A)W&hq$rD8-=)<+DCV5U;YsA|5p+&da~j5B!(?lOunrDDs*7; zr#7yeN3Bgi7ZkQ+v>oFMIvYR3@6QH(t}x!HkF%BYdG4r2-#GWsWtD{pbE=~FZn0&j z+maM7#~;41T;)c_+8Xh@%C4Vl9!WLn6z`oa^5XOio3Q#<x<M?>a!O^Pi+yM8Jn`|m z3Ujc<@yFruO$&B&{tNw_ecz|!HT&_?Y-tyG7ksyvZE;OY_hNjD&$lbq`JCC-?yiZa z)gNAaRdes_=3k}iT!Npjo3B3Uoo~O%<N3L%E&)ZyRo;j8CES>QUn=GOhfc?tKUS3- z47q!FWAi=5kG=DjeXwX2J<F7R<kN27pe=`Pt@|tW@Rx_0*mVCFA8dl6yjC2QW_$Y3 z$=A5~@c}8rcWlQ4)D9cmWEWU8)x6?#`%|0z{RTNTGu7WjwHKcW`Kf;=Z*F2~o!=Rz zM?!%%87K0iSh;y$ozB{s*ZP`a_lIxC&rg(?A@O@&jZ*!(T_5({v}8!y$RusLkE_BW zFwJ<<vLr<j?P*_bZO}43mGb*^Xxph*Wv}iOzS2CxT`_0r|4HuKYvPO_UHB*RTJmdy zL#?bY|B1LMqBFP6IPq=Dr*}(4d(SS(tKjl27QQ!Uowh)Htfab#%l(U`EFSWON3=hs zPUt_iPiOvj_V-H?8<+OXi8yrV@{`5vhqg;PyV^$vwMkFja`t=K(d^lK>@`etE8-G5 zDmm{he(3lwyi<-zU1tM}J)gx%z0;3QhF-cD!nSeZ;vmMd&vH**OEoHPcG@!I4TptY zsmSt+H7jH?elL5TU$QB%zIUZqYImgUf=kof%l0I`tPo@ml|LJQN%^RX-5W)*W5P4< z2wrS<V(Wg}%HCgh(l%K8V898*6|>fz_^34b;O_7;<qwxKuM{3p<Nn(Bb<yY4#ZRMj z{{~#Q^OyTu!X>?u>2g}HW2KN$<+d+pltPwTZ(7}(raN_kZfAgnuasNbf5Vw`|4xfJ zzIpflf&$r%sgmBG>UmW>&iMGS=r9zllHDM|oT|gZY(KMTm$j<8rjCQ*jMI7tTpXAb zxIcV9eDUpX`Rkp_qUW5huJ+m8JTGB(_uhrIo;h5$p}c)u&N~8f`c!!%w>LSnG$rln zX=&NJYTasE^@Vckp-yK!nvZ0ZZIR^rwK~U3IA2D`tjaIcWKBDZT(*~K6klvtT2D*W znO+OYr`9!>PhM_*e(>`91NS?7?Rrg@c^SQqdu`9NLur}O?YFyi>U8%<GA2&&U%ozV z>h|J<y{G1`*_Os?R#5r#=<J(@`pf=)mJTm2sJ*+C<CA~)O;`8bnKyW1RU|GQd+dET z`EdP~6_MT-c=9cR-y8C%@+^F|U~|^fV;3tgMy1YLdSP-=@R~<kAA1Q$guea6lhpQ8 zS3cbGit3SV5A$B+O^Dq8dpYZ@pU>A%H!qILi<;t-WP10X>@RN?pX0urF~zfP@Qcez z37rgg53N`*@8a|gxyy2l<Zp#eF>>sTF+SeG!gp8Y^2h0yriMvp$%MSla#)p+<r8wu z?!wpGRavT60#<rHyBNA~;-RC*G>UW$iWc5luv(*F#iI0rRS6m%9SaZNny~hScfh8_ zQ4=#$qZbEHbgc{D`_X<|eWJbH`SZF`wrsNOwZ`?jzQ)i0r~I|!vSMO7?2+K~-+s~J z>pMbL9ypNj#B-6mB7=c}a`xg|!T)oOR*Ix;73=!gJ97``&)2E9w=6rc(<XKM>iVdX zrO`j5|2IrA|1DV0HAm^R((4U!uliq~nlLY@H|V3;|F>%x3-|bL*nE6hyv@V%WeZjw zeY&CKM%M$0AcZ&K%)Xm0DqRdMNXa?hDD5zLy6*x`-+Bgn&Zf3mC%sQ^IJqhFi+G8J z<I_toW*m{(WU<YNlatBG#Uqg2@84|mst*qw78>&L9QmL8TDRd<#;k1*o2_N;Jbdu( zU0K2Q2k+j=-HB~}eUMY&j^d8&3lCIOcCdc8|NhtJ{rCFN`_)&kyzx2jbH1ef>bq}m z^7KnIIwms+%2|cTXz*>is?^QY(k>RyB$#-yKI<@_y~9;+?pepTzgZ^|{_~xZoY--t zb?1V9DeCsVuu6%rZrd}@>eIt`!6|_jjpg>A1L^`U{SeSDoV4=!gc+0k#k*H7SJJr8 zG;RB|^Gnv}9iHV<CF!VN%E|A+bfD|=&KXK??oVdZnpk#wk|gVtV@DGXsyz6r<Z<EP z!uZS!{a?-;-`%!EL{NOwOZUfbxAN{OXIopr$K$m0pJmdy;u($~C$Cbxqq}B>X~_Ow z!2{DZOCs2k^hET+wkvOl=7^}U$Pj+!@NrW`eD=;|kA5yxo>#H?<gEBf6ZjSe2G!am zi1i%)#9$mPSEeyl?UB4~;Myn2$97al375}oKYsET_la3wKcD=l`%}*K_NLiCme)ki z4Ocn0a&qB2(Zu5O2TmzQ?~S>uxz6Ed*Mrj+4+Kv3cKKD?ob|%kYh_6Bl9XtjgWk6v zH8}5oQkU|+{9m;ClS{L+7<g9ch-f-~^nY=9O8FmF!&B+=N{Wn<OU%r+y$H>&*vj>B zLXk$tq@6oWZQM0eT|}ZCHT`!#+AG$a65{#){LG(w_?g|4`?NJrY&g14bgk}Bh9`IC zJd}T`oE0eZ^Y7)S$<rm~{JuQB>!m8|9R2$KNRcHm`j>xqRaQ5d@iX0Y{OXz082CfT zS$4(eJ1+i3L7rE3DolIR^~HMU7mcNBLxW#5OrK+~#KpJs&K~21tCjLz-)StBtV<Bz z_cY;~ds_9~n5tJ?;v46Sx*eahasD~2U6V4ozg(EIG5P%k>5Qo-?V|--*J)Qz)aG6% z`1I1Ur;}=ZPwc!rLntrnob|sMy5A2RUZ=C)t$D`rb3ymnA5NTkeu3G)#TGw<Z>yYR zo-VTbr0?Z;&R#K*bq@}F>=2!O_TsFF)$<RW-p8ttcI8Ymug#jvOl-RPtQ`sh$0K#i zN`0Je3GeSTIdFDTs@Cn!pR*TyT(xSt%k|~O4$&Oadsf@#t;_5<`m(A>Rp>@&)UTy^ z4<?%noWE<e-@<3{#f{;<KMr_kC!{Prug=!7n}dCK&7JeD3$57R9Qeoe<weo$iTmY_ z_J=bi@JfdM%G|Y2fY~EvifR5^{Tnu47iQ;*uXYieBz$ATOPk~wr#`P*Qf=??f5oo& zPc0VvwtRnn&HK`HC61lDXNR@@nK^gyLgxz2<W>KEa0obU*J6L3?s%6g<$}%jkE<J9 z_^1EU)$4iuajS!_;JXbMe6;KgHtq{jo?pA5t+rdR<s8F_O=(YiuLs<@eJtl)IKyYT zj~mu1F(lXi&t?oik#Ny-Cu8}E4Gq(_8OUeY1%2RsU7SDfhqBN28?)~e@GzvQuyW5% zzVBoqX~8EZe!!@$OQZ48JL3ae_HuoE^U~k?tg!m6cgJJPnN+j*`f}ehXmIn!?hanC z<Nm8fXM>zqa6gajs&ICT)S23}mhrxm;vxB!W@2BPKeTSX;A=Pas_gPhKkmF03Ff?e zYkf$4p1pL}p7lOlm)FWZ*_^6bF8H2(o70le#jb|~&o7J#jh9qyv3?V(wk}XMKGEsQ zA=iX8_ZGaE{z9fGdWqY=T`LS$<OlG+Vw?Io@@bYgckzNr2@M9xJ-jQ-Bp43uoilY( zIAdmiVW<2grb%DZC%oUc(y2P|Iq#E71{=xAISyat7}8>lMYsCDJX*g=K%y_{NTEK* zO<My7*AL%U98hmbx|+eiE_U^Y`%DuXKV++HnBIDBhxE)H)0pNrJ$Sxbusn3}--n6w z1Q=HtxCWeI-LP(|^V=5Q=@E}EW$3RszIVg!rIVBl3ifU|8tU)5aQ=lN!D-r$QkJlI z?)mhY?NAp(RMc*>qqnmf%-4qA`*6bP#pzRzEDIMfig;)j`TfZ@cG#g7>ByTN8vgqH z{floKeja5}|8X*N$4@Q(_#gX<GlEUK4+Y#~(0I6X^Pzx~2^w{}5mRHH3Et0ox9Gx# z^$g*^EA>o^w+Wc7k3N(m|3~2I#Oy17OQ-xZTJ(77s&glbs^cpZr1n&Zx;HfZ*4Xl@ z(Y|K2a?Qq7vkiJCbMfqcwaI|ze)@{`Q-=>-;7Fd~?JMKB-sa|BuSJ#)UB*5ql<vfE zRGD8{_UyFGf^zl`4_=yy2W?_kIJ8%t=jSt~2l1O2`3l5me`GY7o^!>F_gzKqd!8G? z3W;`GzAme22zcVX@v(Q(#~pXl4PVHHI|xkNyEW<~qeIb4Sz)dTYP%RqE1&3h$rdDU z=5zEnbe(kh;!%PAi{j!b>_1~CF$&EKXx3}(lW}q8n3m&X>R8p?$YB43G27vu_JZYH zYu_kanZA-fv#><rgpX3|RA!M$pIW$h*Wdcmp*T-l<6+!22fl{CB4y3H)wvutwy%CB z&BMg7HQ>?>rF)EASvf`4H$H4Q`tzBlqk~a|z<-_>({2fFY^<03a$;h?#G`Af7nd&V zzWLrtv+>Q_Zq9=E=|7B5_^H|j_a3;gTb+4^jZ?w|!^x~7Sxlx=*e5f7>^@-fX`u*% zqiE{PG!x4y8)mJ!!*esubE^rj>$zC<G{eH|Ba<?jr|y_6_HFy>jVTAxL}Mj=j95e3 zSM8FKov2mPv})NLi<yii`&Vs?G5W9bCN;2@Yv}>59FM?Yt{K(R+q5cTCl%^RTdRDC z_>{Sw+4{*(wNGkOoX$ImD(u;_z0b>RTGhNZ-|rJWUU}Y&;cZwiyEN4xh~<I3+tlMy znk+71h12Ig{^bzA%KF4hi<4K`|0GP?Y!O~ODOj$qS+^}``Ipv3ikrK80&Lp46qsv@ zm-bCGFZlI0YssU1UN5VSnN0q2*K1wlGW4@EObAgwAI4mu?Rx5**VTnDv|UdNc!w;k z32l0sq4H_L%uuJNJqIH%Ec^Lk<(^5YZT$}>cU?a$^dv+{Pbj6qLi6dxd*yGE0^QEM zS{Iw@a6xD(+nor#2TQ${^%!<?zw)z<^y@ytRJFasXlmF#pC6J}zcQ~2*fDocG`E<u zEx*Xjs>~PKzO%M)e_6OGYmQ&vo%X0Du}@z~r%y_0xEdV&Bf6v3_jHV9=ZY&Qf;QZ} z(Z60ubd9KeqMVDs#n$5IW}gc8bL;OEnW`JP?&uTg>05QuW?ek<=R(8H90|tf2ed>} z+Rn2c;avR5&YCq&%=eMUY?u12-$bv3IH|0)J)Tjq_Icv9Gk*_C^c(+Xh&mVUy?L|f zma{TH=Du7%_qY@HmV4*=gq%P8%B!2py-?|5)Rqfp8pMPf5>{rbnND(=DJs{Z6@H4d z(ywUWH-#bxn_m)(3M7jD%D8LqITXu2M@IUs*1IR=6S~^!Y*sv5Qk=JD{YtAd|3pf- z)~|VAkddRc{)yd=JFlXGVslllJ&HOO_*Ui2p^JQ>nl~8_Y<U`Y|Hh0HS0bv9?ffV; zVLq!(;GE1?Dt}K(Xr;VUsD89>dEb4b-PwkXEakK2r7y{ryv0B74o`cA`29t)kEdU~ zx8QkLmtV<_K!fnQe_Wz341{Ao_deov{_eJU=dArfnWcud$FE-uSj4pNk)lB6%A|X& zEU5;YYgTGEf8F1f{_w%$H2b$KGP@@%5S;lc<<OL>vL?k#g&$roWs%~tl;T?ch~Zbw zo5TYO37iVCS<@C=xn8KC9FV_HT#|p|(__oL!tZ=h_<z)XnZfiIc@|B_W!NJFyaJL+ zXLFzV;hA(mSl!rV!sm-`@4MZrX$zb5%f#V?NVc};tjlgvTW#VVJzGEPztXe)8~*7Z z_<wZ&L6v*|dsQxe%YXJI-$Ufpw|dV)qv!8@T;>LUvAXjv(r#{E#qz})C#T8$a@cx4 zcZt{%vBtTdykxW^n_R>sE;?5;2t1AdbNa*lA0qXa>wmn<cMRGc|4;RQ``XX@Q)b1l z`M+W6vQIk;{?9J`H~Hn?_6wYkS6u(*ZJ)bY_xTDPExl7k(b31d6xDpsFSFFlDZAnI zWy#8Y5+&g}EBhvA<mSDUlP-w!Dq66cWo6)VH7VKq{rm;zew^&M9>lXyeU_x${o}jt zPZ6Dwdq(b}*4C$f9&-=uzrRHOa@mpzVb9O?`p#Wo`QE4O{C0zvicLj9f84Ww-TL47 zrGD#?vtQ){{whC+|MN3kVtW12AJ3P&<of+Tc6Y(MIR>k?-~AuH=EwJ6Y)6ex-;!Fx zdOh%zeGac%;mn|~7al#@nh~G1LA+z<hK<4NkN7RxHRsbsv75R}+qM)KMs{=*BqbgC zu|qI7R{vtsqH|H1H8oo&8l-!C(?2S+f5VAt_xZd$96JsBO3c3WMJT;EcKT29!g`T! z@y5pg${Q!l`QIQQENm>g*VpyWm!C<8Zz>e;=Vg>lTEoj$To@Sij^%wtzDeMA?eCKd z`|S#gZ0^q2+-7~yNg{Pey0k{VzS$-_mx%(chrE{r`de7)>@YJ+y7o8uSpBo#*@2T@ z9dvzF=Ctag+r0lrF8-_+dcNZ_n_QamyZGLJ=dJ$tCHGFZ%-?kPd)#Z|6DBbo95W@Z zcB?*q<YRhUYVHL7_BJ(1zQl<w&PSGCo62oCxyAjMLu&s|>mI57->Q|P_C#LgT_xco zA!jBiA&}_So3f52I6%3DxuMP7RaNo8!{dqxY0HisJm`4zprV4p!ea}cJ!f0Aim`A8 z=ZclB2PdxL*zI4iv#w!L>DT)OjxT09R{QZkEqnC6PVJeC`pXQDHfJq$@kF;kt?LEu zpN}b>O<VKrNM?-M6}vf(ry}++-;ml8mXR0H_VV^Zg<E@aH*$aW+W&Lf<3*R2mHFGU ztDnvee7w<O?d)npuKn|BzcDNb%9ZhqcIj4JAuAtXoAus%)s^|_f$m-3rkYFkona6c zJg9v#c~-#}?@+O~6@6<RLk^zb;K6e!c8cI^&#rlwq$OR><+%H7)0ybGX?{=rm5NNU zlXkVQ_NYhOC?>7=$-r3kiEZ;~_T{;+?r-yLSTC!&??-t1k5;yrOE+}CoQvzX5KwAf znrmNV-SR-Pv)GX-%iHhl+=)MzPT{%d#?#65K1+wkQzgbz;6mB#@ZgIV{Et`Z1{BAf z?2eS!``F39x&OV_D+jOD!8{w-OkYLGXZsjWdwTu*x2=iq4K{qP7PSoa%d0H7ZXtR2 zfsXd-u0MBIWy~u3P|P;-+RE7xoBGwIZLZG!oL+zatVL~o<x7E+-g>KY_I>(b@Ig$p zblz{<vVtc+J{sD8{++tR(WpG5z2^q|QTyYcmYvwtu<iQGfW^`+`|kLu$~|V4b(z#~ zIcZ9JUDrDA7c0I9&e2#C?~?C!HsZMq*Am@`r%_WxGA|XptL8ED)MdFc<-(&Sh2_~f z9k-->*goF>^t$$^UtDa;wYVjRV)HU*-ZP%YX*APMeNSQhO3k{7`!@G(yA$GCXgk%o zXSJSUuX0FBvyw!ZSEtseXi=3ZnvOHWq@H~1S@T)>%EX!bXMS_O+PLHM?;R8R_NfcT zor~%JF#Y8*AEofN{EIGI{B``@|9OkITroTUHRV&Y)Z$y5jgcKHRr0Q1RdjYqKX{*3 z?|d}u-ps#3J;l4qn|5;i`Wl<{qN>;Y7Vr6^&SC4%*cQHyV=@y=ylpBae)jT-O;_h0 zYBBt~d*zL`#N1!UrfRAic1~u$K4oRDoabHt8NBi3x?9igjLerf)uh5vHf#0ry0lX} zs<@W#PD$LS62|bDU*=4=<5RD4*DI?&c{F}IzngLCvY-N~<ZHh>B_AnE1x)C!v*|o| zUrKelTc+~Is@bL~zqHMYilTCl=J0z}HVM^f>#0l?_u$>yzweLqk(qpV-H)6-y`i-2 za>>i}#<mxyhgmuYPkpIsd}r>?`6Y1{7LUHA?ezTO+j~T;ic6%}Dni4a(^YN#n{|)w zeU?_+wM1%X*?}PO7XGY>vqaun$2>VN95mTPuEfYn{K}eN8)q()(Onv$6K!O&xAU(i z|LL<5QCkk|`L{UIUt`L%_*9jn?7Uq&{mW-dbefwyk$w04!iV1%#n+S{{@HTC(Kg`t z!pLcDcTMCx-cGI0ar2xv{aoYWlaiJ0<>5Q;?%Ds(W@&tApzVT&h{bNTax0G3{(4^c z`f$kQxtX`lI~+SV!;d9%$ySc4iJujpMyyg@oGDcGKS6l6O0Kh)vSnz6$h?rY$nqO& zB3Ey|$|Ec$TQkF|?Nzhi?5o#5xKH|G?pb*0Z1c=e+aH0CTH|Nu1hns(vf`h|L%v;` z9l4~XGa1u+Ra;$dN~+}NC*SnEWe{{q<mob(t|Q)Uf$Ya_OqMcf$Yi~CA-yO<|9I-U z0|gQH%Fc%Ua+&jZrTLQYK}#Lh^v&VBduxZ<q$|p&Wks_e9)H|<;0<$l*4iwoy40NT z+T)D7uhdOn+R<qD|D}UYY{cZnX-oYR48t}goP7SdL0;-;PvC6V#T)%xT6QLFKI?7t zEI(OcqlAo$kEUl<RYA~_7z<X_!09LFb6>kqSthe4a=Yz}sH~0gfuF;pJ$GzUt28~5 z-g|CsrFQM2zeoHw`LWh!ovHnLTbJqJnseEba_&AB{O7k`DA>>}a`a16MSJC)yI*=2 zm5bEd&ADoLsPAmuqTTiU%Xj^6?mp-8Q?UP;V$(I#`snIP>G<%YvF7Vq4*vFDcQKHw zJg{fdlHIXCAL&2$+S}r3?HBsS;j8h>5Od8Va;JKfj~LGqQa-9>nsbohBp=6y8H$Sq zZ>|jgv^nmo;=BjnPqZm;g&Y5pZTiX|xOj8c-aGSh1K)fLQoNld?{O{m!bQI;Vl6hZ zCsoXs`pmzo)s!3App!Ad;z_G6*GYdv1+f#yU2e;+x?}Od=<T5nr<V9NKX_&|<{zA; z_gzuUQs~lJxrL_%o=JXpPi9<nHnw&59qx}AE;?76uXdN;Z}X_usd%J6-}5wE^0t|W z{we*nH4>S*Wr1qYYW?B^&Z!pdC%j#hds3PTjizXSzciKEx@3~3-krBSUYW<F*NZ1- z{<ZzzCBdct(jxqzLR|G%&Ek%H)}Z$Ri>x#6udshzvGo4R#|a_%`wyJnb7=3SRfXDr zZM|=|-MIMhM@xt0oRxDQua19n^KahysOeMMHwtxLIk?{F*g@~b75rxdm^rt-Ht%-a zqQ+LAouy`Fd$;psf3DwO);%-KEZ0aoPngo*DE-H>jpMrCmPMC-U3}pG#d<=NlJ=R^ zRvgLe1fCt1dA>N>>u&m@8>#O1?pt+4o^Rp|m9}<1uf6(JwOh~nw|gB+S1j?|RXq9j zwc-rswKMPAEpfH2)b83eXH9QoxBs~Z9CB%Lp-NlcTbl`$Cf(55J8^qhQ~EIvj=*Ox zx61m*2wBR??!OgUDE;H#-YJO}GI=&O&aC<WC2)ULXcBMK^}-8_I~l(1n*7#PYWhSY zuAP7U^!6>cX|+0&dfH~cWk9<5G3(rbS$j?kADdp%Upu>vW8Oj4Gjjh6gbK0)#I8L* z_jUe{(u}$H^q1WfO<It8y7Bw*v*EcX)*p!BmFT^*E~aJKn+vY@<kE$%bJazK&iin) zJZI9|+Wsd8nNq_nrwW#wEC}(eO7>bj|CW}Zq-@;rveR7KEsG8x{!&rnqUSsLx<k#j z?}@K&&361P&>pQhCFtEQlcoCSB$7E#au~-jPXF8=?NM*NW^VJZ&*`5fQ+a<)z7bX* z^~~Ya8fWDuvxn~vu`ynm)&Hf(Z_5#Lm*WxF*B*K#5_wtTc`tMSK7}cZK229Ju-Ue+ zzC+z$3Cq*(W_RnjEi>LXbZ3QV&YS*kmU6bC8T(Pb&$_JACL)RJ^t4ajV%W{}>p<O_ zx7K!Q>RV>B1eKPj_hfLHh>N($NIaV*Dlyw=f4!!Wl+3o2*3~yXkE?ei+t0r9D_7+0 zk41LXaz8T<bRB)=#_-Vgc=+S6)vEtjPnoi7N#B&2`<>(>IK-<0kE-b%HlMd+2lwj` z8LK-MUzQ)bddYLg3a|SwRp)w7S+a3QwbJ~gNxrPpva6@7G=JIQ-1$p?sr<ERHnOwt z8q9lV-|8nT_J*zMG5d_4PaX@|iyPO!<fy)EpL2MUqvb-*@)d4TO_6VpDeO2~RlG0z zXXWksXFSY70ct6eOV%|8iwTD<ZhqqJ+0AYzsxzy8zFD_D=dz_Kt(?moG%v55|K;{w zOIKbQozDJ=W=`fM&+flm#XGg?ElbHc0j964+8gG554j?JwtjK-(w;vrM7}F8{=DU` zk4N#P3#&ippKOy|UtwZgsIhKhNb@e9?0Jfd4$V6roOrZ+N5kgd6?+sKkG+1B>m}$W zeQks2il^mP-bqVkgl(oglzUv!vfG63|0~CzwQ)Q)jP}I|XY$&`%@nRPSzMLeG*8!L zPtBiLE8px7lfEvKFMgx<<yGCA-_^NXu4g1|AMZZ*@jJiZ{15vd9nRNY&oSxl?BJWO zfjih<s6~8P^xV_@wePflWnOW-25Xi}bO%l<-1pz3B6sPT*=A=HPJI9EQt)<XGmBY& z(~(;{&iUm$>se!2T~&WWN_len-h2Ls^cMa(A8`EYzVlrkbzfe-w|tQtU1(;smE#DH zrpKXu^Q>PTKCsj0NaFMpW>MyDEUG#WpUn`DRGrftxob0f34hyau7j*TjNdX6PBn_P zyRqMNw)>R3k?ne(q)p>0sgpdiCB<%y$0V!YOwr9>T7CN2sx5tN`;X{3-|=5!wJk4o zb-tk0h5fk(i)BvF6EG6m8h3Q<$}LCBWR))|_e8Iqt!=mThDEU8?>BiNPx<X?^k*(O znY(rE#7s8nx~2DDB%W<|JdoeaHlZc{y;#<y6XLhOdRQEO$?4^7aP(*PW%0EyUzh*z z{&Aq{jpP4+F$FBfuIKo554L8=U+XdP-K+8G!XdqBHgYTWE|bq?D=B+8uiSgy`IEmI z*1zBMUdV`Lc{uB`O95{G_r0I$H|u|IR8raHC40Hwr+(=U%A44;Y!7$H&Lvz=-hSM3 zA^n#4|5IP)mF?kt$xwG&<b}i<;U0G;bN`R*y)1<~HHsE<buxn-SF7*elBpv6Hu+ba z&||Y3{@IP+B5GCi)n*pT>V$oE;9hGu>G|wKJM45Ki#@!TDb8)5VP)CV-OQtGx`*Ax z=f&BkUCOoG6=_SKZ{+;Q5&QlH|J1_%()PY(E%*J6WF|?>`M+-MpI7NKvy7v*X-?j; zBR$FNE7SfB3H1?AeOi<3%C24L_IUiZLPef)6UWMH4$G@gXLrX3UliJO*leo9tI(GX z>l&+Wr~F?U<S3HM8ULU4*!GkM+g}t*ynLC#+56KpLrO#8{-S%~-3m8%?n$|Ma6`;` zjozOpCbt&Xd&sX0j=wWkcCyOf#0rfc8{WQM+xpq9|KsY060M)tCz|V~=&cF-d-CZ< zE!TiI_sV@2=1scVlzcVm*}r!yoDQzDb(OT7q0X^OE_P2?oOxZ#j_A4*(svJgatbwz z#%dQb^Tb(hYsr4RBDUy6+va0ibp9EMoIC4xGU~i(+PuDhQoYL60^)xKSH3oXGEbwF z-;3|{jE@mJ4#p&0IsV{{xbK!r=_VYU64Qh~^mVH8avSeo#Nh4nx64xYoNca*@>FHB z6(_zXa9x`H|IOOZ+=VOVh6o2MRg^g_;7&gnzEeUgfa~!8<v%l+U-3M8*?MFy^ZpG! z6T<j7uT08W^7}>SHQfo%g(o*}dFAmkK-}u^#*FUbt8-L;IxPCAG0AU}T^;xQTSji} zN0&Mud%yb;cdz=7WT#8X{yW>=Rr;U3wC=q1n{yKWJ!|!Nqa@}fe-6HB-{<Y8@b80p zi;DR)P2Sj}aUzX3j?Z|ZZo~CO`h|IK=KY*mT;;QSQV(SryqeH&`7AY7`q?_O++!9q zFMRB;%&-nVy#3hKtYXP3&iFvVxXx44T=;iC-FNJl^D-9Cjptl#=dL;yu+VRU#G&OY zeBF$9P1^nF+RkUsW2E=5c)qUvyQWg@xd@lS&l5jPtXQ;kU+dS2-`tZosOKl@$ZPA# zY%r2KdhwXSq4-T1Gj6wDXiZP}A2w^A)QpK+CKcpA_`I*+$EoehUL-d8pA0^<r1KHG zqre6?-;IhVV$`Ir{G2pRr}NQW$&SC%8tc?u_TI1Fu`b+2LS*7~caPg?HD?}Mut)C= zIC7<;>PGhdlZy39dktUP*Lu1Z8N1)F(Eb@Ycm3wOYl9`H#)TRbD{g7(IJ7EK-AKjy zp7Fz0ow&TqtEJYg=WAUiFD7gDt?I`Gwfqv+s9oEYR=n-$z4mwN^B)Eoh5jKe{TJCU z-+v$d#BJ8X$Ffh<yB2XzF4GL+U;CoEFk%mjmiMOFZS4H%vDpt~=NGT^&@)-JeE-US zL29pN*Dfh5x!DxCSwrJ~tN17G{Vb&&Ws@s!Pdwk)zi=6c`j@Vat5@;9%JK8?JNEg7 z=&UtT?=HA7J0GgsT<<g2ZU-OZw3x~ra_vjR=9`sAbKE<eo@lG$w`$(W%WcWLCr|&* z)=a4t>esRtaA<fT^-1ZlT*1^^uZl1GeaXN1tA6!<IsQXIJvV+`VCX+HHBC6M@TR^` zgM7%Oork3NhFX^iEDYEfb+63a^2H~`nfZJ3J|FpWn%zXae?{xA^SPzwv9IGq#b1BD zwYDp|PhE8D?9KI`QgSA(V7qgAoyV&wsnPy?zO5?xo~mx%#_Mw5|Be2YqP$)_ZJwa@ zN!5F)$q!GbIYsjXO)%Wos-!6E>12J<uw$BP(yfonjp`;wryk~-)X2AF)2<2CYTF+# z{&!^lu~i+Wv-nQC2j^+LPx$aQX5vHx+stXdrm(+stTeKp`+d=yRROOX&vDE<W-OJ> zIqBi_hilgetc$pNV8hobj5<f9)}1T39QQu>$I9^9;O9HPX4=hAyWNv`@!*7x8^@0& zCEWeqHND6x@a*xV>fMKh1rM102%ffAplpiop|f*uT5!s%#TwKeIeGKw`+p}?s+K!e z6kdP%nf0Y>LBOX-=Vu|-C+~RwD>iTw@;`8fe|=Z8?&JsV(~f>Sa_HFd`{B`%2M<kc zaGl+CyvuiC{Cmm%tozz#^S3YJ|B?{X@wBVB;%gTBA(I<+OZmi8BBp3>{CjGZ*|s0^ zlD8ZQ|6kSJ^l9qXa=VKH56erwMVQ82*dgqibL!3R^Gm+}v-zc&wR^V5Q|0&Ou`_Gq zSqzuO#-8MC)M}da;Mu_%<DRsppZ7vG6knYFsomy8;QNK$p$)%hocL<C=6LHFKb<a? z%?undHjleMmo@)dcJG7grsb_SKWG#lurCVYOud<DWuz|kaoJ9d8Bfht<p>{o?4mtw z?qb{jS^)u!XE#3Q^x#jiX8++EzgRM^?)p=^ze={RwqKj~+I@Q5pNdZlZ*Dnf+0~O3 z_2Bh&p68Pi^|#(mO|BRHs?uc?*?;@Sn{z7|muR(T^DtUAcQ{BnO{{)ZTfX@E<uH$> zua7$GNJbiId<{sbzs9vM@kvD-m-4M;76z*(%-Fa6+|>}HDFSs;8y`+97X4cLM(vaN zY_T`7wiA=jEzr>Qd?mNg>YL-#tKZhCDaE8txa|IZ!I|U7w2yz@#lRQx>iAp12~H&+ z=KYa#j%vNYZ=!Fw|6XAEu5-VxMjSgm+hEtMlgGB`YwvjR)p?zn!{TSmIb8A_-~S5z z5T4mN*-hef%!%-+v;17{EpjcrFLy}f`hwPtmG}Oa#?Ng(T(g7g!cyg)p81o$^Je)n z3fDPS^G6iiik=s!J7LSpt`G(d#u}!8MGqR<ei>K4-_ER8we}3>wO?n2*DRYg<G-hr z^P|qRql>=?uaC%{xvkl&>fLt}&A^2-7k_#Bf1A1C^x}of3J!i&{rlwq><5!|7CFDx z$&wYl%w%?EkD{Q%tXUQjK2P7wQ4^cdd2jQHnM)Sd32`s^Uv&Id8oO!X6w8yl&F3l} zNn=n=TrIirz@2B;VslqqDUp7j6QLl)_`F)%z-H0Q)DsRB&-OiK<8o!OZ9Z~e??mQH zKRb~rY?^709<vCrAKicTx6WS=g(Yj$j$NzzJAdE$OAl5Abj-T;pFzD(xKvK?ZT$p` ze9sAQbs`o7?W}mBx#Osfid@2kv>nH!_k_FqGxnXa{I%O)bMN#|axqR7vAb7pIlEh7 z?<1`RJI(l?{@QY_O1Sj*&Fo)pw_n$neg4nX%Cx4pd#AzLEfcrh|0X5RJmt!zV@Kx9 z%uAeDe(#5r@I|$s$1}TSc#dtpaIAOY=6`pO%}ty3T}WP-sfS@zb)p&<-_mV?;ZZ@h zhHVTC4J{qjVlNCrF8>qIkf;b@y3m$7FL_t+<f7Z_*ZiL;FrRJBT0W&ymPr$RZ)q?n zugrdY<V3`8;b4WJj5|%{0sFVV^*?>*0iW6l+xOd-zGHYAbiPSedGl&b&FrFzL{pvl zC$EZbG?=@>>U>u~)S-lny<hLDMyJIHswQu75vy2wD}rT~A@f$jof_vanWr?(aQM%2 zQ}VyS<ij4E8>cgQZr_`{N_t6P5W~^fZuSKa4JQP?JbF;q@BNjze(xI&Nlf|6ulaYO zt)}z?-YNb?EdMLT9<WS35Mp{J^UzJ7GrSYLbg#`3d2mtaAg80sBX*{XA2S3uPDq?M zF)&f^;r}K{mrfpkZ+;h67aj($lGA48KYudh9jUkSJ2p>yn}Cz>quQr8kF0&o^84#+ z*&l4vLknxTm`bYccc&$usAk(|l*v(cm1oL7BgcXrSKS&W+s^oyw{wT>75+Q3^y>^t zWLEE%Gn%+3{&hrz$ZbXG*;8asuCCwFKQ$*yeCpTs-hU2dPVWTIMKC4t7*yKl=w`0T z?ke4XVezY_XVqlu-krXguC>wS{wf9z%ZXP6Cmc2~p3}L&&(R|_>g4=azLl134^0*r zclbVhS-NTEV=W~evnGSAy8EBY=S^+Xo7vBI@%1;J$DG@r{Fwjx?S&hnZ+|kLk=!l$ zG)K#;r|873E6e@;R&a>Nidf!$wvWfbZT;1nH6D!7{7O7d*TQ$bEzx`V_ucMyzYR_; z;d>WdtYrM$*OtX*3gfMA3z;pE-TTj6s|jeBy61ij$F+{Ksb?RpTkn5UzxZCxbi0P9 zsZ5yy%g-d+3(ow|ZgFpl#9PCfvgWzbul%wqPVEsByTLQ-$J9PU-5ruzZyn!kJR-OE z<hQ^pr;D4e$ERv}E;!SAuU&qc^i-MT9Zt&HN0oP5J6Y#W{wm(Knw{<Y{Ed-UUpy>a zdi%h+Yd6AzYudA3Z_m54qJ28=_xr!f#ku|bHc$Sc+-DqpFmEbnTle?xs)aY=9=_+! z%jT$Bqba_4a=^VC?}cxasvkXaV%mGNv|`KO9DhDW)Lu9{g=rBV+l+v^=tM=$+~Z#s z&wP7ys>Ig{rx{zXaR16ObJ{iIfS#nN-6{bE`Kg*cf0vfKou1?OG-rBMwA0SsqhDUO zZ<+A==0m=}do3@NyXG4R{XbvTRHh@O?OJ%jl{I_D<W0O^k9xi^6x$KJ@?3e->dkjH zI{Y=;Upd9n_49S6&bIF^ZCn5B$tq+o>MVWRy<>L2)}v|5Q*!UE`~Q5?kMk;bUte0N zw9Hg$#}B9AI(r9oDUa!^Eo7a}eL7mAl54#9+0~5mr)0!duFGaSY4*!;f5n7(Q>Pzx z@ZGccGDqp}uZq_L*X-6$`tVbZUryb^z(QVrzrdOH2L-_@@ixa_WTs6`dMFaYw|AeA zxtx5pVcYbckLBChy(6u@YF&M_Al1FFck{A{qgU<sPE6V!rlBly=+o*~OL8il)mepJ z2$%)#mgTZLcyPMmG&vdmg*Sy=^91jIm|}5hJ-bghtMcEk*4uBVPKo7M=RH$o&$bU& zR&!*WsLM~SXubW+zjjKywBnb?`!?sZzH&EId6UsFS9Cvr^w~FCD!=bh4nJ*qxqj2N zN78M@$5$x*^PTk2AWq_8YUw^{OW)PZXN@0w?Y4?LJ*8ve%4V6rt$9M-5{}sy?>kPL zE9ljKpdst-q27B7>janRUN65P6m&-DX@SW3`}>TaMbGxpiOyG_q~&+u5Z_{>b88#c zCdPl|W!sWBf8J}0fT+1Q)H3dyJ8daRV~h9O+Us#q!e`pPt&e3kZ*=UfeX8m9_U!79 zi_fm@ll{7R{;g+QH#4zB$jZH$nB3KRHss8aN6(U@8&{S2zq?bi{_@8Qp`t%utM6lp znz!Qo%E)PZCt0py$rYFPZe7E&<<g-wy$r{!FWt<1vXI@!QRByx*=aAQh}yjl$({P3 ze}cYtrRtvs=VGVj1==gF|4DI?78MQs*)1hBwd+ImqKWfM9>(3S@|Ydt$S=^hkIB*O z-pBR2IlE?tYs_plfAUnDKj*$@|D=as*)98@Jf1$^S=#0Gasx4W@0Ct3T6aB9@w~Dy zEPF%f4(HU`UXJ*JzzgC|$E?x~E}UQfrSp8`znbc($;I!A{_eE5?JWKD;l$b4s+fo` z1{av3&R(5#ZM%W_YKvf==_l8=@4D8wBxij@<CJ|e*H=wWGXKoQ_9iSf;BMf~HN96V z?E<`x&&Zx4ADyN7^H`Lzc+r{cl)OvKN_XwE>+keQPA$o9JT36Ehq-3@D(UHp%T){h zah=mp)r-F=s*=Q4;&FVXbVp10A0zgelF!d|6>XZ_v77hW)L6?qi%z<_)%Rr;9FqL? zC+)emtV~Gy*{rFDFSrIiusJ_v@#TM4SQck&>3g$b<Gp1@Q?l1BFFl|UJoUVV?CTe) zVx3Nzh24AZEfbZIS+Qn&g1OnOIq$F3I={|(!g~Kg+=2h>vkwKOwjE;?58TPH|6G#m z3C`sv6FX1rQ0KSRc6#x-?Ah(<5@p*~l_{UU&SkRLYUUr&3x$e}e--z%ADq68ftfY^ z*TkcnIJ#3VAKs8BY-usA@43g!gw<!+roXFtI`goTNcN$rW%??29-NqA{pIYZx8FYT z>dVzwy*>5p#_fY&=Zb2Fmrm|4uehK0QhDdf+mSsA@sW0IMfcVv$lhPaJU6cRW1e5$ z>8QM)Q&vyQH_excF}k`>$4l<jn+oszTF1y0cdku;W2)ICA(45g@@raMR`qAMS9_VI zU$||p)Hb%+`zw2m{P_zyvi5;CcRxwqSSL5NqOR;^Q_LKf8(Qa7-bwOG9GU7ZB`J4B z`E<~B>jO10Nq=v>46v-y7F>IEmFs*@>!U}VpUCKJ?cY=SX-D3J^0y~AB_eO#J*BfM zeNk{}vtg}<@{^RJc>jslI+&lo)RMVuUvudMul<FE*{^1v*`Og5Ix)X+&&9RBVk?>c zR$k6m*ZLe9VI=<7IQNF{isl;G;JnB%@2xL8md4CT49_jr{@|c}by=!+U3i+ZRll9V zWLB+f^DljM(BCpk?VDHdw-B{nhRyerTfJ_~Z)1>4e?EKHd0#<Gi51~1nrBJmnDa!K zUDzmEW$EC}{r3Ir%q`&^a;xX>t*hPJ_WEA`_9wSx=U1O-ey6eel8tbham2*6=W~6_ zpS5~g34Zp<*+0`_Lw-%8?Wg&_HgE2pr}F(;lS$Y1pL?%d`4T$0_x|aBlV9(-TX|}F z5YL}i#)5}Gsb=dHdlnUCzuNsRcG<QG-!yZ+C{~vi^sPOz>3s3y=RxOma@c2nzP&bO z!P)2C`~39_ZoQSTmRFZ)owu*5CP07c>XlIio{8c<!t=J=*+2cWnS`cej=Rf$jldr# zR2m;#FXxsw*yO8r@8bSHC5ji6jxY4+w2_;ZkmIKkVjOxxEYc+T{*7nvwsToJTKt;3 z;(qX)D`E?tI{80SlxAdT=swrnF|+r=VsD#MO3DdJ!pD+V?~<r+oMu~fY`d?QE&sGC zTmP`CT63u?$MAP`j^Vy-w*1%bXXod9dG+4p&z^kSef4{C|3&;x_!?22y!89Su*doG z{qJ8KysLe!&i3CmyPGmIjJuqAjXivNi@6wmH=E4zExuyqySYB|RNVpIH)@Pa-|aY+ zTlQZj!$w1W>5?ty&p$rXb0nSfu+Z!u%lzM7UK5z<c+2p4ywO90pN&DkSzn}F(>Qe{ z<E*mmtbG-|?{!!A*5$kJ<}UyIz@)74zF7SpbHBAxnZG_pHrsBS%-t%f-euT)!+0vs z2EU!5SL&s<f612ICplT1ZOf8X%cXWaU)Fj}f0e|nq@2$u+$=WM3QwEe!{K4_C7>lo ze}~7@4u;l>th|rHQY$$wpS8$IQP)YWJd?W6Csp2ahsjrtbjy&phBI1~rYlHD%>2D0 zIQenW3*lva-)vs~vGMf1db>IIUqVHQg8PqD=2!l$AARNP;~(F1l&a6DUKcO+#XxxG z)JH+`pE7^N9O!?)=jgi2^R)ykA|=nC>b6%?J-8!CdC#=;(}&kyF6Q=p_eG*)j<@R0 zi`Jhz1g^z=3ci0sa^=!5m2x4s*q;1TTb+HNSuv;O_`KUIcZ8Ka^n4a3V6)j*V8c;U z>yNhWX?M?y8JK;3VsKYqPE9##*3ZOpGwve}1=m9t>7H;sezSC2(}(%mMT<BhgiTB( zgnsN6R*@|Zi+nO=)@KtFjtM`VF7EW7yk!IbqD#Atw<Tp9Uvwv;+UZu%mfgK=x+xa} z>mH~+oWi%Rwz<_wPvrH#%%67*{cbENIufMETC*nd|I^ghHdVW}wG`d@(4+iX_gz${ z;Dx<AL<AR2v$B^ApLt6noM%~m@*I_CH=i?zM}^m}jq92GYW0JeYUXue)~h5R&PYq& zyJW|SwTlCit@il29Wv(B4$Qr#`<LTLM_QJTlKS3VZ|z)97ffniE9&Uxs$-kutfBU+ za^iMB=X{esj>DIJiS9lmzW4ig6}hJ`46+T3%AQ_0o_L=><QRvihe2^|j^B)htUAk| zH_kc|FteZ8QT$_W(E_PCyG)M#3odZl^C&{CKWyba-p)B~sV$pd&ujm7CRB^>yJ}?3 zw|^h?JoZgpc35NM`)20D;zw5hUK@N$t4r>2iQoaFhvDMxcAWN}23g)mvI9?b98YBI za?}<4_F1CL%=)Ft)ePf{Qy(mqS)L!gAlBy5%K0<Ty1uho^qS}A+-A>ilal1#+*NE> z^23UqlLKZauKdUI#9>|Mq*KCdOJ8iR4GN4bd=d9}!yzuKCL`s-7oUHnuHH8#zUItz z+3cFar}F7+_ZKFm>Bp~SPB7ZIP_%39wR_4lf|r!Nk~%YeXBfwx#eZF%7hVe1ikfY{ zLoZM1TegMj)G7QYr+OcI!*ns7HE6+tjWPlc_m|D!y61A^<l&lvDH{@U%|6OJy&v@F zfRx|lyI#kCDYx0w{Y}~XRC0abhUd@DG_2Ye^q_ms%>JuiUxhBX+$f(ofoE6R1}-O= z;_L%k%fss=MYuL_T0d8gSu}I@-gy0my``_q%a(BkXLjf8W=!44erwka$7vhym)w3O z7O^KsWv$?qQ|(ErTaxF$;X3)4HLQJhTBOEP_m@@Af;n%vKltjqaAMe&$u=L3+v_Rv zwQH2fcKMy&>?%C{)WPmWUUR25?R;!L>6^+OzB?NjWILr5mhSg6d;T%~mdV~}&tD(g z%C}+VI~{}Ran0vVyia~lO;HgnX378CJ>|`Ec3z*9gYEWPx4y6s++H@V^)#P_<l|X< zk0gIYMhUXW-r@PZsdi$}@s(d)Ehcoygv{_zQVMqKoX2sfR;6re^&Zd7o0hrl+robB z(Uu~;=tG=x%Ci&~E|nC#e68=`G2I!DD_^cy{=U7(Fn`v;Jn1*R0Wbb-IP<(=b;qX* zY;OJf%2w?zf^N6{WHLAEGh{!{jAZukI^}-&$-3K-e^P&b@ad{N9B=Vxb&SH<4{fhE zGOiG{U#GrtqQDK!SyvW!ADHg;g}3F_UHkfovU@S+XA{F}XQ-~?o~$y#sAWdsCs(Dd zTlk7!_0L|PS(|K9;_Lh792<+Y%@3wan}0fbYJSX{{q69Xbzz5>WG_1^;x}#4ofVSJ z^Q8`S6nz%WU-)GA#Vm%9C24Mv)BBXW;<)xzS|3_)NK7$8UH0x3{-dr}_V#s@D&^g0 zp1W*biDI$n=e4XA`N7Y#l1ySR%WFpNH!pp<gGXrc-ZNqKM=d7XNVD^o--}wirvB9n zli7A>9u$R%c!d^;eyLn)UGO_Z=a28cN1`*<+k4IsYpYU^*KeA+UeJApP}+~j_h!C~ z)Hv`vYvY{m_fhYwxjlK~?xwmKP1fJ^Kf?FqhX%dOE*;Um??YeCPP=I-96NDatj$}F z!{_I?RaKc*z5QzR-fw}9?1}t^{x6R=x}?51Uo_E~HQCzRH{$ULm0k@O#jx_FU%IxO z4=J8Dmu+Rn(-PtM)Of2|;(uR0v7fLz>3II}!tm~l&ChoK3S6Jmwen&cU;56)&2MXy z>uOFN(&xXhF()@xQ(@P{7M1Xnnva1Kzg_?O#>aR0)HgSDD<j|CNIRgBm8ZEy?#ds> zi#=A?F2$TE$XQy%8QE>luWd9>@OZR=x!IRc`%shq0Mlt<hdxAKmt8C}HN;T+^N-hw z_pbbT^xd$x<Ljre{HMEK@LXQD$^P##$C(<ROXYtiW@HC;NiwZll={Gv)1Y{}+pVW7 z)_-3zVZ)b0_U+D<sn>R$EqiwDz@N6ZnY~LFebG#N9JO&~v3@7db%8Daj6=f2H%*<K zH%INs`boCgw>7Q=%uH!5o;+o;+~mWn=PsPj{QpU&wdKt}u_nTAf=*mkm(J4_UM-Wt zc6Uzg&QlWmd0y?F?XEdVPU6l&2lrf?+qV=Hn*Xo$Jt)Rm_IIJwipf!vr{-7u^Hnil zXw&#k-NnXbNk;B^;mBY9>o)DHwMftLs8Br9_{g~B<a(Q}Hp`kjCQjNgL#y+YZ&l`o zzOC*5*3H{J!?%5v-LKY+quiaApO5|Moa~=#vFvklWB0!W6%#h^3hEJ)F`lhmUAB8d z&p~7V7eA#|cB>y!N>r;_xtZbL3caITMMCq<?nULsyB1B9+RqnrL9N`RDnvQcf8W9K z2O_0~8$TEHRa}*AJexbkj#aDe<n#UCr@x;T_*wa$<%+w@&)J;c5h=_o^dz(8&2#gA z(-drf>#RH?bE@A<?~@GI{D)Hgf8<YXk3Q4(EOFJ8*X-w9cP_bk^>l5R(QlD=zn$ib zocSlR{!*fH_Ki8R|GxZ|4G(isGXMK-67!kP3#~uzZ}V|fs4F(mVYt{Ob%b%Xa{!-r z+;*138?s+TtCZRcuH581rK!W#>Qs)EPV<=+d>6Ji>#uS04|H5{v?*(I7~2wuwa*qO zUaB-z@npQ_>YTn{!Hxx)1;3r93n%dJf6L5w^r$=UYKeu5GSvP$ttjVQxWetWX^f+! zV*U9auN*I>`Mp;Rm(E!B{LdCewG)$s%hh-M|1G3@Q}!VvuL7T+UY=Z>U0vh;*8jm` zY`iW>m&~RFo}ctI@~7q7nX!j9c;)l;9OmN5+8NM!difbmZp*IUs~Mj=&qy+JejxlS z+j3%(&C;`(PcElFHDZ4n{9E)%dFGQVDI070r<{pQ7R`OaV|?be*V@ME%uRb$Bg%>t z>mEI0c;&A0;{B=(Z*2E77_)6Gy~5Vyzw1PPLPmzvih>IaYijB(Lvxf(jWv&kdj3>j z65yIJzv6uFTN~FeH5?87#rvCEcT03MCOvy0aB1q>1)ukyI(hK$+y<T>VvSrn%QiO8 zoSYTXe?O;j=l?EF(WqxTew6kqYt1fbG*><RQggMcN?Xs$L!3u86dq1vZ)oRQGfUi5 zdTztj2~iU^yB?Ut9M+g{@$ga4MGhU!2LzZ-iYS;Wg|Ha7aNgoSb=E1t+w<nJhwJ@K zRxpP2|7}b=eq-aohY5>!cx*bWkntm1RoeaAVQcFXr;i=A+xhbVZ;bFQwf}23gh?`e z4HB>@@!Xic(?dmaX=`$J?*vB=$F(W)r=oAXoKorV)j)h%{ABZAX;)s^)o4TsoLRy6 zI#eWOUuQ=4bS24lEd!~BzBaMzm)Grg*0L=3lQ^+Dg5~*)r!UNk`-Fa|Z&EKia-itR z;a-#0pcd0P$)8>P99JhP_kCVc&yaPxXX0^}t*w)uOCG$%KD|fYV%himPfZ1W)!(}N z+I{|{Gv?C-3^`vu)sd4cXn88D5uX`U+{>)BDA9Y3%s>6u;u+DO3;(Rn@-x=k;ol(T zSt!ERFnRHU^J|4QqLY}moOh3Uy^r%>=H`9JFN+myUu!sXqQkFTyG=Gb_?j0nT;Myw zkmB>?Vp6JNY`Bk0h6gjh<yP5BiD^ozz1R8<+Pn(;b9%q(g2hp>?1$Re)*V?XymV<> zd9F0W$~${zPX1+TXj%SV`s&@yGTN`7|6l9-LhDhH&OTP|%C%fQudG$(T%CSA_4eL+ zT@MeP{8K3=>nm!%ud`V9-6JFS^}qb7#jeg(yPnpjhh?AEUitBV`qbuAKYqxW=kbf* zWL;-!yuoJP$_<u6f_wH&k&KR6ba_hHyQ|^(Vi|p1@&>8rmvJi#pP5?9{%fJLQtdDA zbrRDbXuf^n+3+dkSyxB)H^&L~8#XX`cXR|VR*Q^}JT?8_p7~o@o1ORUj+|vD&U^ab zgOWoxC9Vp*Sf3YB77}<Z&tOUJ_6hYi%K{H|P7-EmaXPl}_zEZQ+20S$_|R_i=tbtV z#Qoo&Oue+cZP}GoD<Uty-n(-%|5=sf*i7TTsSABNf~%t&S9Wt}Z(d&-_T2Q|ou8hf zOWn8KHW1PHa_^4MjnzTyGfnl9VrEXX%-37`Rc@=z;@SV*-^|#V{jlYj?%SuCx`l82 zOSVY%6t0=I<JOFxIX}M{__e-&zgpb7oZINh47~?)X9N~)zkd8cP}Wb@>Ai==Y!4n- zsc+eN@KlQ!r_AE)=g+_X-=p{c{B(g2Cjt}06<7S(_bB7G+=D6AvC&NeiAFk>W`;^3 zUqo7NB>h<28k;E+;**!8vPL>_M~KWJ5iu^KW08LkvF$eS7I3*PbfibSm(xIY#X2XK z5T41)E}EyD-7sOow8P4?I8Hx}=~@-&%{}=+fRwVL(Y^0iyClO;*O&j06TV!gJS8+% z`1(PWq~zKJ38@1?vnrmK1Z-H5s*>Az>p-H_`twh?__dc!tF&2BQoM1Y)tz^>aqh_% zZ?0`Uz5PdvKxshf-9Uep^$XqZJLNR0uVY(u{FFmPirb_~+==^+^Sx|0dVPQDV>kDP z#XhVH7aj6!-6X7_bZAziz+sLD>^~zVZ&ysw`StUIa+v2a)t&ygR`}Pe1|B+g;g`E; zmC~Py-xap^)W2CX#o^x?Ihl3OV;41BIc?nGT>QR8^5T70n-y$^(rk61uk+;DR`wW) zeV;a4=8RN|=<xuH=f{6_-Cyag)0=Y2>`06Fti@dQYuev`5Mp~h_kUOFtuL1nH+Y<w zYBeKE@NeY%n?Fw+OM3V#FJpPh-&D<~o4k@9Y-bE_{xhA&=va2?4#p`5&M!z1k=tTj z_(5g+)uw&5Coc>B*Lom0ec2bbaHW(}>%#JOhgZ-1G0}eE`YEkPEp@(e$MWvfUA%J6 z%UsuM8vXrUnHkLMzaF0JH@{5qz3r?kZU>JaT_xN2`*>Y)*5tQozn-$LJE7vp!;w6} zTADfP>Is`GoD0|c*p--Xn`SoCwmX?4<a^oLLiss&WEXB>`_*)RZDnIyk&{zeZa#zL z|G8}^7;n43`ey!Gef`X-vd(X+udROgc9GBn>zg4}pPn5S37&qFoss#>ON(ERuP!T? z^1)TEMf855$8WZ(%lsWSXNo57lJqa%Xm)M#FQ(k*{Qr5qj)cWZe!XU1R=C|t=C$XO z+3BWxoBn=|Tq*MBbM3#$KUXSFnfLhE-HGh%D(@aY|D<&3bJq9IAHK()kIh=|Vr~2> zUZ7Iw!OGa_JByk6`-}dYblKlu&6V1}_Twd${+V0YL*9OL2}+)|C1vMx?qzR|C{Hi$ zk$>Fwl_4qh=@)^G*B)A!zMpr{#$VRvaa6^u;yN?o^}T=Fruf>0@HlC@zg*56A1k>@ z@Ws-^iOciSBoDetAD?uB-_yA2?Wxv){hJoHD}FklzqTjYv_;iB$u3U2!u4Fy>`$dG zA53MoOsvYja!&M%;igFapUm60ZB)xBcv#-Qd4JaJf-heLZ|E!WbLp)$c&hlo>W<9^ z&yeI*Z~cTz?uj2;$X_HO^zrx9Py;7-cFqdzHT&J(O-x?Tv*&`8-HA0uq8tgXo6r4K z+>m-XPGCJ_;jzB$71}>TavyBGAQx^pi|t-=(!r`UznHHF@?NGXpRn`dm6)6`@!%c7 z6way1z8VkTZaw<-_QaqsMh9O>y9?AWKJL3j#Ha3E{3)#?a%)sJUS|0EIOXZ_o%c>2 zTj9;Pk6Xx>Bl@mE;BG77OX*_VkF=xr6kod0xcBdV&xt{&LweiaU9LH)wYgm9_TwyL zse@T9Y7z^#oWI=pjQ6eR++Cf!o}ZYQ=_gvr;PtL~#wG*#M&o4<c~UPuo*Z}R)2)q% zf86}IzccxfI_r;(>QU@(uIaD6&vRnQ(kZp+Wf^u4Rp!}UX`Sn}vh&BqUmVBu%RgT} z8>AJo*=%=3qd`&X9*OlI+TGKHcteGcdOVrE<yic_7T!<}nb1v5A^mS(PrJ7DRP&D8 zA{v)`%Qgj_Pd@h`t>kBZrd*9onVV$O=}_gv8usCj9+q>j{`8nx)qD<5P@G@x#N|uZ zhj=VlwSRgt_qNmCe%l2i?%$brQ<1|v!QZS(-lBHZF9(?lt#8$JOFvuPIxu;qi_+p~ zU;PD@aXQhPEmUSKyO70I^Sf`CS8no=H;1?R^?z49H^V|O)7K|(+MV0Mt~T~R^DfOe zy64==KQp$yzZ3I8>4j%8M?=J{)qN7PZgFV-k`t3$v|8|{{N`g@O;#Als3_X+DNvls z7yg<1RB}RFN9DV+=bsrud2cNcaND^>@YIKeYNjF{dyn4ER(<vHwe***CR|M`lYX!{ zTo-TNVIPnkGn?&3<>W8_S*LSle4X-;H|oc8BjJpLr`}d8m<TF4iXVMcbo*Ayj->dC z1+v~pI$zF_SYq<?f_-V6|LT-3m#6Aea|3VhbPP=nX)cgzZF#w`p!mzYSMmqF!;jsK zK6>c)xdm}$`jgvq4LkDE_C96nyr4Ce_uIR<z71Q<yWJ-#J>2DPlQ3;<$c>LC)3rtW z%D>meG;a8^)?R<brUQGN*sbL^Mr^zPtS4&s;rz2Z>Lv23j^Dk^EO!4z?fP>Ir?wdL ztGtM~WB9n)@x`Xb*kHb|A*!=dpS#RrIr(A5Mz&|sQMVq(f0GP3llfLKr}>3t`IN6Z z+xDzv3D^~J>-g@~Ufwa&u5--W=O4N9skyaw<j+n2^;x8nm#r^TytPkEI!wWTV*cBO zS-M$TM~+VCdSWivRlH%@=idEZB2h<`?>yaRbE?*&ZIXjL7q9w#-G}TdQB$@u=Kc%j zQP}%!uf3!GMW@aW>XRF^dJ2|a`Kq4S7a+eO_hbF1i-J3KUu0|){hOY1R<P{u=8ZN- zb~y??jNV+m+C4|I^mvpp$Dsn|*L)T_AJ-_mx3_DU*}mhv*;(ov)fB3Ab;30M6DA7s zeu<X11g3Fz`pq>vyYFLx^h}lGVUurdo#<(mQL-{#`sRfx%#Zn;uJ<Z+)G<A>o^a3N zX{NS}+b$->(@WkiwfwW_>h4qb*UDTsiIFNx%ebp_ilOw5<Id3jiRUxsJq`_aUiPVS z=J!=ovY2~LzSLTDp)zRB%WZFJdmh?|gfcHYKRNR7<cEa}@$)4wvfbk-4Ty9w>Fv7i z?>zs?)UGe$>n|-0Tk}CPWY6=tO}8Ge(Q>_{`s5$;+Ic1p+>hKQ+W4w3|GRU|3x}O2 z)Iz-u79W?6erNjl$GghPr##tZy>s_JGyMNDVZ+<j<&r;?ZT#k+n^4J|)lj`8F(EPh z27^F+0_P>2x{a4_1Wl@s*EsU?(iEea$NuoFu|HG%Xv@tjmstX)?bkcz<LTg6RLSkh zw9fbKv&XLICw{T<o@8>)-7%Z#Q{|tUAhT|X^3Ddm_wyn$rr0a~(CrDa&t1A_;%2Ga z4w-rTH!po-5?|!kboBixZ<*VtU4rj9%-of;SDR~lO{Lj|#$FRPrf88xG7(vKJr=z) z60*%-V)7{KQ(}ZUzg)?Z__fn!KTS-_dN{wK@YTEsGd{7?3zt_Gy<?xXYt3>#)h)AU zt*d)_?5ydLD5)Rkecm2@lh?%)+;V)O@-35}{Ii-89lau-95gwXY$)k)v}s0a_NQmQ zQy1A7Y%*PESUREZ`IPN4;j3pAn+ASA^y9d38?%S9*w;R`3xAmNLZ3^usJ}jx(Jm_; zc|nbT?yT4?(w`1JdGjM?_05WCzbNAio87wju1(?!>D5|Z)c@iZ^J^`6560-TYr~W; zXjaq+)CrX7Y+>16V)A{q*BS2&pJg_EF9iDB`Buf<yeucEH`#aXk8P#TdZk)7b;ty_ zWb`e}*(6q(vAc5e^svd_F72-ld1iRlX!cAdJ>UJUseMUhuTzTW-hVGR<MI{hD_m!9 zu~mgw%SQD4yWo3y>BCi-<yTv#WG9~cwA1U+`V|ExJTV#TZ~qft&?>E}K1<2q)24z4 zhn4qAEZi|?-IECinQuvMlss3p?uOp6MG>nk`1a2<wFpUPRB)KC`g7AamzJfE1=@af z&SKxOX3Nyu0p^d)5Ac5ysq5H&tFlp|x%dlz!o7w|y1Y-;sHG@`2bW*$3_aL=yV=?- z!t;3CY^mit1tmKjWG60v^{+ts$X2%b&cD<wXU%_M>K${qWS90G`5(Jf^h}ww%<ngx z=v;OC(7`&!J|!j9Yo_PymKyornPz(KRPclqehybc<O6M6y-VLLPwF|L<L~0o%k}Jp z#Mh?SOLxxa-VvYCzwfJZM=4WH$<4ZV!tY~!ol}&HjCbwqa1}}Vvew;tWqQ@tqb#)? zJx(XoOyBR+zEuC6{~KGbX><9DzM07(Z1X-C6ctXt`{jM)##dK_^gQief1fCR@j!m1 zlA_tsmm(9d7%gUf^|HtERoIhzD<seF`PV$l?B}7xxpQOu=ce6yc0^&tY@^aIuV(W# ztC{Apf9bnpbmVsaU2%Vw*e^Nf!b>D2FMCxUDBc@CQ)$ybukZ%;osqM6Co8{nOSkNN z()^*w%W<=bVwBE|p8U|QJ`u}zDM(JVOUY!sU;Xu{kWEhEvuVOB7xF)ry(C<{{)XY} zG!`#Mt4@osS-LwFy`|HtEzf3hGau!=__{@;!fqm$#Pgs|mPM~K^p_ec?n)}0f3)K0 zA^Uft^MckqU%YBT#_UhOTz4H;+Hvl?>*tuniyJH+I4wN7EFx=~owH7V(jw8`d7mx( z*Ba_Cf8~9jFN~$Kk~dqyJ5`$5q<mT4l&)9oNArcM&vSPOL><^a%e=_rnrX4YVv`fK zvnEgPU0rg~LZH|6*{cjS`NsDl1+UvKy8r3_A+K?o@AK{0`Mx6Grb^FPaCy;(;*>2$ z>ovDb*cjla%Bo%S^OkY@@vt(M3yh_LmqL$Z{Ca2a_<N3>+O{ps(>qocJdU{)8h^dz zeB5o0KYN91w!P4QeMlnnsO-UQ%}(2LESXwnH~sID{%!wr<+hn=37mUvB}Dh`bUD1# z*!<#-FUNFy#n0YpnYnBAtQ`i&PHFDHslhr=Y)K?bTEX`BO{ey)OZ0jhx;?pdugsmG zi<?hs@3l}_JKg+|)9cBy9vL4q*o91L4{3SbS<Uk)QRD1_yJ-i^-LxCC{(fNL<O?}} zkB>ie!KBrk5;N07IR31uVtbU*e=0UHYu*PoUN+I%m>Uz5+-Jz$aecYxMZ*7P?Sd6n zf~gN$zs(id^Lj(&y&%<9+ky?JM_1b=-*#c1D&`RBzO-%bagRyUq|+P%FXl4Wg#Wxf z@6a*xr3&wZH@yD&Ffftrny$UoXNDNY!jD?s+TXX?-+6ZOs%DByAd@Kf<Dfe)S{IZb zSulNX%LTquX`w$>ou3ffr7kdU>BVlv`65$7Dh{nacv)rk9Zm`P{WF(7=ytsnw7Jgk zc;u<C72iDl&#Jvxq_ldgf1AFGf6wvG8$Yy|15aE~nZHV3Y_Z(I(?1h*0{-X9&RMbT z@VVfx`L7==y``G6E2vIxwVuI=ne1OAU+vs>bNSua$2AX>?*~X}@7|i4ymY#?%i$KC zNv8rj`7Xxr_B>e~Zt~}@$Hf_YABF@9oQ#ezJfO8F`|^IbwObAL99-%)-#li^%L=w> zX0q!TWy&Q3udMu6^5UWLo`-Wh_89Vo95%ZBda3hy|HsB&f7$=)&C=gpqPKJ7@m|-7 z(mj1wes`$Oe6{D0*CM;Pjgvg*>tEwo^hiqP$vM7jygJ`R-o@NF=w8eot}at&QfQd$ z8k#H3QLJNd==jZvy{&?5>w|TgIG3z*_z|SPLuUKil<-7r$Euny?5z9?^DN#pKFP}e z7sU50N;v62V(pUC!7Vvc`>Hu+Jdr%A^yPSqmgfbBvvUsbn*OHa^HYo2QW7~#)1L6i z&pp6dKii6F@~qu5>{ljUkkJkNvLntVxOd{Qc~cs1-M;Mo?A^1jm?M?Wii<)Yh@9QI z@5N=u2~)X*O)G=lZxx!py}as@%iT;)B{tulK!qgZOBvoyx!;0zJ_u0>_1&kv<5QyL zc8(9NKjZ$aRSp%{yQ}YKNN?%wmm<}xG+!xMJ}EpT<x~3MtF7<VA2qA`Rc_Co|LVg# zr|Ey*70kcPvnq4#G0T^`^jPPuJ25%Ho0&y~0R+;W*F?Ru5_+bV&d315ybPQSiKQu- z`2j`w$$G^liJ>8^49th7C}wDE)&IMo_W=vTp1Z8;o^(~I_$qW6Ogr}f|M^Wir#JnW zHtXt>Q~^IZ_HAL3!JSz%Pd(sc$c?VLx=ok$_1m~#A`J@|a(BO}_Aj3kZ~FC%0*m8@ zy2nNx%ho(rS97~{B$nx~3b!(=(stno9xgU}3auLx7xEsG+Ae%yhGKHShq5LK1184* zdFmgkFCO1sZr#YeSFBPePd%b>`J;31%9?hGXuNoqr#_*(xw?4w+y%Y4O~UoU@AFpe zm|b3e`CZ<%yJtnpg^%7{vU_%MdGNcuV|RUb?EYD1e)nD4?Yk+vXRE(^cI$4)?%A2; z!f*3t?U<cjzWK;qlijmZ%O@YXtFn7`a(VEZytcc(8+QLJHQ)O#?fTs(yJkmkKRfgG zu`{{B&$cNR-|pF&d*qH;VxF|Y_OsJ(A3L3U`RTTYMYnTy=4$0j>u&d*cAM>VZt>G? z8;fp-?95$p$1FHcT64Sal-q2laz8)WR#<e~WM}S-J7(T_(yH5iC*5W{nOpp1n_<yy zk)636cg&pgq!qWHW!vsM;Wpce+|Q4<E!>l<mM?wsw%Vt(s9c5oiHq)d1mrDIH=i_F zdgaO9BTw9-ij*~XPMmbd!y|8rviYQm(koB&x;$}{DpKa$Iniv##HKqQ4tY!D&4r%! znp7%#-t(AfF7%}LONFxKJrBFQCC8*!9`8L;q5N^j#Iidc-R6_}q&+{nohnp*xMQMF zzRD5lmml1A6)H>K^Jq1n)GOWj!EIHc^2Hq!)9!c}<t;fV?fJp2t5Esij)_e9DhH%r zzIXeydt%%jk6Lq~`@LJrm3Qu#_$6<Nn0e5>-Xo9Pq6(EC-u2MRTe4gF<U6-jyC(+S z@zBUq*(II%&TZ1}iI4JBc1XW`>sGXT;;lTDZL>37tqc#|V#(Sryu`P`tg(~xoSH_V zb>mHzRN)CT8giO8-sU`_#xa{Ar)fRso;>vzvl_CS)*7ef*-zPNKVheR&rbV}o%Ss| z?HhL5*X*>f*lAy~)4pJ*ea=q%kbCl5?#OStBflYLV^Hg<x9yriiySJ8ejoG{R`Z^6 zr_Q74NykK`?eZNwf}0#C+~#+v3-I&0SJ%-}Q}U(o_ri_r%Kft2<;Cn@{8;cq@i@E8 zc6lLtg*uBr1&_B^?#Y$OmzLk|`#AUV<86t1ax3nbS?A3@dfV-I?&6BuPj=)M+%fCi z&ig30xZ?Jm9l1RDvk%=qb}ZMq;`WstxfyrNjPs-=x1W8Gt6XvW$d25EJ7$gBc^~9{ zF2DWbu9<$`?0vV}-fz3PJ2&QzS=Dym?%Qhbw<Q+dUb7?jL!Pwg_Oo|$lgn>kxof7G zCoQs__inCn`Rx;T%?h{k-pT!3c6-NNv)t{xw{wdhZHwHUdnIr7mfLJ^w;2}Ro^#hs zHBWl;?PYJaDHh(IaMvt(d+&{0;j-Hecg^Cr_g>GvTzb3Uu37Z=^LNaP_n)aXGQa%G zaJxsg`J`LDQ_7SV-t|z+Te4Yt<&oZ$GUcgvJyh~kHc4l`aTD4-@lc-12I-ft-9GJ_ zxGPU(opk1FJF8;(nLGPW-EmKOx7hIe#2LRe&eXCzv-?#fU%9h?*B$qmcZ+qu2R^M` z^3?8Bk$mFL{&jcU!`>~{`Yt&2cgCq&m8W)HMe>e2`{&(p_j|Wk?YrRQ-x()smprla zDw0>++241^-R<3CrSF0he`lPiRe54}>wz6tkv!wh{<=HvQ@&q(Qmaxa?|RRD!uN}h zYoAoe|J>0p^?tG3cfrTCM;_bVDwNl~=Wg{*`RH$r<Fzf1?Y#E%3%p-^cy^}ku8#*l zd~<%tE-lYtf8~e6<8Oz1_>Js%>ID7>JpRVq!`~>+VE?D~!|uNy9y#A<-^X7qA9JVf z!tV=@obR!V$%oykJMeqKqr-REck`ESmk+9O_}KXF@E!J@xA_a@AK2}wE%<$*?04ha z!=3!u@>lGZ+^uu?o$%=J&2}OAGkNw?Y85^<zBzn@edBHZMEL`DHMJjpT`2wC`1<fQ zN#oQ!RRv==9|?o)LNz;8KNNXicsi}&wC=%a(M?{uksD%mPCeiys<oOW_+R%<`Db=B ze#gJ7-g*D2-Spql@51k0FS0*ftMh&Ro$W>YPt|IDUw&tLk^QM!jqmgClo#zkS*!Ma z`knA1`;)aQ-}~>F7wtb$tMtA7j(Aah^KZv@%sc--wtM>b<nKw}mESwxtKaj!;{Nf! z$9~(sGrsq{u>Sbpqra`*Y2Q0vD1ZF#k>4HP`QP8)kzcX@!@l0%E#I^6EHA7-RxA0P z{XO@+{zCa<e-Hd_`2PRh@4NMd``_E$|GW3M-n-X#^9$|Yr$wEA^zFn4JFPwaId|M! zz6bXH?l@L!^4M-tp*-(B_onZGJ-<1Q)rwTeGv0Hr|32}4?UzS(uXgwUc(-`(?-NIB zmz2wI-O>N)owC^Xi(S7t-rEHg%HO@~UiLlkZtavuc20%z7w@_kf1h}#R-|11;9d9p z?-Os=UMZ8`c-LM1-QumkJ>J@R?e4$uPFeW-#hbMukL-+g_n&&FEc9LQX01t?eCJ*F z#P5PPYQL1qSKf7x{VsUDc1x-B+?~B=?zpAqDI0CSIODd*nOu=)W=zG>wL5$F+;NM| zQ`XylaoX(_pUf^5NvH1Y-EhY(JWpABd*IaD9j8Pzw+p#6emdegIhu2ZPT(Y#lWSSr zdqfnCo`f+eO0zJ2dK|`d%JZbhiD*ta*;<otJEk^FJl^LjyIn}**@1~271{?M@*Z}Q z-Yz6?ZGoZlv9&DCO@;|9_q-T>JpaDveHha|Tk$I0Jk=A)8=3r%u4O4NE7c6R<K<wk zF3w)Aeem{Q?Z(@0*R$kq-_Q3gjPu67^*j2@<B$H`{k!b@>vzj{^gpt@`*-K>qVJF2 z9ll$?`+eE|w|2Mx3V*-;ZuMRN-Th_vkNn;ITlL-SyZXERAKBgfyYY9@_rvd+@BZKQ zzI6X<yX$|~?tXSAHu>2)&Eo45c4i;DW194CmO(l1jNQ*p$3A|#?q$*Sf}Pph?wC$3 z@13?=?R0GO({($Gu1D<5UUkPb<lQXI^4=-C)lS7SKV4T@blqZS_N+UmKJR9!miJED zt#&fD`N=xVqU#bnv%Bt?y1bjESl&BfcUtpqwG*+-PuBe`ydH4RbaHv`le1f{x!jZT z+}XS6j$1(9V)gA4C*NLiGWW<6v#26z&7HlI?znm6Emqz>apLV2Cvsh$m`N2$bMEXl z+tJ%}$IT&cvHW(yr@1DT(w_I+CT<selKZ7X+VY;8UEbnjw^tm`JyIe4aYt|29k=f7 z6Z>v^d^9^%DE)9puTZ}7k=rjmnC&W*mb~ZIx_x5r?T!y-s|uwr?&wXs<7Sk%_~31i z4`yA3(g%0+GUY2Dxc%b2*{9vTad+Hmw+r6S-BK>Sb4Tx&yv1VM1MlS?d1Mw<DE;uR zn^xZ9-M3G?Gh4O0H|UO=MxOGn+Zpf7ChhKhl&8Go_KUY>MZ0@%<t@9~@%G4Cmh7y| z47EH}3vI>5nJlS76Lw5(@M$vSJfgxenc+=XlRoDjl^2s5yqnfWuQpCQHDPCL+-k$^ zVM%$b4YrHUxc%yM?$f8+UKRb1D%|;Z>#d^S*`bxY_k=~|t=)8Ie^^9b{n~YR{zXNC zbZKo53wam6T66pUu%Nv9wTtfPtrwjVy;}YI`l*v|$FEk~zCX+-Z~FDDlWV6=`h8vN z<lFV4lWxbaR^EO(JN3!6RVQ*?pKOyVissz8)@;YxraNH{d8_5Oi$2XYt&H}(7dCOb z=#$*9715UW!tC-^AG^Kkc<#}P=#M+rmfZ>K-afVOw%5mhTTd1K&Tf7D?Yh>-vdXmr z`O+JrWbZlsFnZzreEN6q1^V^-zWgkDetMSv%=laSnfty>KdpaNzjR;B{xd&69h}a$ z@5s+j&rUy^ZWjN~`|Gzk%bt6G{WOOyJ^$&>wT*kS<=#sj-+k<O?B$B<Uv^|myqA(I zKijuE?c=(Oh1WIinOeS+k}3Cn6x&>JUFM#t`8%n@yO(`fx3TcLz&%sbcT!U2XM1+L z9g8)txXy9U)bO2@M7i&SSmuiBf9{&rm7ne2z3lzEhr6@Cy^|6z@4Xj$`O&(QyR#$i zm}<Y1+Oym3Xl!x$^&59hOUr$`cC#IgbuPbt=B{Z`x$m7==JM-%?waP6``(T{Ty}lV zj_hmiq_*x>d%MnYcec+R)AVxR&fUk}tkc|`?QzF6rTpxTSmm<oEq6^5%FkYpeO!9I z<gRH<`Ppk{ZHkT0-Z^7re)*J2adycgv#8y@SMnBbxy|v`%&1U$?p-(4Jmt-|SG+M( zDwLjh*DZPb#2dLHWzvmz-Qu@Tyq<fdRJ!o4TXgxwnY&M%i4A$Cr&KK7yR-Yq9oNKn zN(SW@r|&*-I`+y_y+=jjxjVbH-Ye;r2Tt3~aXPl-sotg{@z9;!EAF@kzf;mI51g`_ z<5cXICwfIi;-)*hXWVi1ey5~b9yn<?$H~}|CwfLj;-WjdJMOqTzf)2yzsOb|IAJ%( ziP$fX^%m{vR(r2>a<|4Oy{0|ga_^On?>=!n_DY5L*B#vw@0H}rFZS)u_^5ZOP+aq# ztK~Z-nexC#u`LzGYhByTdAM`$L<vHQ&#Ma(cqAIPhc(pK>E}(qzUuw8uJ_w+?Oq#m zC#-6FX!mWc_uG;Rqu1_O`yo$Tbo<r2xvAyRSMP>t=4p#;7rmQnS{{A!Zdl=V(L1?c z%c6JQ4a?mwdONrD(YC1FYp>+3-g2Ak?KY#r=(%^pRP(eq-(K}*n^Ix)#Jge1+o#^h z6)lTyyc-t3ed_hU*;kL2{?6W7x_gh@xjR(`#nl?c)e6Pc62;X5#r{8vUV3xJyM0J) z(Vx1{=a<mS(~I?c_v!qa^y2hF{i~rbOk^XZFPW?^*-(1up3Q>DyFOe+44Q%x%8NO9 zF8An^Y-n}WWR#Rxdph|6BZC+ZV}m=Jzyw8GIRPz(kkDAo6Em3`RBvW5u)kd>Ie}f2 z!Np4~j3*>I+JEudrB_cRPWZla)$s#|wU&O<H0(;-nKkKzsP`vtS6-ungDh^MY9R{D zToR!t7}(?tZY4}<<j5#E$l7C7z{onS$0DJTQ{uo1Csv(=EeA7L*hD1~8`)A89Aq(Z zU=&mcG1rmOV(?&mz}cY6Ai?-x)#@s~Cvz-|pPiW*aNx6x_KU-ui#CKkaC+~{kzl~| zKHKDIcm{`n=#4$KbE9TkO<tAYv#M#`3gf_(1$!J)D;ItGazwN9vVi6UeL+t<r!617 zU9997yEv|H`p9u!K#^_kzi&%)UM(uj4KP*N?B5{98W^$lklaCImyX2>T&u5Lo36E> zkxeh4k;f@TkTozuQ{Xd)5XXa4iTCFC6;@sd;X2*CEYK!c&ZO1KvgKv)tS17?f?fC$ zwOF4BEDN02ZqS-#)AI82BSoLNLi~wZx;8DDCC3zf78>v+{_5Fh(eiT2Jw>0TANUfb zralr_7Esu3P@81kvQqe#qR-OQc7xtF*+U{;jMo(>Jbd{{VV*YsqsC7Pnv<P7lq^{^ zN=_(xsPik%da4m?)3RKq{XvUG=wpEeK?ZyWU+R0k7g!Kb-u}R)oa53q=Z;0POkX<R z2+Y~EkIymdnZTk1KE_u|o(jxaCDU}}q@v424|5Ba43kd+bENnkS5<Ialx}BeJ!jFP ze$ct#mo>}Edx|a_LtLKo9X$C~U`a;1fU05Z(^8HAO{4Y)B5JEX2`mT*;d8w8L|}ox z8=vB?gNhDwmG~8JRd58Xe57b0E7vsTn4$~w;_84s&JA|eFZdFzzLq@?$$EP(^UBS+ z!5W*am-~mum;22<cgJ$-yN;d3TvN*?rd3`jX+Jgh@=A67SzfMFZ8D@pbw4f83^6&; zyv#rFB)jk2MRsx~Q{BpKGNgJRRa_8}GOfArMRMuK1uy-2td|GZ@z3(wS#iN6>uB?` zfKvWhb|-B!US0XNV5P}h$IB~jHZKd<$nJaL>w=fX%g@Zcq|e_~R2jg!siH|^jr;~1 zjw9`w@^cz~Okg>}uB2ec!1z&7=t#SpfV`MvjR(ueg#t&~B^B%rFnoN-+QDC?VE3T$ z#{{M$?G^&^Hymmlm_IJ$Inr(*Aiv|pAHVqu`(!v=I5<nR>X!$H@tI8RDq4^!GMU+T zVV_LKFO??_!Rn`&eV4v|+_)^z?WpsigZE!@RV;WZa{qmZ*86g)((l%-i+<0w+xypV z?zOt*mp0$eobsleYwCm7<-c0y{hVueRE9&VmruckRZ-=_%TLy&r-~M=oN}sh*+q5s zSx!?$ECWP3E-$%ac#0!doZn<oQ|L#B;N^$^`z&qwKhur<zi}(mr@!i_4tchUxSH_2 znkMX$xHXA;qT^*_7Y_CWgCrI<{uK^vit~hKI569^Tv)&q=He(4roG<1fq_l=Oo&3W zK$K`i<pIt`8>$3~=kZ!RXc7>~U|`jF;PAoD&tOTz^U4M`mzIXC1&rJt2~4~@K3em$ z$Sq(Llx%4TQ()%wS-@yf`yQ;OqJc&2Lc{yot(&|WV*jv7IWaNDMDDfzkg&Zf<D)dM zQ9vV4MpMY<tIc-{pY2=B$Zc|apYdET>+>O}-q>8$*!bCJp-su$^j{&*Y=YGdp3n5! zJ||sjZ_&J$y;DB>EcU%;+^ST0e!^_|=Y>r*{Zo!tFS5ATT>N<12b&9f|JyjXR4{y> zzk*FP<3R6?$~9|*9&EVpvp6*7x~Ic5-<hvH6dJTA|2!Kq{l!#`DH)TvUE4i;-AtWU zW@}G<)VeHSmGdEH*OhE58C)|Im@W1AL_!tkc5m9t?p69tZqCN<J_}duIn(m6DYa|z zZyVX2{lXJ}dzkO=|H6Ld@nyc{E}4l_*tQ&gP^)&KfybhwP@W^f;L!Wkle^akiN-H3 z7Poki`!;(^^Udjw0;1&nMG7ai9)U#~P`P-<ZlJ@FvzOy#1OeayL0Kl!dq{_bL_ zQrXX((-D&{#rnh{c*O~3-}zGfCZ$m}7eZ!LEXdsQ!68_qUDAM$<!kwZ<$IYeAIf@o zl`nV^Eg~&)D|lw`j490FQ+P@aPiL+?aF|sp=0OARR6c_S=DercECv#SNld&34a{;+ zUyJN&JUNlswb{YkLGA>D<b{R~89DY@PEU6f|0;QWZe?(<_41&D*2^#Mw_bjMx4L}O zj^J63&b^Siayxid@Jth(T?@IZp809>7)Tg8vY9A2Ek85&@{4KAzVpNRL|TPHnRJU3 zcq0yYHS@SU$uX#$zhW_io5cY}af^sO{d`C7`z&p5X>O38_3HNok#2t1tF;_l@0|U! z<cqX^PY7|o(Z0wo-R_CXGnY&H>RoA;f>%y;&a)5nW0|V;bHbMs?TZ}kSbCl6G*10c z)DSt|zR1j};z5(30EZw0v(E$NABr3a29MrbUE2HF<>NYLrX%dqyIwmmGz;e6uMGO# z7ksmPNz(n!v>U(pOkCI$*#sUnGq5Q*8gnpD5OtpMzUM#!lcLds1~&eNKkhATk{u!o z9oe#^<{M8<ia0W%V`0Iu>NyE}+_m0T`<tA4Wb0S<eqvHbwZ8~6uiga(W{bS{jSOtc zSLQMEPITnpNNHd)Rh?%%SIYWA2v_s<#$^GPX?!NNT*V7k2J=m4_FWpmXHx66{EuJp z9GMKQxn4%DDO@K)p1coveC1KdqmYMJdNd`1^_P8F_F-Aj6Q8598tGYY9V~;>*iT7X z2b6I6WU2j9ou#Ukn$;;XQNv3^-rs6Yo=3V1<HG6yO&j)}4Gm07UM+dDPdRsAZ93Q1 zZ}VPWzFED@FXjDAuciC$8TZbsJTId4%=WUn-}LW3j)|51B2%V(cX70>JTH7@(sv6- z$;y5a_7m0fl-@tSQX&8HQSGA6?~S*1oEJWI@ImdoKXbk;skmsBA#%5RmC1<(Op*^y z>`k}2TDD+i$gRd@fobfsoH}JQeu-QyU9d8ECbRES%LG1?R<G?I>;L!_FOkWxy85JC zOVss~b#E8n&$&*n^?&^4FPgAFczH(u{go!G9+yk?D*f|Y7%08}a(c+I_a^Ee94@ci zcYkF__x;Q%UH3Iby6(RezVrUd%OmeYvL2O7seZI>ZTjn!``2%NGT#=v)}Lp87AY*( zYgf2i#1W|5&cHj7k$;+V!zLzIR<Bc?3z}^HR?MpDs;RQM7`#w;p)jwyq+gSIQIO)+ z$;&2O@_g#2QmfQ$*XcU{z#{*+i|XryT{k+cby(@J)M4Qqj$NN0JNivlpX4@A<5z_e zC}02iVR6yer9<`*du76r4sI!dM=Kp!r6w#cR{*zgYyx+Gc5qG+Si~f6Q*e;Qpx}V- zoyui_Ip1eGExeolE2M1x%HSKd%YxL`{q|X$^3J%mZs++gk(KfpB2$06%)P_TRr<v4 zqJsZKzn&nOK<R*kzf&GOI9j`AjnIYVry`ct>`&at<nP*LVZPPaD09Y?)jTtF!<U#e zI&62FC?n|f^Tdh@4-1YH)63n(Yoo1|yX)o(URLFvs_xarGV#ks=61zii8{ScAKnC< z*t_wr`l_@;JvwK&cZIs05!Z`a7tr}Ag>#aUQ-hDwuY{O0jTum*zHj?xqg;*Es4$bO zC$}!0*=brjm2vaat|@9BZGRfxEM&ge)^lK=n3mpx2J6HomK&{W4db?iG;TU_f^Bl2 zP0^hdiWv{{`&;kMnH|+>$Q_q<sNkT{QBNTy2PJ87Vea$;6${&^EA_2qii(V2)ia9M zTI-Tn<ihb(dGRB~hYAYu_hj$hmbxq{dHK4eVeF=W1)p3zCn`*`D|=wDMDXzWqg-62 zMY)-2Ng}&WHQX$6^%7Qbu5<Wyp)teREg_y;R5;+kTmwg@oI}?n^rKceL?)#)d%DY6 z=3Nnp`E@%v(fs+cu%w)mr+p;!B9>WrI<a`9JWfh_$RnZu<wMYsjh+sZ1H{xCyU$Gb z(hi(~9OmB_u8`%jFxx65*i(5ii1n1v2{|hzg<m@(0@dBc9=`6Gp>ICxRMGM&Q>+(H zyTj@F<ed5`>$x>|G_Mw>e>pbyf@DL@{$TBQQ=AIZr`(<!kWp?o@$SndS4x+coS7S_ zSMIddCh(KB=@Y+|C#@Gty>AKH^ZDclKXu7+umA6QUft!~J30IJT%*F}S8mJ=^o%KY z>fHG`^YmQ5(05a;b{bbb@ynQS^7#Fga^+sS_as{%`>l{Jw-dbgQsuFqW{>sax^k!Q zpWf9i54fW#TAm(qdu~8hx!v5mFI^t_W!{(@xMZF6!n7kLKj&U5T0Ui}_2MOWIG;W_ zr><IVCAl+L>&dwn{niU7+DX(eFS(;RwIY4W!?^*b?`ov>T-N;H_p;l1vEI9uO*<C< zp4+rLxa;k?6<e$qdfoZyQnp<9hT!$Neo1mFcRwx2n9A-rS4Obt`IINkOR8)%w2n6~ z;FAAh@<Ty=Kf7nNjYigYg^WA5Zq5x(;-6%6t12L52D@XZ-HXms&2whicw{|s3{>E6 zvU_)ckuhJ_%12RM<oj&Rg<Drlhz#M-$$I7#WDpd!XjzO0*U=|VfxKE1965MR3>pN) zGYT46Y#jwYgmJj)wTO$PwmK{~TIU$JPFSgVSw@Gz8P$3Q-o(_Y46C2;f!Z1>`zJau zgu8u`R##eXP|Bn<*QRyl6~j{%T$fiUnS2ykcHxja1E@w&ky?I%kw?ox<guX7+&^}S zTBRR3FTd#WpW*b{&as)>#Grvq^gzN94qg)l77pf?3kR444=i931J`T?A}M=KJ>Cg} z?AvkQXZekH##5bkpEp_c#x8iphTlH(n|8c2E>$X?zw*k#>A!vEFPR#oeMuuo?UHhk z;{Tae3-+8ZdG*mgSo7F_pT#2oja#k$od44K!9IBTiwE_~E@?cdUv^RBe*Llw8Taa! zoqut+ei`43cgAz8exDD?dTW1q#peG$3s3wrt}UwjoBpcgnSJoe8UKA2$Nn>JP5XKN z3+K{LM;Isnw(uyDXLoz|IN?OC49m`b=2O2dI`;Tq3B2XJ%XyWC@05EJZgpJh;B!lK z`M;<(Q2gQ^jkqg%Re>(+=QMBs?X%HyrR&TFqiUsMuE|xd@5Q$8OkotcaDdrR<U&KU z{`Z-y=4>(&P3<~)Wum5H@RVSO{$PimV27??hxTBHmSBhGV26fahnirA${>gGAcvA5 zhx{Oi>>!8qAcvG7hxnlMB}Y6;C!SJrb$!)2MQEi`kmDki#dS3<f8TWcIw<%xS>mg+ z@+)<>EB*0T`ny-m7Y(#84YV%`w9gH+&kVFr3$#xPu#XK$U2wo5w{aJfD(fmv5up`| z0rm?N7S>cb{Cv^y$+6|rM#iVgk58(9IJx}&$>m2U_jjqwi}>3W_}i(^tMRe<Iicbc zWA&$-RZ^dyJoP*sJXKx8&wEafMeYNWmscLYxN`XLO7-^O^SsOFN%`3}`PtU{*;f16 z>ddKnGpFj=oT@uZY_l%S6S_3dFf*Y4`oyM+GcuYT>Src4RqT+_>|j4Vv8iI23@20n zWOc^}o}W}WY+UBCG_s$Vtnk6bj+4p%@#Llov3`-Kou6DP7uf{P)9|07eo7dLD{_c$ zraJ4}8cU&)<wCoXS$7Gu?mEn}tC(e%GRrP!&byT@?+l#EMHX7OUyyZraZaIxU0~N? z@hy|fmn@&Vd%5hc<#V?$pSyMW+|A2nH!YvLez~!K(!5<Z;12u~&y&Fumn-;9^0Ts= zQ&MK}@<G8R!;(u2A1)C-?0NjW=keCb=eX48TB*xgs?Rl3pKGEnYpgD7xYBR>mL8Fw zlI|~EAzfQKMLJ75zO;)3?fN!hoz)WUMI~DmV^dwOA9!&~JT}@TT=b%-Ye{SOI`u`X zJ70Jy?P_wpzV^k871LKt%b2Pm@<M2Z;0v}3o*8}0ChhDd>g*=Z*-VzRnYatg`pkaz zX7jTR4bN^k7C&2%=ReDDrER8G=p)a|8f-rE12`;`{bxBDCj4r7R$-g@>qx^(;jCw# z!Rl;2^Dh|q&+?KqsCC+DlPQ&Y;0x!`PfIjgH2r7US?;`aMf2p;WkE{ev)meEGP!#9 zT>8QZQc`=NV(H7tjF;6#4n3N@EV$EsmY1kprj+Spk6;Z6_gQv(EiPU0eD4vgd2iyf ziz4o`*pA9({yOq)$x7i{lb2oEr0%;o(SMece1UD|uNEhT&r4oTW>hw~pujBYz`!gZ zWuwr{kpe0{SZXaVg+%>an0e(?`?3J`K)WMPoCB3FDPEMnaDqQofmx!bpn-XnOaUXC zvQvXWL;vIUWx+OiCR0x-ic~Dk47uOCEI>EUq&BE<@yf}}B2H=Hv%HSxne@i7$C}S_ zI(>&jN~u}EIYch;*Ow>m!Ro5^v%I?hWJvLTQU<k$cr@(}iB$bq{8IRp%Abo5dKXFf zJYW*pae#sEKmrS!l#fC)dkaHiLpSqdx8PulnsP7Shii5iXK}F~of{Brkymc#`*6YC zmnN;wWy?#rmcKst;>rx`g~2iJYWyO17Hge)KV`}!>!nND?ntVdR;o`4c|6xY$h@oE z%JZ(oJ<X}RCKjfLyn6QDZ^f0~dvpC)&faakH19>-@<n;K-c9M+WmuRVa^>uexdDOZ zG39oyojWgUW}TjUX@yp~+u}KQID4&%o*QeOm>W20e{g{9yD3el3e!bGHh=KbSn=$^ zT>k*y{nm3A%+h@~#mV&TyB;Ug$M0I4Os~JIaWeJ2!+BNb_uQ(iuDhCFuYB2M{OaYI zxdHyk?`o=k6)nGfd7AZ7|A==zc7Z#Ef3ZA0_gr|g_58j+ewMsDgHLgum>cLfsocrZ z^4`xUM=F+2p4?}>)UUJLZ7$FI7OSf}KEE`nSbmx7hxOI+<x}p>4b*<uvT66{&Ub$5 zJFJ)Hl)GJi*D`I#XHC`J!Moc2`rRzKtC{-joQ7e!Q{~Rjno*U@gTI`bd+Eg#>%|w< z%bgZ`zpHWUzatsj`p56@j$o}%=QQNYoj&gP?D^48{fPBatJZQWUOSIh-xp+bvpc?( z`_gmFR%6xSvgcRM%c-#Lz0tgA!Tl-$5tB2`^A=3yFKP;^oFH=RiDTf3iR_LG9pt{W z*x7NcI$ZHwq>tV6W<|i12h9uOY+h)-cl0aepLEDpW7Ri>jGN8#&d*i&zt%LKzv|VT zox-5xls(~pnA*&EUbO{{JP8bk82NT6v`l3z=-9&!l9dQKz$E0bfJrh%fmy=j0F$zw zKoWzCqg><vFy^1UY|<wjnz`K)3K+Sc{E^LI5|dcy$f|RJf!&cWdTqIU1_!Uv3I-;( zln)HE7pV4KO}zfGYtyXP7VI+^80FX!&fYo5!tr5(tMHaJoAge~sC)5<%uv_&i&-Lb zqM`ZJcNfbEjO>y3iW`|%@hE5?VUe29sPTPe1DmA8$0v5mMl&3mIUE$41&@D}0d@XA z*j*5iZ27@3jb+IkgA)f>ObQy=P2L$#J@(_g$*b@4HLo14U*^vS>XRyl{c(@_K3`)_ zh;9{|?r(ljmvoswTSh=5+mi`@)pz~*#RuxOGie?46<`SadZyeh#h{Um|3CthX-~bt zR%Wl`!F<bIUOuc{#CITpiNA?q!>YFLoQ((Bjb<ERmCTsn$Z8bP%&=jV5#N+Xt_TNa zUK52wjQmUoR;{yTmvUkf&S>CeI<RV%tUEJr!~!PCmJJSUd>0O|nmaN?XvVZNd9zCy zHVW|WNKofs$Y$BkyyF0)m$;Mz2WZqs$;spa(_96HH6HA{9GbahCNv80793zzlqxvr zFTfDCm^(63^?)NoM0cKYBf~lwmWHV>%%oC4mViQhlT!re0|q%c7RCh8s(z6y##fn+ zT)%pxJQs^EaAT5ixW>3fK;a;RgMflZ%in!Z8Y2%dOSDF}v8+gBQEqNCW#r9R(8xV! zk<^`LX0-{8Y%V1Yod-34^+?G&G%-l{+8R1|8hSYPE(%oUj}c%{W=~kau<%8Y!RhNl zUz&oyNTw=99$*yDQD|l{Xr8k`V$mXrCG*?@SF|o)-n#sS@u|(s3=9kk#~Y&-y<%WH zuz`UwWJAMu;m+TkZ|bCW&p+~R`G((|Z|Z*Sn!o4W@^!yEU)SB*HTT>dzqGvNM%#sF z-p)MpR^?e4SFv^D&bj;U_{HTd*V`^U{dVT*w;`3*nLFohy5kp-w_JPs<f*q;o_g!@ zv}{(9wdc;ci|+UZ<Skd<K6&!(l_%dGc~TZtWUaY#?xZ_@9(l`^w@;pUd*z9@E>Gl& zp5^;@$%)vOY_~18EwU}NEwIhsZkuPDYnx-MUUe<6`byQMstZ*<U*_EXHsyukYt7e+ z7oE#)8(&ktCVY+g+UL^SkFQ?7did(*(r43-`$!rkAG!0Xh4J%I@9EKUdeK^2Q+7T* zSaj4&RBO9U@Di8CPfw;Dhpe#qq~cg~#A9N#oRV`(j=+;?>?io;yykhzos6F6A?GpA zUCv!je!EStjqMDbz@lhH&PmE{U3bD1j9gBzIp%3I{0wZ|bSQ=O<ZZ5rq6*qJVFha* zJl^J%+$tw3q5WaShR53uC9%qiifBJs(eQYiQxfYDu6EJ95Q9By10oK(u^!?w)w-}_ zt-<1^9<F9l7VRTD)-o)1N?<*}RWJG{PkYab3*n9H55=*HZx{WdwPnSG@J82G(e0ui zv{tMr2tT;a>27YTh-g5_g4G7$j_<ZP#jx(+$``$(wdHPD!s>!WhoV@wa%FB8y`VLt zqIdFy+nLR`HBY={c~bVP&^qv*-{kF+pS%^Rw06Ab*S~%8<F`*9mu2mlTXn~;XS;C! z?abqEw^Ufa+%Z=qf4R(d;lA6NAIqHf%;n2pF1>y7!?z(7);D*|<;Y(ywOzRPcIL6S zDv!&g_RM9;UoNp-xaYR!hcc!;bN}V3@4x->=-Vsh)*tWsRd1i%ecN-rt6aC}cE5`4 zle=!ed{?$<_uN-`%SE;ecirxMS2k<++$VX<Eq0i1-xjUDO*lI%bGu)L+BvTocde#Q zRFD?lUCOn4?ty>ta&B^RHr9ESVwEKyG(J6faIo<7<P*&&icb_bAOA3I`Gn(a{EGg( za#GuEj4M(;@O<L<bY#cV#)HBqm`{8@{<!?~=ceWT?fvQf$B*jv%lUK9vyl_C(ax*< zP!aOs&c_=cuiX8#<bmTs=i|o5mCH{HA7?)P`Pk!Qm&;BcK33e6-s|40{&pIB?{Sv% zlKz}>o1^C$$qCt{*d*H|ZMR9ZNwA5xiL;5_ZWCh@oqlG@&bx|`^)S})d-gorekih4 zNc2pe_LNYCz{WL)B3L)x=1LSjpj8w4Vbz7w=*HED#4by!8T+K>%{*byn<#Wxq>b~8 z?qzW`eV^DFI}CDnrmjl4bZAN|C->#4(Q4X0p)*z(_$E#&IxW)5$#q#+P2I;gZ{`dG z-Nc|nQ(8Dr>RuL9Q}%J4(P6;5Ggau&7RF!!H3c90yqOv^)n=;9RGO)fKT~d|%*+}C zQ=_jtQj=019o*8KInndD?qsE4J~bJiPM?nLKJ7l{Gk9il&CI!DBxrQC;_Uj%(<e_4 zzP?s?`epEvpQS0sJeDTpEe+BSdO0mR^QrFDBJa(2f^@cjIi<U`$b0pjAg%2sr*x+l zc`v>bq_O?WN!`*S@7Z^P)V7zL)D122o_r@rW&4*Cx~4_m-FJeNwwIjH6)o~M-?7v& zPjf=_ugBAZ?p-<=tySr*oUi#rw|39czU^0z>*nrRYMZC|Sodk6cln)5N26Cgo~Cv0 zlFW9I<GQ|kmUe9a@?qM&9ZTD`Z}~9o){dnu+qWFkU0Ud!ekVwBd&!4sT=y<XY!^AE z%e!Z3!=?RtG28CE3&}d!^z_u*zNuOv%RF}8?PPk|IazJHwa+vS&!#73&pRe6xwPGR z$8l4EV}ks4>nYZgttVMew4Sity5G9b+OABhyz0(7gLfZp+}yZ%$KuCj?uF{d`TFJ_ zkv(#I?%}!8)@|0U)@FI-9OYSWF5H;8QTcIMdVza^`Z2zqxd(2`9+<mdcK=*)>)P$s zHP+SEdSzeAqVBwV@^-_G$c@e07e6X{o_D;jlkGB(n&ft$W}hY>!x;$%toMu<js6<` zG~Ao;YIo|R<Xg!_2bUbb@@Sf7e<$l@E;So9(d|CvK4m_oKAJNE?igJ(JZpH;@TlRz zyGDBrcN%Ur+-Nv=M`~1ZP_kFDQ?gOv>5^k1O(%O+_H-W66_&g#F<By5LimR6W%0@4 z!Q#T=m#^uzf>vQj7JEDTNEpQLxfAr_P^$ORY0+Gfp!JJSr!h?htzX=^^uv*p*-PrS ze>tlAcK6bnQq6!1cdKUXwmorI?ol3p!S?p;x0`RjUD*5f$gEpy+f7V_(^d0qXMnQ! z?ym}BY|kE^Zoa;@U5`ITZriyTJJ)JCr}fM**dCg&uj1vQr`xi;mrm1($XmTGVx?2+ zsobekGy>*X>|C35D5Z0f%Jxu?J_${yC)=_*Ch#^f>|Fb+Fg);H=;ZRLPhv$QS$76+ zpPa;g^ex}rb9egg_}$^V^Y+~BzT1Ac`EH-<yghfT?AEzkWVgr)Z?{gjRxLYIcB;(d z&btY3d){`uZF$>p_g&4~ink?i3*P43eKp50xb4i0Xj#L=12rFuR$p#CJ<UsZyA>oP z!rD)XXa+90^U9|1Qp?Fn(Xy(Z6J!jFRtLA75Kxr9^NNM>lXH7e`lm4WAoU>jpyz?d zKZYG|_e&P$^3l-QxpYB`lMt7OhRni*C)1n+xm+}O7AmwHV%sj#6u{v0ke$_wYw~sx z6-}3*h9w_74t|{0#Lv|$QWcP}<iO);hxoX<MM?q!mP~j&jfsz|Q$#>hCTK^Y_rVEH zimcKi9GX{lEOlr;#KUEz!4o8~XQ@Cl6AxFT2!rOIyFnioe`s=&XSL(1-YyaoaAEO< zCMP*ovF##Z0S6W@XgVazx;vVyR3s?C!LhOL&>dY?ORhqZ2O4_<3wAHP;MUlCh?6T@ z<Vv3Al0b)Q->Z*fpH_tb+_75f{VKU~(Z{hzAFsPr7_NIS)asqq(cN0dV_P4u^V+jo z;Qgw@yI&oPO|1wQy%%csPV3O_RmWmoAFrEL7=CicYPR=U2X|{7i)DShj%&|qhWD%X z?>_Z@T~%TD*Sn!L<yY^;K7F+A((ct!cS0-6MZ0%r9gS@*4_~=s^}BakyLYd8x6Z3D zeBqAOFW#-%x!ddAx~|=;AG}+&efO!i>#}yQzVU9AaQW3+u}jOsm);G{D4*K7`_-Fu zT7}^=?}nz9i+1krdb3Vy_v$0>R%vZmfBU}H`rA*}zS_0+(XQ3o@>Us}Pn|h?)fr#c zwyZNV_gmSPNR(FGdG+Q(<dusH7q6K7IE=mBZxP?3a|_S)M$0<Sb&{2~YOylSE6sRu z=EB5<#w(O7Rtr0`xA`sLTX63F+P?YcxaZo*+Rfb?Eh}zSWu;s4sU+ggt2Gx6UJhJX zyga#lb@1}ZPVB9GbI;vb+c(E=4&R(}v-@tZ^_$H%`yA(7Q`xQ2b4_GTWQDELtyJ?$ z&y<`h@pv)eWzXGL9WPs6HoUBPS#kGO$;*P5Idct*cTWRVN;7VYo)PC#)0h*eQRscJ z$4Qb^NaTb@&)pz_#R6`OJ%_|u*GF^3itNw;X|Z0&Gt)5X&>cU9&quw*^|lLd0~eQN zheWkQSNQCltDwp?Mcpq?-6V07i|3@<oRC~tc1QrUM6k&E;c=EzoRfuB)LriRHO%>7 zaj>wdpR;$naFtKOoCC>+`Z&9{3zzr=%$bnP)W_MmU06U}#&3t=!2+j;Z&{>;IpUpF zpDp7m4sP7Ja^IbhxV%+*=AzSQXPx#9tqjiGxpLE;kchlh+U8TI&R%uO*Y)YLSw+E~ zJ6A5c6B3ZOO5J?w<k_oE`W}6<EUGA2bLYxQcS1b!Rw<iLoj7~d318PI%cP2eId`r! z+p)6gPKZO^DtU9!r@p3@!JhX*CYp;r@%>s6Y<VxlE^pPb*{hEG9<2!exMOA6ose$x zseQA(K7JE;_Ko4$zpo!a!2)V&LfV<&R_2jTc7E?EDvpm1I#0aHJn?_|*GsLZ-|ku( z<SnMN{WT=yVwZvzSDt)(tL3DSs%Kuf3TSO*(QZD$O^yNz+h6xjEt(sndi}(0(W2TI z*>L&n$8T>Xr^ZySvA%ZncIfd{JDx=puIb#a{itfky$Igxhi+dz=C$KmMCKafyl~0a z543h1i%7h)u2K8JukF9q>gQeGH#PrmbnKd{?b+R?`KcS%MSRQ)7kz!VMM^lsXGWp5 z<FS^5oK3<>J`IJ|iUmv$TK03+3CG>>dolZfd18K3H)qv$;V7RIvkxR4>f)@}E*#>s zV|If1!aS$0+ngF|7kp>TRxnq5SH^UwWjkk{@GZ3scl|636LXtxwQS+c*e>kjV=+4* zsp)3RrrVq<Y7=}VW-FK}zA0n6(XyU1PI#AE!Ck)_R>{kFX5L<Em~^P&XVLB_OSz}( zPTlSuwJBj|($lh)T%a1}j-F%XCn<jCJbtG<e(5`MO?TuP?#R{Mk<-ZMpS+#@<Zb6C zZy7&*`%qC`u*det4qKCZa#eTaB=Y%f^7yUu_`A2W%Wh{sdfU10Hgn%?=SOcDKYlBy zsNPXnZLr5y<eps49XXDCe$zaDlRSRoJbt4*{^srM2X8y~+-B~%?fl>^<A-k_%Bw%@ zw%xPC_RC$lA9?)M+u6mpv+up_+`YT$z;31kyPNj!X4=2INxYo3ww$%5oVB`~Rqq{_ z)`|s9Tw!;@8p^{LJX-hQ-MRzs)-8CqPT^=QW7lq`UAvoh?q=G#yJ^R6rX9PRw(n-z zzPoALZl-O!n}o|*v&&htM3<~B%f377QJL$;OKXc)-;pZceQ0g|>f5)rZMwTo{o2;h zT;J%6dEvsZZ$>4VMxV|L7t+3IwXJJy;&$yDzqVGbjn%&Xc30^!A4#L_mdQt27(YKX zJMJy67p;|Fyd~ve(a}@6exh2zOI&uIZTxh^Q&?@gB`Eb4Juy4(A*kfsa_3o&0LzJf znNzptoRm2^=Y-6OImd6y9G8*zn`N*wHfoUy=OpFr-fmq2l1fj?GMg0~>U09{>G|q; z>bdGU>e=4Yv()<;krnf5<B^KpN0Kv-UwSmDPxa{Sr83?Y(}boAOy|p=o~I+JC#rWd zLTOLzs*Ntmna3veEIoKz_25zoZ-Z$}(;4!o|C{z_TAa>T-H&(m-s<j*xD=hWaZ35_ zBT1P@h3-x2TDtqT>h7hxmWp_5OnZ?x{n@l9(;iKGFzsI6^gGjTO}jD8PiO62y_LF4 z_by}FvYSb`oHf0iRrMX$nRi^L-f?-{5uI>XwCAp9$6e8uyP^$uMQiSgR@@aWxhq<5 zS2X8tRC=DS@%FHp(cNd(nm)TGRGi(qbL)XSQSo`Y`rE^%M|YoIyY=a{ReQE>xf2zc zr>nF5+SKUNr`Co(y|$<*+jr;IC3m6%^K><~Uz;3#`sCWHPp%~uW$W(TI^|B3XP&Og z_G=TPPoG#D`sA8YQ8w?+tu1$=r0+yI=IJVIzt$h!{ps4QJzG`s*Pe*x{&cNs&sLdy zUHR={kJny(d@X6u)`~k()_H4>MtdJ$yR;(v>5i=hccMDCi#=LfT9JKs$5x*FwNARy z>HnUYJo|DYanIR@6E`cT8@jvqJ<9d#laaCPwCu2K-)?DM#8b>wobyEB-m@zw7EUx) zc6U4XAh$2UuSZ70veB|(yJfv)ouz)!uc9A$#ovlzp1gRv<;2O|XA>uGR$|w8cRhDE zw=dQ&)~`!O#Ij_&WwB+EWuaw(W&U={Jj-0m982}0Yk9?2iY^shDDrtS=kBv9Ck#(( zo>rXbTsGTyn({Q^Y0T3;m(G4X_43riQ#Y4B`_?$qDCJnk&RPMsGud_1FHG~%nR{1n zYJ^d=R<zPam$Ka^+f=rRY-8E>rF8d`tyi`l*}6sb;hD88#zLn&6qFNtSPZud9r9pM ze%Q^TFSP59*8`=*?iMf3D3z3*QyW|liE@UigiLgJ8g@v8Gf2f{B7^Ip$<dsCDkc*T zxEvDV^vY9Jnb_cRNRZP_MP%nx2bV(voK7k^3W_JzvM31Ec`TS>py~K2%xMXW2WP*K zjOvdaQ!i*NY-e%fv{8ApW9kMC$95K1PD_;sJEl(1U<^F8uti#kCtp>>Yr{t=-9yo< zkF9lmd~H@?_Q@Sv+46M{Mr$8i%lh~l*Pg8m`D^z_pMHO>sxbTO-Kd)F*Y2%-`smuF z-CLvXL{)AV>yFMoy0*1Ed*zO;@A7naN3VW&&8skb;f}2@^49K*_I`J*YxmX%d26>v zpMHBSYxmY0d25BYU%Rz-X<7EtyHOe2r*%fZesfK$Fni|RsMPIZozdNIu1W3QdL(b{ zhG_0L*M9BVx+ib#y6EoL*KY07v?vZvc(!c88DGYkvzrXfS(Ea(4mJFIwDhztYYeCX zP~^=xO*?E=z|N%!T~jmy_St;+wBYnxW<CCGHY;itJawFQn>|7<rZVBF<5c#LJb9l= zg{O{F*aPHjcGf<4;y9VzM^2}5!IN)}lh{4vWGWM$I8MCH?jpxisqo|=8-LRsJBCjW zjx(QPpUkh4FYjX4Q1ju(fsc*-x7mC7t85Z#4m>{CcbmPNzr-e>X2N5}zT51b`~vba zb~`>E`0&l~A+t0;hy0ZahsWOz_OKht@z@E}2t5AA*u&n)&mjND_QUSl504!0Gw)-s z=8w5!ccJ>iBgcEpV*Fuu><&~fcx1X?h$H*Lj;RLD#}*{?M00|+1OCZV-Sgt{?czdh zN60va(1(yaH?Q9H@@Q<z^LrQOBy(qW^zF4Qkf95q3qEtE8tk4L;MSDm^CrwmVn&NT zXPnTkJk<hEP%U>RmnG#`2PgA0vnKtxT?IFbq#c(|(-Dl>*{jgRq154UDwoA4n<XG_ z^BxhKol6fu#;bxRu$&ZBcFog-4nb)$d}=NV`ZTS{<Al4r{B{wOZwXH}KAzU(D%)jQ za3^R&M@7Zq57U~Qq+3ig?gT+S!<E3o^zY~0pbv}s^UojEWv$Zv6tQN<QU?E{b7Sx7 zvT6ogID7JLkO2GlXV=QS8E<!*a$Wg%pS`@SbUT00f(5fD@2-W&{cd=BWLDIzx6Gg( z+?hQ2DHAIkz9qbAyz#bq1A7AhKDi3p7rSZ?d`)=WGt+20--#YUVYV}Cn<2h^y#Uk< z3eS@Tb>q6GM6(AjuqnLs<Qn7T+XpAzZk%-6anfzZNw*J9yxlnQw&TRxj1zAkoN&8w z!tH}>+nW`(Gb?Owmfy~N>h{4WZyi3B2~=7)?2)y(=d+smxVqo0J8lO+y+MyWWs9UG z91|6{3qt$tf&qSBZcg{yKD>Cbc;oS0mL8ijomV@09Sqs~T#nr4;LDc~yS1aYVFO#Q zq*0!-gh&sg@&_}f1NQsHYqtx2c)RmLcA>Q6Jz2(X>n721mWpx~t#=&n-f_Hn$MNbN zN6;O?1v``%>{gz!TY186<&NFT4ZD>qb}JX`R?gV1oUmKjqR@Rqneke|fC**ZjI1I7 z4vjZ;K@=lv(sq#m2S!$rlDk0;j$vV8GjHn{m0z1-?R{ph=(BT7#p$&>H}AO<8T(F0 zul(9H>(!smT`EdX-MM+goyhQaI@;x7Q?0vC%{6^`Zc<UY>(0#!?nL^((@`%En{3^E za_-hA=YopTRd;Tla3|9JosM#O*hK5@6LU?UoD(Wa&n-x2-MP8pPNe-iom1A?pUzF% zvsvlA&I#++AI}x-*<5ocvbQ{}-@5zbxkrWRZ+C1Kd#@u~KJC%msgKVcDoj_s7uivM z?cv<g$LEaBXxV(e-q?Rku4g-6#hZvL3oBkL9DmDj{H?;rvW5z4i#@V;cF0QH<KxO_ zH_Kyh+1@O*ompyov*dPW$?eStZ#O=8t8nZs!?Cvt0w2!5Kf1Qr4zx9BJ73h3jS-FI zuN{ugjg9S!X4lALFWKI_^LFE%w+ipd7|N|HcFXR#%Xce}J!gBf@b>1dw;ON0Rd`$0 zP-bmVXl=1ucFGRf8I~Hw4u=?LHgAk(PvScy(_s0d=t1f01*aLOH?K8L%PTx}$08+f zj-h$q41>%JwR3sO8ioJe62i)UOEY%fe%jmc#;j?hHPc4xrVZ9i8?2kwTQjY<Zdzx} zw9dL|t@WXq)=e|5on~4y&9pu=!@6mPwbKl1rWw|Urdu~n*NfQ}a?kC;B-YcS+S>(J z1<X`ZJ(bI%;XlVDu}C`cq^#pfS;dpGj3;Fuo{&9wLU!Q^*~SyHi6>+oPsk=VTRTm# zW}0AqsNb6Dschn>K7~s6jy=i_c2>VK_Viw8o_M_P=xq)w5#<LvV()QuZWk1I&(U7q zB3;gMcy?xQK*j9T$LEyxY|gq9Y4UE3Wcjon>+BEbg!XJsx)W*eZp{H}?hogF?cV(7 z-5T-ou={h5mZ!hH8>#nBXRr0@qjRU0r*GV`IqXhkMftQY>(}qjZQ8y0)w?w!<zijd z-S5uL+P(S7yEQwkx!;|u+P(SCyEWUayWgI(DokH{H!`a{?AF}Yvh<ldHlKU9MyPz+ z&AFjv=@WNsKJjkNMr-dk=eTxnKJaeMdh64#&%N5UdB?joYo%YG=}mohOshC~;?9l7 z?nETztuZhcn<4%Bbnnxr$6ggB7w+7+?M}p0^J&whwNLl9K0UUpC^>TH##MJBLh{yV znokp1qmlloVQ1mKI~H+ybM(ylrc0-v?hUSdoUyZT(;bV5ygAzDeN&~EpXzl^1yxmf zh4U;j52c*c?VF_H(I>I<sHRg&#{}NI!lpYG4taCr&H0}88dpB{xMwlZobO5R=ZeP` z_blx4<{Xn=e!TZ^#p4e<3d`<Tbes3}Nwb5%M==n2{Fp8uA0J;|PxPFF((WIQbrwE8 zu%nPEU*>@H^Y_O-?k<eGV^M3)cfWUY`Qsfs3cuvd5i|F@*L(QUvB*N>wH6iI1#|8Q za=hm-eaB()j>GsJhtWHZ=5m&U)=oXvOg+|44`dlX^nECI?+{RQYT0|6Lr}@3<G>>` zrxp&ucX`vzo9^be?D}o))OGtlhelq#@`by4`7Jwda}<@g?679KBm3}e--9yujNQr` z?h5+d5xn+}Bdfe+i#5|N*@H)9AHL}ec+{6r=B}|@dBP6m33vAk9?Pp&?zsEUjbnH0 zJ&uI!g8Sax=ZM?BUvO7my>bD_?6uKLjlZv-H1l@+Qlstry;Aa~hi9HyJ84F==9#rD z&%%BcX;<!?y6cWtOx{x6?Lkl1E_oVusz^I==hSs~yu$L9YHb&q8l8D+t;*B1sHuzY zBsuQfIPXq`U)~xubFs<N*(ZCKJ~`%9l&rXOW8a+!x4bn<=3*12vrqJ@J~?*l!7;9) zWX7Ev>+VEMF~9btSG6+P^<KmT^J|ZLpH?LQ+_6zAe~p~E*yG-#kB{9dOxC>@VU?$I zR9gFZZ|mb@UVAnQ<gYm_{rXsMYDKc>y$G{BokP;AkM+7fJ~pc``Q(m`Z239|rL~Xs zvOYe>wPzzk{+j*Lr{5o|Dop-*H=@S;+P&VVkB(j1y)o)eM5Vb{w{-T=-q!Nul{+@R z%hTB{z53lTufpVoJ2t+^TeDNz``xjw-5Vd|t=TSp`t7l--5YP@tr6bdyjL{)t&fbT z`MJBj$>oo)+_limlMylJyW4AA{`kaQi$Zh0JH4OF9`Cqok!#L(ySMn!vB=$pSMug; zk!F8;%&_qBoVymPc`}=&m%lltSonCtU5jM%z8k&5Wse)~TEv_8UGKeI`nce(MfCTx zGk+gDQyctjpJMU-o}Kwe?wBXOlQ#H%cKYvQr)w`i-S@EQe$LK(t@qNp-+ib3W;<P5 z{B+;OqWd8`^H<z44}K@D`Q3NQZ?;plpP%e2EV^&9Gk?Y%bMJT3s^5Jl{boB^Tl{37 zVbOh&o%tPi%$?s!D}F!A_T6{FZ?+S)pC9jAxF=ujz4Xc7YM=Hs?wNUQ_VH*{jqR5v zN1r^o_R5p6q#|wIol~dW@$$@5RoQ-NV)V%qYeSxdDHUn+?ws0k$4mN-mt&r)!uCu3 z(Vd^dX6>1(lE3ssH0P(Vsy$O>@>S)x2R&YU<#AZjo~adgysYz<9*y=qzII84_R}3x z3+{MzZWnsAwxmM)?vANE`AZK)pFFnKr9%7aj;R@Uyo~cyCAVLCuvVo)`{<6T33t32 zw+lU3`=wm_=Up%Tyrui1JKu-h+C4Sqj#t(8pzdhR_hCte+G}@A{g9_By8Y7KwJGJ= zSMPdh=BbKo7rMLFq+I*tU9ZCJLU-1FDbwD0*DH6s(CxJ)kJ6&1Ud!8fC2!3ZY3{ej zj0%(I-i=Vr)7dP&`pq$=!sLl}Ba+Rh-RKo9OK!Xy5pO>2dhgZJ<ifiV(ciDm{C(<7 zZRoRoO2zTLJJ%n%6Q1}^+u-}v>Az2%uD$wn-=m`V+@0&S-fQcA51sa#>vV1D(|wzY z;zM_?UvVcq_?@=q_s}W7xlYx7eX_5pDBg7E`Wbh^z29l8eh;1Wo9kq4>63j%Me(9L z*LU0rcYdd>`28x|_s|KyxlYu6eY|hcp7m<)wNL)m`n0cU&w9D{+Q)yNI$nFVBL3@+ z^%C#3<-T9-`<?Z1-=)HM&3oaN@3dvUhd!!pt%#Ss7jB-%Uj2IN!<puN-+TLiFZ;Of zW#N5;d*(LpX3Ks*`>1yD<9&g9@{8`6TfCcn<Tu;LeU^Lj^X{0pefND>oBVj6;-37h zJLV?uW=nqW?fISdVV~fh{G>bP2JdDc_|5iV-_PCof8Na&|L%Lg_Hg<AH+RkT-bwHM zz3gc1<nsF)cI1cMF|YXE+x7d|yL}sX=f8S4TjV=$*YCD>`)2OWfAVhjj^AwW_EqlA zzw>VPw%=`U_gNO+Uvt+y>$~r*+UBzRGj`;kdpBF?d+*KK;IjJ@cI2OUH+$o6w>SGZ zcjq5?H+%i>W3TtU+?BuM-R!lyE1%`f+BrM*o!ZRZme10nW+&xMy^^<dOEl-(Frz~4 zxp%!(^HeuSuY41xRH!}iu2=H*NjKJtlxa8K^@`s<>H69$rP_sey`sx6&9pvwW^Twc zKc!;z-kp<=-0@6&r(#fkX}a~v({ryp^?OvLp1X6h)_WD*@}OzfoTulOJoVdDq#n9+ z@`^j2!S7Tw%Y&v^bDo;}<%wTWk-F*5$usVFdcRXqEf1Px&3STe$rC@LB6ZQ7lRNHs zI=@p<EWgB79yGz4^TgaQkNp<ynXL9+<)pRdC%>jWljYv49JfAseD0MB^{+c7OT1T+ zE5Fodo%zx4QlYx$Jx|MbDl+9kkLI>isLS5-G|yXRdh)}!RUh_kDvTGr7jF7aTk8AO zp5I=_YE3KRIq!uVzSEZY9{Qk`wIcrS-SE2aSG#|&dcW_{?)Bf^X^VfKdaw5CqkX4# zuaCGBuKiAX&u_1zwWa0pH}8g*eh=;X&2_ZawcNB^wOq8EwY>Uk+0(MCWk<_OAAQSu z>-ARaE!W#qN8Vn&8QQ76dG#i3q3z)*;mP4i;fdi1+r#6-<HBRZW5T1i3qz)6ZgYZ$ zxQ?EF%M!aIXXTY5YezAi@HHVj=Qf<0Qp%)RZ+zx1OR@R>JHGnmn@`_;Qe?jU&e>DD zS3b>4+c`Vp-Lt8?GoR*#?VKI*?%9;xnoslGcFqoX_iXa+mrwG{cFy*B_iWPcl~3~2 zcFy*A_iW<s%qMwbJ7>GRdp2RW=99d<0`ulOz6#}^kKbLgXZGas;7@sO_k2(6{#juz z`+iyf?wb|n)pvZ4?LPT9@7<2s-Q~f@?}qG|ZTU{EZ}-d!bHVq|9^TzkXwLgS?cv=m zh31^^(|UJ%KF&M0W46(|XAka*?3r!&POWFRWQ957`)Bv>e%U=+|6SVsyH9q{t}efP z^zN12vvuF4-MgDoXuS5U=yuQ6@=3kcoge&G6{=s{F*)syr_sA52dzCn_;nSkAKWpS z>AlJU>zD8SKJA_ycgM4~T<HGXE#>MvcTE2BZi!fV(7m}w9{EKTsz1Ezsr7EjZtIip z{8sIr9CXK1<DJSb>&$n4lXg#j^iE}m^~<+@MY|{8dZ)6@`sI<iTguc|-u28Z7rHe! z<&mG&?#W(vJk!d9Zq9Xi<fpWI^09X+8?80p_%ZFCyziaLdh3<1{T}U_ye)5uvH7H# z(ksvOx;%4}Dpu~?Iq}dPkA%D>`sS0SORqfLd*rFxts>>ndmd4FOLWYIrb)j%)tmCv zZB>zS;LeH5JUW@4mNlvS%`%8AvTlU5?B*`un5gJvwqq`6-ge65?ZO^zPIgxJ{2nX@ zot|==vrOkzz!|~q7kZ*Sj_I0IaC6>sGR#wy*dFjem!*RH?_H<5?H9VESG*T{w7d0N zo}&2n3HNlbJQ6##yEWpDlXjlso@kGwx+Ue@H}5)?ZV%{+<~XYBQqFz$u2a$WfIGS@ z<=lJkI^}H-xUGAnjC<~m)@yl+Tcb7JiaG6W^||AezCEBb`otSCEn%g?Wdb*KP0F}C z?>Z%J7r3GOrIfq!u2bxGf$O?kN}Vl=oeheeHHw`Tik&5jodt@WIf|Vbik*KHIe#c} zeo^H7pvd_~k@Jc@iWxf<Q+6sQ>{N`|sTi?SF=VG=z)nS<or)ei6<u~JI_y-m*{Nu; zQ_*CnqQOo@ot=srI~7%SDk|($l-a2$u~SiG=hEu!SB~ml-Mv&dFY}&mYN2=douEC@ zs~%0ecQ<HvbX2)_FnA1L>an{)JEDJ;dEdQt!*9)9ud8{Pw{%^1FICHXd9$~8Y0zdP zq3uDNqOHojkLG3G=q)b(7N&V)|DH?hqvvQ(ExYTrGw<a!S=(awvv)2UnV;*OBw5^D zyg2F59k+uuA1}3@&MkpV^DYiw6SC0gshJjJf@!fY=nRS{W?fIhD(}4Ni;mqBD!YAE z#cInu(c^2??rA+*D|hczZ?x%|MrFZ|(_VdOOI+9+t#xSjs$;&ckC)9V3_iJIC0oAM z!P#2Jd|4ka<Jz;5A%E5W*{9wwt11lsdN-uT{OUd5r;nCh+PyOBPDrJ>X!q=_qrR=> z!7FzZe+yf++j!-hyj8nqYaR7%eY9-R?v>B-w06$cdbg};_sV;DTH9x@db=!X_e#Gz zA=&0rZ~0Cw3to6PL@jUC=Gm)`_@<TxPrVzWlBcz4c9xG0PtU8@(@u4->h9{!dVMCB z-8l6`k6`g_MV=Y@al7u6J=nA~$a~stz6j7X_tR~MMKl8!*zA1!;K{oSPu?AP@@~VE zcMG1poABga!;^OfPu?Xwc^B~Hox_uN22b88JbAa_;9bQNcNtIIeR%wC<EM89mE{xm zSi9VlRd~<WU(SB~H)H?S=6>Uu$$86W%s$CdeELt3@!mUU^voxpK6|IgSUZ2&wAnMC zrX}s18J@Rn>g>p;X+b+@2InoCGF$R#n$ymi{&~wL&%XI2&1mOL@4RJ`X3u<*rnGaW zd)~5%vm>9R3GJNeoVRSk?41YC@)Q|2-Z>+0KKWCc-#wp`vn4By74x4xK3lS9rd?jz z<FjuHjV1Hd`e*NaoThirN7nrEsgONyFI1GjC@fFdWBp-=^_v~mI`?Et?#PO~=d*mr zXYr1&vz-0N?!yo7K0J2!;D>hs73CWW%N6!mU)f=OVTbjZ9o8pySo7SIWqHqMn83pH z?{3+L-PU_{Sbw=I`{Ny7bve6uIs4w-&fUA6@7--YdN=XtUB>tC7Cd@aP+q=axAm2~ zvO#xb1MbMac*j><&c1WE^PRhl@7{fQcI{Z%qiu(8-F1BXZbDhP!*1&tJFMs2mG!zK zd*&TqYB{@5Is2yFhi}|n=qz#LZGQXu+fUy<D80S#^}7S5<u`U&&e>@>W2fbmot6`J zTK4R;?AU49!dR?keEjT@LSx~4wMS=d_RKWTTlVm*&YqcOd1?>Ot|>ImymLm<JowmI znLRU`%#DwoZ7DQn&R2VI_RsE_b>^Rso_(`>W{r9A`?Os<W>%SRK6>`d?wLAy&$?!J zmK%rOIRiRd%=mR)TG#B(M`@Stp4mBDv)uS`p4y$WHM?i#nQwlZw(0H}Ve{g*X{+v@ z*)qGc%-HwNna#5!AEnK@duG#Y&qryK?w;8=TeHmgaGu(YvwwEYj5FW-I<4sLnYFj) z?OZ$a_OzY4GjI3pTsz}-+fLmXx9fJUoqoG)r|$IIc{_8T=7JX7eR%rp!P93Ko<2M9 z^x1}|&jKooFBBD@C@MZsRJ^08ctcU~ilX8LMa46UiYF8mcN7&j6ctw#6&Dm0XA~7D z6ctAl6$ca*Ll3}`fgFGp%?CLEs|a!c)@kSgSTbUkFCHv7p~%iIvt35WQlZG=NkMb- zL)~^KJ~In}q7?@lkLk8c%A^!XJW)8IcubdF!o2;!Y~}}NAHIL~puD)Eu=vGp%MW*D zzUA@h<?&USw~Lv#@0rcqJ^OIiY-Txj(ex;t?R$%&wYRS=(qF%{D0}&xuv59ZQ=)%A z+2)sb`^h$+yxmW>nVnqgT69}^yJ^vFrR}Okw-vXG7TxB}lYX+zA@BF&ZBw?--Lv*d zu5vzi<?Z9Qt?pero-3Vy`|-A(?N1AD%WjX|v$pTH);+W1xrKMkK5pySZoB7g?`^4j z+dgbF%k!?dEwz1V;cdz7Qwwh&yv=pb>{xE%9kUPH8n*x4z4m@?{GDy@x7BRFyL)Z- z?N@iV9nJlmclyyb?Y!>tXwmI^cdxyh`#Nv-qiq^_-sQJ<&d%Igv|Z+19$%Sx`>xr} zU9*|HW;5SCoA~aTLV0n=Zp#CAW$xwi<(ao{o6UUt?875x6W=~tP*&`*+j7BO8J{~c z=koYe^Z3%t+c(W-?wrkh^K9aqX9{J-9J?(W?#k@T<BKzIUpJfi`q{+S_X?ifOL%rK z;MqNgXZH-A-BWmWPvF@-hG+LaJiYhe>Aee2?;Uu0Z^P4j0V`Fxr)h7O1{L(Kr{1=K z*7IZ*?QR53h)=kaXHk@IP?WDxl&?^fFHw{)P?Ue;!99T|_ZXhs`|$XlLuLMqJ?0+w zq?O+DPWaw-oVPFi){fhU?tXi_EpL17Zr$6t{&&jWZp+&4yIc2GuJ4_1Z?>uCWtZLF zbbHbc-J7|`^R&xuZ@Arb_uK1jaogYS(!HL$J#Ut=dGE~G%g*>ZKU*eQe7R$1=Ak<# z33;>h&3mWMUUu5|@Y7{Ci!O)UGl|NZrDM)JZT7QMzR6FQtt`46urqVn9h0EESsLcN zQ)WLq>HGM}vdp5(20JsS-7)dXo26pTJ8AZ_6TZn$mT4AU7TB5DcE`jiZx(OfECqAk z3A3La_jRtk>~qg#lDY2_U*^ioHup^W%zOK1FZ;OcW#MImdnPt{vt-TBK03SQ(u*B; zA3VNyp(6i9VSd6M^A9&Ru5kWX=FBJ4+0njTI!{9EmcpL90nNvFB%8NOvm_tjVA*r` z!}ITp`rnrwzV~<c!J}<r+fVOSd|$@gU46K#n)%*d$D?~6zPp!Dp1)$Z`H~&x3wD@a zxGVka9dFV1wjI^XclJJfd+$M6e#UO|4R@ve?nqyI$D8%NZA&%tt-S}2?0xv=UcjS! z31#^jyUi!;FrRQ&`q(?(r0;DTs+n)>efav`gVOvLyUYvjN^i^KP0!;^%i~Qw(Q{ED zE&r;(v*m$%GK=n*Smez*GMnw=GRr-gd3Q|O%zYpFCO=-LxF<8~j)_U$EJ^d;p4n+1 zmI?03OuA!YkT>hVY_<=}e(uivlQ&D;-1olk;quFG?waW3N$s7z?5OYL^2-}`WQN@_ zsW9*Dn*HqEvW>elU**jbG3V`?-S%$TOi+`3){fb1@0L~W&b*U1YuoI$x63RGFR!_4 zl4b6D%eT4g@{Aps=kjI=nfKoG4KBMpVMpePyjdG(yS-V)xjXYf-mLYrkG)>@a#!Y# zyjg2+r{~Sy8T-s^=I!LX?wzvF%x2t<&#T^f_o><R+tGR9Sv#*XJnNpW7n5h2v+~L$ z*3)a-w70WqZ*SAu&Zf1!O>;Y&=Jqy??Q9y`+tjzSsc&yn+s>x8y-jsHo9gy9mF;XQ z+uM}4vng+HQ`*j^w7pGnJDcM6HeM5!iwrJ@`FW>omr~2;owU8}L^Si0wGTgDdr*=6 zp)fmRkLi~krUv(<D(*;?-H{T@=e5e?l`_e=&``13v6+omD(6ntg$0hyY?9kSq6-v1 zgfTx@`|$m>2j$rnh1oB5n|`<}^(~KAFORosdz;wywms3z-O-1;ejmKIit)Wq`p({` z-=^LU%M0J>`}EtC+d+BmJ9(den|#|Z&wS_EC*LOB_R3S=+56<%#M^Fp;yZnxe4B9F zDKEdk`b4fmxxf_b7bj((JmJeKVmI8`JnfF7*SiHO<pPteU!0IldBUev#4aeQl+vuQ z-O=IQ0{L=*r?MuRlAJ#)q@Pr<|J>0m^?rd|xqx!NY`0Zjv&j1eGUWn&)*6BzKeRo} z4R|P<@|aI)Pjl8CN0Yoc(k9NwbkFfhiR|I+5@b=<FyJtF@!sr&{)?m8CM$NB-nlFF zCXcstdz;91Hj(XYyP^-@S?l=jnm~DW!*0_(cct#+@#b!4+ZKJeGy3qYwT^GEO(@HD z*ljvvhv}TVQeJnY&gAi?Zf6tP&bBG~@Qt;OZ>|ZHWi#wHt+^|;CyzIFJKMVG!`J3M zJTv#;nYjzk%xyd~H}T9|$1`&k&&*{!Gxy=?xd%_rU3hwK<LSAHr{^yGbZ)`Za}%DP zYj}FD;OV)9r{@Bmo^yD5&fw`eg{S8Po}OcPdhWxMa}S=JyYS@PfhXrSJUO@E$+-zn z&NVzaSMcOq!jp3WPtG|!IcM<XoWhfH8xGD@JTaH?#N3C+=Qe&iXHc0wVUMxPJxPUp z_TXzg{potg?|2)2H#)PIrC5Le9dG^bn@;b2Ql!8A&goOtE1$-t?c7_cfBufQ@%K$< z_No+1zxj4Ocm3^H^UGQ5?Dp-wtsq{d`zhiM+ggr8+(CjR;wk0QA>vb%I9vr{FWkwU z5To?yVejpw5BKWqnQrz@_2J$%h5DIiCKzf|?CxPy7WnYK?B(}G{rT_9UOIv1Qg1)) zs_rb;5504GcXejD{_A(CUDchB;x64iy|Y@gT>tSq)jNA@c2CdyzUgh;rn{$wzZboY zTXpyJmg>$jecwB$H&;hKiko%!^rmXhM{#=7PpjT|TeR~{RMB3w=Erjn9-q7L<GBlu z&mE{pe^8iyqcA;UkMWBg#v1n|i|$Ak+>sP|&(l%fcG&uGuXXdoxeGs>OL%<Fp(1@n zVfuo?^cjWe6AIHM_84;<YY^n9nEmkmxd-Lx6@}?9b{l`VEBWmmkKQ|;s`56m^0qzJ z%-z<9yR4b-&2>CF_u;#93FYZ4b{j9*VZ306amtAaitoxQjSt*StJrP4<F4ebcRV@e zZNlYkTdkXK%~gDRuAwa5pfKHHxABx6#xw3po_fcl@{T8^ylta(^NqQRZ_Y84rT^Gv zTya-&*E^n=^0u|ohi6JR&y;qaDa|}n`mh8~(zzI?ozwl_E!d&3W5ITX?F+WuR@k;c z*fHBN%Q17iV}_$z)43)umYH|irm{}tP~w*27TV3r#m&V1i|Z5Dt6jX0xNa5AE1Xq0 zZD;AE!oI?;!nVSuouze!RfT1RMTL1gOT(<TT5Pu1XtDl|)mn?y7Aq~5TP(d}wb){z z#e9po7PIeI&9s<qG1X$S#l$;S{T96z-4>k|?RTtNEzAqp3YiN3?I;bhQlC5NoQL|8 zwVyA(eDURBPRX|&rAAhj7Ug@=DyK(pe>Ky(N+nArO2tdX>WP__$}UF}4-t<q$8)C` zSxHXqadT75U)&#fd_{jo@|NSdQw&cj?^50+akWr7wC7pJqYgDU!TiPT7o`IaXCz)Z zmOI5DO4&=<N?Gf%nU#cS&#{g}9c=g97#G(E?tjspaX)v8{x0!S!K>ZdcJw}TjdTfj z`M5|cZ*h6x?u=LySCON+Q}nFFr*<E6eVDg6KX7|S^c3ByM`pXkLc5o_UR<P>w>bTx zP~hh42^^n6Q}ANWPkI?Y9s5v`T(HOR#|}ftOuX3PMSMqPEb<Ihl;rLi>^T~c(Y%4J zH=4&dkH;vFr`eqCptN(3G;@!%^MhW-563=~Cx6&&xMzpqm%9=_@_4Gv*~HD+_DVZ< zOFQ4|Z9LkWc(j-C{jmj)jun(AZ`f^k<*r1~9f^QD5-;+2ip|+}N;}`_Wqfz+Ls@b` zcCz)xvTnz>$0n2|JM1=`vBPlAT?wxQgTn!BjVx@NqIr@fju^BgG93O;+I^7Q`TGCY zF(t2dlxkTOTNGLd&+XWL&iOg-@`al(WIn#;`C`q(B@gF3oKpB&ri9BX*&@+`Ie%`$ zIs4`FKeujvf9>S+JH@*SURg%pvHCnmXO8xq3O~{9=d72{-F$B5q0UFwJfF`gK4tlM zPQmtb=F4YqK6~<+P2ua9;#HQH=VbT^Z$D?8&bfT%<};p;u6aI_Dekg7JSSoMIsN6+ zH=jQF^v<rm>FZ`jKby2OIdA<8>1Rzl<JV2Uefw$A&gi($(z?op(yG$J+|0Xs>s<FP ziVa-%;+pQ49?-g>J5EPi7(X99t-A%Zf-ov?A!KE?m=|RJZy{&~cGDBFRiIUCZg~q` zK|PFvx+WYG<flyD9&pm*g!}R66`;v%o4keAVx<nE3oY{&S}f=caCxEl<(Tdjfhdlx zjH)fycC=n<I2W<dDDHE2BlqEJGjD4`dh*tyY-hyvWAm2p0M+<U%eYR17JT0E^PMQf zdTR1*O?A*nMv?VY$a;?DAnP3`+}2dE*HBl@U#_GmFI#)Z&lJ3zqbw`1J&8|ddvIrP zM{s+v`7)mT<y^~id<6Zj&RA4vZJO+L?8<{F4?=owYf1z+1~&xP2iI*6)?fDP-~H;X zckWfcUt3iDey{kcx3xv_>fdvV;?=R9T6_brN7`EFYjul!xNC|>EiY*D=8_qz}F zIlkk5vd{jVcjf()zrF66f7&<Ud+na}k85l1Z2!2=_TA}<c)9O)3-2HO{c6YhN43Rw z#E;hszwfTNfB5&k9qS*~a=*`hyszc^+QN9L?{f>|CBMt=S^uCm`HuLpTIToM75Df5 z{&n~J(c0hdPM6=``+L`p_4jI{@0h>er}J+2qkR?MLksWk{(bB2_ILX<^Ok*G)ZoOL zzc4^U<X1U&tJA^@d!tu$ORp+-j=pnYkMyZWZR>U{tS}Eb%DZ>>!ZPzM@7k8_Sg4Vw zahG@Q?uA9>Chyt~-Mz3~`c;|p?L3X!ytakT*Yj4~;`QCVFvI-H5nkKf3sv)0+~n2W zy-+1j<0h}{?uAL_CU4rB?p|0g{i@V?cb>*|-n+Zzr@vc1^LOO4x=B0dC%;=h<G19q zx~84;<KHcx{`=<Bx}u%)qu-@J{d=Xze)AoFo$sGd{oPVzzxs~9*7xF5f2S1LFTUfi z@%{73za>TXv+ww;nP2`DV`OUj^if$B2poNT<;s;SA$P&llsj)j?%1-H`|rIQocBHC zw(Zd}|G7JsUwfyywOZ@#Jg42ueeMLOe-G)bKJ{jv*6!sVcY;&CU%6qcTISz+H#p(@ zmFu=oOZ`jl2FHBAa!t4N*)*eK@2NYNp12c~oTq8HJ!D2S*BRZfPp5q<@-E%EbjO{b z=)9GuqP<S*PJKG<P?2}+&ZTSa1cl~lYHhzVCEDwhuISTgO-0_eJD1M66XctxskZ&f zq-d{`x>KJ_b1L$d-MO^qPLOM!rqcE+6QaF3uCS%Y?fQN0Zuq->h2L9uzrS63_ucKX z_-()E?RbBy_UgOYW${~n&)V_+X07*~>u>g@epfAw-}JlhZupyhiQidwzrS9)_ucK% z_;tVY?mDFBDHxjv%#`jp!)x-4O{kc;b!X#&I}Y&;dl*U?A2DrtDz-w%gF8S>LB}CH zuW=1SDB~g~6IPE?x&a~z8V>#qa~Mo_vL-QYVe#M$5K>Ut?%>|g!ywA2#ANbB%!A_s zn}cIR1H<1PtczG?u}os=VrkmLTE$Yt@`>>Z$Cbxo9fBRlv*&reOP}<+^GTiD&iQWd z(kK4*d{W1^bH3BN=WO4NPyA&mvai46f9khorM>F=^e2B?_RR19e)(hFuO0Jyzi&SN zH)YR!n|IIqe($WXFTLY`^!Loib&qz;@BA+Ou}<#ZdFk($Kh%lc^OycU`9tJm&&QUJ zH6MSo{2@|vtm04w+dVtR`St$$pLeI<e>?g8&hI53uT*c_QTxm`(k9&I<2<dr`Q`q* z)9)G|6+ZfQ@;l4#Q>u^IKFph+@4r3$_T;yfkG}2v7E-;;_ToIXy!q+ph1O5xD)MIB zxwP(1(3I_0p6IGpdb{2Wny~%KW8J3}-amINmC9c!w_W71?$O87ZWVg#-V3tI(>xlj zbzHag@iebJO9k>*9*%x>OgFW{Tl8L#S)S&h=vBvbT^~=IRp@<k$5OU@&4bZe$8=dA zPvhFNlp%lR{^(Qhr&Setf4v)2v;E3F-KUSHUD~}g>P}GQc9HJrtfRWE<=!iIEPa=! zxjTB59xK<boza>*qqW{mYudf^-jX{@ZY{a7#P5#RTF;f9OFb8Q&fGE8YO<DMs#>U; z>!YwPpDZ6QA1xoQH({^5Prd%ndW&%j$CAfl9)cR|0(=Wx6plnMa9$wo(B{zUV3ya& z(U`?>kzp32%44w%0S^HUHUXXm2ci`m7T7D;FA#UA-R@B1Q0<`C@TDQ@4%1V{jSNwY zE$mAkiM`-E!MB1>gH@m_TES|8rGn)G3xyrg3(OVF7nmuSEfC)BknND=km-=&pqAHo zuEC38CgW7bi91-8n5CG7n7NplcC-Fs`o#2#=@HYdU4Jb>i`osh+k+OhKYIFYIrsGI zf9%fAOZ6Ap?!W2zjo>$u-&l6n*4gfz7wf<7`L*23Gu4cJQ)itp>D`$tbXjB>r_bf- zYWmxKV`uF!$<17qbE)X|l%<^Bm#3;}`-bMtUSZ;!Iq8zfQqEJkmnW;K`})qBVWPV; zH|WxoC7hm@C#orL_jR4sVZxg!bZN`M+~5gn3cmKUG-j*i&sLeOG+SY|+-#Zr*)=An zW?wUsavl}l-m*Azqo@1iq~N~WYBIi^z8${pzUFzed1iCX&M^@*ySgKH(M6NTru&0} zf}*zvf<SWK;zf%VE!uO(t#s$&9d`nw->o=h?R8pq>eIeMMeeaX7q7V!82U~_tNh9o zYp+wXqEGvpirj5?E}nBI(D$8&TKSbp)?O!Nr#|U(Dsq?Ixwz*}pzAvgrSdBiti4Xi zZapX~`lOGk$o=n*#TxHdoV51()Yr9VvBLWm$E{C&?E6&cZh9}!_MOHt>#pOnTOapD z?O9xMC$OtLq|ch`xa`ylcg1^w?d4Z`txtXE)7rB*=T2Ztc}TBy*D+bs$9<Cu-Ffc? zHkF6;SaThd6|Hb*ycbwsJ|+H2w{d;_wveoye@`|&?V75!-97|7zx3^S=VUdXX?OmC zwgq-fyggsZrH$j}gKz2_6Xflu*iYVWKgoWg{RI1d`@Ze=c6CzqReucrec18$=Eu!H z7C(0XsDAt{f8YEg@<-+$o-e)KzRkYX-mH$JKI_iE3qNLlRDPWP!TrNG^<(@!^AE@$ zn7{wF{Qmjk_O<pk_SM_%_3FOVMg4j5cf*dqksq7CFMjm=-SKzdmcLVXo!qnRpxVLP z%OrdaW--lXnEfwr_McgCCSOfIn!dejwlm{WcGkry*N;5fmgy=SH@R!sZnfRFm+e|6 z;;S+1#jIy}v!Bd*H0!~vd$aE3&Av73#w<URwWcfYnk_Y5XgV{)DqE}YcFMJoYc7jA z*JQrg=D9|54d<Gdt53e(wsLjn>de<YGjDT)W-o-FePaU6UKH%yy8*JvMSLA-b?2$K ztf1M8!lLShoRhD+e7zf3Q-0;1?9)emmv%3Xx)WGgF4AqCbyT*s+<oPa#qZu}?6zL@ zuFtE`ec_J9FW#-#Y3-$_rN^cBO83-TvsJoXy02WWL`}Js8xkcFHKlXK<`qKQ1JeRi z163BCSad9J@sUM`79Ci$Z_%E-#k&^mShVfjjGe8}ErbD(ErepwErbgpuG5_Y*+SR~ z-9i`u*}No{)iFU{#J<G7c)NX(eW87UeZGC(cKclW9DDV;Yjs!h>Mzw@sPp+V=kJsq ze+_?Y{#N|x{LQ%RxAHgPZ_M95e|=o~`|{VrUpJQ?JG0gwv?aY|=T}C^?kPLSMxocm zpe^aad9|P|=|@hk^%qw2o}#kzD`-o)pwf0b(3W(T6Kl`&%X`iDl=qx}B3j;KzPr4; zyu4lSc01b|iRl`iMb(U;1;HJ6;v_)BB@TJ|e|9)jCVpyq(t6@H_XKe{eT%pcg$qA^ zbL!{r5wD23QJDDgTT>r*mv~XkfkMTP-<Te?9_BXJlZeyUvp3-})5BIN@r;-mh1HJ7 zS`TtJi6_N06jm#KV0zHHpSw;x?oQl`-3Q7O-#2x0S8W%MiaD|Sz@tN5+!fo!Lt=L9 zPAFga&Z+A*w}#$@*crPO$`#*zW4hD2ojXtbmfnWDaTbM%Z<}tlZsE?@F76X!aa)07 zLi7sxDU&Bn+#WEYzt2u8e_@ruryCn9xR*K`viBW{);Mxlx~+A4fEh>jg&Bq1s>uNc zY(3E{96;-Fw+GbLRO@}oTL@Vn%Dwc_xpjI+bw#*#S?=5stzogkT*G{YnZ~y06~Y18 z0a*c=0U6r^)E1mu;N>vWaq3;Ci4984Qq4lmT)SJDnt%POudVp;=0oJ3uNNOKelhtl zd%ORmwfu|DFFemH?>zrdw7j%ki=Amr#*Z^QzD|5-{6hJK@L~32YyB7SFE~HHfByOI z==pZ?cJpoJ#qFxL+v(PPs)_iq=EK3=UjrW&KTm!h{Cx7GYwWH3bI;G|pW}aLE&rVJ zv-@ZJ&*s0q_B`i&Q+d<*Ch}XN<%R9i?Nn>d)SSwz_4qO2XV1@$pDlNPHT<mkS@E;v zXTjZHIdctz+s@>kmjLZL-TC(8rKe7v+-c&c^7JR{a41WB({!VC!)@*a@qKy~u`hNV zD6LKeExk_3)9nE*y`CP;4O(a(RGIDQ4O$CvCu)Tgs5i7-47BvR<>cB{Rj)}3mPOeI zA^UxzZh+>@#WeDDeWE685y)h`bm;L~R(EbY9h0aRiH#qxG4XR->*z#XNOb&o&54iO zLPsUaVM{?p_QN(-XYN+9oCt+ITLTU=^<Mwu<mgy<*U8q_)^_5~TB&0D&YklQ-SJO& zw_N}G<mtaxp8k8}Y2B?N`_Oy-QSX-Pd>5Yf`{k*>DNpNG71;;woWJajf6%+-8sCMd z{C;`z?~^BWSw;4SJLgZk<L~uuxypCpNxxs7_?z;iPOHdXaOeECJN{1Zmh-+_uJB!W z!ta;I|GHG#``+`P^gZ~=UzSRH+k5_f-zWF~Uiq=^RiVA%J%5{b%Vob`e)M<A<GP?d z^Na5ITfAF-<TvNXI;%bN^X~Y!eGh*4H|24i(w_NQcl=G>EtmX0x#xH0hdQA>^ONrQ z8@yY7;5X-ox?k0w9&cLuX`4GFXupLlG;;Nta$63v)c5vg7f&G-_q=M*%?U0ia?i6l zHvD<9aL?PBZzjI!eAD=*a?jhsHy<xvzHxbR`^RnV?&tgF9hH-{?b>c@Syk{w<(tAc znS0;vyfA#D`DWuq=Zf3Gi`(7K_sB`wHgC6WvNfzq_`>py;oi4DUw?ev^Wx>}hr8e2 zd|h~P@%77#)ZcGwcRk-Fw?|IYwtTy7nQf_9TEv9J#1Ge+7PLx;B}8y+VW`M{c)n?V ztGL)Noey`TZft&VsA*oSnAoR0-3=Qy9AcWsDk}CaPxnUTip>)aF>!I1Y!`c`b0V^1 zGei2rx7V6xx0-S1i1|fC?B2Q{P4O(#ELLH$b9uT`HYB7iJj2Avow8l*m`+C|$7Y7K zhp(?SO>foXjuG2-?oOCNaN8LP!|kGnz?({PS@l5W;?A`Vp!zl>PumC5Rp#=WwddK( zqkpfI+kd?4U;TY@_ixYlby<b>Pw)Ecyjw2%UHIPLC6DTM?VkVU-SS<(HIM#nc~rM( z_xxw?)OY^Yd{@`Bd;Yz5>f3*>d|Q{ad%oWt|LpIRZ~dK8X20;RzuLRyn}4r7@;9Z- zek$u}=Z%Lq9@amx+vZDDzRdei?>Bzb`(I;l?`eF$==1#JvHw0s6qe{HT?@Ff@q|jw ztsTCH5AFUF9W&cfBz#%lQRgErGUnI#?>(LWuu9nZ!g|&oi6$Rg8S(8Wx4*mlbpC@| zJS~FGA6oC9`V&2~p|-xF{O9rQRzHG2PJi_N-T8NV|6hg8QSEwq|4!_`i)$@b-T9Sz zr)tO7+|+-Zu|Ws7N$hN$s35)D+jQgBmB(0@eOvmhbb40ol!;l<Qzn<+RQ-8_<L8Tt z>9KYa;?)M9cAQ=(+tq0$QhX;>yzj8nk;BsEH&Z<<4znfp?tZh#ki+@df(Nl>M;U4a zIewH+kFBezs;#Lmzxh;&A2bxZ`%P5gbf=?p@5Yv0y?Autg4w%I>+Li*+o898_nTKB z5VZUBIs>7U<nozn+srQSIB;p-r9JPA)@J9rEz1q`yQez+$*r80nvy-<dh*%DphY&j zpWNDLCS0oVY=^g=O!j7hj~|Z37D=u<D{01&eMeQicPl5@MMXy=X6rLC{(m>=bbjuu zuOHvuRh{0wwez}C|Iyf@wGp#5L+;$Xs~UdyR*$ozdHM91qU_1M@6Nu}+j;BSvq{`< zk4R0w6<f4)?W^K{H{N|(|MrOOty#BX|1F)V@!R^hcH#8;w0f0)hyI=TckJCK|2O<^ z`d`0)eg3uH|6TvKDQT*|-{dFs$w*pW_Wh=F?)|@A-Q>z6w<qUbd%41UP4Jr2h0{wd zuBknG*gJKl9q)C0ZqE0c&K>JoY?r-exnc6&o~fD@(@UGR{dgp_jSEtl{;fSDULN^; zyV>s_-(p^@_1UWTUhj3(8jCF1?yp&QyR7zDiI$d?N0x7Yw4xNW+ve!q)VbijL#I-r zXTG`>du?ujcXWSRQtG_5K3Cu9z4lsTk*X4=cJoxo@oU|>uMfQ2q?dCv@>=cH%B!VU z3$Ny`_1SWAx69&|J^3{;i!AS{&gvIk&i=Scw3q!>-_)N+k7jf(d#ra+Z1=8RyLNfq zNeu^q>o;P{N<pCVZfbaHYIy3?C!yM4AiF*)5(G{@UNsdAEZ0E<Dq#YqPyx+~tEa$V z|LQO>co(~M69`N?3N`C3RHbD(M5U2%y6U@0r*m@Kz{y!}<JO%<I}c2Yi7P*Ob*q{3 z^qA-=lf$nn?KG2Cl$Tw<>ge%XF>el@c)TjAY|U90*)Gd<t5}cU(gEGr7OLBpUEIcd zNGklQQ*!Ph(9A-puHm(3-3|x#ug>}yJsXs$!>>L{%6+u;L~>!MuJ*MwF46L`@T)=F z%^n9_I2#+<edpGdL(5qGPS5@&<9bBK;+>H0#|y`J5ASx-R+$pmd@Od+SqUDIp2F!F z1`NOd{dp(!mNmZHR=m7(+4SX2N4s{r9Nz7m7+yX-L-WOhXHVV<>Arj05`8;%(Z;ni z1H5;8n`|)_Qa$rdXl+jJq=k(Odlz=zRgJoF>rd4FFHwt1buVsvC)C?rwAV^ga%Ws? z$)3||kBg?iO#Zv6aPO}-8{<-A^4{(^tt+Q}t|-vGZ}**`m^{^cPsR8SPxahkcdYmD z)Xc|C<vUH2bN{Wpq`NXMwudjAJ?8z#Nl`D$uAbVqwX-bA_wA~wnc17q9Et2)9X4z2 zSx}x0Un>$ldumL=)cC1!;cMSS&7L?F6ymY45Z`qK7UJHp5Z}cD4e_h0un>=hh4@uf zScvPvLOd20;#XC*uRWV2;vJ&1GdijKs%okCi?B2quH7x~R(U_!vLiOs`}Qpt>y69G zLUm!T%rcCgov3o+*t%7&Ik{O6nJ#}?di3?Gs9o36mQ2$<b#k@V#F*K=6Z-qYLmwsQ z>U~RiQW&ageJu?%#2y~nl$<N3BzJGeDxt$$EkR}7YORGE&BE1qB%7yeR)ne=GQ_hm z{fiCll%F3bfB#<S)Ey@dXHPu-Xq8vkouvVV>E)rSr6q+LYlN)6T6UPE@!WeF_OQ!2 z`B;(nvDiGtb>?+duiuB5e2#b!D<h^|d^9%i=f|&mKV&a_p7b~FUX@7s&Z9|t9la0F zT%2sZu&mH;&E2PBcW?Dfo*g~2M0@kuT-&p^t9DGyP1W0e=3UY2Bd;2c@J8oN)ZKpU zU6FTA?yA#k*H2x!Wy_scyH4w_e|k;q>5`Nuk3%;*SpCX)b8yGwRgo2-b&8G5A6G>R z@n64h5EH+{DEG>aEU`mdJ(Jsd4u19V&YC6>epZP?S>VI@_3u|j#;x()_qAH@OVoxP zS=07xy;)<YyD~PeC+tjYY3H3=Db3ZzyI)O$B^2*V*V1NoZWaoUP1<I5*keL(Y-#6> zTQP@Nw>`=3ydFBeB+yOo=At=!RxNpwto&s0<51OF14sm%gj6*#p+d(K6(2q9UF{_$ zbZ&!V#N$<yOxO}nNQmrNmBMpCys@D^eCn6SmtQ}=y?fP?ZW|j<PqFZ+Q>ILrvS;_I zCwD@po>=Q^w!?h;YOT!Z*%|8BuB=<7lAAkiriFH4sA^iaaSzMQ8=+G>*7~Z(cpaEh zqxo^ys!4jMJXArcw|7bnxWdU=!hh_jT#wB<sh!S-f$d3stKA-1fD4PO9?pkAwM~ra zq+<#^lFd!wy*-RSlmtG!Uo{a@{e}0IOl${LHKEGkpyV*~%n9qSmXRN>t&R*y*?Br? zy6Vx;%~#f*-T7O}J4<Zm>a@;i`8jz7o@bfLXXoeT=BQu0a_ItS!>6{g<F{wm%FdT1 z`RekXJrc>e*+?j*T!-h)>DArY+92w+9rtzHv!9D&r(MyB4nM2(EZNi7$+^JOSE)JA z)0e3^t!VGBKXa~6nswy;Do>T`?6u*(pOW6M^89g4txeUm^IquW9TBthm1BY?KZ!N< z>=tD|JZV<S(a^~oB4(d{esR*QCGS>wz6n|r9(X3!l=E)aWEVZoJE4=0thMmGGii-# z=58NT&fB4r=R_2SZ2g*bt4j3kn^m4ZYb;)EK2o*WNXYX<*t23!U!}6ED#_W#o)JPD zRN_5%t($cu`>5xksVUt-pH@wnJaIyQUvGHpmy694kMqffx5mU2PMgp*!D1cP%;cky zPHIkaaiT&WL5nY?!dq2h3Ny}3*jUGDx#QlmlUtS))fxsVCdY^deK_}S)uhsFV?Nfq zcS2R4UQ?64Gy8U^>g{W4w>nL?uJ&5C_AIErSf`Z<O_QNPIk{d%jh=O$Rk5L)x{ZR0 zx{E%o>YUUME);yf9NclEdE)U<;c^YNR{>`Vue!*zw|2CfubY(tY5lBLyCl(~m>lq7 zRVTDGFumBXZ)jNfepP2@XQ%GESrzH!S5NHPD%swY_ioihXbWT2#N2G*w?}5(3RS)g z%_hAj(2`0@bDNp+PNjoN`<3>tle+0DDY?_tvNF`=<Z-tXr)x^~Xf1-K$*vvHG^qql zlc6rqG$}d}nkHRgX|e;9Ca0g=ULNWqo-HiMzp?d~jEBU8#t97*>cU(9G}kcRWIxIN z^3kdZ)f1{F=qh|xh;(=qD_X?5gzJR0hxG|750UUzA4X4hOLocfP!CHFixcK2wyk#B z<+#alUPDZCNVCgstrV#xoF|M=2u(<vpt6oDlFgINl1-D1vn=$4!3lj2{S$g8)~<Fc z3iR`Gvy1yGP;|8L%f@{hk5^4S9?CCSuJh_d;Z^6OqUn_#j|~d{egd`WLizc5xpM_B z?Rb^gD`~{Wni%ud;8@~=Ur!YuRDHehepP#YyZ$wO{@;Ax*HxW(?zG<ZUe{{5O$UMt z)2BaL)n2Oc;@Oi2>#8KSKiac%>)QBDYwcrpzk1jy`rP2{h9io%7Iv<dn<qO<woi7N z?4%v96mKrPvG9804aFOw{QLQKtMTvT+rI9XyJqEn)?*%ji%Sla&a)8<_l?<T7QAA| z=G|FI&}QRRmz`TrvVDJ6cJ&CX?CXS8KWeZ_(pMyUc4tgNXMAT|xbK@qJwA69&DoQ+ z09y1hLyNwv2cSitsQ|R-V}liajV5f&%*?For6fS$NBPwQ2OJa(+_DnxhcbVTh!HJ3 zddp|wqjT?8b-<FpAT0R{!jiudEcth3K$E{{U{0=5kz$i#onlq2=*Dg%p+ZplZ-AzM zR#^IHgr@&c2Wa}|f~9{ZQ2Kvt#Ll{&OM>}BE0;t?F2nzITrZ9<FP~Xor^((kk?Y3t zICY^<tLpphDonoZcqRY1Po~>y-LK^xkKL3Wh5qN`%@(<}<CVN3??FkUb-&Cd9`n0B z2>s9S_gmbT*suNPS-JO$h5tPro!Gwo(W?6L(qhekn6LeQ=XCkrt*Xz@&B{?<_iOvK zFs8FdLjRvTbL^By%-4ROH|Mo^-mI#RuaBKvA5$M4zIO4Hl09dh2C?tx$x(R{`dY5` z!;Uld_0zHrNUSXF4PTr5=JD;_Sqq?@gHY!1HD^V%-o05T6#;G9nFi$LZaTPkXRPUl z+}uTTBZ7BlCEU8DV_X>aXjLPuHeo(`Eloi@;z9U=>!HlMHI$jRYpAcUQit@Vu3F3Y zblXZ)#(d3dPXaeE_8u;b=%}c8ysEsd#W+Xc+KyMUy}Nhswm!J}-rc)<cf5)PH7Xcm z*ZA%ctJGP?wIeBa8Msw(09Kqdz>1RwSaHG#D^6Hp#R-?jHZ#VZ3<nwZGwfZ*b;DJX za|ft6u|9eH*lAFoYSmn5agwziTAbW^2&v*it)a!q)xKj2J*TG_YE*>omdGwny>(-6 z!2HWM9<M6xu`beiwIgfpqgzJ`^RKAJEsJWexVr1`*35{E<_*VsR$sd~VPjoD!Coom zW4}}%guZ6_e{FT$>#O3m+LQBBckRf!J1?&LtG8JA+SAb+<7ywR4WIAjoptwa=<ARh zYu87ww+mjge0P>?muR}>_Bu`R?M4s7o<-jdeSPPK-<q{&c5PXGZRNtX<{OR9d#y2# zj(2~wsx&nvDSWN;YVOs)cV)>&%ngqXmkqxww)9EpMKxv61o)R7T2YU?nvZpCd%P;c zM(q0QfHRHEA6I2`YM*=9J2f*RBRO@|d8u$$<(R?{DdQB5IMLjKqk;1cuN~%ync1Kn z{I|L=)MUSC`px6ppD#KZdhyGrYx?tbuIY!bIUc<6-n&&9<=MrN)0vLm3BC9tOlGIW zj;Sl{?qActy{_xov2Fj3%(`{T#d)_@)UB@M&oxm=ZH7i0tseY3clYky`=RgOfxx$Q zRplUX{Lv~9RbE~ezIQhW%-;R#E(pxM4OQtA^Yz`kb8jHZlEA7y?*gg07Wy7E0enSe z{i<ak@a*v_(6lfFw}yuXLxAy~RkJ|gR`2RnD_5?RjSKaKgWhAISHWhmLCg^2_)#8e zy?^V<>&Lg3hgyqg3xoRgt8YR3(6Lw7<>ej&b?jHoy>n|z^5*8v)tifVuZq3hxpnHw zZAO>F)GklTN`JJfEF(MEHhTT6*sJS~bOmplcjT0c@a|Q2Z`_Kx)q1n>W@YTvcc<5# z&U?M8EJpjBiptX^PeLy%U0;^m@9r)i?wg%*X5+pK8#*f<KT=qC=J4uehI;QF9PChT ze^e#g`8qSkl(%qir=jz)2cef)uBXkC?>TUKN#v@BMnM<PUGQ2kbK%s56JtetS#C+p zl8BPHbv^XrfeU*s?7Fby!Zr=n^}9?ZIe)&mQE^pQ-d3XWO+?6^SJV2o&P=xLo4Qg> zY2BH_Q&&pGmA-ac$m=8(es|TzM`t2t8nIpHUUx>KG5l^5<B#XtjjoEno?a7v_f>S^ zmAzlR#j9RNKUrI^w=!CL-L9iaxsMceS4NkI-woRMNayXVpbN33+(+-6n$jIT^R)V% zU)#5>&fB?hZp6%N?cm(Kzfz)twqIDcYwET~I=*w(o)OZ%obz{6&fiZzV}iDyShveH z>1g2m<kv?JU0dzX>HX^3_0a7H!erJ@y}32+?xS0`qGnE+^qpyPcCjSq&mB?6x2F9} ziQ47xcyB}h)|<&|6JxTc*_5p*D_mQt7whmb&f)kk84Dpne%|-2c_MKQAL0(U@k$w| z@EqGAE)X+YtLMPgRSorZwcnZauRSYz$yBrYZt=#by<6RK4?c=h$j{Xaf3UV}X9=U| z>aCS-)7MBW=3cTxd<XNMRkz~jcZ=87gooaao~;}9V(W?BtE9NM@7ifDvt#wDt833% z?%c6@)x)*EyRAjFgTZMp_wA~zqSejDTTQmCUNtjfc8cnmQ|nf_CFQQFUD>;`b7gC6 zC~r=7s@J;r2e%rjYhE{x+xj|it@qjN)UuyDvcki|r#}w8y62koQE<=xR_o&p;AX^b z9?spnc4ftEUA=m>_p#934C`loyZ-!<tNi`Y%ipf0b&FQ%ep)x{*rBy~?|9kYt;#IT zF80%Vw<@zD`|`WI)Kv$fXJ(dUYFr9ha&@iGtesy?{IBMC`bO{0nsw*Z10P-9x2rPM zuBk<GZr-$d*~Ez1nemy)nMs+6;l9kbqh?NeU0tyDjHlO$W2=j7mz6H9bhP`mLreT= z7xT`4g{iA@y|t!44!yib1U#Ch1sz=re`=&MC-JcnXLiig?qj!NW*TJeNLg`yV|4a3 z&3CM?0xq0AdYAje!M!`TZd`kpdrpA2bM)F@Q#O<|Zmwn&&fa_^DmiRhlu-8BqL)l5 zt3&6XU&`Ham%C@}*$rFS-Y~_Bzt|=IA!@exgIx!%MQvU(CnkH^qzTuXPHbIix6ai2 z_*S>C7jHBl-`Y@k98^tpUT2c&09_f$xt`VRS{koZ_SsK6V>Trmi%M?f;byx&>*?p6 zDULnajSp^ZIl#oN!>~szBB7C0>_aTK&V~a_+@IF5f(6R2Gl2zmWjBHZ4&L4hQoSMJ zZ4^j#L_wJ@NVSf_Zm|e~G)M6ntnt^G)_<+Hnx1h?BJw~fr-7wL#np8Z+UFjviml2H z)_?z~WGgt%L1Ry#sJ|Nu9*)`-0FLL?tGVB;iUkApb+@iwO??FA#U_Wp1r0jBdi7{m zR`~S}L1nj|iapA1JragVM>=H7kAOua8Y|o(B7&yxAR>lL$7DfD1eM)B+y&_ZY3Q;} zf@p9k1gU3w*C!#eM=QKXH2vb4-4<24>rRR8F#G$DAw2EDsXLEfNBe)S**Ndm(W<LC zo=-RJ)|!5=$~b&F)6vkKFRm?{9V2rmbmzTm&rXG8@AjG6{nlu@x3~Vv81;3hwjP_h z&1mAZ5Iy1Wr%utc(^b!$^5}`3+MRP$kem7Ouc(=EuXpZPck1faM=Q=hopfsJ*1DZ7 zjHf(Lo;cpk=;1EkYb#M6!}B5H%EB4U?2nE*JObaKY^uk`0bW7N@i<ArP2s`(``r7w z8$oBJ=za=|;n@%|yF%;Tn^yrbJP8cU#j9fvG2A)YFo!i}l2}e)9FvdRuZEgEECo4t zE;fG@YB;XMC)+ih!BU7<kYArMPvp`D#|qAXWH-=Y2}6^?A0|e9#(!};UOe8-a^rIJ zMWy#b4Xkl<yLNjs>=r4~c%jGm?9r_U_w*R=+_>boCYEW%!kIHIcC%;%s<}125lYZ` zbL*)P<1P*cw}$oJ4Ejt3o<a%TpMre<RZjOg)}i$&$kHo9im}}N$XfZ2W`}ZJZgXrC zRCil(S1?=olG}n_1H(r-E}ep@<t;Zkgp^OX_4Eoz3z|K8-NzvP&~#BY%k>UjuanwI zMna!1UUcu*UNjMO;k2OTIu6iz7y^omK6HW3$KViDVp|UtI`keU6cqzjwD3{af#~{l zP-NZ_byRoqbD6_oA(*dp$7MsTV6Kv1M}+V~H6>q`Ssk0T54Cg%DV=ed(y5@><kNCP z)KE>yhh;{GzF?fvE|;8G!5GjCrh<$<hk$}Z0|R5y$0i0wCKe6>1wNQi(=k?vhyzQU zfP%x9SOKAF1`Oqf0^8-7^Cb!nJ4mzLFeqSR&Jt(Nmhd>tAjRgB@PLO|)gYkEFrkf6 zNJ8Q80SUGv1_Eu3>$@2Zcq$SXa5Kk9+*mYakGKzLJL|6(h58vOPc|k8y7yH#KDu>e zVn;>A;tz2N;N_;0&EJ_Mn?U>Fe%<B%7x(4k+npJO`WK>SpI*xSNiSk!6sNcNiXGw) z*6!cD+Pg97U7UluIQ#c!$IA3GtT!&3)>c-gZxDEBO%Kb=8+#LSa^nQb7;mt~i&w;6 zC_Q*>Z$o!ckde@(k75rlx+n9y$#rjMur2}J{asPP-B59O!v!|Q-slEU4aIegV-Kqa z!-Fh!kp=I?9`v7LfAV-~5jaH#eT>-O;oSac>8Xhs9TgQT^VaCcWQRz%WcNljXLe>C zTe?WG+3Q0P?>gUA5+MdFm{$JN6Mb;&%kAom<tu;0Y`BnJxboAAuz;I^4+B^32q_OK z4Ji)MToDkXWu;}QWxmQnYlrt&x2tYT-Hy7IJ_=eJxHNF;0;k1Bg)7gkIJ4r|ic>2* zVzi9347K!E8E9?r{_1+w)bpt8*3$V?CiP96@crkDX7}X&-zhQsm5)E#TK`Q)_q0SK z!^LvPJrU=(KX+Qs+Rd$$&pNNmdbfzUi!2vt26{U;)48?1Z;wRXVqMsl_GnFuWGDBc zo*W+@7YPmnfjIFa;vISdF$&u>)Rot>dx8${>g~Di+`si@V|%--oS5y44+~x}9{)N) zS@7eruLT(|8b4HR2yAZad7XG{fkMw~MK^^7_rHGlz9|2F)q~=N)5~qQBy4m#`n91v zefp!S70!<8<+f*z9^4trpP!qPeZ85TU+zMfcH+syH@`ku*dp2adSOqF&YLO$=HH(# zl-gEQKKQi3v~uy3NfZ0cxn90le7x7p=u^a=i?UWCg?BRgT#iVaS7k(aR4g{Trq=r6 zLGLw<hWlBnI-;OxJX!@VgCg!QJy{Fs%!@7HW4${k=FV+hhB?vFw>!6PiDobbpAqJ9 zhiS^h-cC`4H4F)?A9k_+&~gZ8+`+ZM)l#x@wF;=oZ(?+Bm0YOJs<IH>u$L=>)*LEa zU8JIPZ%1f*TkGo3s8vdPLd~)+OekEP#=~`JN2nna<KMii_q+G)jXwI^N&kJ=R!}gk zJ+ynXcj4++0T)i*UA5D~Vte%0Ysa>gt@c~7G<0F;+`C%Bp{lFStn#?CYLnKcRU21r zh*k|_4f`5;wRH8KRXbK~Q`4UkWc2BylcH?xmmL?}+~m5&cR9B!^>Lq_*irG=iJzC7 zQ~%1bTT3>C98P7C-E%=|brrjfx4-Q7mEc}-t(?>~jV*=qTTEEePwY5<P@>*|>AmoU z{P(W+Yd`HwSa|)B-RH0y4_EFuzkAnC5si0~!QErK`?s##@VoQ;)~_u>8EWr7=ls32 z=8b34+DJQ99oysYCg<eZ9i10<euMgr+B)0aYV%{xug!MRd?~?M*(+E3A>&P9uSEHi zh%1G?B87K6T=uxNw_X3oxn;>LL!(uNy&U1}G4a#A1Enq=l<sv~+-NS<>lS|}!$hjI zaPh^ADMx$V7GK;AlF`UBk?K8mH^W3KclX5%lT~GlFJ^ps<F@$XCXj3rNZ;N(6Dik4 zdjeHdR8Dlaw6t8Qm^fj=gt8bF6_q72;+&kEFFz<LDk|RG;o;%oVXP+v0zDvwPs%|G zzkx)8?zuWSIRzDh1cE?1Rd#|^lz{{$Oqg&1qzI((CP<x=lhdnR9v&Xn*4EY&%G1H1 z{rKIRH*elNSy*0HR>t+7Z_2Trt6mXJd~qvy4oWnNb1{E-f3)@2*N;1QT(I3MrlV() z&wB4}>#7Z_oeLLgzIgUXFNE*i+P6-3Z}_c<eGw&f>t^dLjg3)DR5Nb2&RQF<=jiEh zvPQ@8s9p(=6dP-ERqPA#E!?MED;Gvjo;2aR#;1!LKg#`lad5|DxsMT7CO($aeB@!X z$GyXxC-=@`r$bW4?*w@zo10co-B7~vSng=V{unDRmM^>A>-B$qdnY)bd+(m=D@{ka zM89*qKc{!pb`>bY_CEtP*fsLDo?fcF<LW%VqL(M$%I)2{ac)Gg^KSP{wR2uGrcS)8 zER>w&p6H(79`7Fe{lx2z*BRYKK|-HixvV?ue*7wEw&3wAgLP-0PCD0j^s3(Ul9DxH zhbH;;tzNuC&izr=)#W8C+fI8d|L{s--K%1*+!$pisV3u;n8n~kx?XU>{d?W}vX4A( zYK`m8p0fQApZ`(QU8`q%H$IZOcyi~_gRzUZn<<-a6TY5tR^#l77QtB)JF`pDQqoM) zLeg9wNlldOl&q9&m28Y%tiO1jr?TGSwY!77tlXULeJ$L}FV}1P?$i$D<BR%sYdzXA zv7_R;^I@r0vv*!Q>O7N=MJXq*-B{@r!PR(CtK#~>Qs(*u&uz1fw_l4Wdi(6eTGMGh zyDbZ|dsTh!$n4m9Y?5l;x!Y06CpXQ0yzQFB#81yQtTjC|yEtiU)+90W^xU<L4AU=u zdNyaRMa=$4y|*&&?%dGaW8G$LRt7tO-?*YY<qgNXBR3j13h!Xv@wmMFUs>FnFK<7* zee-t5jm*OGFJ+&~BHpaIaqxEF#^mzycV(~2g5E5+vGDfH+nu|ui>wQ*^R4r&bFFi% zv&)|!>AU53i|<xn=iJS*n|B8%EzfB`!gurBjlLUvH|}!Y{(0-;t(UhR-nuz!kGI#! z6H6b*>P<iWv}k7qn2eFT_q1qdjNWvUcVO!Df!#4+`S)OQ=aJnYwJ~}xq0-wR(wB}v zOtCBjnewu9`st_FVqeL;x^qEuk5!wMSqVpJ){6@lW-c_YC{206@#@Hh#)ZNwm{&Y5 zFa1{%_u|XT4=>-m+;JhZu=ES)wDA{^!^V@#OW&2eDhYbA;KIVoGcR}UwkonJu*$c} zv&yx~vC0mA-qJVAZx-LIKF+zuvYS^2J1zHVZ{eGHZbsh>z8hCLFaNys@zTpn4=>%E zwMT1diprA5q4L*%XS_LhBKhMgSi2zfh;&=48AoQ!R?zm;CPSw6T+AQNzjwWVFZ5^3 zi>)V;8_TbXf*P|y7h*+^&WVw^6MZ}M?hU^+E0@mPp>^w)jdtR;YdX`fY`VJY{L@KZ zNoxb=pI0q?vnnOKc;}L?*0p6jmo%*nJ2dH4>DBdLPpfXt-s<6P8sqlrTIkNOuY5^= z4sz_#2B-Ahkm7h<rS_W-A$Pv!)!vQanzvN+Xza@;j~?6$JH2Jgw!2qzQ=_x5s9(CY ztL(aPcJa<FtClXDd-tjfr?F7EZuI_`sFPv4W1^0}v)Weo(<UnF;5(^pX8YI0>aC5w zzAo<iy4dokQM1_<8W<h!F!4BeGcfKD4KQ#NyS6v#|HDf<(^si%*d=#OfBK5BSx<x7 zr>5;ZlDITdFE=7{#x$cCUhU$aF?xv+GhYd3dcN{o8?WcO*5tJ6im7QkzihdrGkwYR z%v8PUi?q)caqhaLGkw;(;#9rqdTUHhFXg&^=v0hd|1^!5WnWJ(T{<;wr%B?{z^)BO zne$FBT|CwEGt0rC3#L*2pJVhGW1kguKEI?hecI`z^Szxv$LL+WU{g7*ChvUJ*PSu9 zB4?gfojcVnQ}g*c?aK#i3yKSK@{0eyRGXgl?rrM2dg+Igs;000wQQEkClgomcS?tM zuQ+imSMXtM$YZ@lh2m#-bf?^LZ7!eqAXa2C$FZ5Njpc$5V!xD&|Gev}|8CK~-5u}s zZtd=lx#L<@9@xEG<Go%|q4?Sz-5=g5iI!iy8=F!te)X=a<~t>ka>2W?CgtKM@46P2 z3*L$SQYOChu4`_&;O*FwM|x4ayRW=kv}HHPTRo#f@ws<hRo^LX-o4_Do>HOs#JjG^ z<r8njij;{r-gS*HpLjj?N~w6^UDs&yi!*1RIO7}gOiig+xOZpgkvlGlc}fQ67pKoY zaoYFFQ?*A$!nr#;wepp8%>$>+<~Z$J@>Fe8k#OkF&J}lDg7cI#%>$>*<~Zg1<%wER zk+A8`&KY-Hyz`V)%>yUR<~TXgxU=Vui))^elKI66vpr7uZaL^H@<ffPNciuLPL2FU zCue(nQtR5&sgS?u`0NuO)jkypo8EJ=%~LuyyW_a;md9#Qdpb+*xOABZ_RZ!v?mMMI zSn-}qyZOc5*(W}zY3=FEx#QAe9@sm(<Cw3>W3@?z!o2rfn#==xW^)|#6{!$ryysH? z{gcqoyFz<+bpFay+P61aN!)zmJ>M&j)K2a0jJV_CQnB4dD{s;6*(ctqt=iogbjL*_ zPifcejCX32c6UC?Q`#~6#ap$a-JQ4cl(x-&am05^nefWHE}7<nw|r9`safsr^t$7c zW*&Ic*Ck0+$$E0e8#STborm(2Hq3tUTJ6)W&Ruy*>t<)Xma{76pSiRB)E(!PcMA=_ zPnc1yamJSAncS};{>q*0yY4v0yj!UIJ>aSBlBaT~iue<Ewy(S69QJOZ)^~xa)fuO3 zRi4Uq74bXnY@c_>+3(##weJFxt20j8E_ov7Rm88jv%T+*v)j9cO5X)0R%e{BRe2(J z>wz3s5kKS3_PRUHQ@&q#VyjZg?|RR9!uJc0ZJ$)||J>0o^?sq;cY(*YM;^=FD&*I_ z=WO*(@o2Tiaod*1a$bAd1>P?_T>avhZAt~d=sjn%cZ!FqR~)l-c`P@pkpJY4cDDD5 z2TL`M&2%<+x9~tU#|ODzyW9V~TPXfL;J)pVa{jk>o%P-+?yX*N)OJca|Hd8dVRxJ> zzE9|?e(_Fj)9&_H?-q)D7wD?)cqcb&cl(of3wKm=ypyZi-G1lY!fn+ZZ{@5C`Pbfc z&iWp3%eJMAf98(%bMF=keV=gCHl&Py;*Rzc?-p*X_IM-5wY&YmyM^nkPrR0UwX1!{ zyM=3`U!2iRc_yY+%sp{u>#;jdNqGwmwhPRNesNm&$y2dcMcjouTesbDn!0_$v}ldf zx-Cz|b`^0)?rdFk$0;Olq2~4pQ=&Ca>9RZ(t19BQ+}S$oj+0N`Le=dPCPiy-PBL!o zyW`}Rw@_)jz{Kc`6S^u-#BMzh<0|52+}T=p$7#y;3r}=aD!E<nIZfDp;j!+M3htjf zTBY(A%54{Ttb63K*sVft-Fr?}d5TA)HID1HJQnlX(<+d^@No2tW4b97+@klK%<>cu zMXxxf>!Q4pZN-*Fw`Ve4`E{j-fuVtcfkA+&S(f3E$tuZ33?dEotr=m;R}BQ*3<X#M zMT)r?p9#$1RbbF^W4X9@{X8D+njaz8?<sz9S{7?n^zH4e9dCs*95>1YXdaO1*f{k- zR%4qH_lJ2d`<C!cW8lbpw~#p|+4@z_i`%(Mx7jZ!9W7cD)L^OLwwg2OQdG8crr7(; zsy(clvu{Yr3mKn!xp3M!m4l6cl$HL}%LY&EcKh3`_k8p2)=d>^H}8u-f97yQL^^1C z-)q_EcPGo1r9ZnGQWq;3q}8V_8-DDp*tX{-mjZ0JnmKvxS)Z1%H9XEEm~~0xultc_ z6}pZuE7)(7WL^8_OWfRhFRf$d?n(N%@|^zb_@L!-RsAdc>TC9er>F1i3wpj@{?E$I z>;HyddD$QPc;)5$b?dL3oI0mDw6W~Ia_N%&H@g|z^p9<DW7z9=`kzRZ+l$$u|M&&G zI+&Rp%{DI3J$FNG^`8yJX&H5kcFz0}CeBm0`kwv5AOBZ`u-^6(oP6WelJZ|h=I(E% zi%#KGJ-fKPRVab`Xtqbw<|i7R0sIQv3^)E$=I3h-t*mw^li-^Alz+z*2c3>HOBCL| z&iRmEnx$<KlQR1cZ|Q<6?U)aC8@F7&d1Q{)<sA%>OUpe!pV&KRiCskchFf8?Zmm^b z!g}0t>Ek0iDi411@spam{F<}J$<J38ys)}??uwM0P}h1<=d9N6R~1v=+len)&@RBh zmF5(bJ4el<Zb@v(1TD`<)6bg>R~s}6eOf=)xwPV>pVKDmwz&yDzwY@RTxom9Z$Z<K z%;yTH<2MBhtm@BLUZAsoyK#bN|EA&<>~(2}W0~&n-&hlO<=^4PcfE&m-^w*!@3=n0 z<y_t6uBwNDZeg2`RK0f5e$KS`_8Kn>R_X4%iuB`qc(@Kq^(<Jn@cpuNJTu=pYr1Sp z);IoAFYcSQWWn{h2V!&{&*hWWexYL_b2H<KbJ%WyJsKNNn#L_Y&Jv?nw755Zvz+4$ z=`<U=c{kO6iJrgvXyYcs&bg~U-nz-V-u=~|Q^%cOeL1;X{?(Vl%T=YHmgkq0e(E~D z*vfw8{|Q#rFMchy`ulWe+}w(C&f`n@RGpdbicOFcQ+--4__^jz$-W7C$#%aOZIaFY zbK1+NNvE!1Q$NDjH*49XH+yHO8MgJ!UsiN#pTF=*#jrU^3-!%|eq|(koOik9t+@DJ zP&kvNX@9xS%1hl%ecLbG^$r)yZJU3Q``5SrC(ovS{%bJrLD4L+WBl{GHLsbLzI0e` z)R12Gg^Ty-v&8Odh1RIRh?K`1D;4>z9Fz{7pOe-X*2jBw0>69rnxCofw<Sxv?pr?b z-!x|3j8A!`mleCLLXSlqn!#};b+z7S9k*g-<0HQWoGunbw*Q%?@XF~^XT3r9O^GY= zshXiJ_g1&0w=#Fhy_C~n?8_;)d9d$`cvr?W^D~>yoIaei-SOoud%bU)-o2Uf{N0@M zbCipV&QF<tWnKEYU(S2duibbr`sw*0pGmQi+3R2PIe+sq4?a`E6}dl1a^;kBy0XsK zJgpbEU+#7JdvI6Fi-$hPKFMBIITrBea){r}@0m)bM;@7cJbJaLz5U=LjeSLWa+|-d zJkh&SZTVG>>sK~8+%x;K{1vmuRLR%ujN&{dp10;+ym8|3s?>{_cf{+jC$T<kiRW1> zH2GcNxBuSCK|#yx1^Qgyp0Pi`$}sN}!=hK(#q2*8>`k)Rd99<GooV8Vxf`v|B=h__ zI9dHmP_g);tHI%JmadZ@7UWegE@VCQ;iH1A+8pi59T$cCMfc_&xBV90@$G@B$GIL} z-o|(Dn@<}~T9u<&9&@4D?#9%-J~_9Yi##HCP1q}<$au75R~c9J`#Im+E6(?n|DK<I z?(?->>67a7*Q6iPeg4*X^3BznpPzc&*|eoHH|Wc1j|lfY#jBjV_I|!JWp0epwDl2U zfmiou>%CSzB2l|4^TdzxTg%V<w$7Ax`Z#x;mj0``rmNn5nA`a^dFhuu{fh+Wt^X4e z>^J+#+vsKYJa>9roUkcJXxHimKB||CBbz6gSW8{qt^OfLcR};h^SA!llsxqKb>ZmA ztsibreWmbQe`oW}smy&_T66YpVO{%Y4%?pk$!m7DC7+Z}KeOCno$C$FSI3(w%VfAK zESwh{FA#T1Tx%}3bLD!m96d(;u%zdT&oVyq%~)~el273AV{cqm%}M(1zw2Mi<wuKB zviy7a-goxS=UaEv`TAk^UwXZ_v*urwaJo0^THxh8U$54N%(LEI-#4X+hG}n6do6Kv zyV~j)mZRajxMUa=M5nv?N$0!U230Cvb<6U}+7uh)#5K{K;pu4szUUuzYs2oen_jh8 za&Oj}VBx#7LRueYob8@so^n=e%D0TOxjzksB(Jn(^se7}Q@5e#hdZy=YW7mDvo9GJ zIM%TrwNtt6xW4}#_tENYhc?{U{Vhw%;QSva)~8GU$eSMN{@c1HZ_@v(?lu?ppIq(v z*FLL5{5N;xU6uc<`zsdIPY$2_tKM3%;-5iCX{InolJQl!uL(1k|K@!<>EWzHseA7E zHLA*<KDRLLM_PKqRr{pp25x`Tmls@Lw@Ela>G;;kyz{D5b=F_;ojNZ&a<i<;sVPsa zw@bIpP|iP_r6%8*f1;(V`|Qi>{Ex5P4F9kH>d&!tkFUJU-yZ+!N$9z#(qB&>Yn1+a za-X|Y?cL>No2A@3zwIfWCB9a|=h$7z<#MijBTi0;^HFjQ?>u)$%W6Th*Me@w9X6^z z+<R80B%C<ZUwCe}p?BLi*U9IC%LF>Ee$CS5)b?HR@X0Bo6)*Mr{%vQ`wU|H8XwnKR zu6wJWaZgYQ;yQbxOvC@?8_mS~6HWfg{4AdLZo0a|3X45_AB2)wn-3cI9&YT*c`NYM z$o<nVwM;n<os9uU`Oe<irjyK&Y{d0Vg;8<l*|S;}i`J<d9Bemz^WND13Ufv2gtB8Y zH}!wqm0JDi(8Xf!Uas|P4yw1*>|f@m`1aamlP%xRpB0GN{B+TY#>}=C*$Y?33aUmG zyl3}ND&M#GnDR5*vpdh~ua;{`nR!Cj{m33~sY9CuBIP11c%oabGd3=mo5d#Y`!w*B z(0B1oNphzio!q4`aZTmaZog|=RIHWPtURXh|Jt2t@{U`xx=y=I)G6DMn;y9~a_8}% z{QOb+#(N!}u$S1cnZs_flJ#KC$|E*5+%_+dU)+3_`|<x%Rxf+X4IegIeaSM=c(qVT zuTnpKVYW!yEsIAN479E{RDM|h?P~>()wi|}7k3(lv0dzDzL5G_*0SS=@V@{tNyb~I z99<jl2tT;?@4><Ydl>>}MYA0_G-FNf<zpHj1K9<>$gSv#Js&0R7SyL_@V2j5#Cx@O zMH>g3a_RpGSGN8Vtoy%krl5>SzZ;K}&ewA#4Nvagc{nG?)Z)|k3n^|}?;FkKw`lQY zeUrG?>c;*RlPWT#6<)mKxV~2MHg8H=S)WaihQZH^T{kx0kX9=xcAv85wEj7lmrEON zl>~QMN;FRO=zjLEWXs-d8-(m?@}4}>U;U}l=*T|P6InLF-&uD=FlfICj166B80yU& zF#qT}TYlR{Lz6n|)ep4w?M^Y=={vX6;?~9uhgaquK3}rF;=g&v;rNu*^J|xyD}1ak zG?+Gj?)t!ni+2s~d2jsv_S&pZ#+wstr3`%~e${Rg+M2OW&0TvbZ}yS)$!^!Kr0UB{ zu-(|s5_#aP$lL=JtWK_8Cm93yLU)8+eth9~Y)0+Bx@iW*d#aLc9N(Vz_7-9gOkAG( zd!bCsleoMy?@RQ48t6`#z4k+M;oc>z8A)r}XS%&!F=fM+a25T7C;FO}XMdC3W#apH zyX)@BXRQ>a3*Nu*wN~}lSGTBPN;;BidaTGUB}TdN!0NXd=^bG`ddKGPVq50gQ}Fi= z&%JZ4K97%IOK-E{<~XBg?@$?gLEuRCv@!`6E^)a9J7h9AdS@wF<?&hkb>&(baWA-V z-*cVQg>{K@k3UYGc(mcwtP(4cyBUs+vsOP;xN`fT(L>d<OKQ*RF>I{bHuI0ls^uEa z7j6~4GPL|#`oJpUs{Ddy7RM$TNj6`tp0;uQ%l#9*$}VV}ndA~7`$0`nM)zRTy8sP` zqX%uD3m)(678QxtKP}EYDf`m>g)iI!9-Op%leM6kW#-Z|)uIXo9gHn3vzC5bV{lNA z;myQLuV&Q!HSn9e=I@q%k%w!2FYFiG{lXw+W>Vy3<KAgIxBao-$+UpYTV3$x%9S@- zCVb*5@D1HG(`oB;t%KbkOfx4>x!A^Npq}gIz_!LpY37?pg)`6bOHEmHXoEfzN7f^s zjCN+JWo4=Fco-SJDk*P0%_<wYDj~CtVS!1b*$u_rjAjgT*h_-9OV$06OjWtqdgG?o z|8;vOoexba*6muw6Ffii**&R0y9FBkT#vd&S!uUsuM(P+#c<yG_S7PQi&NqR>Ua5G zJ$6WEk&4#RdOazJHL22v=Q~b(VHm@3;I!|uP9gK_R_j-ttNGRPMEOiw*X|EukAhF< zuh6h*GMyRm)tTe1_}zoeqI)u@W?TpeX1;vR(@};cSxJ9o&PI-=_iOq7iMT4g_J|gD z4DM!CtoZ+BgO|=K6P{>?1K;Lqerwz7oxr*7aBkE_7x4+Xk+UkkC3DP}+RWT>c9%oT z(ZIf%Bf*RIU6{0RX78gLbDt}<B%he_|9~&+iTS@DPGvc)ZhOL<|FtgH)Z<6jI!16E z>|Ltr?7Y{#(0jVcTj@IC%c~L%J*FmwemL8j5q$KOz!Im!f=PTK3%mL^`L5dTHv9UK zEoV&5{q$PAH-ag^>C~N>iXzredY+sza~7IqQsz`3r|W&gd-J9fOFo@;%#&-$u#z>k zs$91zXy?^qZyse!37&oXGEQ__v*P4ee6tz;-8%BXGbD72gqUbwXZ6dw4!novOi1(e zujH-l{^nsn+na^yn?pd*5k*I59;u~M92~imB(_?pO>MpC)gRNt;L;!WGyC`dmzQ?$ zj=be%{^wcx`CmJK+bT`bN!V4x%z4<BGwoLsBVV^c)V#))CB7Vdde8Jiggj&qWd&V3 zQyyY{bRu*8#8N@0n@^lYc{n6LeR!5{>1%vUM)T#3P1=@yA->11y*<L6@Uyn2;+wVo z<+rO3Z@c@$=CQcEM9X;vo+guyg$rFcHMSThWbWB`^?-h-K*qZXr$P>DG5?&kCzokM zwv|;E@1qZ%hhK$sC40qgD{(z17<zis+bnC<dzbWWUh1{Z*l%&gVD_>#cRM#{cz^Y& z2o&SI<h02C#m)cf^6%gIe!g+z*KYO2LPGoBSw<Shq}=wKuI2cF=|Oz<#y=_IFFgGU zCna{}@jE*|KEy0Cotf7$J29jGfMa1JquFM5ucG)a<+x36b~rBUSY$Y_##B$d%H-pz zOS)!_>1<`n{EAX03M-=&Oah-4zZCxSqWxH*&c98CSL{5NPu{xd=-0`Q^*y%pX`I}< zwDPB|qrinQL9T)conp_E7<T0qS*e69;_|arxb~~>;rRgX5{vMr9i_`IugQqxntiOo zxlE*Xp?U2`n{A(BnK`8UpVZt6|1`(TWV&nR>%)>U+lAzo`%l@wV^3~`tNkX<#OeJ$ zlVw6zO-fd7h&Pk05YiUaZFXfSsy}LwqM;VyeX5OLIc&{)mz}S)IZunLdWAZ+UG`a~ z%H5RwGvsTN*e<Ol;WHOs-^J#V>~O8yO(gB{-O!I8&Ze(i?f6J8Yt;b@(Fvc+^m0=d z2z)$lDDPW-`m?^^#`V7+Zr?X$T1J)bL6=XQI`39DiC(dGUB7a3m1}0<+oydiF5Y`s zYOC_v`w5G;?e$ketNklVZ~oueH1Xcq_;AM0oLRqb{4|J7T=f3vzw(RomhKW>zq(1R zeXIAW{UX-i_h@^sID2f->!phJ;VvdEyWRS;E*8JrG_US$h`?<5R{q-c7vyC%l{o*~ z@I5;x{bkX{sSZm{aCw<dT3X=oevRn*_&%lYJLkW(^emEpQ0_bH5^Dt4mrbGHySN<X zKW@Dr$(LuR`D^Y^g)i*MH(EKmCe7p16pgyE{4_&l@&EpJH{XTbVz@p3-unuXBUAI& zFI-)8FZS(+O;L)!=N|Lgv!dt3zo74{PVMmfGv()*xGcjsB@=xuho}eIn}2An{eS!I zlXV_HHJ4p>uwPPh|4{PUzy86Uhjt|CX53vki`60Hf%^St8*kj$n-rGHYkJ9Kp>E*~ zp`~o$S%n}X>~UCej?hxEtrs$yd>36wc-B`_?&Nf6xq5*m-}J{NmVDFKXY6hRal81g zANazecl=?IjNb8wUs(LFyG7``X`RdX8y&aqdBc(O26NkvbiCSkSZ&|5({VG_T30+< zx8CrVmio3$Sr*#!H@wnNUuIY(r9AyZ5vTt24@H)%w-tf78(szY@!GWP*H|hsSG`=a zCfn=jts}aLWlcp*J3cdioqgnK<IC{AQ0HC~-wbbAnT?XZ|7+%+nCC9>d(zVEnFUV_ z_4mh5N;8iXd-6hiQXu!OHT=8xt(cw7%h+&!*8?kFuKu-x9+s~bGl(4cYR><xXV1l# zS&FPa%kuU8s|9AsRCix&+2#^?c&cek;9=3(M>bw<k&foGS<G?o+}F%YUb)#9N}AR> ztyJWl<+WpFOSCiJ5r>x*<rRP9n%I`9msp-^JO6&NR(%xjma7iYQ>9`y`pQWAZt$EE zb!9;$Z<Ozji!IW=J1)x1j#(MG#i}7GGdc5SZqr@08>vmPZc#Ny&ZfkK9`JREN@<E! ziwJ!X${H8?AT;$($&y&L8*Q9^6Zf7h+@x5{FZam*eehQOvnx%CgO4hly0zhQui6b2 zFWz-m9)O57$9kWExLFG?vdrF*Fj>QNh2v%^lUW6eIn8GkEVeY;83f`c1W!Ej+w;l! z{OQ^gs+ql0qz+8_c2FdYd*zflUMF8pnR82i!JVkGg_kA7T(-*o*4-t(H{)`**<G&N zXN~^&oIWY^yEA9b1P`}UAFuuD<?Y+)u*lG1t?TNj2O?}qYuT+s7e{`bba{0}WmBl~ z&LmM~{|#9ttKBwdnP~feC=y*9UUcQoX3iz^r>5%7%2?%LWZ&@5JS1w5vs3=Io%>TS z%@%nuYt}{XvX2@0*POolNAa5Y7F-5}T`2FY(3J;8Z@a8?<OPK%%j_c?J!L?|l3yEk z1t^3?gl{RGn^R!rEPYZx*JE?h1kP(ydnMEVrX9_7-RJf^yJX+7B6p$XixU_o8_J(D znpf~wMKk|I$fmU!|7~8Iug=&0WV*@E`t_F0e%2uF>d&T|{66>HKV$Xw%=H(0)}FY2 z!s=|-{WUw*zPR1BZ|#fQuWCepJ3V>5U2#v+=0i;TG`nA2o$GQdqiHE?T-1TNDR(@N zsphxWCz>1GUAQnS^V5F?@xpM+O+D4U90kiwUawMA3kY#=PBC$Db502fNpMvwNKtWw ziK#fc3mwUeQV!sJFI%R3re^K?iFSoEe_d2Q@0|7CHMLG8!Am)F!BkVr_{pEE7tWvk zXzxb3*^ep}<0pSM-6$vj`Xh+g`<9_8;pLK-h4;BPn`U@7Zwtw)I6AE`N9*9UfGm&Z zZ6+C750?q$X+2z4l#{u1n@I+5@dEwH*Md0yyKY{yvfaLS*_v<#P0_;bzn65pUuY6k zYWn;8+1Xx?*Hj$xT0CdNu@l)n6^FXj_f#C}e*Wf=h5oz`k2v+$8Gh1I-?QnGhWZ-A zN1XceHe9mM?%h1&_@R57xp#D53{?JLy5=SCO|fgwepbvpvFE-16_tea*4M%*Ig0;2 z)vG3c-?x04lWEqAqc^jIg>!_K>SkTYXwrRnqbR6#X`9cx-IEmKyFA1Kw9Ct8x`Z7* zr>y-f_~z9EdOBH;8u!V)c-?5Hm-Xq8o=whWh?t#R#^ncQym^-&<VAE(af_Mqr_^+t zW5E0Jhb(D=A)NKWf6R~8C)-*-GcVjX{fT*^t@P3Tk$c3yRD13h|59BzD|MqyjLRWc zl^B<%&{=ynI&JxU^Y6rvT@wQnw+g8$=svj06sP;(YSNu$EQ=P-3e1`(-ha}6i|@kb z3l&w}Pb!jxwmeDKdUv^~qt|Qt|GdnOa3LmPr_~164ut7seOctDpY`QXm`%>L2WfH{ zuiDqfT{*{mR_caSw^ZeV$mZFmJ0hEBS1y>_Ewy*U)bbTSG9L2es_egE?)QDg2if*M zyQ}*SUI{z>@=Ju9Z{k+PsY2dU5{rKI?d9M2^C_oWe1ewt<$ZS}4OOy_|8vxR^etr4 zsSDjwdskfKj{dj-Y;YuV^u`qzL6*&Bzg4+l<7KB)O55Wed>6`Eu$Jvs)`c}*y6bMJ z^!2LUQ1jx=y0DI|SM5&5ArR5$yY7ljQ-oWl)dv~glYtu>M0*choWgR}VIu=?=fw#V zSol3ZOkm+Zd9g#Z8^rBxN>a|0U8Cd{_#nAz>D<NhYS(UB@v?hX#e#nJUsVg%x8MG< z;WUWr%U+fkD9r!mqk}yEm%_lw;$_OZ53hJX*66*v#Ykdf+MAX?{+e$pon!@X#+=Ok zrk=TRig&Wc6UmbordT)qP4+FB-|Cck^2>ik@uK-n6{}DFeVZa~=zp?Cm;Is7i5q%B z0_V#QWxCmmX<g=bl>GVm_EWAe&s6goe?B|QnVOOmzkByA`|M-FGgjsvGi5tuy7u|L z-7zO>Ss!g%_Ii6~?4v!4KA!EAyYl2}dq&Uoo4&g7^E=Yg4?R`?<5%f@>e%0k`=;`| z@wYjR>%L!`W}JU+tDeQQ@L+}en^M2<ew=!{<WKfbd+%d!XR(>zs=obVrup-E{eO>c z=iSOaC%9zGZo}Gi{j<z|rT^^aPx{mK{_24_+PAJq{k5$7cH!*qYo|YpiLaP(aeMiq ztZkB4CN1O4?cCI+ofQy}{?dKw{1vg~TAebI`S$j`v0*NGA|K0}eh06#QJ#FLZ0X+b z!M7zcCpS);^!HZq@76iSUoP%CwD`mVZ4b36(w6%tI%i*&wt1IU$a`{r{Nz^>ajFwF zQ~$cBJvejwT&!f#5!-D?k}tEEhdM^<nqG0d%(BfT*;~alCg`xLX-rV`MMnp=&oznv z-}UVcIb$jGFfQ`vSGSJ2H}Z4e?<lBlnxT6l{@?m7-vXL;*!62%s1;M}cp!4K{LOQ% zTQeNpHu$`sdLoN4Pv~aWj+Bc{83(w{cU+ig>3xr#tM2XLovc5L*G^ykM?K9XzhJx6 zeXXh(7mYp(iyMpij-6X}J}z_q-TFT{CT`!3YL%Q`$bNut-D9q`_j+RO`fs@Y-}39& znwyKKTB>X-ntu4#)US@aT+2*9*ri7ui>ynm{UE#Cq-S$YqOA3Wpx3qLI{&tG1=vZu zUVD8aZHeCbte<huAM4!yFY|9x;?H^+D-dZJb2I5@y-b!|>88lSG?{dtxxB}Pe$LmL z5c77{qDx=vPW||rZs0lV)y_+S4)<iAM=f9xo#M#Mn4!QPB%pb3>atmvOoR>2KDl_M z-+XG}g@#3$9zoq%ISZ#V1+{L9PJQ|6lS#)KmtU$+@BOLfGc>#{wo)l%cGyzU)%*08 zvx<sU-1X}Yy|<a8J94`LZ)C<-yV`5j^>tyr^BjMgf6LRc+vlUV+>qr8PxOWDq1Rs* z?TT2I;_1Dne}3Y^KfPOnuijV`d4`|mv9;x4JwCZf&H~!5T;EJSajEIs3$pOnX*8(+ z7uatit+(55>BaJGH%u+MerR0_F_qxk+0(JfKCsbgd4mpn{p@4Biji~6|2y1y5!tfx zjLspgWU<7l{&PPY=-+!{v)aaDdb_<1%X6DI?dIiuEw<7jy_$Ls;*rZ-BE-tK`#y4P z+Osx)<<})!Z%&$D^>5FaO}w{?R{b?#&03#lRrA${`58z3hlBEZKMrp{%p1mb>q}5e zbG~4I>K}=Z%iCr>IUZfU_Hr7V!+|Tuu9eK@PGwBHrJUfj!Kq4k$(7LUiH&M?Pr}Q( zSM}TQ8P!T1Pg%CtT#)-qooIRaWz}Q5?x^!AZZqrY|8}R!zUQ7o`d{U4)}tQ<znC!n zUE}yY*Yv=mgxl<<FPhhQH>WfgZqM9$t1amiw}et-f=qr=iR7Bkb=Q4F9a)!tanx<u zm@<9!i$_xqtq$ILRKxl?qha|&`Nr-=#xlF|YSwn$Z;m#f!<Z?v_3rZn8M=R;Bu#fZ zW5b}ZKyzCAZ-e4?@wFDy&Xg+&J<!Qol(uB);T44(Q%sn@hTqmpx#Xec&7wW=S*hBV znMXVB?rP0As^>IiZ}H@N&uyQr{h=oQXl<Wz^^roJQiex4>mrYNCvFn`F=gTAv!+=q zUsP}ZcvS4{ZJX}BcAKks86R^^nwxPzIwvRFwR>$w;p^L$yZ0GJSWPzGagbLpC2Pax zyE<mus=q!+%Zc)vd~nf>{ZCJI>#SeOVr`c!xW%pdhOKGjBpsP`KkPZ@-Cw`dt7*1N zLd&w;ob+!!M!{!~%t|o5?y+UsvBE>Ag;tp|_f$;iJ8~}S#_=FFmf0W9cm?!qlzaa6 z(xqR~msiezeYr+@lT^sdlWp#N5^-_;mL>^#0@EzliZ1{5YPFB2Y^(j>o3r`8nCr3x zab9<Q^oj9_Vyjxy!<~oTd^c2Q%nUh~8uwPwE@SD%by;hUt&-T9p<VupcNO#ELs$P7 zPP(w4&*{|Lj~Sw~o_;;nGiiOVw%F#vm{hB*pAz@hsm?UtGHcy(-)rpV{;qTVvV)JN zKDwQhHL3W>|F`qjOXxQ1?YGmEvlo-A3zD-}v#AM^%h$1~*<^FidYP<D^{IQFk}1<S zZhq3*d*R2!wf*{+*BxGDa-w?1-mNd+C+^;NZTr*gzfpg#T|bpyx%TtvEqfR3l<aZ! z%Du4EXc4C?i`}6aGXs{%co-FyPHtHGL-16cfVWD>c_a1BOIQ~<JAc@BwlaRl&Mj3& zn}jwxs0eWKA9Z9doDve{z4ls{*wG2we}$@Uhz!}1<n&`puy)jiU)QegnzmIYOSE2_ z=c~>$4t|S={P91ucbqX)nNV@4I%?Y`skI(mON*CY_&+t{u8Ww_`yXr4?d)w7Ud`N< zrF#EU*Pq>MyiR5o>3+}iUixJ9pGn#5Kl>7jS8lwVs(b&*v^|$+&5`+@H#ME{Seszu z%Cq5$Z!&6R&UsAnJze}ZdgBVFpd>}%sHxie`>!#s5lC9O?x$Q#_dV|W&s_GjK78$I zXzfvN&iG?`)fM6XB}W{X=J@RQ|E%}he8nkN_BA(Ftq#qxe4xc2v-VLK`<ff4u7=-! z(6H*?k|@vn>6aC1d>z@Zq}VTT<6_SE)%q{xlT@8li>dP?Rpnge*p|75k5pH0tGptr zQ)>EYm!kh#p`u+T=T`-opSgFo-nVAO>}LzbCa#zNxM0S!(EKMWYn|fyp9QKaEmzlS zc=PP5y|#4J*2!NL`QHkC+LbwPl~Pfa;Q3W1AcNknWpPnmI_DINpufpk-RlB&zlGoR zWY`sV7TlcrVb<!sB?~4ga{8)Hn!3zWLwC{@lPu{?<{#^Q3RipaI!qO4xTe~$Y8m4S zF~)0FObd2NEm)=Ku+~fY#jPFx+q>4U%4}HG#jv%DA*hvYMHYv{YC(sq*BL^a8M0(K zFSVQa%yw+HwRCQ*{JbgUPJ5owhOBEkl3y>(W?6IUe!$_)ZzR-DUERFzUZldeLe-D0 zDKa@1O3YqQm1Ml&J54*SI`uJgNpR89nd?(j7|!lrdv){Mdpjk6?{K%)y<wZ;dA~Jp zU7nwr)Xxh(EMaG6r!KbLA|cNsIq`*BwRRfwseg3~<u_;gpP9>SyZ>|3zew+0Tnp#i z*>J_^jj_43hP3HLpQ_bonNk<4?()39D$=3z$8Xb`dwrI9m;Aq#@pJt-r>VI%;M`j` zKaI=#LpL`~3hcbMWP6rbfLYd}*<ZqDGEVus)yewSviWx9{FQa*S@v$8QWE{*>8rG} z%L4i5awc5Oi8Q+9Y;GH2s_xxelaU#d@M68_uR!y^OYW2&_-rrzV(!)@y9MS==Jj05 zW@l{NIH^$hZc6^qn1H;PMKiyxoyDv1dv$Bsm1Xvt<^13Noag$LKDA`|h3Bu%o^C6Y zztzji(>wpgvOQDNnkG#YI=dzRYL3In`(nQyo4wt#vwFkx`mhVutCv(Oc1-4-c8jg{ zSz4o!vJlApoCP_5T+E-YH4)Zutl!?2etV<;<kjibdy5_4JXYN~CC*@D(RA60nnm+j z%j6>do^#pzx%I~4&|53F{7w<r-!%QZOQf9pOY1fv3%R8>eYfr%m|(c!Yf8eC3t#H* zy`C$6L{9f5|KBJ4jCJSF+?#pNkX3I<w^0GlRU5gj<vd#-SY&xKIV~%iHM!`E<N5zN z3+k`+*uJ_rm!XV*T3vee-_OiRqAmrdSq~zve6rBGS2(5d@d{H;2FWM7{%0maBJrlt zvm5U9Qa3Dfe$9XT^*mRHX268JDF+ItJT08E@3BVX;{at&9-~9iezO;|SN}_A-MiW7 zTVQ{k(T3^^U+iChwUlevcfiqV1?%y^X~zS9^(|!Sb6=~XkRr9*HnUIe%b&T7ztT_7 zSZw?2<-vD~FTbC;ZY`{^>_BTtAY0$US;rTD>su%$<Gxol`Q_clsw5#5DYhr4XIL7X zayFKXFs+}~Tbbd@qMhdDRd;=nd~Zg2>)rOy{hx#W$4FkWxwLA6#-E3*%x&9W{d7z$ zEOHi9JtTgCf6XkO{cbA3A5>PFd0o<9xOv`LhnPod<|jO^NQD~(T6Qwb$uU(9JQ-tS zHRs?)Ki&;9svD9{F?M~oeefuM>7}OYU01&z>Dl6O`G>{glP6Wzdp^yunmOI}(%l_R z8UnHATQ8QD?QFf$Q1s2M+e~9$&}G;4U6)o^?OL(A$V>ff=+yHO#@(^L4t_C@q(V|I zzExhy)}hPhTe@ZLzAsx>_L)7ulHHRe9=`ry({=aSFLUGn{o3u&ZMc<j(dNcLG1KFE zWu~VV9kcu;<1Q_-b-~j~*OwHT%#HapOJ?ihk6g#6yRB|r-}6YryFJe7@8iO<&!(lE zCz~QxeOzf+e)EWLPLlBK8O9HjQv9c1tWP)+&cvDFAhkrHR6$N<QSUObe*$xav@d#} zQhxT$c2?3>#^&38=Q?)VYOsEt#<VQ_Q}Bj^9WN5RHkVYN&6-gA{b|4GW9514G#Vd& zJkF!@|Hpwx`tlqc_1m-l1)d35E--bM-0F4zF1&Zxzob=5!Q5i{!Z3~2h&2fw4Izqh zN^!ea-gsd8$L;@8HO1Ur<vA~S4=oJ$@`?x!n-=&>^wG1b?8o;a7Yf{K`J~osKDX8K z!hH{8o*U9r-nEM?5=ac~5s7oy=O3sV${_mA?NeA{{Z+@il@t2xxZgkHveTaToU4wn z{K4*mnspC$AF_P6;`}kyJ(D^A|M>ek(O#bW_X&v${>L28Px61EX(Fb~bz1jHM1|+i zr6;^A@&rE$-jl0jzF*H7JtZ?ZzA^gt^|p<LxslS>wr$MJk(@pEkp|z=#Wq~?9%<Zl zeUMyX-6XYBDN|6ZNp7Xm1&3??m-vhiUKI~GcI`$^j`q}OHo3lX?#B5aZJ#bInW9zj z+J94{*$3SW=6elhk8~@T@3omN)a}R&Ufx(b%S->I)mJ?iyNAW64|r<{xOOH5PS|qT zr{F8=l&|VJ&e0XxdwR_h3;AyEJ(cAavb%Ej-nG5O#<zPO7O2UaEt#|RpWEDXr@|K} zq(AKsd>Hxi_=>M<YRtN7!hT7Y+H1%6GGr{0wKG$At$w2*E&RH}xAj{dmv{+X3>WIi zE1x;x$wt0~YDt$L_bqULC0N}ewbLz2Fsp?x=ci(>z~q=%X2ba1`QF^`4;+5Ho7Is2 z;m_$x{gG#dEjw*Cs-F^yT$E>PI#Wx#HD2In(VqPZPt<GVTNghFw&JpBU3@{`RZe34 z+S9X^OU2Kgl~cM+?{dl(q1-E4TaM~7$sc|!mSop*T%W^6a(=wPPr(2d9!AUcJ*ywy z3fXR2bU7sbdXVwarjNV1C)#s4IV?&LVd3&~NYv!q6A`=dLG!vaZd;kZ$8;a4Wy>T# zcz$;8&D-~Q_F7-q;2zs0=VH~&y7&DN&7b~9beGm<ca&~+>o*T))U%b4J)Fd#?fUKi z=l}cv|DM_rFs1dfL(9X?Gm=_Xf1Gb==Bnzg$?{ZVd+K}Q(}LIy8LgU~TRoW`R<4<| zY5)J9(xT@=#Q)b$vF(<hI4OPB-F90gX9<D!XTQ!A@x^^;6Q6V7_;r2O1DE+(o_th) zn<D@FR(tH5Z2juZ)91bs4za5jRoP!BB(s11_QkSq^7p?<H{bpCz`So8niVSkcP^;; z<;$?=zuSX7b$T54>XkI+-?-nzk<dNikVa9#LG2)oE<T9~)3=?tzw3UG;M66GF5FGa z;}w_=ecTzn#*tlo&m)IK_l3phzbrGa&1^r+_trc!MUcbJq^R!Z>cGZsp%&+7vUd$y zHD?_XS!TeR-nIVaI$wvtPp1l-71y2VN!sr6U+$oT{N5XL<ye-qu(ICD|9j7V0>?V; zBTS6}9FE+)S;Ds?+fVmcNN&F$VEVG9ej;DWuLaVd+IB4bx;<~@2KnEGajJ{|nRfbc z+w#Qy?~V8wS10~{PQ}iBJBx4rW95&~7B;OfjZ0J(I?b38`?ptfu91qpNE_3+j3aw_ z0~hpl_*pT;RrbCSy8ZNZ`urPu!oH`}W52nas+g@AX|O+BmHXNERVfGm#7*ruWMC(u z?<@9r>B$cwaprzc`rVZtOiwO6Xr*>e{d7awrD<1m?;A7ot>FE}WA^;>vdArM%ijA` zhp0V!T61X5<C{gt{BHa#$rL;76+d;#zWdB<HdnYsOg>Z=*#(xInE6KL-Iwsm&Beuh zxxbnh&YWo3ZuocSo}P`x9Iy44wx&LnS@p%esVJ$4&-+(#Z%4=T4_D*eIZhn6{PKdo zIobKKOxhRmZHKxqD6O$*PpmiNb6maZ`rWnrS4_@&RjPh9KA88_%hKmp=AYcM<jXGa zSLZvzl=i;s`*oZ3ZC9Dg?uFOq23(%q$Y(iuZnX8^t4|)>nG<dH_uz#yC+0*$UBP`V z-i!P6shvwN$#b6SJh?OU()?@}7n|*Ie@j~$YUV`C{Y@;$$(R!@`FHE}T@S*J?iBr& z{#Yy{^sCmxYw=e+O}}b6uG+s$>dNKSEX)7-EK^+?&guDA@}k$0a8CEXo*a_`R2n@F zU0BVcI9X`Yz7m%u`n7ZYKbCx5nttMEp`GKbQ2+kTkDpkW`}GPxu1T9CzTEYGRoI+| zH#b?$PY?~Q)XshWNoV=3$*-iZ%3ayH#`JaO$(1i-j5McuvR6E~WHa4FWs&mY;z*N{ z_wE`mVwk=@zr6k1hco9?Z|X#y`gN&j`IVR6r{{cn7W3%Fm!+mAwJ&>o;<<|Tl4rTb z|GKnMzxV4>z2`#L)`(5pSK_)<-}hzoygA-eE-g{9oUW>@C-^aD-!E-vuL=9M{?V{L zx72;JSD(=0I~u0vQr#*8w|RAMdKA;9nz4D6)#<!+k*ilC)vn6FZe)~O<;o=$5oUdQ zPTB6edCx!Zy8X6n_uMz7+d=f~>v!|E-+r4CSHAmh-uCXj??7s{e}bqv`5Giw_6S1B zuLmi)ow*0BCj8^B(@)EG#$3$?Z_o)|58AwQ+IH_V(Dt3>UuK<tT4X7=Kz@EWr|sXD z6O3=JZkhWptEeC^PW8qYc4uSd&svJh?59sR{HDbSiSX4dQ~!Ac`1!=CPWkd&N>DIf zb<eNY9E?9JrarhR&vv}+*y@&^e=1v4VmvlX2>7U_xMh<k!`fAG>+?ba)vsQ8Jyj$G zl=Qxy$~>786;!p?ORG0Sb+6==SqtW!Gg4P8_I#=1y-0GZNBYgcK!J-FBGp)qe12R! z?dt?9<C~G&CI>ec7f-u+;lP;_b5afU^^)J{G;dw9Va=RWEe#cQwe-l79o{ZBr{ff5 z739-rva=s+I~F;uP%-<YPKs!Hqy}?tSZMl<w>^tySsh;TtNCi(>;?1A87%)7!T&En zJLJLvgJs4#o$^_HFHOs@#0LmoypZj;!X6Yl*JJ$at)BRNn|1r;dNuav&rH)V%k!M= zIg_mi37lEYU#DL>wCU5V;FtX@Pmeq?4F~6jnzMT$VRN?fOZ&l%3qQ^}{X+lxsn{nv zMWX9>UN|+4dF`sWeDhT+CcnCO<+U%@s+DYCzf=`RWt~r1wSDRJ=%Bq$ReP;o>96R> zc=6Q>>Xg;<{((w|uUX6Y&ytxl`?~h*e+e0BDRJwQeic_rCa(|Q@GmGTA}nrw$S-9_ zOT)^&S})I=Dk<%YgSut!CrAPDRcrD7)z_{boq9C%=<Cpo)ZI*LSH<Om9Fz6xsv7In zkmjnYt(LkoeYPzPj}|)Yb3@4IC6D)_sUGfbF6j{$Cj<yw@INp4?6Q2%a{bnWDd{)X zL|XHlwme)itF<`!^7d<A*79fkIyEQJ_-xlp?$1WYZms5*voSdHl&9Hr^-|kYm!_{< zaUdu`Jzc`9{%fP>eW6K$6R%6E?Q(Lkv#~h0p^vwhM}qAQQ&?eJ<QMU62@67}zJ0T6 zZb)!YU_g5K+Wo&CtXv-~d^zaS&81i7-;`UM!@qLx;(h#bH$qFwB)__wsEDvUDK7i_ z<@({v)8~Ah`f^UJ_21BNzx%Ha72f@_R!>^|?9I@}vkFh{NQyC7Cm#OhjnwbvuS@mb zBw6l`3aj%ExiPh<Y@x~OT|O}?>EWK6-C~p;-TcbM8Ghlwy1vb)onL;Pc4fZcT9>N5 zvR}nRTui=H3BT%Re{uZz*K3#O^I1;bd#~)*>XQd|R_?tC$wpP(FV8<s?b&-T;qTHd z8`kW(2aBDlkX)3%{-2eTgI!!cq}<$d&*<0gt$KN4+q<quXQb|K3=3WVtXJ!l$?`bg z%O<`n!h>I4g}PuX)CE~k7hHw9;1<*cTcIw<g1X=q)CISoF4zinK`zt<xlk9}GWxZ7 zYmT@Xcl6QKx?48gbXdJA{8q2lt0l9lmU=pxUVT?-qEThc@Y7<qjfH`k$+>ks+~KEF zAFi_4?IaE=+?ux=cV)fe@w$3Wq1iwE!pi-pu58!)y4JH+`z_Bb)k_lvFG}iUiOD7z zyx`$7UCs1$Ex*u*0}1CPzhs6zeHnc+^8T)3wp1%i3v;u#wiO8zp7C7!Vy-Owxri<C zn8cc=Ji9imS+QWw!70L%h1lHBDRg%HC~BN@a6(#bMTx<QgA0yH-pL4KJJ!nDyw>=H z!i{;MSKroLy}9Dn>`ANkzFM^4R&`d@Rm-o(uXwGun)`DVxX4+*Yw@CLM;GVyRtwV< zA1vHf!W+u|Wz}oLv&+LToA_Q1sWJrx{Sq)o2Ev&S=1kc;Ygy*3XJ=Pu&RUjvY7dB$ z_U5XI?`4y4aBN+E0_GeAEB;dfHl`QMSyN%^c}eBus_;oFCnw+ISuigy)9>$0A8)Vq zTbBIm$xywd{dUFv1xkw+hR+J9w{ftyTfYU8`=@TZ9Pi6_?yUCNW%d%YBxi<4`PA#_ zC%>7>25B5jT?VO^wbw4OzuwXD!>ju1bwQ?2AH6<9GXK<R7v<Nw?p_diK`SnE;nZHX zsL;LV@<IYPe=b#9`uO7C7d3IEwoA^vndkRy)$Nlj5ALkI3NCf`yh@6TId)?;-?c^F z7dO5Li!0T#5|QnE=aT+umG;Hn7co|XbAPO=e(6*qYb9{^`08`c#}^*Hko&?Uu2gat zcdU2%!qpX5TdgjXonQ4zwA^~}ie+(yL0i>UEDxU}JbCAmFI|wB*s*w#!lH%Ya|8s= z@0Fh)9>ZVx-cI9yGpnje$eeT9dF@%RrcP<fTIFcFcaopC+T}@?v}cA$f!YqAIy69q z<y4Vh4;g=btW>Q|0~Om|?;c!v^5D*%NoK|dCdNkVQ_j5jWOOXfQ^Fh6gwbAE<kVD@ z^vP>l#|}4_BjKM|FF8(<U$5dhX~{8d&q*qtVS6S$&QMyoaMFX<9;RQdCPQpKH)k5C z*>XKHDAPrIVqChri=(TH^Lpcj^57aH^1M&`oRe|s))uCg7Ut`XXG?<O>3Sr@>U4u? zQ^clCy*~34C#d!GdX38wP#JwLPC@p2nxcaIdgFdxo<82*>yd8to3Fh|N;6ztF}F3$ zOiF3t!gCH)l4+a5@A&!go;$03u8l3t?U|_f=SN1z;tDrR6PY$Od=3}a$<CA7>rz;r zAL@K?B>WDyc+=|xjSuYN3gu)Zq@~vH=;4mxPA_a|?^<1PwAJE5TIu^WRkr!h7yGR$ ze)V-qQ<ZJ@*`g}j`7`3qt1Z1=e6q%N@~qH(^R_I$F1+%^R}-l!+s=kt!ml#d+f`Vu zU%qC)!oqJUR_mAh*FS$VWv=!5<pJyt&%Vyd6g%@;(s<uI{SDWRudS)tWx^2P;{PPN za)G3fRE)=kRj*3-d=)PZ^4;4P^w;$2f_ZW7ke1+e!<F{RQJr6`<RE3M71!6}%wHaV zw)*yEx%0V{^^g7P!C|`Cw;mjw$&j>P+VT}#30pxL#-FUFL5kMn;q|hhAYJTUuYAlF z6sU%a_kUwB*cNxZ0F>^J<^6S?8xp+UaOJ;QSpoj*4WX`x2&#A6BJ|R<4B8g(fmXl~ zkhXvntSyiRZ42l?+5%G0wty6@Ef5B23-m(k-`>hE;)iz}-?;Fj)ae)NZ5fV4eMvL; z^j^o(GtPgy=rpnEpP#sR23q?1O}M^!3G;)GRdoe>=E+K}f9|%~ujjh);>e(c>{q*Q zu82E-?QGe;dE)66VROWuyH35zIdARl%h{RPRl0Mh#d$AVay_W?Thy$xPFn31eG|Oa zKmYub{mbX`FF0224a(?Pv3Jpexz~kOFi-d#^~&V+v#m!~R_f-a#d*(4J9^?kz@91o zPvuXa+AluiTT)6)!rQy-CQllZ-bf{hFFqRa(W)(Mo8XpJRigReM%`)+NNYiKE;vVo z8?H22G}UAMBf&sW8)~)2m&2gg)B1J>)NTpAb8sc7Vie6y12<ca&bSDw7rP)CB0TVE z3#b7Vsv}s~+wo|%M%N;Zx;<S=$5vMeZte)(bL`N+9K#E%UVSZntq-b+YCXSR2Q^e` zEx)E4>1yuXH|du#$nN!v|21`Ww8h0c{r$-B_s5=nRlhDPrf<4_9h!9Xp^c_^NN?u4 z_?rFT{5ajKUPVJ)ZT<R5|6-Jt9=(2jHNG00c=Y@K?Gr!tI(zZUvk5txo^jsO_!>@R zTYjq2Jv!m~rK@W`J>JTa8P?9;cIFiG{%iX;E#isy?k`&WM8n#v|I?x)8SCv>q_#hI ztN(iDg|~mt=SBIpy63t!msYo(wK}*jEcWWXwYJh%b1Tid{k~4kTJLss!LP98w?D0n z+_F+XHEPq+p!>fPPA~cPu9=-*X4-;-)3)5cl*72?9h-pv@{G*$``Y{(FL4X_Ef=`m z9AV|^UM=9Yd_x9*)3?s(YJsQfS#KRBYMoZvb}aIj*vfwKbLaeT3Ke!6FUz&O5jJ^y zbNU7KxGKh^=fc`=9Us&*EwSyG?ccD4-SKm0&o_lMJH~D7jK!TRzbVA&UA!X4@<u4N zT0n2QKo)<}Q=ydVZ=xlgbK)8TcDDFb3#d;o$mBotL`eOuV^7SZt8y(TR4r~b7sM`F zWZN;p{l=~48x<@e)dEue9$Ea49tnE9y(xY{xuc5l&?7;Iw~kxn4qldH`Oz`=n@nE+ zj?D81zje&~CbLZL<E44cSCnIJ6*pA1cvbWH_ZwuMZ+t1J^!DcU^U4lY2NPck%DlCl z(SIQ0eB-x{4YuuzokO-hPblG7^-ZRYFEIPO;|l@bx0Yx4CSIA>{6@gzt>qJ$rWLlo zKUp5}*SB~e)0A_5;WGiNx0V-V4lT27pW%FB%X5a}j*YhMHx#386*tH#EwyEz<~(J~ z^9@BD8*SOAI5%ubkGE}q@q)E|vUAFo^mvVSi=EA(`ZuPZlsy->Vf`d)m#<B=6Ym^c zDgGw=37^;Agm|TLjp~E@j#!I)ZK~b-PT&gnx1+`E^Wrw>UzaVbI=Ij2UBPAUZ>&Y_ zGvhX_@3-FbrKz^^&cUVPZ&p9%)7qO5y)F9ouDiFwuCC3Eyn9Q$B0GL<^lz^Gx2qpr z+ozNF_VuG{`wa5lYCpcV&m`|{_Ty{&EZ!wt;uhRuz2jE5#`_Hy<617r9{Q%#bgp2V z_`~A1<=@_%e!=6lSKwkX+v0CZY3V;Q^_|Mv=6+KuI_GdXj^&(W(p%Rna}<~E<(OsM zu|-_4n63YtQo^}{i*YV*wyv`MtyMMmRV&Ah?BHrao%N~Jg4*ky-nuT>GwH4CoIUrp zh&z7bx*T`pgtm9Jpxk=Zx2`37+O}-JkkxUa;%Y_}b3;+lKCevnFRPwpJ*jbhB;@LJ zE?j@&^w%}2+nTi}?ECua@v6r)PcN?N>HW6O;9R)W-`K)GahWkwMQuKW9{ZWKST<&> z-a4IiTIa$SPJPR@WtG)!NZ0ZvxX<#4eVgsvT)(qPS(7t08TfO8t!_`sa8tc>v+Rma z^u*p1W+~iOw_9ehf_jzPz`e?wkY44sn-^q`nI%uQyq%Pz|F(DIH<1qq%%*SVy(XXg zGCDFWB*^ca*_5=<*`D!Pn{Vc9yM9y6?6l~mIHnx=bZL*vvmI|vSCaj@*3D&mYT)L! zx|XIqZ-x1hH-kUjnYM%{-TnEtGdpK)T)9&>Gv%1XjN6}7k1-YR)Qt|SOY#<tUUp}i z#@~yVmgwtxdrc}@BBB|;ed!II@cUdv(QQ-YQ;+Aqycn-*>D7C?XmO;ZMD6QVUELhX zd(%YEW=&lXdiCv|so-{PSJSSkSLfX-&WhSK)lhTmbeG*zXa1e)zTHSgTYJ}3NkK+l z&bz0YW_`Up&%eKC&(x15+1KW+dEe)Ef6lkOyi|*`i_B`XGtzEN&g6d<CidBAo4dTq zgM+6Mwr`5q91{{1k(a7{b`@X6gK3;n0dKlrY+WS&rn`o<I`K)3vfz1h!RtT2F<IVs zn)S4BU#&^^<C@nuPFUPG(K~0}GuyTL+riYG2bk|4`n-0L?41Lj*Ir!{?eyh9+SQvT zzOy|`&3uhdwOGwwb~8n9uJ2`&v!8NiEt}|BV&-dn;*aI*Whax*om-aqYKBGnWs~eP zGdE|>GI&;D=6iYRQ@PVOV;nU-9W5<qt10VG5}deMvu%c)p7E2Mx*Ik(jumbz-QfE; z=k|#Wp$Rc_a>cey2usbrdBFJWs>tP2-Y&7aye!Kv&~%0I+fL1uotZCvf)~lo-15oC zSxd-BOUdeTTSHY-L)|$O`J(prIdd~N$w<$YnPdF6O>J3Q+B2WYm5m4Y^rT0vaXkuh z$jog`L6e$_ik8jn+2i5na?ZrC<=MleD2~07bH1!qQ>~S^iMV-UZO;;WtxJpET%E@x zw?^kl*t1vuY)X$O)Sj@(OO=(Ds?BtZ?<%|)9#*KmIV0@G_HDmT9ayz*Tl9^!k?GY_ zvR38SDqJ;RwU?`ud$sB7mHDMvuTEc?uBUqU(3DxJ-_~x~r0=@PU~%+~z|CDv2`<jt z4Hl*`e*E*}&Y>!gxijC0K~g#Q=7o(jC+=)Lx@Skv#%PH(s;#L{#g;WW6(tpMYro`A zOB72>e5Cv4s(fqf0lU0Jg|my~WNR&Td>)H!yQsr`_(gRh<LV6lYr9%o4KA!&^&V7d zt$jV`6?X$WcSXVn7TzF*^v{d;_we=gZeDqDzGPC&46*dhi}ugHY2>)tII`61rr#=K z%h2MkRgQB{sxMojwrr{KN*8OR%%?M5Ai+M_*}>M)!9M*``<;i1>FGh!k{3^IE>_FW zNXj}f(-_nm?@Kqj#*`6Qn3-rfSzAL@rLsuPbCQXrBlBeCbfG6czhCGtZccvebNNNT zSW?UdopW2d{^e~E<K1F)e$}tZo92c*zZp^wiuccNR_+Hm;Iq%l{mPTW=B0c5<&+c^ zoSW|a_bUtI-#O`af8TzXu=%skwfVh%eCI05F2`3Jnwl6tpXpQo_FzJs)`hGGH!J2H zjbhN%($m#>ev`|~lk3#U$}}UR>WO!D^Y3YwDr#bz*L&f#0Bh;%Rko4gm)D-|%=A3D zr&RT#m&)Sk>w%jy1TO|$SnItaQuM{~_UG5GI-g6i+P-dX+C`Z;vu8z5_uZ`J8*g>l z=o)MB>#oW|$>i;N5#C&<Pp$Q4ZGCd&$u-lW>E1_F@9m0HtbNTqVc(a-i}T;!*il-T zk+Q?!SJ3s=(}zUw?b4c+8hed(Tlm^v*H%T}ue#S{w(8cDE4mV_N54EN;WpE~I7Meh z3HPkCTHO=0E@W+Za8WnoilhJ|?_J?ttj%>E^XElRNdG2tb1$>al^$V}h=S*0S0n{3 zCnwd*trM7F{PSYG8~gENGq1ep=P}gt=r)eLc)vGi;)1J{Ypj;$C||9VIbJBU@Z4hy zy}8E=P0l~IP?~$ZP{*qOu||p9@sH1K`jpRC%DCUGlsP`<vqhiT^Fo>QbB`?!%{gA! zGxvC5j%EMj6-9E#KR&VPQ$ATK<Nnd6FZo2JjC=oQi$3Y+g))Zc9$SRXIbO(eezC^A zbBi>B=DG&<SamP@Q6lC#@uf~z(xpg|qn~uT7F~=KIl6GOMwdf+ph)$(MH=VMEz)>3 z$2D+`Ww)}@^O-m1w`;RJv4JKYo69fq#gbyq=$zZ!^)GMpHJ&Y2$DxVmMo7Kk^6HH% zB6QhG`)t2n-%`?Ns5$d_gb?pb8SVbAbB4-F3yX6!UObm!{`AoDc-5>>&zqKJ*<yE3 zS{^Sc$T_!h_N<x3J#K8zWV$Om=O!z!c`8$!7-)GsEXePip@{IL;x#EQHpgA!6ra!F zKJr-R_lp}A$1O|@&TZ`J?kYZ`BzEjT@tV|9>;0>8LY`l@6fLduygKikzJ~g;&#N;v zU;YU)I{mr3Ev2MRR+M*cyo-~=^Y9D)=YKvuY;3*%#Pl~yi|gK+thQy?ll9^(!}DXy z^w%!jxZsSgh4AHhhnrdB^BiaVdv#;_F`XAh+=pg`%In;?BzL;Y>(oizj-*R*oF_Xf zxV!e;DsHX0)1)BxwlwEXlg!_%?A%#f!sT^33c1rFE<6(3vmv2%DyuX1wmioTU9Zxt zU!P0!b@X(dTk2msch-zstG#PqHZR%iw=z;~?cPncDvLM2TVele!3zD06ZBrJ5q{Or z^wqzbvmzn(eB|@X^ZVSlFIFzyyxH%X&Z^5#Ulf#>rQh}4ylUOD{HrfZjA}~_cTL~S zw=8ml==5ou`&^Hzus&U5ttsf~cFt<zzY_hs?dO(qTfTPqb-D6|Rf%-EtovpYqhDb? z+}-;gMusoU{>plwnaw7ohlAJ3fc^P1om=3cx?-_p@IY$1AY{NhG6XWFs{`pyo`1A@ zf1kjF{>?8S!`+c*Uijz4c8Db%jpSLIqm&U^$_MEcSwcoA<~lD|ot)|UQbuhms5dDi zC&((=>ipb*=di&S%l3umEYBR=X}LcKGR3nWGKTvc+8z1qz0_W4ityymtHGl<6?Kp< zq{V)lUxy#uxL~p0=vVbty*RP;U7xkzod3qOcGbDH(e<El_MNJbDV{q;ug<4L1zBx} z^oyQf3#<o?Qaqm)Q2!RxF`5%?d*_nn_B7voHjCB!M8jJ*&n?_OIct^v)UH?23(xI{ z+WcZNc$igk`IR+Umrm^yeYxUAk8zfm>MQT|mWFdX7NlL3pZ~4u*5jAno;M}Uv&HUS z%+z1Lx2pKqLzC`XUzVDr$DHl)@ww0S`O@}d=XMBQD>}B*Wc8znUtxE+{8-zIjxBxQ z_bX@)=ei~OM<+gT`xP{&;#lW{xE&LW&$TiitbJfpAX}^5$Gp7oSgr~8^v1&(?-$v2 z@7ua4|8)=dY}djEnOCRJ3$1-wX?^~4X0}*pb*a}XTdAwtuf^3`%?~)c%FoxwyLR=Y z%4J`5C*HEoI=@0+)oQ-0v%Qn!bKw<{%g^5|{xoOvXHT=$r+!_UyDwCoeR)3b^I5C+ z-dps`k7aIl-^;Jpu3SI(D5iMJ_1QP4FDhNPbJ6wLf$?1*V~QQtZ(p!a#Qpg!<@kJ? z+RTc==wi$D=5zO%wm$nBc6s~0J@*oR8MQp0m0h`a=a<wB%j+_yUh6K^KYZb*U4pQH z$(1`NUiU6}nL9<gXELWGgT+OQg_REk1WayBJNcHO&PIX3fg>u&ON+DW0gr%*M#D4F z7^mRpv$!kw?)>F7VNQ16<FD5)hCg~9Q!KvTyl0=#_TsaR>$iv9=bTc!ckcRSYu_Ka zu=8Hl`m~UE_MYOshI?oE)@o{<`|4J0dUl_P!SgEZD%0LyK~tVrF;|&3|GH%Gc-y@b z;ggr=$DXV-E&UZXD}3`Z{pO>mS94yT&Uo!p)~+w9I~MMlrCF<du6S?no>?ljsy^qw zihau3^~;N8?(5#iSKqwQPApxwy*pfZ@%xrjJMSF`-+Z-v(Tf_@+*(<ato11&_d7L~ zg>PD^-^%fJs>$!5FCu#<xz%d=EeJPSkzYD-y^8<+uF0RhUVT|>vOdK(zHQ?4aG_=T z&Zo6sUNU!j8RI)8+-T`~m*YEUDX&-YjPIOTZ0a2686MBMyx7z-?quISVa4ZJaeF37 z)T*aE&uUtqvRc3SZH;Vht@@Iz^~oXeU4qN5Z(OP0%Kx_1<af}Gt~mF_`@XV%v10qW z*7C{g6PLF?+tX)NE9sFDcYM}99{u&oKKDD87OO_bxli9GB=_8F(VjldTGfnmQ)Mb; zJ%4%G%+;3sWVP#;-;Q%rpH#?N|MEL?Zt9*2S;JpOch0TdCmizJYTo)qYxP}E?(Ewd zZn#RH^}^0RpY=(>@m*TCR%d)$tK_<VQQ-Yu%wM$FzOH?;`o!hw2cN_opA#Oq{Jqoa z#>V!zYn`XsJX!dJ7x7Kz<JYTX<lQU9;VYq}wMpq_MM4iKNrZ+MNM4=zEOgCF>5E@J zX&w49mBI4*#;2hrFSQek*D0S`J>ydNqOx_5PptO1RPJPR-SA0h$=A6LEU!;|9Qx+P z>4egCkB_eIxH$dL<ItS1Os?m$GhVZHEx&HCO8nKa>>F3OO`n;XR4=>~=e#&B;YS5; zb5-NQXQoeHva+qX9<W?oMJMj?thfmcd)o~5DmYg2##SXdJvQ}u+1fel`h+FoYSZGJ z!}Trp#2xO7Tkyjo{Np3jBQLxc7OzwKA+_ySki*>N*3tJ*1zO*A{kqo3XZh}B`=*xd zGX8DUATsw|&o3i}x$l~O8C^MXS=K+kvwP0vxqk7T5@%noTeiOCXVI?am)09E7VRp2 zX`NWSPVv-By`}G2F7IqpUT!^UAK#;$ZHmjS!{fUq6!Tj8mCM#@raYI5oAb`#SCGrx zY>s*FqH0$kubj2{>sphomt#WipDLM^{p$3CMRT8>*~jC$+;Z_go*;+iI~VK|l6;cc zw|xB(?{k@c%h$IEoy}ag?7hqJ)0uqB-n00f&OEpDeaq#U?*jaaHEU(v&Xt%K%~F2p z{n6@j?1{{CSEo1GT$Vkaxo)xk(JM3G>G>5))M}rY`R>5wnAQ1PE&cPi?b}*b)&1(U zg3;W2vwj&}@XJq&XIo`_R&?&Yo?l)$b29l}d=a}6uJp{O_{q!O<?C54&wN(omv2?8 zZg<Yay|`-iOY4Qj%XUt`EbVijbA|EQt#j_h{IZ%dC(tin(|0|CmqN{yI}H<jI1(pu zPq?t!kwtlt@{->Tu5KR=h%03TFr3!jajM(+Z10?V9>0Q4%*oVy^5xkp@5FhTeUHCf zyEwf~db>$^Vb$Rm(b4Bj*yr7gs(oFVb?cW=!km|D%d*_Qrb?Xua;zlF>}#rp)n)0o zS4@7p-8uIqtRze7>r@BJ%hDHCN?r+1df}7*WaY`r<%>#}ZJ!eyy!<`O>6!O3{LaqW zC+wbHRc&dS_{*wb&daq=uavy>ZY*48J|)<Ass7P3Gw-?i`A*s=;+bB>ZD|@?n|Y$p zJ6~pbn*04%Ma#B#2MaIGSGBq<U6Hlzmr=@`m6h`*ZQIAApPqHi@8pVoJRa#;Msp|m z)+(<$cV)@kNonz&Q;bc!{X7@%6LLw<VwyY2u~z+%vFXX+Nz326oSr$$a`_U!`<zRR zBQIZH_}J&{^kAW7?_1u+xPO>Z^3uDoXx*bquVr7B3Rs12Ec2T5WvOCCwCl3qOINo~ zy5M)RZ(nIxiC5B>wGPWuR_il!Ra)KpW%OiDUtq2BymO&TEM;f^^4f7Ow8m2Q>^>oj z=UUt5^tsilE;$$KVkz7F%dcn7YKtPPPhaMKunaeRQgY?x>kC%l6CanXdCA>a*v4_5 zFEpKf)n@0nZ!VOSd01I1%$4mp$M@u><MTHO#bpyLt$Whjr)_pVH8bg{jbib%33FxZ z&IztFcDW$==y8nxIa8a@Q!nbu#%|~Fe(qIjb?A#zi=?58e)kdAMW3WP75W4^Kb+{{ z*()_=3#VGe=DVv^O;_7#?9hr?xcVv6+IOLM-`!=`VY1zDltW6y;kIaumu|x~Rfi+z zEt_oIU(8X?IVWiJyyK(H#iBkBOZO>rl<m$5N<Z)DE_Qj%Q)un%GgooJIRT$^j?X-W zC2b!pozI*TuuSimW$bcBQmL#h!P0rb97T_F0_%-gj#nl<lPN6etC*|Ua*oIMd6xC( zs~2@;<F|`wrFYIXmNm_jtvYx0QB3sm#oqcC0-sE4da7e+eR;#<X>DTLMYbEezV%sH zvdq9L_|F{8vU4IP>0LKwHa+oSELwKLBDmps=gQA6mn#?9_;buvKk@m<*~&vtZ3>I# zRm@elI43Nc&UrhM>6OmJl4%-N-aqE3W}Opy9O?8_$FOMH4-4;vIm&C!2?jmqShl(O z#La_`-xL(4t*|n7nJan9xcS1&MjPWhb0iba@#v(tO)_>qG1Kw!nG06oD~f%st}iP7 zY8gJac&=smjh&0;tgcum`@E}g+2dnxUCvk@dhzB#aaw}a_0K-;-M2d?7_(fEJoNZX zxa;y{uXw4tJC_6=PdoH#&xNc76BbQ3y=17w)adeLS&OTVoLCg^HdUwfZ0c91goN<E zI=Qkl(^K>0640!?tBbRfqXXB2ex?`2tkWkk<%Be>jA2x}%ygmm%Tg=ByRXC4&9qsT z<p2HfBEx@s;p)d1GG7>#NbKUC+p4$cYUqn7s|%}MmEC)k6A~O$yZF{>+q;hz%=u+z zxOMxLxJ$ZzD<jjYr-prV&FYu?y3}>Mh4LcBg$fGuqwn}{&fw?2DR=a2fzh0Ex_QeZ zP1%?4o&9Z<@BLXbqh)+IYxphGKYH}bQVWSY+<Il*(zB0Vn!awyokt-Bsyl>5WKQay zV|jXg$rG`1Bc*$fTnZE{u5*7c?B=d~=v1Jv-9r2v^YzBtJB}7U&?}IXvgnSMITo6D zkU4z9f`gAtST5{hS&(2AtT0!z>72-B<JPk?A3gO+ELv7DSJUjA$ivD<HvTqq)z5rp zxmdaAxy{7lc^z}rgU$&nJ?HHIeB@~4qE|YCrPCs;yk+L9Hk=bOPv@MmndNlkq9;0n zMbj==cyBo;81kHB`DW&mHyNM2nNV29aGobPo$d1(hmy1xmc~!!NT!_Q(MxZeY<&3j znFEDsD=e<BD2}zdzPOmxD*WcoYja*#tkZgKRkTk2ImbsH!;&%vD`ShfuiwTPpDPi` ze|e(N`*?S>)wVp%pmV|o>6~+mT`s=#xWrwu#8TGz*QLN`)A}|>TdliO^=0Yf(=TUS zoZhFqJlQqgYSEm&g!orNmZInPT@jtvwRK;}8cWgB`$Dc*icb6$H1T<e%`5Mt3xY4M z(C5web6vPE<a@E!w=YW-F09;fd3ux9@<qP!Ty4vfPOhABX?mB`awV^Lt-3i~>a{C1 z=5?vnuJo`Lb^R4|_uNX3OVeLv_#N%t7b0#gs{70D>(a!FnHpE8w{5v>uu7kIrr+VH zcrIg0-n08c(ye)We+9{2$&|P<{naEt=Xv`=mRRv-{tCMI%4E(<Z|A9(1D5IYCN59( ziI)nR+qQ9ENO+0Vx-U!hZf0g&n$BdteBll5kQPf`=U;9qbK0c#3M3XvZL3mT^hhM+ zt!u;bf-L?+uSB+dQ^;8^k;VVWhSk4XAZYo4#tti2pUct>oN8Mqr#*de=f;%_XHFbB zu&2jxhBx==E-$WAot~U0J34+8wKmjLY_WQ`amA;EXqoN$A{<-f-e|vKsBmau{~fif zcCK#2;(b>-UettM(OI})U${f<%d6)@&;LBNJaW^%67}abOM{D>os0KvI{0(zO3V1W zXO7HSpOU0+u;KGn4&!6z!u9oZwV!KGn=B$a`LpP$sa$)d9vBpeZ{N{V=1?fQ<C?DC zp2)4~yKPq&BwT&_ZA%urdg%S&SKsDjT?<~jcSYGQ+l7nj7QXpeQhn_fV{nl0<x6iN zqhfD6LsU{iTuQ3>7{9VG-u(Rh+m}qS^L+f7=Wo8%JiXJlG%wG8&aB&))1G}>(z(+% zbyjKQD!Dg5i>eRx=o;R5`?6@$9F6CvRu)w+GkJYv$&&Y$Mb(o!zKGtocFyw`_lW=X z@Z$EzPcq&gY_?@qTad~At&!8}LxEw&rdb^3>p!sw$88b568Egfhv&>`iRPVKHmq5( z<i>^FjDfvp*qYufV{zvHerU?WISx--Q<^w7&gSe$3RT;X#cpX~F8tyyL%LI+kNY;~ zroQ&<i7Jz)GUzyVvnZZf+M4RB%KEg0Mbcnlc{7L6qMNF&0Zr}E3soK~I<9SE(GpYc zC@^H|DVxw-oE#kN{GQFmR=6h1UQwWG$HPfH87#7rN(~DXn`ZM0CS2If7|^o7TZN@* zQv2<sNxZEIOzs?3P7fHH`XSn#jhh*(%q5x}LQD>xSoNyRwW*!^);a}iCPqOH4l5_7 z3C#~qeYMePF)_U{jbksfg%g)UixH?WwOHET+Ov#}^}`D;qo{Y!N=+U2tm?e8dLqnN zW#QsdM(6izCdQs8SG`KJws-inq2b|`1q?y4j@w$g9h#K24wlYXvtr4DIWwkA=;`Q? zKe6}4-GoCyy(^)Gwd@UBofsQajRx2736`}ChfcER1=tuU9%VM2im-(F^CQN26YudV zSqR!XI<ANey_=(cRfK(#oS4o6DG`U2+9wv-EZB6ZkwZsTAxls&Uhn#0roGZGz7w+8 zO>c%w^3<N#*VEOZa6nE>D~p%w!3EKj5ZM<64;fe_*z=ME)Oy}3us=VxtS!w=jqO=# zkK>M}i@O;^S#D}>KA9I5YJS_(R8@2-BPTz1Vn{Pn#O|gwtG+QgvZ^`xSf4n!bK}Z| zGbeU7R!SxtOc$FbI#pzf@MNJ$f`T8Paxq%|T2Ri+u}N#@)fS;M2d*4;@?Pc0$g|7o zrqZNLcIg>sGZNeuH%9idImwB=VcWVXw9V*-hxLY4yKefe(p<ShN`x&&PVB%+Hr5X> zxi-w?G}~S#+`zNqOo2f|6T5!Os)O6CUdSHkVQ*w(F!fYh>tbc3r6eW9#k9$RM{Z9K zNZWHR1L^KY4nqwd0okq0JS8{u7#^nSCv0(A&vuQ;Ol-$ShQ83gsaKlY9j`86FpFhe zR@^u{d@8Hjo;5lF%(@q{rfp^LIG5{_&fc^p>)M*%2g0`6REVcHH?4leWnkpwA+mZy zn5-dpw5Vch9Ix60^Lc3pt8#?pY`CMFnRb-0&fst9UM1bbxv?k8XL01WuoCC>Y=Si% z3HAmG92*uGzdE>jhpEPnsPG2P%5TerZg(6=RDQL=Y>IG|slY6Q5amUyDnA@r#l~vz zl52xfbUS;?jdt7oBn9Cl#c8itOt)`b#Np;HH%Wv?ZqKyPW~Pi%)(Fq1i>iD(&#vO1 zH#bXbVK_5~YHria6t~0&2bnX&8&rKeeR@46G92)_d&FyrpPY!3&V__%<1c&)C#ye9 zo5jkYq_%pM)Y)dIHdZN-1GhyJe1(0oHVR8G6>{EaD&BMF*enGLTh*CcgkC*zWPHrT z%cXEhG$BARs3S>h+cm-HMuFsw0X~LbvOchU4f#B8k&*`}nfi%W1nfBA(9Bd3$7se{ zdf7<v*{6`^o6E%~#_=wj_0;8RiQ3F9LN8ZzK6gB*#LUaJ;G$^4Hub3yEfY1qCC#-; zGT63~RsTy?!t8?8p_M*iK4Sb_qEZ<F49nLkFwagh^ffmUPBNZ$^4X$rX2}~mk*g#1 zHyD62uDhG7{#y3d0%l$=g^QvIqNY-6Q)h7)&Q7cJ-Z&ejhR{#zxyP#(awS1*LpO&3 z&+1jP-!7Rosq3zsNR)t-$bl8w2aMthZXL9EIMw)CoRxlf2RFxF>69(ZGu$>S959<T zHRY1m66M88ixh91Yi80YVU;+(<1$~8VB98&i)&;V4ji-cnDOplTfu^Z+hShGG8}K= zTmOua;YNGt)w5+mzMigEV-B#-KG?{{D)5TSK!8JE?uPGq7WvmoPaW5@2|9E*C9F%k z%RX%>Gr!nkkY6r{Cak~J-^~@!qM)RB`_dt&^=$exZs^SL*c=zZuhYRL_okIyO2lEg z_Kt)ot2PCJjd%8R%}Nbdv(eci73b!=p3T7U?E<A7P}JaTIU2oHfCeGBA!)B8%YW?= zGq3U61*Mz<mlRnOUo{16W&Zu(7W;QZp>Z%KDk99mCgjE41JMgt&P*tl-@wH#m&3Zw zkuhag)9Yod4TYQug(2I;((gsd9$R}f@@~|e3u})~+%8sLoLwrnUF>*?cj@-%Rjcm? z&Ap_1Rq}3->;>JcC-YXWdp7OWvFKN;b}UV}pvxMbrx{V`%{a%n^x>VL>nC(s&qs5e z%F`^Er^)kl+99{RmDz1Y-i{Nat6$B@SFU~AS-h_^$alL~__XaI$BVs7w?$W7bIQxi zEcW)E5gj$}PEgG2Y4dEhf7!BQY3fy7-N`+9nkS09{ij4nx!t|=>G`y2Pjq!l^E5ej zFSY27uF|`E>GGbX=@)fv>+@cA7JK{8jIKJA_fqrTCF94_rul5&a%IQTb@QWNCGA|g zt~;7bWbWI{;(a@RMcuiw_UOgDm3%L!z4BNRUBz|x(&sI^mOj0xdo=ORrQqk&<|%C7 zVzP6o-ooftLOYi(Kc;J|otJsD#M}Gxv}xMguRJaC_Fo-c<#+ee<(JduZH}&5cjwaQ zC)1{FjgI<t=TcFb_vty&QRnVlGAi><l-@34U=>{OVp@~#c9A_hwyK}~$#&`bE1d$P zD%J^2MM*(UMoLQiViXnR_kTOMm497rg1y?7b7coEB}O-1*tPb~mQ@w4tnG2Uc?C*O z1YVk~R#JU_uq~XIYuyK~b+UJ_=w3bQbN7<bixw-cMUw7$FLyo+`CR1fEjHoI&ZW<f z>*{*vy_CF_v3-jQQ`XL<dOS+gqq&mq1l>5QTWWjvR`9c$&o8|FCyA|$j$#V5m1I17 z=aO-m_M)9jpGuT?$4a_x7df+I>GI>cx}JHOJddaCT9Egavv^<Om$M5N&CHkBF4^)t z+D$*=dd0rjFJ~8AJ+u8<F582jV&9rdH_pjVh}XWoBUR?@wo@T_+CI;w#j0(K);oGz z>-UbeM!mPSR_|E5VbyJ}*}K+mSbm!;c-Pu>w{lr$=V@0IdKb!X7g<*1o%m3<bnf;m zM@zh8J+@z&TH@Wf#F(|^oQT4C5w;@lgZ<H5d*)~+6fJW&K9flzovZn7koXy0*136_ z9>v~_%Z(3fw?4cRByr{~OV)FaJwY*VrZwqr7v3;e)xdgo=-!0n$2V&%XB(s&Kg&5a z=j_bQp2cS6ziv%`du?Cd_HUu3+3{i9x!1BuGrtsVIMp(3suyoaU}hl~Pr!?-3G7X0 zX5VG+IrK3hdM6uez)LQ@O{^Dov256QZEbJscC)a;>}0X+W@Uxh$r9VmrWIx<OQm04 zw!Sxa&e<9J%#IbBCD!Jyd7QKA%T~kw&5@U`AG166Z1wuyt8;w&_nEO3nHm4O6>;Jw z&+l6^Ui;kpb?e4!AFr=l4L|x=ecfs}(Rk*S>&M*AJqe7LR<@k%RGYiziO;t$TMZ{0 z@4S5dSkAd6zVXu5mXi%@b9tWn9Qt)D;?&HLm)Xf$>6@0U?_E3RWO%%EvgKsC+T1ly zebT;cHJolN`E9G=jmkY=w{E;qIptM$vib9iEA?k@`@G}I^s_5IXM9WD{L)70YwBg2 ze%IQYCRY7UwKsXJ`t56PKC$e#sl9o{vVYUQoS?g#I7?4IyQDk&sqSo-?K%JMY_i;) zD*Sxf*_qL1$D_?6^KRPgObvcA&38hynR(vLEjv;#y_)8^Dq3w}-prU?shjqsPI@uz z<osy0^zA;6?ig7XpMFwtTIICvWcBSnU3ZK)i%vf|raM_|yG_*HPadVSFHb)^>vP8C z>Ao{QTU?&*JN+}q<>_aqe3p29TJ2<I&dbxwUfZntlDhe^&AczE!jEkxeM#M1DtC0B z&#iOG9`S06&n<S3SDStAv0J>_+0Pvpr+Y5`9C2~_$pxP|zNJoju5<5~(aK`6mA{N4 zi^UfIGU6;2oBzw`Ws%s-Uq&;F#3uhT@+=ZNz0YUYxkZ8TYS!l-1;ncvo>K~lSBp;H zxLm(~+h&W`r<1QlUU})guy9%0>Bu!Ny#tGvshx^!dFj2eWZAP5ktHv^o%^G++U8`x z@$u~|Hnj@={OHVv!ewd|eU`zWY`P_CB{R~!uANKrX0mDDwru)TAw?g>RUR)lI5ZX< z)G(E<GM(XYt)fcl#|(#x4GJ7mA~6p{W9+A-Sha84C#0S3b?IDUNId6aW7Y0+iNW_d zyNy*F&n>)J&Q!KcrIN4rm*0hRQ_fhnJJ!nDotv`6vfZXu)8d@YvpLRl_lcONi)Efm z^o{RYX56j+Tws|#uVY?hj%B-E?d#JsU8fY!xU^kqZlsK5yGpI3)j6HEInLqnZ8MF# zWu9+XtnYkj=F#rrj*H<+Hk&!-9S)1{oMznq{PnqO;Y=^jB)%;bzgo`p_>AJ^*Xvfk zKT`2*!na+<zx`C^TIy8YmHb-DVOh-aWf%7=>%h`y6Kd{${IaybvUtYlU6)^aJC;0~ z@T@fa^7OWcy6yVwt!M1J`s7UF>DT9$=0CM8?)bFJ`(^anb25E<-g*2oS~16xr}FMj z&|tOYlZv~gUwT)}wcJy2H}T6<f%7s=>vxC6bIvQiJ9oWhbUf#(;=5PliUVuaz0Q>$ z|1vB4l{I6@I_q26=ia;yC|tLD(e*ij_c>P=-(}qUtm#+Kfpewq`<_+&3KE(7TJO2( z^2^iPM7Ni^f1b7aWwigf((j*UHNT9Gjw{xyl{`><cj2CALBEXF%z2&n#MJ%Lbj24j z<%;Vq!|!*jD85^`=b6<nuZ}s|7qV9D?!GSL#_q7_c})3(>~&YeAK6^L^RsI6*SQ9q zQ#@9L9LYF+$U`;KiJ5_gfq{X8!B|E`fKM@1C-f+zNQ0pFlPNkYIhiMNfMpvP7#JBG z1Q-|?7+87&KQPxNXs-Qr>aNg>P?4v*r=3tz)n0g<Y4P!pUx6my*D$HnN}N8wXOhek z&!>+Uuq+Ch^}@sOQsecBvlhfN<vZ2?noy$QRr~PTvfV|CZY&C_n<~uw?e9$6ITPhJ zE?Vfl{O#TY6V_cj_uy*c)3$TJwCl@dST}!X*{Z-l-#dTJ0h<YL_-5?nb)T=Cy?Swm zbAtPl1yPGEeyskpPj!;`oD0rJ<YI(;#Ft%uc0$vq`dYqgnxIT_G3R_WYqj{73w*a( zn+9DAv7f8?QY=zQ-9=vP^zvdR&BIf!)bCyL)z&NHePq7vzI9wrEp`>^>Qpm!equ7e z^J3ZQ`m4+O7au$NAi({?r8PCP_FVPiX8xXI5b5R_@b*KL&@a2_x~oDnI%XON&3N(t z=F#^z1q-iE*&)d88ub6^YvB~JhTlz56K*Vj8C-g7<JzDH%zqMQEj|A-cV<Kq<J?6h z&g=J|jxRp6f2yCCiei*T=Ju|tH*W){$gNg;uYT|RvXIMKd;S^7%l6JaeZFav!mZ_* zOHbOA73~o8PCd+SXOq2P#<58~yLMl6nC$!P*%UeVu=wNO?zVh=QoQGPjB1!@+4kpO z6G}e6^Rze;{letY+vMW;8de)?=P!R2FRt1Z$Ta^;@uP?rysthcKW+RS5uTXH9r8gf zN0%#HUO9<7iF1bW$NMK7#E#Yf^_y$b5!}C^+jP#x_NLEe%9B%VrBo$T#V7G^ZMop` z=lL&luU+3K<k|Mlu+{B0oS)*iGBGP|4pW*_%7K%Qj?~u9TG4C~G<nlvwvs@hl8GGe zFDCdcxc1@y--kPIDF1rBknhdLuZy2F`7{Xplgf}1e*G`>dGw{<CR>#X%92wqb*+}V zVk^XQB5+cqxrXGg8t+;8GcRv$fAKQ&$m`7gDzd5{m7@CDN*;BW9+PBCeZ-Mv89ZNY z{Z*0My<cp(jpj~q&~IPk6w`XJ*vaKj$>!M;>&|~&^L+KI#{P~AJ-2vfoKjfZW~{g; zH@9OFca)U-d~e$s7XO(<{fhg}*fo64Yn)@{c<7JC<?Sjz6~b*9zl51u*50r&h;^G( zE!23+Va*;5$0cSW*~?FHc$`&m6q|8bCT`x@>q~lb4c`B_9VzYTx+d_L*B4ga1X+H$ zSfN{5waUyZGJUhG4Qp(7CG%gs#JKR`<)#qjS^pQE+V?-&_mIQ_Hhv%dNwd<gExE8$ z`l5DUskf}aE!iVK9V<W1Rl3UFH2u9O_dnYPU%gB3zPqrx-V|r^FaPo0CtdW!rYHVy z4`yyz_cciPPmz_b)~djxH=Z|~zdS41a4EyttKYYI325kF$xDp9EBLcYgG0Y^=eCIv zmw6gH!xz0v+bq^|$gSkBn`to9l{sIpUrqhJ#>GGQdF}Fm<$b<;H+<E-`e*iope0<- z%ZgX+X8Ie`dCabVf#2kv_j=dOH(6tFcn`C6`^L5Z8+<<$E$l6dEohOs6nEhA|J1zA zp)2>Ty|wVv8PDIsOZP6=>TiGdjKHR?wwBlTH?e1nf0*&wC2>u;=><-mn$qJR`0^P$ z^gmeDi?!d{P<QcWT!UA2h4iw=@eu}D$BKTtKb>Qxa?nX#?G0;3_`dc8p4%oH)@#Km zE2}k$@D!vOGjC~RT4%7GVNXnMdX;6$i;XMIGbXfby*2yq8-|I|^)e3?67)axozYvm zVacM#mYqDhe`|UspE(t$aJ*;Ioqbbm1N(&f4hdzZ{b@P8DrJ+4X4}!HC*B|Y#+=UM zzad}cR>rZ4@V%Ms?1$&3tgO8LcfMr*rhpsF>0#+x-vuvw5|KYWV(G^{swdVrUSvA1 zto~1VO6{#XH^lPS3YfYd?0leT`;;qeeVF*^Zx`Zz{ZP=$-(D-mxGE;i$m;yp#-<tW zqP037E>FpLwN&rzKV`At1);Lf{kd*&^!aaDcrr8XjBa7ustHSu8@zUV^l_%?;Z5uJ z9%$B)^{p<vx$l?d^R8~m*MEghUew^IZfuzRzP{(qLB+&%Ez>tVJpcVemrS*zq0!&@ zRwubv?z@(tct!Hrt4Z_byzX}s+qGoZ?L${?NE-;0i~IaI6%^dMs!HQU)y`e3?LLe8 zo^RZnAT|47l+rx4W6Ga)?NEO1bw9Rxo#>U!&#_+(-4vVGx4cV=`g2}B>)j3Uz#H5w zzF+>HmCiD~JhiwqqtJn4-eQKW|JQ91zf#iu^M{%M+iLwR4+gDf_4NH^oc_{RyRIys z<<7*k_+S5)ZM$!*IIjIw_3zc`_Fe5MAr1Z>B^90=cQ#+_pQ|0YzPR8d!wPw}9f_hF z7*?#A9&?L(jfB?)zlQT>A2?5E@$ndG*YX)2=}f=WQ|9Pux2tB>roi@{wq@4;)&xnb zME!js$L;b?S#|lkMM|s+M?QbzJ|d;FC#*%Md#U%W)jN$&RHU!T?PrTvCD6R+GXLzp zmVo{H&Ud<e-#S0R;ZwI6v*_;V4Qf0~ALc(UblTmJzs5?mfT!rk&KEzFPR$o&Y?^QJ z=2GsqN9o%pS4e$7P+4CP8np8A?WNP(nAC2(a(?FCkoD7+W6R4s?lwvFJ6S>*a#+62 z&`=2Y+YlL~da<A3KF_fyGKC-G7OF;1t(3Abo*~AX<??7H=NgSphAZc8^?T3WoO)|c z<i5>EoviGu8<*Sd%vn?6dCG9dll|x4e7Rfx>Ra(QraJH4cV!pvop~ZTa<Q1xzvD}u z2y#vq-_sM##y9sFXLDVi@r#=i-7ITgbZq@H^@x9ByW;w)#-c98?=17aY;Nyc{m`y( zmdCb_GeduNwj5?L=e@);@m9c^`C-Znj0CcmFH<c(!1qGU^@ePZvdN)mYrX~t%3U+$ zSby{I*|}<;E@nSm(b>h|F7I)x=l8N}Pp`em&X%3-y-m57i|HEQ_3)Q1`=XvYe%WH- zpLs%sVNKXIldZxRZcM*0H?gi>?6hp4^0NrLn?;Y;Ce~cLTzJGGF~clZ>yEZx$>+R( zpUypq|Lf4ZXu-@pM&Ff(JaQ)lr(gK+#yxg*jYw|otBT1#HvN=aukD=_u}N;Rvt>tH zg;{V$u-K6apLoRhgRaCCc&FQbzG8b<$3IrdbN`Wh0V)^Q81gi*1}u#{EExJ<P9;I0 zJZ9a@YYZ}t8f^Ot9_H!yuSjrMZ}HZP@uHT*9tFXS>l;o^Zww4NG;z+E`CR2Yl2(7V zxx)0ggK^!3>;H88z8b8Fxy9|Zt0rzDL+14lMfIUeb{uP3U39oz(q6YueoAH7x75`? zSW*M0vER9=wf)>D2e)?_*DmwB823e*ul}xdk5xz{eN8&wgxzmhZJycH&R(W>@6}J9 zYI{BP$zhXA`d@xj&=7pUzjDIq3%;LRo;{Qg<W0P4edT}1v~`c3v$6?o(mPuCadnW0 zuJ-btsB<y@bOLJU{gE-Sv6XUPAfS_XZ0beT`8K`(1z!EA-PF~Qd9>M=@vB>#-O09B z>PyX{Jp8lMZ>6h7D>lpbX|KOn`gg5fv;35eer1e*>f}!-?c4ifPhiQ94RcIR6@6H} z<-hkM?VYc$D9xPGc6~u(`N@dqDGLO1xxBhq*69CRy@&6k#2fZy`a4h6e^N8-<CX9A z7IlBm>$dj)$4S?(pElo}cIeEnRbRfQ?%U9A8k{b1;r!GcO3M2*OK<MuU3bIZWbvB6 zQ#RxkxhKzCJtbx8%5#kiE*n1IF){1lZ!K}3Tih&5YW&NK*<Yj<i~Y_koUlE9hvLO* zLD!p)l-K*cd%x?Y(O>53#d~5}H$1Lynl!s=VprMqZ!O1pmR2XO`D|nGU_wt|V3YG{ zxz7?){x>|+zg77D8|yqnR=bY@QxCoS+I~fBDyNR-D&_|9iFM_h&g_oT<axM8y5#e% zkc7vNl>aMTINSI|xGBwT#ip9XcjugY5);Cfbc*Z|Wa=_I()nukrM3Kx+#gnMo}=io zE&8&19iv!d^1mm-qUk?oRo|VzVYjn#{7XK)xOp~=dv{OYYpK}0Ac&*bC(Et+$G&;X z3%DYaRzLr)DB;ff;b6VV!VhZxiw*@GTA;=LG1}{_YH0YX+sj}4H|9NKwU58#?X}Z8 zroLSIMd|hF*KJ?DZ~mRZbjAE+Tv4U^r(+EJxC84ha;NafUi$ebKuoqo@3ptJ?B>U6 zLPr)Xx6r>li#__jSFMrrVSZJqPc^nL8D2h~ympbv0h!GQ_UxK}O=`)dATPsq<B9gQ z{|??v-*IZ6=h3CLMiQ&8db(6t{*zLe?-?R`CL_E3rQnwxljiF7=^WZ45msNm^0+}@ zlkpOZZvDm2|Lt~cX!@zIxkE*(i@i<Pwo0n6K09Ew*HZ0m+B(k+x_c4>|F%@IGo z|4M_6Img6cPo~<!uvtsq&dTOVSQ`KC!4t2Dq7@$-tQdZDupeYD)c$)R<D=+1o^RjY ze@}^jzUJoc_BDw!B>Wb>78AcTlQYOD<n+q+m?GEa=bRxQ<w6Ye7ViGfY!wjIdrhHn z_WoPD7hU_;cWCRjsAbbrJylPC`Ea-E>I#QT@h^mg7jxcnjw+nS?X>UTHpQ>Eu6{gd z!;`)H)%m|swu@fxPLG_%of)NL<0$KNsARFdQ<~|P^pG7htgdb=(bnSpuuI3cP4k(k zA@_5UYc9KQ{8KLSF57A)HjA%jhsx%2-u-P~rX09jWO7S8?TpDCU9n?P|E{V;9?8Cc zDN%X$pN}zyd`GS{Zzw%2V^MLZ%E9^JDduTk3@0C`kl*CN&&I!E6Z@agMVY><^$I&J z=CSBUyDy(~W74YivP-@HD#*LbJ(@hb<V9k(Yr*WjZzh(dSu9AcpY}m?@zJlZx%-pz ze41vyaIUmpc3+V()&J(3fZYtimr_^D&e1F9)IPQ9?&~17$5WcB>&`xK{O<K(1&41y z^{1Z?jRo$1xp3gf^+kDW+?R)oek{!uW|^|*zX_-QiiRooFFlrC7ISXHzI{i;p9Sji zSRC`1+L9K;xp|hrkJ3rJ8FODd6tXT{_FF=Dg3xT9ed{;uy6{K&dBx|$YnDE#6qmg< z*Y=&u>642tTO5_R=fV*8Q;ko|JWyqM8e?W?_fH+BkB1MZ_NWFg*J^Th7H|>sov^0V zcEjatg)$SH%j*`hetM&S{LrMxV@=Nmm-6;$WVYMZXz5kRxGtR0dooe7TX=%_{I_4q z?Kaw!Fg%<0QM%>B8U9UHY1S1Iv)@mP40(C$TAS;MFItL)cQ5cve7aEin$y=5uS^xQ zpC7o?7w$ZKR#eBW-?+PN`47vWKgWbKgk95j8LjjUS+`?W)S@4@y$3JM`Q5yrcHP|A z>uXx=IE%MF5Vn{+TO+T(<+Dm7&&R1!ktK5%1&4?!+W8*(Dz_q{YX226qr)=&{-GDx zFQ*H*g#?)~Omev`Cf?!vD(9hUNc*kOfB!GCO<eW*vhFpBu0<Rd%>A-+<DNPSD=be~ zGoe=h%GZ>}s#%g7gr8pIocodeA&aPziSLd(p;z5!R_=<gYhp5;@u|gcbI<$f*X5S1 zaG$mH?MAKd^L#fhpY`H$`nxENvt6&^_0rewX4(2>(u(6Bw#(U_RtrhY>haxLa3WCJ zW!kq{pBQI->F=DWx8<3{hpW7wp33YL;W&Kv!Q&|Vw*owxdk>!Z7yWhO?RgXYTa917 zoAa`zQ^?B1yJN|x^GB6_%sKczN7>t3wQTiU_Lb5TRE?f*d7;Fun>52fE`qCZ;<9%W z^q-$t>LT%)ZT_h#ehm?3UA=F=yk(dX!Z&;NoBtw$GoP(fo$=`dpUW)G=ACCwXHIcB zz>?~!{w#CG(gHoU&(Ciz@V;6xL55#>f^)L>hg)991@sk`HrchOuJ*iG;~tkd=h3=W z+pxMXW>ycUZ(P2)p?l$+y6!DJr=_RtdH--WOVESIMxRxt=r;77Ig#|mc-z7|)(e?B zgjlcE^0vi&XiAB7j+x3nGh(O8%<w`E&0n@sJrmX$ELpjn<6bDEP)eLb$@3?{eJ?iq zuG+Kgi9uLeX@Ji8LlG8pAM5@wn|+P<8f(`?!y{_mZF_%o`v}SPJNYD9oeeAtEAV#x z{L<Gj{n?|%>g)+2=W5!I*yXP?%08r*m=eWsyh6=rmE!W98x%t4s$P9>+`IB(-o6g8 ze>J(s-g5qHnQrMS!}IplUxB){GpY`D{-4|@zN@8$onwvG_t}0ytl5)q9q%pYJu=(! zv_40kw7uaHg^4OZ@~e)q9r<3Qcz5aD`JoHn&*|7K%p|r!CW_r=+ksttpD+9FJ!W(x ztv$n`)&7HO&UF3be~wK$W+1u$?gfwK=dR8-Tljd9m;d7+#f#HlS>E`vX-;_Gn`Hv^ zPm1n_AGq{$QLcG|-d*vxHLGL(SnO(cuaz*hcg#$+*k5}##3<(c2G1F1Lau4gYmAF6 znbdgUc22L_wKp<T-rf}9nqPeCZ!J@1U{hVl(qo5S|2fz8R`SovX_kjRoD}}3HOcAj z^w<sd{FTgQCHC(#E-g!}o~Cv<r7fxVqmDuF^37&%a)PZzHa$Ccq3HY(wLfzj-}9Wg z=BMQ8a?B!ivT^(>u5_lfq&r&}kN-V+jN^6)|MJiCe&ofyz2#SZL|N~RMVQ8B9V`CE z`<M1>J+f9@?Bww$uBlAAIbvG%3x9)$OWssgJe}-W-Fm-g{=Re%#ir|}xnexWlHW*p z?u^zs^Ei9rB2)h*1!uQ%q%QQScAvG%S<)-ogW+M?l$0FD#mq0--5su0NUj#xwesWg z@*Ueuw78!az514Qu)``(_SDgyHGX2}*md6@v$|3hsv0j}Az>6RC)iVU>t+Ae6IVkF z&lZ0WFuSk5c*UjdKUq^<bt|+cSPTEp_D?@^@n%|CRL0p^%b(8U^n5p`iZ7scpReu} zp27pucFyW~XertEbM?oGGGC7$e9gaa(G7QI$Ib;Rnuq*5rhi^y<a=6S_v)p0raQg- z_`xtO;N{}>I3GFw$s5@`txL8zy!lulncjKjR(-#SW`EHUtq#RmFHBB8J+b`z63$2S z6=hako~6_B!S-x;Vv5)1q)NW!2VeLY2W`JO_iEteZ<<@58wqNv_bb-S|F+xERQ=+A z{`#GXX}aMzoPW&e%`%r>b3FU`ZlRfiJ}akLo|rqWvVE1!pXcS4a%Zh?&z|)>KYZrG znT7M1<EEA@>$keSWR=spnHxji-8{fB{d2y^vh$i{MKU^13!Rl)_VT*0rzZC-+N!@v zBJt7EiJVn@>dq(p7ESBXGFy49N!L?--TnVFFZ(&E>b+96+;etM>-qOg0(loaMa%NJ z-k&pEee$JC>aohGKSw6s=$aav{&9KAvBRlyt9p#L$}c%_*>dA&r=u)!>p0F8bMqYg zVG%75{aBh^p0}lSg|gFjla5JiMGwE2caWoL#kNmVcSNtb_;$1WJEsCo&)0948g^&~ z_L~dqWItCs`|E4ENm#^rCFRnYQxE#j>smaaWL@i|+dgj(t$%6N_x2_a%h{dgBKOjb zzct6C?@cvWV>XX>%{HBdde5`^!~NP<cG|o19IcO2ORAd1dHCd#q@GVT5zR}C%T{J= z=H9YeZQbFN^ZiPP=FI7yVaI%1@i~*oW!*TAH+wbBvi|JTf8p5^ws6%x2C)}OGW(Yv zePFJlv-rNbM#r(oM>~V$Lr!OYbls~Ub7cQE)|dnJSv4n1p6TS<Pw@`yYWZPy?!pYc zBObgHey+a5@@{p~x7bC2%M`4=!Z$43oSNIv-D9vv+bUrFo%bfq`#pOrgnx;uE%_v; zcO`4;ou=EX7xo>Tl%lJ<X7TRlmNI=U^(hxGNz8cWWpmG2NhIa0b;lxEKle?ur)$Pk zgua~{b?TP{WAuFK3Bqx4Go}WqS6$wheqwQOTGl0v^G)WdR>@xP%(g}>$oj{l`^6{W zz`38hTV7sPvk5bfR1SIOeOc-J0blmHa<>`Fo7G<G`G+0*z}zfr_j?r+XO`KnTavH0 z8wFh|O-U0AIxulf+Kwf=nzpnif0wxL%Qw@ZDsuAbg*WWdH%?Vv=id3?U0K|pw@X)7 zsr5}wIPZEh{P?$Dv$d*adU*Xp&TW~T9J2IIos(<hyj`j(p<2f?Pj{>{UhL?0wsW$` zzGi>Tyte0RO!f<YL}^{U>)fRk8$Q>uck8#TC%4(ugK9S~6;X+PU6d^Pxi<8`W8OD2 zO}me$8Tp3y9Cw(obz#gaqvBgLKC6^&lJuB8t)olBeXrr>R}%luJeezgvTBB%#P73v zs<-ejOaJrEoA2%|rrdY6nZNi==ghHLw_};Ks<V@ed+>zMLT`RgF^(?!roF4ovCpCX zS<95YObiWSEv9$h9s2jq;aI?|xy)Bn;+$6f<~+#SSu1*dqWYIF2bQ0YPuaa@wQBon zwn||Yt4T%O%JxB1lHFS8*T*qCe$%lHI~BwG<;h9|QQzl3I`{aV&d53YZsCo2y$e3f z`5gVB_S!uCY(4&>Qz4wQo>~5I^;2InH*>-)6PJA97&9BWs4Kad@A{N3<w$hRpZUMp zf4Lb?Zn3q*mS^WKmYzSU_I=Kt!zb*nyNhN|<2ib|C-3NUO_}yfJLOn%i&wANm8Sdo z3v+{(*BiZ!8|MECwBV2S6W^Y=_p986U%dyzzw-LK2&wL#u<FHv6V5EnERySE%#D9t z5N)Ye4-DLSW1dpQ+C#Goc6L6nI>FNRBJrYQzVnt{7bdLG)|>N=iEpJEcPX3EqA9L> zPO%))jo7wM&4_RJL)(pZ(z-L^PQBVFYAU4Vx!KJ^<#8AH+J|x?r_NVY+~7NKIYeMX z$jk#}+$tvP)x=qp4!ZBy-j=4VW;ZLE-B3op^Z&w!uQo0Xx%Mb0B5|3S>4(pY(-pVB zyx7>f{Af)?yWe`%wC6l)QXTg`kiL5CbGCriTzQ9emS4LAYM0H_x^5ENHBs|!*J<N9 zY>SP1Iqs#E$GV=qwfy?LQ!Xp!RJNU{y_I+Nib(yzsg|mB>$ch6ILxjZG-IyvtuMKp zn*aE?65CQ@K6)*FC?|Hx|5fP?z61VU3_haq3cuA}tjUjgd9kkTKmYx$9CxoU@d>ye z5DsvNDlmK5ykgHqizj)TWx7A)d{qcO&%eFkC;R_X+hTl*`O=NI-><8_amfE)yWF)C z{hR;!N2Q6feq}s(PUma+v|n6);-$*}5?>epm%TmdiXCI9=p&)oLgvd{78b@{opI^t zi`BZ4*RS?yCg$zCl~owKbB55dW8u*n>sE^dA5!?4_4-KZQ(w);wOd0U?J_c4>hpTF zuHf~n9h!k@@wtW9L(P}+Trw~7J()Izxg)0hxc_n4%}=EFF0roidG|ta=VJCJee*i4 ziyq2a3Y&L);C6b!{pdM&(pOf-$J~d0yG5OqwHN;0b+(+VmbD-@^1H|7nMRj<Y%Uco z>oF1SHxUYU(?2R3Ya*AqLNmGhSaOb8YR<`u9^IKnyHae9b@@m}>&hj&EbCb(*1xgf z)D5SP64JAbb_LiR3%hf%^pW8=<J6qF6+I7U7;W>iIhN%k8LKLnY_zOLSFqnuJlJh| z$MG3mnv1)ua|-)63I)4`y)jfvI<=$A_Sg<h<<%#WJ4{kzL@RkF`bb8uKfWR3)Q&3K zHs@tMItu*{`!yePok%{Bd}_z5j}rfUBqMF*oabvkKJ``NosVSXy5j}MrYKbHo4E9` zVUA&H%*zU%(q%nAKT140Gx-N=B~SLUp7|G&C6Z3@_a{uQ?2_+w|B!L&$3vSYk7Yga z-#Ns5C2g0upMN1J@`>Y81<OIr#qFOte)&jRD)%d#pE9x8wrRDd^7YRghh`WRnfE{F z(sY!lWC{Ju!tzG&$V_#G8k;85&nzyl1$hkp9X81ASNqJ;(slfO#=HiNk3SS=svEk= z?O#+WBy3mb{LGVQ@A31;rx==i{IPXe&%=)6{Kuz!v@Ueld(Ia4SbG0l>$*F#dly?* zxt4!vx^r>&PUY{r9QW)~sH$;nh!@b@r;xMn<L8}=kMB|rf6^y&o_EoS$H$HRlWy47 zt<g-bc+{8JY2BvuPNJxsCApks>K#FyBKHra?gA%d56YFZ#Fn#^-VxkV=sw|?tfOQ( zOY|K<mqPasM|8s(43acdn^ZY2cutWNjgQsbxonz`<>kk#S1VngGEp;qR!!;GU9T8+ zE^G3Utgng*wp-S-F1zda6b<c?m|*K=E*lGd@6Nb%l%qF$)v+lCmhrJCcPvZu;cR}q zdbQZ~DczchQ))_EAI<VIT-x($^=jekQ_Pq0^sis%6%(ws%*FH9E3YG_rb(w}&dy#n zTiw`d-Q1jcXB2jpc|Y^qva`(XndhCIWg9Am;;-wSuyH)Nv&`-p=c(T8<H_?DsO&7W ze#XgjcI$3K|Ac+n;mPw3>{)lWr&1}n_-)J?;hECc^^V$1G~88o&nD0_)>`;;3fuG5 znu6E!j!ZGO-Z$&fx>)n4K9g=d_BBh@S@`7Rwv<yRf}ea$OF8wUYR|!CE+-j3Rd5@r zdi5Wl-LDxqWrtk8&9WB3g^xdOOZJ*EwfFe#Ud_Y=6S;iTWm7gz{8%yDa4ApU`Z8U) ze7$8;G(TPCJNj7n=oGD0>&w*T^6yPCR^NAPYQ>(JGm6;$WT`*;XqI$prfU4#9mk{1 zQ}=Y*UP}pfllGF!_FmTGDwplH%;jTTzv+!B8V+*Vj>}vUKlN^x>o=7TJ~ZLSvDvRT z9!vI;kh))S`D4UwLsg%P=J#@JuI2e?CO?wSH<8OWT{h+9$6j;E{;iV1r(}K}i|#%? zt6MWPpyn~}(PZ7DQ;b*U@0nY%rZV{4j(s1)9&JoZIyG}~{+`Z?HN7*8p4B|=tzJ_a z>?X6(eP+(76;A@UrFe;iPIR9+Q8O^E-$;V%(qbJBjYoY7<;V5Qj{BdK-LF*Mqxtx` zZJpM#p8IE&HLCx3`K**Fcb`4c`qqp)+n(N8b~f*}lTDq<vYu^AZkx@yy+y8H{_GUN zm`^XxF6&t^(cS;#l#5|c%-$Z$o%QCTwNWZdV*kDDQygrSU)q-S$n{xQ+4sj?m~!!T z<(D!a$-Q&kFC1UIeU?#`ww$d*u-p41i<c*zdNH-)%N|YT6OS)$o@rF%UjAg3O_fQo zo8L)g^Vvp49&$TXgWU>_E2o>JvgF$oIW6nC(`Q{|BezpN*zMf&iN(H>JEi+$`ZSdz z9#6bI)2K)%Pryvh@|sO&%&UprhN(~dY>Kp&^~7|#pPOyeWGrVnThmdd*3qQ$Nyaly z4pnx;+3Erwd`Ty5TrV7TlsG$?W0?hq;%AjxHSaQ37tU5Inf<MJcG_cwj%S`Mdz%?% zsSBDE3Rpek?3mm9VCHg;K8p^?&nzrm%>{=3f^Ybo(&sg-u<V#q*){)-g2$Q3KR9<j zzW%t+@>6eRZE_nQld1nl=Az^JFZwh;^-6x~{rGXl4V$CykIUL$mvw$3&HO}K_=|+f zXOaD?{f%cQ|B$TYxoFcV|Hjba^OB_}%8#BfPd%1g_e}HH*VvEyj87yNoC)sfJO1WO za8K{?n$IH6j}7&oX}TRt4mlI-(|vr&vz4hw%v+Bq=RMPWc4Yg~-^<=q@N`yoIewJ5 zVsmuLk98;ZX`25tn!Q}&-R@0KW(Pgi_j&eG^;>LXZE(z=rzdRoYW!Ts@^jgeAKViw zt295we*EbB@t;!4ytuggqH^~|gzJAA`s+LF)3$aISh}R=2X_GPiN!i6rWlyn%B9*Z zYq?oyd#b{RGuY+$;+*4Cj4aILQq7l5x%sizRH}cIRPZU4pT}nPxZmv23=OGCUVC)$ znWIyTmG*6HdlWb=>6GNW{55kcrc?%>JFstK*rUL-q*FI;-r1=3D9|kFl*VJ}>^qCs z%r-hE7r#j}&`qPVMt7!AYv_}YsVQDlu0Q5BTY8%3#AEFfQw(&gZ1&aoa2h|Us`)r0 zXxZhDKW-T=_36o<a<ii5?u;O}6XvHfZ1!dOtPFZ2ooXZ(Z@g@d<j3AkV*UE!!RNlz zEao}-So`P{W0!q_Wsg2S^_AQ$R6b>DMa|3^M(2LG&FnaSy+d<xPjyXc@S+JFw%byI z7hMSWbR)`0)obGMX%jVLXYG-T-k_NnQ}dYnL^8-dF86=D`gr4$;ZmMg((&t#i}h=! zrqn$4KAxO?e2S6tzK^MoH<l)Qi3;EUvFPKCRfbD@-mKPsx6w5Dl%!a^?@_sEy=8NL ze!Mzm(($k^&E(^EXK8)9@o8C)W?a9naPTp)3CFK>XeRd`4>MQw>R!JrreFK!6b;9C z-<K6Jx-&1ao(vB*lM8oS*79-RtVJJpH2HE)e!N;!vVXNg@F9brS*wmdK6QMGk;cAR zP9JwXGF<9&Av{=JF5G%q$jg1Rv_9_GWvF_(L!bNA>Pt^nH+=~W{1j^VDOB-OsN|<m z#b2v-?9;N|r)9BE%O+m*TDa@6?@PP`3qS5yWw==6fOr4<Q@#0K->1*7tSYPx&#GDe zM&IJuOV{J&smIFoex5#ObF|~fX>)&lvwhln`!1h|k2`a@yXU=E*ZY>gu19V5Zuzn8 z&5w0GKfJT%#Rb$v=hcMo+JEQF<<p({-o5!<Z}e53y<B=^d+IUs)RXZ6pT8(Qy8Y<U z?4&2Un=7jx?$g!Wr@3Lf!OZ2;ZfrmLdl^gR>4}wDfuC{>Kl*<BD5muJi_>FWr)QdM zFK;t_iQW10oP4~1(mq4qeIH*}cK25bUH*0K$&X`SYLX-F&yct;A$DJ4Zobbs^JCAX zoj=!l{@SNd8$YQgzGt8Q!|$yxek*;c&8&?ts)?`Kr@!XCch7t7?)Tm2zN<d}o%FeO z;m>U<wb2vy>3Z(db-O?9gRbLek;9LrH$Rpxek>jQSlalp^y5d;lOIVxu1)r-Np`DA z-u1rw&F@W5ekVQo&GdU8%g=p3e(d}3W8a$}`|kYMcjd>vGe7q2__1%vk9`&Q#f9%r z7ra0H-EF1c+jM?zllZyqz&)mO!9Be@7WW>Du6f4UA$?zBR|WTZ8%Dv$x~nUhCRTCx zRCXCwo$jja68t1~)#m7z3hr|@NBdvsntoQP(|LCy=+iWT&nj&jt#8e$S$fiDqBg?< z#z{iXi`UEeGL;maJMiPwhnmC#>$@&oKl*#qj~|;J)GY4Ecd5<2yz}v?-GM#(1YZ`0 zCePb(V4t3Iy!gWP-LGG7di=WS@#{mMOA~8jQ)*&kYGOlbVhi@^nZ=6>#!r8D+UWNt zncB!nHIZF4kqPl)it*DH=zG6Dz3B1jqQ|E<eNK(6jZCVEjH-zYs)-DWpC%MPbKd&y z3G2OIo&NN=JL!pZYW(ehJB3qsrN`AI*6k|{Endw~t9;hHtbeuSXO(p&?`BHG&$wn@ zruOc}#XH8E-}g*<?{l$S?L@iT3+vCH=WhHsH?lT8s3yH=pRvk5<2&KcUT7yj(H5>t zt*c3miI<$e{!Gt$p6>NNue5_7X$wE%R{niR<>w)RpNANJ9%89Y%&SR^h@T-IFCh{y zF-QMQ$9nHe*IQ3sUwR_k_4(?e&!K`JT{AzqMt*YT+^=E3Ph*{a*Lm@!$HY~SiMt*Z ze|kiG>9g)jwaJt6RX+7P>@$2JU0#!X-n{PqjYra<_cM}?JU(@_Cy3$VwkO)|pH<qN z%eP3@vYxc*l)n((^~{r}_|8SOC)&=>Jik=jG5oX7$i!bs_lWG?E8$JgJfHN`u+C98 zeD+w|?z2jfLwOHtZEN~Gmvu*FlOKeKeP(gFWPU8IhV__D=SI=;EnD|(N}6{h;#HrS zOnl(k$v@uy;5uiMdGTZJ#*euRKju#Sn49@A*YRVn<;PsjkGX;$b06;0-uS+2(tEFo z?@ztn&GcmVrN_G;{aW|p$GRIo)?N6q?!=FETYjut@MGPaA6{o|jz*NvX8SS~l(IDP zE|=IWJ!!*u@e%i<qrrX8I9bk#AL(4KFmw5gMaxCb2K!7{&NFkl#KPq~XM_K=%@Z>5 zf3$qpW3Q9J2P%aQt8C9zpZCbvKS|==wyQR+>GO^Vyx6w3`c&4uBmKvN6V6PQXxg6X zH4o&O3D5iP^)6rW%=1g!or}NUNo0Q#nNum0?DOt~VWrnuo6e1kZf{Zc=QQ+B>Uq&O zr|-PlXO$w&cPG}qllc78W|q3)w&Lc5Pn{|AlHMH6oi*Y7qDrA;wY<w6cI{^-|7e`= z{5i$-NO|k;Wk>dDdhOG6-KV)epKC(CSATxj>)DGQ&rW(g+v)LarN^@`J(}J0Xm-(~ z*+GwHD?OThs5bqL_0*d5iSKJ_)hC=^P}#L*_HNY^<?DX1JkQ+sV;jRyw_Ban^L~7p zx!fc8Zt&Ns+6)|mDoa~mOimYCFqySOdv<i%^VdR8RttS`)%?`EF+b+w9X<7ZI=1_C z%;Rr|^lOU;ALD!8cekTj?WOhMC)UAFtc{;oKYna|`PaDzKh9nFac<3za~1a`XTRrp zr7isXl)}$b2lg4c#7iz%-_y7L%q#83kF*zmp1Skrsg56qOnx5H`FTj9HnF89v8pDq zW}kuSJ_Eyj23q?J)b|;f#?N^7y6H=)<)={3kD(`juA1;;RYCle#rj-tx}CnbGX7fh z;>V&7HGye0fe|%<LGe?B;-?7Q-|<AccVFNM^KU=gK1k=+D8H7DuTk#GKk{?eihVlH z@l)mErzqdI`0XZie@D>W!q7*3+JfaVrQdtH*ZcJ9pXpoAJ>ht0SEW$6nOwN~vL5Yu z*6YH`V-D^JH<1h1mh4|0+I?KK`?!dDwa?N#n`J@Pa>1H%{VS*R9}g*iZ1^?V>vES| z@Y>^7&QF;bY`d($htuiXj9W9+HwJ&4;dRw!S&&8l$|<i5r&if6D_Lfudm>pY-Rp9V z&9a<jCTqXWcvWRnCOhHyx@z09*onvWjwZ9uzO+rKKX!s<uJFejKWALpqSi0lubIsC za^q&hR3BB_GTY#N6>loirZCUY*?0Mf`gt4Is8{oJ_ZfekYkoiTbMDWNJ7+C_`N+C( z-^6Ekb)E0OtW7^#-uI)$(0}sr<z1Dt*1f;-ajx0E$;Zl1{kSr7`Oe42>GPiTo)`LT zl3g=t#&XNY!AERdXLU=n&c9=_HAeaEQQoHgKI<PyHDxb3x+6TU`K4fta_+IYP1>iY zGPfVx!nICmN7VzVOrv+aF~$YcUrIhwpRvsI^V5T-?BP-|@dZLY?;ps|ICjw5z_vL% z;TG%h10`oJnybB(&QyK(<K~XX=8xGNKj#+KhI`ed_v@e9>iWVuu*SQ9&8PXnK0}{< zA9ojS|L|koj30jT_dPc6jIOFl@5=v^a!0|b=6UEphRa+PjLWzk9x=~Y#?({6uxurR z5?@267Q<QpWh<YSUN%|T{v>SOiM29k*UmZn*k-2F?Adm5QieJV3{wOOOgS%{WI6J# z`c2ug9@$ID+h<+cqS7zhqq%tb<BidVOEWFxtglWn41K)O+Hfg%=kaxE^LAXYDZ6V^ z$oWxnNoCPPyW*625tHmb&r%n=ncnuSQ}vsq+~*^Qo)}v`>r6eFUiPe$^<;X=naM9d z+g(nYw?XD-Pu9GM9=qhEc@bT9&c^;a>rbC~)~ULuXHsPnXVJ_>l}U_6GZj7`VJeYa zSef*&aOV8VBu4$@<as{#J5OJjp{`>;z0uHL$5L-{(!7Woy~)P@I%ay#N%JDA^bVgD z_U=6_b5@wU_proF^=a=79@=a?V{xXxGO4jp;=0YueRB@Kn4zwt&v)3^Uq^?}IC)+~ zm`vMIn~e)Be6r?6l<);7&5PJ`xMha=G~x1zXN9}Z#!fmT?0q)2<BV{3$8MgP>X+M# z#TQi`aw?uK_xZ@ByRFA<HWrlsoT)zbW^BzfPuH^P^D2WZcX9Vt1|=3vm;AhB(i1)X zXP&GlW5do&_L{i+#hJ-3cklE*XA@|+%e%8OsPS&<5u25J-Zjlq7gbEpH1gMSJlAC6 zujQN`XymVDe=aFyUPx5BqLIJWgUGfsle_vh3!I(&(zsA;VdbJpk9F2n2JI}ICi{6w z(qkQqXP&9gb!<K_33{xvrZOmUuIn+Il{M*whW=WH=N2W+3rU#cYU;10dv21k|FZQ* zB7M#Xi;ATy8v3iPf1#uJtn;LD(bOfCNuLtJJI@MF{=Vzfq{^gEhgQqXR9DMC5!&^v z^Q3Um)Pi|4_9%oi8u|OkO<4V7hWfJe&$MhndUu^VZ(~^L9R6{py4w5Wp;ga1Pkt^G zmHK?-$=4mHdMcAXwXFM;G;hY9dAFb3+~Iq#_x6*AJACJz&;3+%N6r6u?x(UlYVV($ zRX)i56t(?i@m;n4<GG(2@+OOyOcnin<Y}pB{=Aq&t8bi{e7b-2f@dd>9uG|V{6wfk zMCEhJuadqX6aScoKB1I(F;VV<v(&{uXxM*#vgomf*|U?X#{<Lk%=;cCxk)xmm5OjN ziAdlzi(p{5D^PI!=&`_(XD3siYnXq267*O@>DkHDuQyWW`OJUyF=O72ntr!uCsmIn zTlni4$km^j?A`5t-Dc;8iZ3(Or;GHPK0C>JG`Xj;XlG@Jp}(G*od220-e;4~+RWT{ zCAp(A=~tHA&dNuk!oB(t53aCs?>PF{?`X!JfK4ev4H-vM+$tMn=gsqEU}R|E4vw1< zv3fB>O=4?G)Xz0ZOc91_^K=yaTQuLrxpXo}GBTvsXk95tX7p706L!Wi?N^+{%mf+3 zy$(j43z|Kru+KQmr7GWTQlpv1`2E^}>TJvVQRYvtHI>~7G~K<p>!fU`Q+deNcPma6 zx`&>Z4ZSBDs`hS$RH1vwMcF{-cO6o@l(k;;X-Jm4Y~7*Ebu?UUc2TzdyR~O`Y~S{{ zPiM~hoRd?cg?DXld(@{RT%OWv5cEaJ>51}*uf_V>$M>$0{=TW=UFyXh)4$$5-BqZ6 z`sm&@qTe?K+&S%a_w=cq(^DVCg`M52BlunI?&?W*S6l50FMSd>_2OQw8+%tNeqYsa zXZ5eb^<FRHMEk2lEz46Dz6<?%XZ53<;ahiwvp$Zy+EpF8syfu-`>L#Wp{+Z_uRe*p za&fPQ%J)TG?_7Czikp_`YrTjQsn9?5JWk~N-W6B(YDj!v6n3XO=x+C+o#LUz`IDok z_vOVp6}=9+xYpY_FIH+-spgAosvoYY&X1m6krx|vcNfd!YfDA9>#fX-J+!lQ<+E!` z1-5IM?hJkTB1~0fyO!w6O9GY+ttWSgUwafM(N&$O{;qZE4so?daT4Y0waeCfpWM4n z>HDs@@4FiAyna=<{&eYj@8f&*q`&XVxbu2a;ri7__v(p!-{p7bwN~MJ?<0Hl-o=Hz ziJLa5I@I!A=+`@|lkTqWDqP=nbg$Oj>QKw?t8(6jUcA%owM)FUL|^OVUX8`ofe-g; zEUFH4`o1XY`=X*d-LH0vAKfJ``Z!LcyE@S7`=X+Et~c*=FWOnE`SP0TlIZD^?(9k_ zc^y>oTIk|hZ>Q~gTk~R16}}ESzt($A^z@`VyN*1%raCuzdQo2Nrk$mo&%%~2iJm$k zFVw4O^`whyy&kUha@nrMd3V*7U7?!C*LvA**V>pD+Eut(s5^RUNnYrwouMb6g{jVp zPWRk?ZbIH%)t#kjC9ie%l)9C?_IYtF^7XaIzUXw@?dKx4pG&%9m0bAR=lQkBb8C%f zN2i-?KQt+?Rq-yDcaip)7hw?<+C4AAW?Wdi;o8~_GTRST<+X0iYdyL{^w^`Y8Ryn+ zm=m37w*62>Ua9KcS5CXGPJI$K{o>knAHu`~ITnhPa<05|VXc?rcCE(kT0ifsI#Rs) z(yK64iS1fzcZ7PDt`<7C*2{FeR_l(^YfrA-ytvj_W&63Vyt%wPOU+7N>%6!oS@HVJ z^J|jl*KWSL)>vZuxv)D{!FR0=?<@@~eyww4?FO~&hx+nb6?ck;m1yUjSi50ibfV+- zLkFT0?Y1AP$ZK7j*LrY==(a~;GhT&BoLjqLR&=6bUa9HMtEDBYxlgWLw<tQ+Ij@xW z?klccS6T19`n2=v(c;zFrK`D*tz9R&eOJi#T?KiiSMR*yD%4(ma_ySM(UBf`siJpJ zNfl{lzX%JvuvX_o*tGL&b>>A!u8xj0$V*+jW9rgfQ(KF*S3e62>xd5Z%?p*iyUM9( z^{N+PS0_Y=I&WXqlo#5#eN|0f=*K&&qVBG0DqNj)bgkCawOVtcLru4@a=O!%Riypu zMVQE>=s?%)i`wpVN$nC9Ez#!MBl@&hyX$$_l~-XRJ<);Md9Ew-Tp!-)TD4PD^iiL; z@4Fo(CC61&%44*4J-#%-T95Z`q2`M|?+3EeYu@cRQha>rRoUqYN0y4l&zvavl;O(N zU00_*4huiOR`1%{brRcmMcjFHY3J3c#j8(03ll%PcFmILNRRECCfzw@v}>y9y;DlN zrmB``uP)WrKE8I%yy(a^(UFFEsT+4pU0STI{XA^i*|j>eqC-8mubPk-s=6~YwPdx{ zo>144)m|^cLSKi4_C<%<ZeJC#eO1z(RY`@by`G1Ko?ELmJ37>4`=UvCu8McNyo$6> zy$B1b(C&H>Hs!+F71!3TklDVdD$jLep6k&aqDLQvO*yxA#hmCsv+avA-tCxDa$I$Z zwVvwE$CEC~PCsO=CwRBe^F^QcT5G+hJ01s}mz^F_9@ABLTve<*=F-l`LFZ(r=e*nD zQtZBTiM5vE-9XKgvQvBBtq{2v*!iN*%c(ph>u#XtaoMR=?^YbywK%B9T5IEu#gop- zPAz)3BBj_}b(ZzHN$)J2cRkiQDVy$AKBu*Oj^N!wwUXnJulqL3l+XEkr|{UW$2!Mk z({<iiT;BOu=Xsy;Z0mCw?>M}7Df7JS+n`e3B6(L(?4F=oiTjKTvWaW04;9@JyjJWk z@w#t=M0v}`9m+oJSCv%{6+N2ts?Xb^JZ9C-$3Y#|TBbV}U%Dteb;6y%l`s0doZqc5 zDRE!Az*?)ZJmk~P#X{$0r-qe>G!?op6)g`5x*N#(ywA(DJcO(8c;sa3bA9hDoQsY} zUX)FDerF-Q>#@#@KI0F4#`CSuRlKu^zFWxlxNoy)`J9#SEDrB{yyjWoW`Xh+)1At1 zUi2BLl(&f96*PO&w_&06p{92n%<nlq?^N!2-nZeZY@)$Cj_5-78L#>b=2#!fddI<C z=pH`VdRO1O7fwa);TL6No!`BX+O=5wMW5b>KE3(YyDHwjh`M``^>LrxRoPgJ@{+4} zE{Z<tTccK<B71jY>yy4Ui>x=b-I-`w;vRNEHu8aNq+R^XiJIH5J-!#!Rh?}fFPU>{ z?cY1m!G-y}$8w`OZW}IHuXAFGHurub`QUXtC-xdieLoddlWMapM)KlbqicJOM82Qe zQ=O`}Z<B2BI-X;DH(lMkX?At0{ky3v-%Wj5lWM*!=4N+ws`K|#CGV!r-4XunuC{UE z`ZLe8R~tS()v|AsWbitj7jcpm>(4w-Gkkig>W+5tUG2+->(3kupEj!~b?thd^ixxx z{y633vvbqD^=r~jO+C6Jv?f(C!K}RH;+>0AOWegT$;NuVdttO|vG$(D-7os|7FzF$ zDld7uWAW<eeR|hqV-4TENGf!neoi*lvb^Nxor|u;?qQc?BR$GfRCg+$e$lt)L!Ztf z>rE~1PGsGkIQ4Pgnt9fnBFa;a?ojSNDjVwiZiUsZ#jB3XhOV<-RdOdV^>N>-E3%>H z<slPyD4%-Kx8g&e#v<!QE$=#J6}nI9wq6u;M_aonzxP>O<fXkvOR7^n-_;&{SF5@6 zd+3vU*I(ZgpHLld`>uB9yV{pKzNbFEC*E5fZ}hHq>yGcOkM3RXtd3WGSKGPc`_a3% zyYAi&D#~AdV(;4Pdvz7QZ>zd<yXfxiONIHTkL}f!`o1mY&h4zieD0%r*9w2%=5^<` zP+@-e?sC!H<x8L33+by4w*9^=;`_3sJF}At^Sz$mn{sxq=B(=7ORBAxRPR>#Ugq|_ z?BQP7i+kr@*c<!$p5F1jvFG>7zP_h-Y_Dv0we{TU-E*p~XIER#iq7}kes4nFUe%pn z(@I|J?)mCg^4j;swdmK^qWhxrZMWZxnA<P1_h`h-p6XQXcT;<R?3-oqb6?*+{Uz_U zztx`HxBlk$t51IantAEV*E`G3AItrfcV`*@@!VfEd6%a@HmkMDyS)9eS*`u{m(2N> zukZ4m|Jtn9W}p6o_u4Oh3;o(xRTFQwZ~c<@uaAE>{ZcDf8||=9S1owfK85?&CTjZ5 zpOD{uV#>1o6Wdi&ye^B^McXeksa>DXo#Azv{r9%IWhRYxwznpGU3PzR`_{}$Uqox8 z?@w8F{>AMo!=*2^Kjp5Rap{ZX&uu}$v-T<9zjk)YGX9g>U6Z^nPk(aT$v5-Yl$!9( zn!fiZz3)0XMQy|Hb$vcN^>3D^nmpY%ttNbf=J(VmyQPet?n}5YIzjWh=#$;Q44>|+ zsR?%qe%F1x{Alv2+P6Q}9nk#VTJm1|<X-*7)$tGa>MyE}cly3B>ifQ;JHKD;{C;%T zchSf9#Jj8Gt-kLodRKe%&hJG#w@)p}=YDxFY*KZ!+xKmUs-s=LZ)<s%yY*e}(;eHd z7Uy@D=4&6@t1I?>TgkiJr+02|+PU4e_`TN4d!m>2u2#7}wNLYV>Eqpp44>}HstLCZ zewTf$JT&=K?am+T!h+vvA1zl+K2=+J|7y49_tZzblMJ8kbE^s0Ty`h?d+f?(cc#7B zZDsg$+q9Z+^WePGC(2WepKeRIf3-t%yXceMT!v4#)zpOFnR5HlN8hu{?p*83=gK^l zd-un(X+AsGO5GQkq`BSn@oX)lr`uv`f?bx~3G2$&no|^g&s;R+RPM|l%i5ORxz>@t z>iCq~ul8v!&@5N|y(}vDUDiqSt;wfm&dRSj`D0n0k7e=WtB+={GF&Xva4+Ic)#aUE zrxm|G`|O(Z*|l4jL}z<!zc%Skl<}^uV)vqycWqTG$zEHUt$Td!)_Kv{YofCa^VV+M zv2|H-w(j$5v(BzHofVzxx&73Hys4@?L(@uD>+A`2D_QOHA}sQCSY%&xs_phu5!+8C z-O)-eT<!BbEb`o1quJ4^CfoN-%Bxkp`^&57_30Pa!Yf{PzqmI2!rJxM)~=V?zOO2; zc4J=c(H&opKDsvj+}ibXqT|iB@5{)`RlR%5Y1h`NPp(b7xOVM_YhoAI>Mo3qUK<^4 znV0+Z&aI@ox4H_myN|Bbof{o(xqVwsUhc&^yS#RlwwAosI=NPRadh~@wc3lK!=1LT zi`u@f=+3TJJ4=u5DiwWvO|&~Y+-m!}qP*CfcXln>X*#teo9pGZkV(<OZrhg~iVk+! zzN{tBcWa*S(;cQ)i?h2*v$c+`)fC<R&C(>77}dcAB~tXHPH5ZZFB6{^Z)Z7uWRm zY*pU1Re0Cd?7O#~?%4Wz=ho!Aw~p@Enp~K@yf}M$advufwtMmM=u5Ks9`ZZnH9t;! zGVz;a?PKnv=BCM~Zrr`|vGkF2`28PRAA245eN=tao%Fd^|J`ok-^<*5Iu|{e{c2gu z!>$_Zn^O!7_HF$7tIT^@3+Ja^U4{GSPE0W}xqsu;$F~W=r)uusD5~A95PWLSzKu&C z-~Krxi1oR2wAnt{e$8~R8uO)(Z@-;UbnN+VP3imZj!iLk+c$gB$G2HNlDp?r2OI6X z+oP%6`+iwejkS32F_EtP=}BH9!uNgW{wTXQMZ@;K@6;b<YRg;{Ki!?uk#C;lC2^%Z z_|Ep%%UULWysKBX_vEq`$xnCvPJZ2%;x$w7{@bpYe79v&5^J|t$L4R)Tz+Bc34x!P z)(Y37CuoNH)og!VxcBFbpk*h^t*?JQmV9ca<o&lLG5OZZ<|zKkOMhIo+c5P_!1pqf zb=#$akBJ(s+b$XGCU^gBR7}3!vL4U-Zv$fTHJ7<me!Tnc$k%7SotGZ(j_rJX_Q(`H zuYI>WcieOH;avPH?|5wf-6;k(N!MrPcuA<JUcWU-Gc}~<YuJ-0W22=${p;_=U6;!7 znko>#mvQH{CLhhoU#>>?XP-Sj#rS@B{<`em<5P?s_ElXjT>Ey0QJG@i-kUqF<@rcP zUf6Lh%SSRbCfj(K%fo$D*@bI&&Jbcf7M`!MZmUS}q8~qAy*;w^neWR@PgZXix<0E@ zGqGe}m2lzO-Wft{FIJnsi+a7RrL%Uc*zQv)!Kc>z$nrfIx-G>^Qa*mI=+09;J}aF* zU%mBOtJ&A`a_v^OCtBP_OJf)hbjoWQuUUQO_}LeI+duS~FS5SZ@~$HL?#F45`?k-s zz86ux=je{dy+>v9t;+X2z4I}x__*|0*=*19HHvpPPJ7a4y4d>KA?s@$?;?bEC9f?# z9@S@kt?FGw^4*PMkNZr;%GbQSvvFGS@mXhOvrWpUsNM~1D{+sUWPPgVod)-N4dq>n zeO~l!x+a@y`A*~Y&c!{Y?vXv#ry}kIE-QAAJSUrKRzBt8osUyXj*DNCjrV-_!)VuI z?LCjXU-aoOwB8r>U1ZmkAE!P}{_$$(<L=Vq;XT&-BJO-#T6{eGoNT;VdCkQ;8>f~W z7rP`I?fLG8(XM3eJ;~iK`g9jsZ;L9=dAcKc_47X6YqHUX?`|X&9-nqjHrld0=jNS_ zuEobgFUf{`l*g#<e0=If-|7#2+Ka5$wY=Mrb+>Tp<G$7Ntk*@9#~j`9xa+8Fu<yGW zR=bi{9hVJWXT7ZCj$!KKzLi&GgU!o*Chka1FFCHaC)vH^xZjJuyFJ!rdhc#5-68w9 z&^qi%*_%>pwkKt4jw`>N{fVjHMB;NwqkB|$WtaQ~-bKIN`k(j3OtLPr$P@6~ck#8y zACJyC7nFV7vu)QI$p@d~_?dOKbn3?$MrBHQYhUg-RpuiZdt=9`JRix-m{ikcE{-R6 zoJ#ZQRC>I6t62EVZq2|fjdhzuf_KT(ZY_JH^?6x~=f|s2ovY6rnPT7+zqWJ7DK{TZ z<KJ1myHD-XOpU4u72CZpCHNFiZRoNmzoLwmp6XbCsw*bmZP^^okD)hT|7!BJ41T=Y zNa1?;1kLnA>rYk1#M>?Fk?o95UH7{C_!PmmzSr5ur(8VtwKUmFL`v#<c&}!lo#gfF zJ(__jy6g1CgLfU-r{!E&yL5(7+R^Y-^>zCBUJ~;0Q>X6O=i|dU`Ag``j@Q{orWon( z(|WvaeV&)3!p3ZGv!!0Wld`i<PBHTCiivhxHs@kxZ1e`r^oE9*Xvbx9I=}ANR^uaS zdwuKC<Wn=8k8j<Yd@AGdtzCwyTwGGu!+JFXQ(~fxmz8M#dbRrK)~88c62kGd3wLY_ z^5MMv`Ret~?9;P_o}CDfS6!zo9DHidk5}GDwwfk+Nq$(Z|L)eSWiAtI!#8QB&d}Jo zZkmr}^P&@_sVS#sF1TLGI=je??a3}Kqg0=-JJ!|sNS5wcr!5!kCVTyApJrgtjUDTl zu1Q?DD)#u=jEid(Dz>u5=5D;Y)=(^5x;r}AB(Hbtj$1+7kCo;1?%Z)HC0OrxarT<$ z*KTxc=boH$nsKM8+oNk6PEJirIrTK;j@0IzrarqaC7eFH!!+&DHHq@2tTT&tDr`Si zkk?xpKC54Ix^-dpkH=n*4WAaRicWURV~q~K)u%Z<x-dJUB-^57>D?JcJ7-59R@&a? z5+2p7Ila0tyW+_;ffG~Bl21MLx+8UKhv}Tcr8{R7Im>KsyFYbW(y6CGcch-}FpY`L zyf@`^?e;e7Jl@FgS)H2GZ|^icv(r?haB1(1BFh_V7nWyRJo0+IEN0#FYXPO%9*?9Z z`|gx^eNAFgw4?0x8<RAzrfpuhV`|u=uvs0^nRnK1yS~;;cKfZIJ6VehSNk4YyG?BS zt$;gO)`hFr9$9N9xc%0S=**4LnO=EIMR!hRdlDAaADy`_I@2m|>CGKe-5!U{>WR+O z&09KmhpE`^W0QPV8ZOCxb7Be?TWqrHvJin6QrfeE9ObUhcrC@f{K}2~Y@ZWTq&^q+ zGR_Q2wq3Vzq2|?T#l3$w>^N3-WXG`*pOqUWuS=YtA~m_N_vnse5y4qJ$4tNZt~6Pa zt#fpWm-nt?0X{3uW@Y=Ndu_cLle|jPlr1jVGC0fUh-sPe(qkFxHVOr2=^QZ)GhTWu z;QEaY&8coByve~@x_jC@g0;@RkdpIVxkcsrgp*UGf(v;k`m9W>;O+HU`EWvZhRM=4 zr*(=GHBEVnc;kb$dP{j*mxV-~H|3cXlxew6@xl}@;azPu%dP~J@zyW9GU2(@s~JIu z=Vre!UfO25?qRQ{>5@X;>SZBO=S*7+mtHDa_pn=Y>aj;syJiGs8m>$1)--)n$Q$gl z^5I$2D>H+fg|8c!E^Sj?_pmdv!H><sd3JV(@zPs|vO8u4C3{}qFgqyI<obl!L78UZ z2AN*G$uS3SOyQE++2kIq74d{iZ)T9=V(l3zUaZ~g9!%7{I_)tR+svTcwb~phURyb1 z9T#a{bt_?QUv|adh^WY{pv<+}FN~MoDp{w{r+M|*t|s$kA<^eWw-_#Ma$1+rt7*FI zNm#&9(K&`oo3z&{^k|Bv#2yq0zLi|Wx>xh9WUQlb@Gb834>~m83dSDH^Qv~b?r*kq z@3pVdMoag~Tt9ze%D1X@3(kF2o)u)j;I+8P(k9F6`NyYl-HO>iPg7K<Q2WH)Uq|!) zcEvc(di^}f>+hs>^UqEBr5L+^w&qvwqQ5RaE9YOl+I{z8+mk-C8?w1B<y%<qUflM$ zZ`(ZUTl;RC32o24lY8qzu2lc+Ei&6Pz4I=&-PtyChwty5jvIb7?f7}@eCzAJdEM4U z8hHX1`xH`Y99QhS`1suk#k&)^cPW1_cJJHms8IWOd9k~H>G3oB3_aJ~n^d_-?$T9l zqoqabqituc{yr;cr_A;u?{#t$G^buJ)Sh1QwaRDZoxbRuH?J1^uDo-8t;}TY=a0ht zPF~$?xU}f*)y0NOiwyFfriA++n{sO5y0~u5slr9t*PpyvI3sAM;`S=Vbuks%?ImB6 zwimhNJq?Pn6%5vz{^V6*uvYt%Q?kA*?@f%hRoY(Vl=rpn&MU^dum0Y7^>D}4+dHrR z&ifj7=hey`SG_CEw^ymGyE9Sqs`0L?-1%QS^1im@eT~{)WtsQ2D(`E=_A0}?uMu}% zy}a}4>77?MV|Fgmyt;a4(FV<{+PkVO!u?C1HZ2RuKRT6pR*>z7Q*V7%#$A}Y+Hh%= zb9mpeDX(~U7Ol~o`nm9FiqA^99_{7EORH9EFP{};J5PK1tRUO@+RuGg%ACLIU8J2} zqAmXDRPPKS)6_4sY;H|h)^l%?`|XLEi`lEU>|NF)cX4rU#;Fs$m0K8nIg?H-_C0RH zDEKAg@l17vGMigzXC`~x{^;@BFm=h(iX~rX7+or`xm4jJnQ7C%Wxl5D>_Y2jkIHU5 zE_<;{_SFvATRUVg?T|gSLw4H^-d{U-5AEQcwd3#K9e)|`{;SKYx5%rv-oF3+x9^X> zEr0ZF`mVRN+xLoZw-w#K_eyTw$=q`%a{0<;a~IA2TsZr2;q2Rmvmd|Pdi32^&7IfT z?qzouuJ1j%*KBTeuI2Y*Rd=Lk7v@XsHrFZ2|FO%Qr6~W#<9i0B`5uq%36$zv>=sWc z(yw?DH{rzI1rw?d%71Ti_}*myy~*MoYxz6Y{nZD>zc*>UV-5b^Wd6NL<sEC__qxs1 z_G;hj+~57}xbu4Jj_clcU*Em+dg6}j&Ua6refM<6ozt>Auc|!>yUj0sVePj0(YY3R zTi@Qv`kl9R?~bd>9)(4}3cG!Ft(nmFTLIfIrER}7<Bpegk#_HiwI+(&FV)@gV%|0N zTd_9p@wHp#MrYn%yG4BarI0&b-gmur@0{xPC`{_rwHt4)NlcDD_&&^_RJ)>B+v8D~ zK<R7q-CvW7UY9?))_+3T_xKc+iX}hKTt3wIu2pfTcvy*k&WXJn7FH)Zem``eI??X? zp^A5{Yu~jV+#$a0QQVAIaT4eDZkSb_sQ9kbbm#TblJ(pt_pV!19qatAl=tpyu3gtz z@4f!C^ZL=^_1UHCxsUB#C;EL?$oE|Z?@F)UdCgU*zxw3fHH)hwE#8H`y|en)yU@Km z!k0dZyLxu7meBV_Y2O#kxYKP_q~CR7uZH6HMRj+&nRbbPE!O8czIVmk>cIPZSBQUK z6mqBA>u&e1o#L*K;zV9uTY7V?w@+TIRncpqiP6(r?(F*V_?qg1=;?KNv4;v@Uplwe z`~F&Q{k+(q!q-8st}UG%J>BEZu9jV)nPsbmCPz>0yR*vWNtmkQcCEiVLOEZAEuAmE zV6ytEmd9aB=SEN67d<uZ&Z;Gk!j@iH>t()OYvPVj&eGR8Wv_cquHCG>{anW#E8(Ko zZ;r1uUJ#vbzx`an9jn_rOTXQ<sxEx(b9C+ID{D8;j!sv}o4YWt^=w|N;ZD)ACt(s3 zqZ6I-TL114Wh>Es^E^zVFFMhB`=Nc&iTZi1D|d)a+a+37tle{Dt-+PG>u#>qQ`^3) z@6IcwqSf9f)~=J^zN;ax^zWTlhYD9`KM%WJzWVjiwR)o4cLi<Vm6umKamUrxT~keW zPd)l1?ApZWNSC}+&Ye@gJ`NMRuy)P-=tzsa)VFs|{mM(-yJPCoM`2;F!mgcNt0T01 zQ^59BY1>!LxU<TtXm!_#wOWeXSJmBF#k4E*Yw>EX<7-#VjSjuPc9r<{RUvm)dEH&L zYiFqIqcG7|VOQRSiA;_TbkB1Y+$pO1B<xCmbfClbMRj?u4|j-eE!KXuTlDLruqj>9 zfh(f}&9^TKD36(Sx3Kf1?DW1ng`Fp4r?=cGe0f}Udc(UNUy6^b%9O|4+WGiW`SGRV z<uO5p$CqA_ou2n@hl`Tl!gni7b}ts1Y^^1`bFt7wYc0;5i!b$CYcbvpeEGc3%i`UN zEyeCj-}iaxzgw~7QJ>dJYpuI?0y~e$P7NrZbM~D@a?$ad6Rpp6+$mIh(zjW@d=BH? z!f(aLCHt(;mA$k0{m!Dg@c7Iw>vKWnbMD?LbbHiid_^{Kv-P1FcLd9x^cg6Xw{Y%M zesf$l(V@KM?;XLm$9)^-S|8eHeJJjZ;Ic=38?MMEnwPgs+@Z`<>VExApPpKIiR{kB z-6v#Yo!-6pRp>5$K{nRDyyW4I#o5pM*1hjrCthB%a>rurQupg;Wn)#|y>Pla(R8<R z_et4E_jf0hirlA7u-;U6XCiBf`?d43krwYx+}f%9dbjfHqq31&?^aB@8@TmJ->L=H zs}5MNs(H8K(ay!Mj>v|pzFQ$w=q@tRdQsgSLDrJv64@)NQ#V(q`n;PeyEEMF$-V0r z_v)*B-`Dl7mUriO(~|evFYbv~yg&W?p7{B_>#y$Bm-xOf?9T6?yT1?Z{2p5TUi--2 zwQAqD^}Wkg+_^opBtQGa-n9#>qaD9*JCOVH&h1A#w{P9Go%Qj(YhBgRtE!_dzHiHV zm)p9d{OXf?S1<0>R{6fH;Lhw@JI%k|on2L!?{#$V$}4+U&aMttdFOlf-P;*=Zp-f6 zu3VI_{^Z`f<9lT<?7e$_uWVoS?zz>w-`{(8bno1*>fKlN-aWflwzJy$%G&KW*P5$s zzt?xCO1bE@?}@eB<+tB!$lLq(PSxSU*SXKH-7fcE=zZ~a_xu;WN7rt@vUdCI=zNvD zy$kc!p3PfpxN~dSlWS5FqqCjz*8bhGm8~TE?el9=ebL$0+pq15&eqRcyK=|YX}h+T z6=(MzS!;S_?WUV+jnuZE>bs+*T(sKf#M({r+fOy*P5paE>u}-foabRT%U8cSy4FZ^ z`>CMqr}FZqPTUdNw(G0u?ypCmT)RFoI^HF(mUHLVuaB>ZUs$_-essJ=UhUgEzkcP_ z?%nZq>7#4mudZD`yH;Ol`@Vqf+tRjgn{nrsRZ({LiM6_l+qc!-xy7_=>(}CJ?&E9M z&W(<~zjm$o_H7||Zh76kwQJ{A*GJdHUR}HT=9=i_=y3PESiwq0tI9>%ird%K-Py&o ztMqH}Yp&yKSI><OzrS|1`1W-ncXoN*-L-3Hsq3R_qOY!9d2>x<a&)kJp0D6eQ`INe zuJlI-J8WN8m*@L%hw0Yh>{q)@zdpJ)r7JpkWpuFl_GKP-W;xxxbvEzqj61hvcWzZK z%2t1J?cMRUvKQ9gJ-=4AFM9Xf=-uzHy*s*gZddf~D{JqbT`SueZGA;Hf3x+y8FxOG zJ?S%7D&NDo^YPo`viT0>d;Z?}*!H+@`&{dL`>gN9-TAoeQQ!6}viauadnWF9%v*Z= z)|)<4wemHxJCl1)$Ywjei}+o5T<U^swte}UhdYvUpZ9Hj-?vq~e9g)o$-1S-Z=IFR zR(TiUd^gZ+_u`(DvZ?OxG?a_nXHKv_Rd*+lt;GH2dD&EpcN({ME`GCn@tdQvsao$e zyzd5<6}wAzTAvCiuQ~hfM^e%8>l3Z_b=>)=`lN5Ye0dGy-H%_3kBj$N?<;%v<JY?% zRfWf=cUkWXDzBNi<1u&X@oR7Tbk)jpWOpWapOB4qdUxYj;c>AGveEYCIS+RvXFu;- z`@V0jxW0qBf0Fl6+31zl+w$JsP%1nw_Ns67P1$gtcRQ?#j*CvTUe|J`@ay9~?FH8B z>fY@*RCxUAIoa_0vf=vgb_5k3pYo<}rE0kk>s>?EdxlSokBgj_4OV|QL#y!kln(1M zmv=WfcP4*-+$VcMcDF?Nn}|CbFYlC<F0wW&u@)<_X1n*waOc(LyRTSxUj1CS`uO9p z{Nroy^+xZN+FoUx_ci9utED@xe$M;qbLUm>j;YFp?owUWZI<Ocx$h({-4XQJrQA{C zZg5i8aj|vNLs`c~)=f_3EYamG#dieX>{LFnOIhM^pF+2FlT|rO@jH%NcLbO0d_2A6 zIRDGO_(|4vZsmUtS+o5)_M;}bVxQsae4d{CGhO*TucVtl_pbbTtmVf(lb`!!YU3x= z#CPq}ciyM(e1H0a_uM~fKmOeJp(Z};zPQBw>7w_=uYPBJ{yXS%E$7GF%ul(NzqTp- z+$K^R-BuG_R1;mbPj^kecTYZdcYgP|?W)gjCw<Oc_;Z~~ZTN(J+MfHg-R@8QpdD`4 z!Qd6CsKnrNF7)r6xqX`9qPus?1nY4hyZh5;r_O@ihYg=@s=9NxS97{)Nx9jwn6L@E zU(YOxe0cYx&rThs^6kb?H+9{K?bQr_y31NBc%9aZcM-wsP949yc3F(*#k;k^>%30L z2HwBB^j+xQcYepF1iC(s3%z*v=nNyNoz@b;$5hI{C!Jd1RkXhA<lUV!j8;v!vpTD& zTz6T|ns@Jd?wnq<bGK-)+mzRFVx7B#4O3I5?y&w4CwA`c!Wl-3_Uyhq(`Zx4J3rIZ zkcID3SMJz-WlG@HyQi}X%kNDIG=2Qe&u6F3wYyJ!cCMMb`|!-7NV9kE(oUuRyfe2` zbNba?yM==D);_;Ct0%uV<J8)pccK>;=AS*f*YxUM)7kmF8D28?XZ1-wWe988r@i*Q zSKoWD^X08yV>>^_CVq^)_;cNpn(&N$nx6MXmgIX)%I~^puKH)0Ky7f#KFziHUVZsq z=gnKc`gVTwP5kJ4@#nH9HNiRiG)?Z${4&?${>&%Vz59&+_$_*$Q=8uR{>@LnYvsp& zoO{Pzy!D_dTew(^U_tCmfd%q1-%pjjn|kriZ?CE)cV`$~O6%V;PgB|Vo5$^$o1Q+u z-SqhOrq8)Q_vz~I)79FiyE6au+3l@IwzGbXomm?`qb9tsCcL92yrm|*t|q);pSJyd zQJMQw=e|GnJ+|^=Y~aUO&)RU0n(%=8Q*N5Ko-}`Y!o2l_x$6n@r^n4%U(EjW+4tZ_ z-<v;|)!d&VeqTiN{*)``PoK?pdNjM~*SVYJMnBIf-}iiC-CCo5q5NNsdf)pyKhM42 zop^CVwX@3iHfIgLDLbwU7p^~k_jKXL>O`-1t)e@{zwHuddlDDXU!AzFI??J~>&*q6 zUXc>LvpVw69-9)KT$^njoY(vN+CI(g*B(VT8$LaibpKYD=Jsj7t_Aq)G*Z2Pt5b7( z*ypt~XB4Hn-M`hLx&4{)^2$m75@xBr<hKt0b-3N)YqQ_fe+rGkHZ%Q}Uw&C)b(U)m zgmLul-MgkUebgprhCL`TnwivNcub-k%zSiABDtq<-5kG*DMqgRa*Noxk4Pkcd2q0~ zU1BjicZEl%ZHMdamnBwn(^&oZ4Nh{;@ZmQ&%k{=C;VG+zz*6?IbXLD*y_cA^Y&SGW zJnxdc*U&1Yk;uF*SUQVCfpIhAITig6jcu(Q3l=gmGHh%R>1p)rS3I&IW5J4=1E;ua z3Kll7+bvn(DV%1TuyTQe>r+;{6-yjCnbhnO+Hap_3SwXrbDZJpz@sKImH$ISltb_r zEA0;to5d9tG>QLtad5%{)(r|LQW(Wk7;PRX9z7h$@PL_lgMtU!!)Bi^2iWZxm~PLo z`M@|$X^luDyX^@^?t}%O7>_Zy{SXM2IMCEA>2iQU{(&QZQ2?{_mIchR6-~l38d~@^ zuqbkRNjRNq7iVr*z#*TL{OQ3#W*x>K3`}+r51%9+6TKvoKE>~#cw2zL2S>(>hl3Y9 z;YxgQNUVv$vD1iw&Eca0^M`|sm(^Fw`vlpqxxz2Eq^={#e#I4j`@08ujZYn)@LOgX zdnJER$H}>eICjb}3lL_N*%`P&uvMbS-o^1a*8zp=tSe@(<;`?BEO{n?&8Wwb$1D1R z6ZfVAO)5L(<Mt_hcRr%XD0<<9uHyG*uB<0@0gb*~c~6!pboz1mo%lPUX~rFX0gIcr z8kh`g92g}Fp43?!>=f>pP~9YCX0Dp!GvfeXk$=Ohi~#i)PwFfjId;nHDER9>nOC!r zJLX1{*ro|rQq;N?Wep9JLR?tBakX=BGPPv9aKFGgp*xs+r@Y^U6Mq*Zdh_j67t;z_ za3OH%9$`xhb2YaMEe@sX@A%4AFv~tuXty}<kk`t`@=2Y=)f0agSO#oxTBpgzGg<xm z5~p|U!dyG$?J77LLxep3Dl}=BiaD%e=Z|9FDSw5p$X>_mT|2MhcV?zLt@;`Z=lU(5 z+*x+`T9VOBAN9#%_ck!{@7~R(IwM0}nrmiKkh6@-ft5Tj7>yRNuejC0z{<Z|l{F#J zlQF_zYh#OKR2i>*L+3@wrTj@=Jr~(L9Cf(0HF-LWz2tQcG`9<{bZ)CiaOP1xGRI>< zllT_~maPhoPwEVgu3%DMk-%Z^&0$;d;e*8%Df3-6cYF$1_|=3~EZ}gM@zIr$!+0P6 zmAXF>%*<B~=$;l{aG^zEgM!C9_TZjEE}aKV9ThDz*kqO{UYV*8!pIrK;UqN0phdxk zk;_-WNpRAF7Db5%&24giLG~6^6J9y?S6sNz{OiMl2VT)@4lG-_!c=Zbw{wpf|3@A< zC0#eYiVj2mj~(q2RUKwFN7lEtzo_gml~WRbZ@|j0@JP_+$dMIn9tQ;{iUbw7sI>lc zWVE}`$Y`^qW&;CnlDA4Dmy<)Q%&hj8jG}uQxGYWh<!oXOICr&I&#;?tkT)zWA}gU; zjNw5upP{GiM3x&@&ilr7Xqt=eKI!bcQ(MA(ZA0Ft9h&7=AFJtQo{HtYd&G2RQMhB? zqqN|6T6;Pt`|i|MGB4bySswaCjW6?*@6NnOear5IT=12dtZDxA@iLK%zH=sNnyWtP zn_GVTO1bd#lv6kM<~^F=BN=RB7Fr@)p2DUewX@UMDAlJ(I6URl46ma6u9LoVE>1DL z`b2GA#;F~z@*Z{gNCvyTi@kW~$iZbjb6?*R?VP>i`jo=ZM{0U=jLIJD)t)nZhhp%t zbGth)@9cEGyE~{*m_6mxj92esx9;dvo@KNw?amQi-<{gmeDCyYmTxT<ex7_P_U4Yx z*M?8m)#N>DS#~G%wVGar*X4ja%VzG#U8(t4{L!|XK9aUKbDbr3W<K<FHcEZcl_xb( z(^2HEiNa@=BNu$RXQ?Yx+}R@gndM0N<*H|$nL2rw_uMuS+MevWJ=rVoR+iW0usbH= z!B%NcmMxueX^XHqZ`tL7WhS{td^gX$v{AvlH_hw#tUFusypGr0F}yjYPjzRq&$5y; zrN{exGH;!G8}X)3aONeGYq__&t(T>h`xx#__IlB`@|tY0<+~ZLb|#<NoqXzuY_RgX z8=`kNN*5i^d(jtrLDpKb{7uxIjn0L~TYNI5&gafLw|BK{dCbE*hEm(ZHQwzoDm;F* zqdMFz%vE2}#jYvF{`<P3JG*xkzVCW|Pqeo>{Qln665rRw+}Ryf_@3+N-qlz3uAWmJ zuJ$hW>Yd#}h548EYTn$ta&dLA`#WFByR)0_&Xy|5=Q^=>rTq70E$@6k?kJyHd|dR^ zy(#DSYR;<;UR@n*@XmMb4)dkE%v+1|S3SEI(owxz?R%N~_cD)nZ@b>TZM$=u_wH@h zySE#^m)U(Uv-w`Oy4qUedztpTw;|ulEWVeSeh1C3n(q8sR`Qzn<l60vqVt{e_VV7X z;@<U@?OxUAonMa?zs@av&3kO^cG2zkLbl&4$lH7MP8D}y_S%zcw=Ry(_Q+c+dN)eC zC_DGXwWtegO+Q?lb$+esyy)!J(b)!hYuE1Bx@_0hw&Luy&#pyvM5p@ZO_jZ?<y^FS z&5N*`6QWa{x1Vato7%YjR88L0k9V}9?`ky{uFg5S*68Y5qdC#3rrS?B-T9SO^!oLS zYvPll<6XD!YrFGHYS&lMlGogOzCJB}-TnO9^;g%#d1}}0__}o0*Vf|KtDjv9?}(1} z&C8X&d&{XPd-aQJ*Cs?qJ8$3Cl$YDMeOpal?#DZ~qVC>mD$LG4x>on<THQI((WcwC zIo;WnRrLDRi)*5jqQhOcuWP%rOKMlCXvu4?J*7{JUw1vfcJ<XY(VpmV?Y!8Pd9e@g z>{_+6RP@oc5Kwn+`?3jlW@+s*HGOjJ%7wKn7eog?SgScdI@o6Wvas#T67I~3D$MpO z&E|T3E#&Oll>*z#eDmJ+<-MJ7=a%T*Tf95BO7Ggry=yCT{@a?ow-tGB!?u?h<h>2M zb8BVZ+k(8eS$S`r?tHxVq;LCT>w6RKd<-i&etUxTy|#B1+`At0?s<Ind7t?_>w974 zdk*e+oO@I@f0gyUf_D|GcRtoVBAe~|F2Z_OGVe**Y}fKNygQR~U-WHVV14a?^|hLJ z5s!B!=awFq>ao5Sc4y<VUCF%9`?k)qzUFi%@Y<8UO^dBhO}G;nR^onhg7vAkcN*Ng z7W3>`eCBzd(LC!@VdYZ}?pU02R5o>$^{Ik)8mo6M);S^@@B8kD)vm|fCs)7dTd!DN z!+ZCmXvuN$isRGI%f{Q3*Q|Z_qp9%t^)BmuA>}m>?|cj`J|5m-y=~T=jin{W#U@#A z>w0%Xs_6K&3$oFU<vESzIX~}gJX(DG+N(ZYiSnGaJCeOikBgm?jW#XMY2ER7)k)cK z_wpFUosU<&=v%E=9>aULP_*Q@XvOiV=Vh5^T{5}y_Qplo-!nHcSd{x5x6wRsR`&Bu zbykDI<9TN$Gfml%Z2Nf$gIRe_`aF>Z&-yOTP-lz1!<KtT`u9%WTRWzwmFV*x-@8%x z`?0LN<9lu|DAzxGJeN7Y`EKrq=Vd;n`fE?*PIzvnvD>`t@jZ!7>uX7;dSBi#6bZK4 zwkuhESqaZE+0`?P4l9?RIk8vqO`N>>L~9$Pr)^H}KA1jzbcfIX&cX{*_(IEfJTlYR z&8xNZuuQPkle}h+?T#wjo#$G=nRzMkVs1g1bWD-7PEq?BO<A*@yhb~DrFQZP?c{AM zmq_+%_S}AO@oj}SW)e@n<+Yoa&zN;deo}Sj#@l9#WIgZgHJp8W<CV7&5|84dF3LXh zdHLbE?5r7^(!AR3wmZ+e{qR*8$Kx`K5^J7a&R3@JHRc(ZEj>J6`bc53Td>ugod>T^ z;oC1QVzl(IxH(74sotAAcrWd^URM16%rmxXpUi^iY_Y*oDR&>dV$1f)EO^1TUz7LM z9X{_n){A!@6c3gPxvS8n$$M(YLE+$A)(7u?b6fLn>C_#(m+n04xRcPS$@}O|LWkyG z-JSL~r@Y>~W4}u9ujae*6E&{~-+g`c&g<44)3b~8%S-gzpZsg`$<%wft-ScQaACbd z-|x>;?#y?8^3O)``}fEH8s5Es{x8U9=N5_WwlZelr<dgG9NoK7==-IJ@9oA*_b$F2 zH}Uqp*W1{iY`gw=+w)y-U+#E&bI0^;#r3Aax1x(~Ywvo?yYnsY-EU2K)emydKi_t| zxc=^xZ0Vx)xi8{oU&wub;;o|ZOaB+&Ci%SN-}Cq5oqu85?IpISr+C$`z1{5lQvdi{ z)|r>$&%fQ6*MEG<zoa|&`ZWJ;+_6_W_}Ar~d!>SJz213tW8Q6_lI?p1{&nQ#ADi;6 zAg|bFyX~yo3(w}h+kHLk(YF|%%={B?OTS-gxg*zkTdus?EcjM(Vf6~lw=eI+<akx9 z<rQ0-FHi9*Hmb^7=g}vsoA=Ii=}w95JE!o@oy}~t)N=mqIbFB!^mfmg{n~J;rOfu7 z;@fwMY~LxYmX&wTbg8Ap_MOt(E#I59KQeQFWTyV;S&2{P`XjmPj-CDJllkt&vkae1 zzL#dxpO~eW%;wH7cG<r3TCU%VXK6l}d?(L}-kp=>RqUL{m+n>UY@TksbmxNE(uPYd zKRnA=788Abuc65ITP-!Yp26#SkL)#>Cm%0;X-f9(o!7<gWh?KxzU<enNj^KxZtOKv z_<pOZCf8+|N&Bx`O+Guf-MoJ6NjCGWqD+hL#{$0J%DUrS{Bi4FpPgp&*7F{pl3iSz zYqHGb#xB{zJ9*#jm>%}zUc`mBH@a>op5MFW=H878-;WjDVXM5u7JTPlQC_{-_DrvL zOSisT`gDi+v?uo>y4Uj_o5JULC)>J6T4dMXyLbLIyj%MBj&yR7b=sr2=*iW|Zr_i! z9BFvh`*H_w*A8Fq!hD^hxdz9tZ%gvJ-1M$ja;N#SNB0Vj<X$+j*F^C9v8KFc<-B^e zyvt>G`d04XeYIn{+vB)f<@&m%`DdQroAKt}jMw*OoWH(o)+NIw)ydlLdhgy@*1JPC zxiCNHL~g<HT&c;`nWpc0_wJCrbcd}muU<9pcF`U0;=A6L3-fcHo8=zcYw|w3`mS_$ zp?>f2y&F}(Uz&Bt+qpK^ELgAi==HW)MVZ?BOhn_OCTUKe_Q+e==;@_^noNgfF|*E1 zzm|Mz>)9Wd4rop{`+ccqnF;U9IO(%{w=L2?du)og^{-1|!Fs$Wr>iBOTFP0Qsk<y@ zc29M#?z^oEcVw>8oIdUI(z!E=GFA3%nWcIC*&}J|U&oSy*XbTLZ%aAV%lPwHLh!n? zzmN6hwLg4&;l;P~66>~If6wkST&QVY_SmfAaop^V{57Uek7d;)TP(YCqd&jr*pz== zcm5^apV6afuJ$M{YC?X`(J9i4e;x}8&eJ(!-e&yt*pB?1BU7ZKYY#8coGx}x+I!dZ zv`2Bbx~r32?+av};!VGAaB>RY>^pp!dF}2CUti0A9%gW2?fkB4ThaR$GQ65s+<rLe z_WX&rAHFHmcv7Zw<gNe7wTb8UKAcp2SoC|5lSv+H<DFl<KiVEn=_}m6UvRs#>h}Fh zZY#bi<9HIb;pp1=XV=E}MB9sQuT#(ayDQpW^?RH7_o6lV9wti<FS&hx;%!60?T+ut zW;`kLcv2?u<X*tBwec0N!yjGSaD1&oceMSSX!{S>-XB{V-xa-oPPF|yX)fQLia&Ux zgRR^ieG4zimv}7w%O`WgZdtLrd=qP&CGHm-o04X@bpQKn>yNIT|LR)*GwEY}cf5E1 zY`Zr_`c=*0S@|8m+U`z~zPID+=Z~zZ!SAL&=KfUUDE7V0Y@b4o*Wal7>z{M~nh|7w zUHpmR(>9yDzb*S7^l5(I{E;=^XJ_JtwfDRABa%<?*5~~Vs5vMZoVVifHU8()Otl9^ zg4a#|!n#-UtMDgQU!RrtFNn(+F0HeR-!MDKUh=-b+0r`gefKA6e*L^}{zT2M+=cl& zKL1S!&dd1xw`19z3D15r`tD4)v{&KM-UOiwFXAS=_}v(+CsAiF8=MzWoG<YxZo|pF z4=(Oim{fgmQT0K^?@i9%n;gG4J=nY8{9c9gdmp@t6F9c_L07fo)x8Sm_9mR&o6u3c ze{r?Fiuw5|++26Lx9z*%qbYvo<KGCMoeAf^d;9KGc>R0ojG}`Q_w|oV;okJ4YLlk; zm7i6f%VG?kzZRTX<T!ghd&()+%zbeeru<f|-76dXuKml`9G{)>lh$vaRb;<-y?C-$ zz5BmI>yIa~9f*JPdg+WJ`)hme^sayIyYqfm_1+tM<tA0{pS7^scHW%oz4NQ>#lKfM zy?a`4M|#_i@61K-#Y^5_-}U|V&hNk9eNFpbW&ZAK%AL~-_s#3n{JvRn*Y(#sr+*GC zd=$t3GVc9}y$dH)@4dEnPXC2haqCa+mFuhCudw}AN__s2DZlr=``h;JZ_1tDrQeIJ z-u(@_^ZM_O>$i7K7cP9?UcCPJleqj7d*_{ByG`wTo!L9y{nhrfs`oCc&h_3GXa2Nq z#fRg2?{s{K(>uQRes8t?wY~CP)wc4c?|8$%*O`B>^M3cW$L2%W?Kff4lcRIp_sz*U z^*8Iz@1r}eSKod8amRP*qWA8P?)C4!ZoTWeanX9+m-jv#-5cLuZ7=t|>i*tsi=%Tr z-+isR^ZMeB@3#xzFW>duxKRK5<FMHmr$-w-t+W1KcW<xUn>hX#asJ2m&hM|bm)L%5 z!n?n2cV4UBeZ6zXckZJ1&v$*lyz~0(LVfcReep+O(vzZdUEh73a_2SY-E8GgPov`B znLaIgFkN|8(SDilMb`V~^lScAy!$UMo-f0z-Y2hp)9w2cq8-KK-=&}WTC#6Wujcg2 zKZ{J3#qd2peR4+8PNn#DN2i=_jpsW$<@CoNMV7&O&wmw}2Ctj``ROjr>zjApN(x@* zUc6OvX3@?it9f5$Y0oO!sS+-|hOKMXYF&?ttv_cJ9lU-utVH_+OW9V%yIev$ZzZjd zJ}4VLn|EUL!TGCmk4-r(7@K>KxlMG%=P+#^HBGi;MQ0ADHVGqV<A_{iNd<|+#R~pR zrY0)Sn1vfS{a6(bs+>sRXjb5G3gmHOIU=EKz#{l+g3~0Gw{D^bBNXQ=sF~d~nh?13 z&neF5kED{=tDJY-J>}>gW#?oo>!^QXyW1W8J~a{R$?szr@<UvUHiRhV9uiePQYc#a z*4J}+ocfo0Q_WL%&05tU6_&uy9QR6Oa%1N$6-BmdM`QXXojj}}-s2gVui&ypb#we5 zp=V2{&E)Gpu!hV2`R?~MzuuXDK3in_HR)RToH?l*#Uv_&dsc*<nQUKsGU_gCvq=rp zho^1r)pH7tS3mwHc-wUSukcG6-%su>JGyt{-D6q{#e}aMW|+RJ>r29_Nj?)NhDx@t zPx)Z@k~LZ57B}C8Z3o_nZ*ST8Wyc(YjuSyo53uBIJ@jS4l369E1lf+3f2z!XT63>q zdsmWC#iAKH4>_2x)<@eeKjG+YV|hAB-{Q%)&IN5hJl>sDU25U|@Rgn9r4^Dai(f4~ zxmNbjlUUm=J7>=PsXMhIqG6`&hiBPw&M}RS;l0y8oZPBYuJyceN9L}X$x;1F3zcrJ z{N6B8UUTBoD$xyEn=9E|Ma)&t><ikT8ayj<-mR<A$-8?$zy8Q*>2@}CR&;jvw{Pyz zAMfRaJIn3)Hb48znW<J`m5e1fGs<sRys`}5^?z@|m8*BT?>)@;dOX0bl>J!dmfOW9 zah1|LUPjcPJv(brUDx6LTGutTq6GFnn|W>jF2A*J9>;%UxE=M&`Q1$C=4qdrvc4q8 zU3ER=wWsh#rdRHEdGQ@9vV@pdCgpL4GqB7*@p5bH<DISvr=JEDD=o-A@kOS$KY8cd zv%6SdUfZ@b=0Pc2WVTF6g>>>aL-QE{^_Q0F-B}d+-SD)B)BO+;-q>CPU9BA^E&-pm z-|t$>u~xm$;qlg+!piZgMNCTDOMkAp%l=|pC)0vkNB{0w`zJ)`d+b`<PS3^0ZKbC9 z(^L<?oU_>f{mrw{qH#Mtb{_T&ZDV|RqD<s=%}&X~zkB(*R@u0G|NTxx<LRT+7`yg| zuS<#=fA3N+RoqjsiNWLN)j5`vGucizJu5VMK5xc^9LsR`_PbX!%-+9Gm=_vqdGX8| zJKL};AF3=uR@}Xsxkq&QtoHq!iOr3S25X#7EjaY--i4z-m6B!ZgbrWdb8?Zz-HMdk z^E5A>5N_0tH9qcF`EIB8)&+c<7k};3<KF$fB64r%pF5{6Y_7}|DcSY=PM4W#g#NoM zX3w(=4~d#wk~)#dx$gSX0O6T$*&iQVqcT}CiK#Mi^XGN9XUm^|ywz*!5);GaUkn1C zDxGRoIC8-wXVaEfGn*ZjY~_=yG8AqRh*Xw&+kI9|>}te{SIh_NzpY$wIq6Xt!~N_t zZ8H<YU$nhZl+FuU+g@}(`01LbH=dta<alG#>PDNett(2dbj;Ybs_xPrpLOC{UMs&( z-<=lh-euM~zn*IwkCW-~wrdYX=d~{XAQ9CbRv|X;P;!QB)WgUZwTl-;<z$+E46WdO zzR)tKCH$f4oc43~mwXYK>vn0)EI!t-1%21ty4G?05&rqx<o&bd>c8Ye?oa$B6jxOx z+MFtR=JA(@XZ^e`O*p;SLNBu0qEGaOnrAnMds3rz`HMa+@m($7ZF{}5o~FHyIdSEk z^}^+vfv-!LOoN`i_-6iq@6+O(KPw{znX)7U))uyKn8ZF0s(G!d%gFoXSi|29(~kKF zdOcYgX`mC9cPjk*p%?S@7#ORP8H~4Y->aU<7%|}_&zGHYrLjv?KeYOYZDZq}x9Ic7 z?d|NpmzOzqDBU`>>)p>6Q}r07Ua&Q!7_M2lp!fgpU)C?>-u?3CPH4yx`{0v*bXrEa zLn6mCR^i9bAI{0>=g{R=U9&cOwsPLrl~35Um%N!-@X6Ww#ggn^MkXu0{U!3Y+ctdO zWZKF&Q&Rof-`6irF)miQAo+jYtE~9#^WLoIEMX}X_xj&9BfNBtR$gS=TpuTkU&*qZ z|BoCoZVkKF7+0=+TWG?&GUw_N4n^UIOig0n{mLBKw;ny@_iO6;I@Q&WRk}U}?c8C$ z{LDOl^*<b!K732{;%^GxtNQHbdB#gqq%JMoUVJ?@cmd13tm8sg1M6>ltz(`dG3EaM zXzR>v7OC7xyxg)z2Xkf3bk4p>Rlem_ar$P3wa3L-9T6Op=BaCiPcGCfaF)6DK%we= zpcnVbee-o!zT#J&+7=bzGv(RUN7Z@JT_Gv^IAV4G3dUB(&R%zILbh8%wCdZ4zIz+; zUK-!C+q8A-+oBD3-H&m+DX}>o$Fz1wPFM|ZioMg*Cql<&r^i|s+$eYW|Iy*~1?G}; z{&l)`1uX0NUpO|1wQO|}QWihx{Py#ezaRFkVRL4`Y^9^)x21_aC!P1<KDz*p2Udr! zZ`9C!Vk>cBy&KQ<ttkaHD^5A&*W_Hke*gQsi)%J=>~s4W%Q)5VSi*thl0W|$UuOTh z(yRFR$NN(*zj=Q=toZ!IOQ9l9O<Jqgm~dBpm>RVtQ?$=Mc164X%LQSnH@!A3beVp` z<;=hCWl<+wuJH>by7c^Myzn=M$0fwe@y?=-Ox|c=Ws}AjuBZJI<~K3jQat(gvui|U zcg@_A+1}gOLOD7%`wDBk*I)dv@J{76ecOdGjf!8Uimo<#<NEuI!G*TG4LP<S0~*ZK zU)YP*Dh2)&KEkb_q+(LfdSc6L-D3XLI_ES?d^+bDaKCrEvT&L@SEFp8^1q5pL4Vd< zDr+;!m5rOpzx~^!O3A9(dH*Bq?LwDvtWYbF(zv!+%OP|1-=CM0>feiMOnUV*t#;>% zy$9cRl^zLlisiHwzqj_xrj>9128-#RUpc8M)AP<c-DyAGPB#@iz+(M=qU-A80i6xU zl6gOse`)G#iMjt$S+r%f_)3!vca9y)Q(|185_XSuQH}NK8n&adx07s7gq&Y0y`n?i zf_IJEmUV)*+VfPx>gL_>yeN<z`e)-2-Az{<CpAn{JGN@_@w3baEh~*u3s-FDb8h|5 zIL-NykiRi|LFsq11jeIP>t1+^?ub}$eBCp)R@w7LQ+|E85s_%i*|~3Slim7Tg?2n* z=VDpy=PcMKyt_V4&GD&{?VGNj|4%8iTkUuDtTJT_ExEbO)}}eDGj-bU_c9#b;)TWA z3rhaE`Zk{0=D_4Pr=-Y!-5!oz^;1h8P2I1wp*Cvgq)7ADm4Qlg=6tG^4V@MJzokE@ zrn|5{WRp(9j~ULt?ia1)J##32(W=zaTid+XevmqQe7)>e*Ry*qr)vvsT;H2<-Amy4 zn_nwL=dy`rI(ff7vw6bJ#&!0~J_nT4ui4$>F3Y;`5o@bUT!4L`huhX0DlhFLv~~BK z`70|I<+SH?mW?}GRp`dz+fr&b^jORf&C&ntzjgbiM-lsfNM`L@!)f^B-aNiLzjRJc zVtcG%_#*Y1ujK8pZl(H=)0SWMulr^2=-rvs+vbN~O)-6U;*~&8%!WT3o~C@roXor@ zcxl?jm-=rQXW1O)zb$iMuI|;In*ZMgn%PzzSupkDzlcp2f_Cof`BT28HPOF1>P+3s z-h#r#>^<$;f%kdDGQWBBZudW@yslHrg3Czm@YhIHop!;nu1x~Rwyc^hyhZX&^1iPt zYHrNCJt5jr#<h&ghCS`dO)CqtWzXKqn<Y=1mGNhh{{#IaHtpw)=X5saZF;M_?1Xpy z9On9G!D@n?bz*A!ZolM_S28*$A|*BJO6FFPV3X|Pr;l2{iwJ67Il5%g$>uUuzAak6 zYBemZRm_|p$L_4@WnI0h<Ff1&ffI*WzCI70UHsc*Z%E$xqty@N4|h)a<~e1N(hn91 zrRNiE^OT-n-tvYuYmSH9mt}lDn&ssIYwlRQ|CV0oTsX^VqR!r~Tk103x};7{xz4lH zbM^Yio9g&#jxz7+Ov`i<R{7n({M4y4VylD_zq+*Y#<ZS#8Sp_kUoD@@ch^3FE8$iu zKR$Y0D%CWW3e2jqFELc#zKc<0-W(ejW#21e9mh-UK4kbkc@~iFuu%W?ybC!+ZPx$3 z`b_-&=2uTg*+o0wSv6C{BA4>bTfm{+Wylxc&CDXg00QaGYoge^-Y;HZ#J~W;d<>in ziKQu-`2j`w$$Aw@DaoNBtPISDrYL4;Y}Nm}U_&+|!_z5@uWneThYDnbx$-mY&tR(z z<+<=qN<6Nhb@#gyM;iN2SRH;8d(zj!HSq>_rC`{bMap-!=xjE)P+NODKGe1RwxCY; zk8kFlY=JC`=caL2I>lah^<5&^$=F!$#8kxglQo5H-g#%IoEnz>dh490K3pOHn@#A{ zZPiJ;q%IbO^yoHUc{FvGuhPzn*{jynn52AixSJ!dXSGh~@z#o}qtT1JlO(F{AN=gL zdnX7m=I`3x>Zj>({8dWM(wsR9tqsM!rrB(W@?2yn^|oc_#iJ#<bJa{8FRAojZJc|C zvtICV>%ybl&Cl)$#TFSl&M(X6{I$hh^~GgAB{j$S-*`PPEaz3RX|j7OANAt$w?vlO zTee*b{C~G`{7Sve<2c{UTcpYEZK&9<Eul#QUow|BEbxyOsbAo4{iV?^SO1&C{Ichb zcDVs}8tvXn$-bEGtNhGizFEHjckL}>iwn#5D(`8u%azVq;2-TC!CZUG$mGKESm%mX zyIiR?7naXeUgI?1tWWaA^j@yi1^&O?c)nzwXJD?qwP(M>{B67!S$}QG{v!6`vR$jr zh2_4<tiQIT1~l5em8jzSl6l^tvF@g!`i14Xhgp859-iVbzl=xd#q_^QeGc=tb#r_< z{qK;#i_2|{On)~;|6>1=xw*n&|C_0NFQ(^yvRDwmt?T=W3(MEGdR*ttP>4;Pe*SdB zx{aj*Yqh4^@iWhQT5~sQ%k=ZR8@6q<p4m71$euji2wtAt(3?*`>nzC5v1Q5YEW2r2 z5S$?uE$&c1PvloBb7ypj@BZ`MPV={^H3-RWuDx(cl*{(;HP;0-ajaWT|4UpF+8AKk z`ms{vg2^^Dr>CFQ79?+*aqgwo;#ad4WpRD36uMxXBVw>dX+dP!j-~_RA^sWD-iGpj za5}|#c2o5!##n*b7j&dWqz|-C;5@r2+llSPjNVPHN;|()dS^`YecYXL`MIvc@f;Cd zk&{c#2Rp6LnWD2}v&`(8c}yjzpFKQRwC7VL=LKW4Q=)mEAq(3Ww2n;Jz*Na_cT?cD zg0ttQoqR6ou>Fms#H(3z*G@fe&D1efa98U6HC!K>S8?3klpDhGLD97(@8+5-0=6Aa zFQ)AkYQA8+?U^Er)ApRuAI<YN1|E8F{r;?)dHlOlm&a`v<Nf+NKiqCTyKVC9MG7KQ zn3FEP+vFA%`t)<f1w-pphFhumfeeX4f8UBTUeJqf_xQv2>$Zmbt<>Eg-1d6Z|8DWv zoiq8)n*Fhpww_k2-MFmKWp@t$H9hNP=M$_Ar+2@<Y7ldFQzL_;@y~);OHG4Z_P>#H zd~+m5uJ+@`U#T7%9-H4t8%$6+^W^ue)T@TGUvSKq5uSVSLR6-7vCrk@uV&0$wKq?9 zGQY?AB@7Ow3X=}=^VvC9Rpc#St9E3`*_4g4vJrgeR;$F`O5L5{B)aXGf;q#_hl`K* z@@6mf>Rk9fftR;`rmWeE2`d}hWNxL-k64gWdW-wpC3(KLn`%uI(>^UUIc*ntNF`qF zKJVF0wHboOKhrMg{ATIA<hswtZe3)~6^n}#6x$>XjS`af?5Ht_iU{-3(v05yMtakW z74F_MPG7mt{dQBejNt0Idh-p#CT;keDI#ul>|bKb8P&;e-@6>Y;c7PF;}0($e#=Gs zdXAnEpWj(`b8gS^P_J95ixV7=J)X5Bep@E@<nxzxZl|zH_Rl^duvW7xxX|MA^_MgD zKIT}@>2)NaQ%H4@MpvRnTOvp6B-JE-YmopA&cA%xU4MkMyIkad>FyH<+IUplX~X*$ z-Zmn-d@XgIqOObhSKc_po%r6{rs7_PwW0W_?WNxHi}hbIOcvcCUVJZO?RCF1OZTr$ zyi>72z4_cuag7rmtLttTFJg8uUt)Z6<C?o`UiRAuYJL8kb}qrZ_|GA?`Q>uv_fw<i zv2eD}G?%xVHSvS_iPS*TX`!+rItBXAF8|v7@qphl{f&z>+^?6$^6fv|wJ7-e!wJgF z2lojV@4azPxY~p7#D}!h%0KCrQy0FEkCgj);>G!_CpS|sS4_Jb{&jYT_K{QduIb;8 z7yA8u=$U1(DQ%WTo}FH4@(qo?`hWmFj<ZLdjk)$4o=Lx%nr)S}Bs4!wvMzMy>HjZ| zrC$7c+VY+7>fWcmf3E*{`Zq1Ll9$)_%;XiT1<y(?*B9VgWWB+4#l*0+jW?xCr&XTG zJGE}-&(A+T-r3}6^I+$*)ax;)(!W3ccV^kgla(KCWvrf<{{3;$EAMMtc3MvFs#`bF z{+;Y9$D+^CW*)AGGS^G;=q7Ivf3@o7kBc((KY9~O{u!O(F*_w1=d<#u3TJQ7C6!6+ z%NSTzU+$3mqL))~eM-mE!b0aaHBT+MpX~cAaw1sni=JUgY`f6n*H+?c7q6b$DQVQr z%W3ZODfHSI$)r6c6W2@WD&7n4-sx3+dqv?QMQ0x4vSagPg*Q7_@6FhJ>+KXrYwww! z=e(p(b1vI{r6Z9!+32#_yp4wJZN1ZPt|?)(|2K7=)Z4Fx5=O<xUAuRdrEQQncUo21 zz56tej`g~UWz!5S!n|f`8lRlR9=7Y0RG)9#=c1iw5{ynRNYYL<$XU`b+vC6H`Hx$! zo|2mtxp`-b+PTxB%wHcJ%MP1o9HD8PyyceV;+=j{Yui2-9jvKSf3|Asw%PYAw(v)4 zuUv2@L9gJ+47ImgRvxi9I59bBvr*D>3)ggIz3p$q0~NNpK0GqvX<xTk@yEH_qB1v^ z$aA&}^{`hN-Ro5=m0x*v$-1`fF4H|`ZSl2HikbIV-0ivk7vaO&i$2%9DEsgrZ^h}C zO(k=C#UF31h!c_1ZhI$Pd3|O|$^35J{TjEIrcZ5dzNKG0^Qj*9;gzrcEnHOoOs`Mr zySHgxc-Qv%FSg6nrB8n<-?6^F%%1Q2sz>}yg|jTa%YE!mz8ms%=k@l>Cv@Dmnn@nZ zzwo9`?|;l}p}%)d?f=v6=cl}0U%GyVbm0B4r^S3_i87{n)88*xJLTZH;wPy*->NQJ zWVQ9KlC+FGm+(09TE)#r%ipR!-nyyeU{OJWsr=+;kIW*@c^*Don=W>ITg-{nyFY~Y zI>qD_JK4|sse8ft^Wtl@KiB+Ia<H6v{>=lu-%n$**5?=~Z8rS>R{OO4^vO@B9GCxo zuISv+%#u42r7PZ?Ikrx^=GltBE^k)LZOxq(9jR1ycVp#=2Y1ZVI`5o+JpKO<;o6@X z=R{X7^t~J2XMZNW?b(<0f9C$2^>5nx+;^cr=kT31IpL%kaAuj!3)`ybAM1<wer`6t zy(vrT$m1{Dv(7y=eto=9g6CMOWo~SZ+p)iqc83J_$Nbas{t{ki@_#`{U%bS*lQwk% zw~H)$?R&VqQWdB7-n=tWes}!2k3V?1yq-$y&Sv|_e<b|-dA;BDbBZd%ieF0~Htx}j zIAh{2Cmp*%IIOsEN{?;x?FBz~ggjfLv){n|=!VQI60<5VoW8EV*(Lkb-T#e0X7L?; z|Nm3}eTBL!OiSNsFSoZ7J?fn0_smhJ-2UQotG;Iztv{tUI~%*VTDvC837y(&7+|&C z^5=1zr$wi(%l}`nV9uG;A0IB>*_Lzh*FL8jw|O@gn794(v0ARpKk1={ytD_;PUZNr z_^rOTr-a(;6i><8oVG*9zUXq~U&Gz!j-05^c;;*zedO-+xmVxsbJEk^TzD$|*_OYC z?rXR8_E?xNfA?yWTT|S>)}WYOpME^u^k-YX!1Y3t&AQxEHW@hT+jrf!H~Fk=-WzZC zIrKaC#<ds9cTPCHZf96&WS`J>tz&0o_8a%d+pW(0)83WxBfl|d+f(@!xtA4ZzW!h& z!<xcg`7-cUM%vr7Pq!p??@UO~HQ9MS=ZWd9?%O#}-?Z#K7pbXTn6}|oHrwMBv)>+0 zb({TBa=x%w^VVa<X3utHE$!K#F{i9(lTD&gM9=&!lJ@y)`P12y^9`@_980gs+VZ)q zZ+Y+ajG8u`M8jaV$Hr#Sk;d&)YL1yLKIkW5xp=3ArF7yAuN#)q*;_Xre|A|bJC#q~ zsQGAuvxTMf&z`qEcaMqpA9b5oe5fkw#LW}=Hxllc-FuL_#`IW%^7#|qMN>X*GAoMC zX}Nl;c*@61VbA8oxKDp*?wWb}Q}lw6)MyF6pMnDM|7|w!_;I+V?0?P|OTG5w-a8^! zlmACBKHB-cW502Hz2CH(Q*(or<`qqU`Z_*xk$KzC={J=`vhUA$GUfb-@Ln%JW0TFU z_Wzt~{uc9f^rp{@-FatO&Y$NZ$NyhAb3gK^@6R{OHeRT|uD?4zHG1BspQSs`eERYD z#+K03GT)U`jc!O3-%L<(U-@S0Unf1U=su^L`X>38@2vT^t@r<hKcBAphTdD2_a}Y& zQ;peonNv%H{gM~&{P<&iUf=rqu>XIK8BCAdw=46)>A>1{y*tzA2FLti+o@yUb@}J! zkFS>P@x6KTueS7)eOl9F&F0<>e`;i1GH=F(HS;z8ed^zN=62Z=lU3Z0jotKaZ*s1< z;ds8XK#YTJwcj*DG5?(Ncf{72UOG6z#kKhA@r@n6@0Yw%*EQ<XIb9xP+ULA_{+5mz zQl&L_c)2_6GX?z@CCY~@tv+onF0w6P*4fs1=29>HOQvzT%U;-iY`&PF^-K4;@1h@D zy{fn`Ra)kA_2I|(%l1dEi>EIN(EZKqWzFy)#9U?<OQ^2n@_>c<Yp%S#ZT>=`mCyXr zbI*m&BIdFowk}fy0>4hM^XC-Q2(fkP*`hV!v=(bJ^T~xwmUEVzU)|t$vXMzWbNLg2 z$B$JG=3GC|Qs;N+x$gsakl=wif7Yz;-v4HnbfCuNw1WrJJN&;&?s+VCqs39~M$68# z6`Nny%J@$U)N}1k6Nz(-{xfTj+L4sjwG)rcs?DBrcJ<Ew#W`_buSqof?nqa^T3eEI zC8KVtsho(-#hF@9BoBP6YB;*eV$ahx#x^3~u5~ca{_t~F<^HLg?YftQRmQFrG<z5= z@c;3-J^u?U^BR12uzQsM<+En6PZ0k0(UIj(;X!$Gnd5TzGt3U>o3wA8T{1QOrPg*U z>CkOo@2%R@&#>({vvzCyp?Qa#HQ!ff#~f5Uv)HM3i(a_zGwZA+Pv;a%pFApezWpuh zM6QGXLa%7JMc%QRB6WOmugeny$(hYgdv9nid>@s1w{vIACZVmIoY$vzsGpQ$n874? zR#QOm#o2QCl^3<%XtmFE$#qII)7yQ@j`0T5f{R*fwQe^&JLR$Zl&xDeySU9G5syU= zI?uWMJbw3n$F_;xk{cH%s9&sGv^mr#_Tty=`4UC%YR~WKj4841yCgO(a?<3~p0wsZ zzckh8s{)NuDNE0HJMaojxVqq0;KdItyw*$4S2wk>zqv5slIPO`rFOrUpXZ!-$S_yt z(W>Vi7PAg)d}X=xd^VFibC@l=`wM3gVGXqao&%1eCv_6PTexn@{Jvx3k=}QOUh}sf z>18eH55A>kpl)8rv(jwctBg~NO(eR$D@<w;erfM&^nA~6@$GMCcjvV!RUV!0y6M!u zhh85xeW~=ym?|mz-}%)Uv70$pEOu^|S#HI5a_-X|?7bo347@Uzo@+WRzu>{Zm%04H zj=gzCDvF0!f2s655g=?G+0Dpk*fCe9R*osDV`q}_gc%RDYUPBCJtp;7I`BmP+QXbN zRWi0GyKLK@{GDm(-ffI275BAj=P{<pJgi;4B{ab=WzXk1*@Yrm`Jyu<?w;0qW2Y^y z9CPSh@Gl$X7%qV_dGXNxSK5!XA1OPWpZ?18paPSd|I5!E4TdiKJcd^*kBBKo1<hUl zrP65&%fweUx({_z7@MAk{jyPrsM|4h8`l}m?)duKO3U`Fny<S5Us>w!2+zv%?7YdY zSI=L1{&PWSSXIE+%^+ulRh?QAI!S=B{)ADRisz|fy>FA1A8tOi&L&`U?y3o?Jgr3= zOHW<X($BGyJ|cCJZIjug%^$a1O!$3_CvB1HxgC$C&Q6hDI^$jOO-G-+62rud#V2EI z4F66n@xJj<&uz^_yGi$qt@_#T9WBvK>fI8Y;J4*$tJB&yVj|*EPhI})xbigj71ze1 zKdZ7%m45hf-cfVDWYFdFv0-e}ciH!S&sh{2Blhg|nbw%H()fsfg@0DX{L{O=%krG_ zomG><W?qed(wk_vc|Ff>mrGi6+Fo4$@jHB4d-1(xAItX(`0qTk$ir}{nE&b@FA9?v zhqE1Mj+2X7_AyIEpKJZocG0ky#9Md8|5v7UFVOm(aylZi%9SCBVN%PZ6TAJySeRnf zyF3mpmi<$?`0a^>Q;&#vr>xEIQr)!fwN<40<&Qx;n#Z~oC+)xR%m16q5x$cz#H0S- zTPzqD_+90F@h6^n=C}G}lBD${o;^FkGqJpyS0N~v`SydEv3=hcyIe1wTi)`#IsHm$ z?DWrVHVhTcHk)7Xde^Rg`Qw(~7pDHbYVrM)-nZjR*PXOua_WzoG55BNUh3nJGJd6d zoaMRqu3605UYczj#L{|rQNgbO-xJ)5wc8fV+8$psspV=$sp69J&)$k>^IBVM-uP|N zT=QAJ9GOjl**6$h?akAT;$jG47Zx;VfBT8~(S_QoQ>O*)3xDd^er4sRwOKlfX3w~I z=j4h@O1!qVed>Dr@F5vN^{+uwwI@B@l)3WIRKusLCo&hGOnS^~w_H=Z@krA4>76gz z`{m5d)=bq>ZJW2IbW_<Tre%Ase3>FHB`vpR=2gC_yqdC$d?K2cFMMv1Za!=Ewau{w zcPg%CrLKLR!YaxwCp_)L<93ydg)J%_9u`evZW>I233+V}(}G$ixC*!^{PAcMdCu=5 z|Ha|SK|UARCk`>`e-av-rEe@?Q{=77Xk?2Qj0$wMV3usLdBPyfEHT6F4|j~hza^_K zFp0G|bj6iu2Q>0t?^o4}SaP6^n^m2`=m@)nfg@*u0HcslkHehoH~~ivC&h>ftQ>X= zyni2Q<Mx=S{^CI+U&%c6&&ljM(+)7sm@WTWETgEx&~R7(k;j1xy?-B3K9sv7%H!_y zr2KyN*jEnz&sz3(tQ2I8bPE+*=lGB_G5+h~(C?YKmpGFw+S7%%Xu3EWdepGq)K#0Y zKZfar<NVE~Qx^EfUJV!dqGeq#-nnYB#vEQ{)rV{<GLGE-i<B&O7oFvBW!U>VUr}jE zgl#&<%6CWiDYHyHzA*Dbe`nC#nDPKIM~><rOinirU*}H{maBc>EdOsuGJnG6Cyx9_ z<K8itJq%z^Siy8y-kf~{XLrPn8x`Vw3BqM}8;&OZlsDhPcxTl?udPy<46{GH=9;R% zw!!yDsOzcKfBL(p88`S^s4@qBSu1fs?M{=U#4pfR$ecq<x%Yfe;Z6|Ni(D|P^#9{Y z+6}%xmNH#v{x_BBMoXl|hL`s5DwzCdHK=~p3Fi#a6<Tp8G{2X@?BP_7pjCasOf%9B z844_^y7~E){kwDQ371<+Z4|1CVmd8l8+<F;nQh<Luj3Qlz?pyGyS(`_#){NK8+pEL z{4Q_a#(2Zzq2DeC{*!`l?BDgVCR}bQo_3=_NBYC@qca!HF7V=t?q&VAW0gk2h1nZ? zPICPz%>AXs)~dC1R;a^Or^*w7tp9e{b-WdHWV~ULD4EGLSLUF+c<<K@whAf=hXe(j zr)e}htcYsetbS-pe51XdRW-vIqr{oQ+Wh}^WSn8#z}f7(<L+B4-Ui<pM_s&J9Sw5J zB^YKKWOHs`edk>iL(U;9uN89bO>R-5p@oi?To=@Ca<^LD)L6nYVUmL41M#mCLW)^! zb7idgcK1%;6X>>5VLtO(`<=|i>P(mSDk~X2O}zSkLf3@;HF50>EAJ^eeR(R7DBt>F zox(14Plr7XZxrlr{FuhSW`oMt4PGxNR0RB-W3c3qj6p~3bMD0JADjH2mOSj3ahSD# zGwYpO@lglXD*A~%5D|0voc4RiA@fC-Hr}+|RuE_zdU&qf?{?8W604oU-v%hMawXe; z;|&%F4dU1<$*S+P@cg_B!5bBJKV_L6m(Ap@ntbS#zN6^5DHC3$3Au#wa3<O}@o#;h zshknKXPu_hvDaNkPUqc77Ca>7DU`_J#Bot6+e;<wV)$NDwuL8V2`&6Q{kR~<EtAtb zm0q9NA7Hgz|2%)q1!lQ74u3xNmkLw~y{+Bz^uT6^Pe+(k{AD_f1!Gg^Nq1O?#->VF z2*oxo?ppULk~z>dd}ljb_MBC7w!|*<oh$nC)Z}%cISaVtE<Mj(z{UF^WFq4{tt~4< zQ>Sfczp~0)&ESySrRRwb%g?;fOK6n4<kx69vytaef!MXA2YWf2?V2ZM%>R0eJHc!g z53A!Q`AA>!8!`Hku8|RTMcW)WxWg7S`7KhkIQO!vs&ZP>1ef62kkXy(tSUOsS=2Nf zW46pX>S`*Kaare$neq>vJHhi@at{=yU5<=c*Lh&_3ND%Sol0SGe>d1FIwgp7-#u<D zu*T41U7@-C0$=?z&w{>AKhAvXiszN)$641Hr>X~vS*$bVTM{<cW%o>@l`mAdnv!#w zZ>d~w(eYojum9ny`z8vTcSybWG-2(to649wDL%$Gyi$nucgCj;d*uxjt~WfYa^5sK z#=+#$sudTc58H`dP`m7Q?SS88mxV#wGEa&GJ?Kif;@g}Tm@#?H@h5q|f|y)couc_` zH6HlhSHDoLVsL)nZ<hqKbUBVQD<j`L{^7EN>o@bCGu!99Nb>)Bk+IISE7~{XGS9b2 z)2@T}E;Hl?^*Tk~2wgm*y>rU+$I{Ga`kSX$M1D>UpQCl}Gt(B%_TW<0h6^`SFE0G~ zTf<@YKI#7pcltO8alA-!?|pWz*=23}nwcx@p2uC$aC>fX_U4yDmZWND8Hd^DZdDi` z5Olk7z=~BM_r%4sno22^{mu;&p6>19;Gd*C$GC<4q~RNjov|t`IkPtS3EZ0U>cyJL z%2~orZ7V0<C~mm0Oy-@^aYg$d3w&)eCUdL{)0Nxe$r>7(Ez_`SRTfh~=+&7nU*l4H zlNmzZ+pacMYV-{Y54B#gX5Fe|A5Wx)xUkID4m&rub=B1KJhNBI-JIJQx8-AJV^@oM z)~zoe*jJqBdhWp+y0$7oX7=`0w%)TVOgio#6ZSnJ;^d?(!RsWz&@9QoaJbNe_wj}) z<{}Nu7GXA8dU_fP238hw97h<NJVZEHni32oI2;uE+&yP?GJaXXuKtdre7$th%)ERV zlXvgc6>iIxPW|uQUSBso@W#ZISqxRz{VekCou4{QD0fd!sNT+_k3(x;cs+bQHO=R$ zVED$XT}wqD?RQIA5S8$3o7>{3%U|M*P4?fJ$*J|nQ}e6F(Z%Z&gpY3Ue0yqJGy7bL z!^@J+WPg|IzxmzgU#5##$zFcmYaAiThgR+1y})i8#}&~NM{;}2S17T6ls_*v*GYe# z=EBDoTMl1lnR`(~`tj`h+Mk3)!lo)asYd@Zzc{72d%pVegO$%&?s#q&-rbpapx#2x z$N#(R(iE#*uUB3?=@wldUfr^VUnnkXbH|;UE1HczJA?g<nOAM{DYiOw=+NAf)MFKK z<p;$sem}wZ`lf#2a^dSQH1FQ2yJ)w#dCDX2L-&kIHiaciCI9Mwbznv{%eEWsAsu|| zIu=J;)UN4>Y;|p9JMO*v_1Y|@Z5xA?4;?xD?Qy$B{scy&$cFV>QXa-#NcP{fGAuan z>_+vC?Z>a#^&1Pmc=h0P$U2V|v98bDlNeb)9S^?D_=4MtfAhZOPH8!bSznZvxy@%2 zzPj>Oh=`sQQ*h|j>0KIOmezfn8J9?I6f|>qUQ}*>+O);5Q-7LSnPvQvxmuY{-)g6Z z8Hw+ejjw#H#w43oqpDXW^iJT)?**Icf{xCUJY<@paM1lyQ^otfn&;ZSO*<}so5@(g zTKs+6;ZrHEU0;=VDc|mX5D+!dGcoe1sBVdQ=j&BMUF?UKMto1J?C`l|Zu;T;{2xa5 zOP1?2l&rk<hpU5GV+)tRhj&)V4er#Sef4LVzet>Gu@Tc>GqYE6y~5Q++1Gf=WCQLP zyvplIs=2c`YVF&32MdqcC~SAKD3av4yMAKUtLG<p5<ayaHP(2Lv}D7UzAo3x1*dN; z4PO-E{B&N<;aM|(Dsb;iG+tJI@#xpAaOLUMyB7RD(a_lF${VDxE98vOw}2)7A&DPK zvVSslCA@OkuOE51@mag)fdEgRq~w`Qd$LND?}}WR(etpo^O9(XhVMq-^g!j}viCc0 ze=bc}7S*uGCgt;vB0bKRd|#$?sWW~GI`yg2(^{ocM|bL_<vg6FyY$Yi^3dGcuqTL3 zLI2F@y^kF4$Ft<GTcwgIChfRYO6gHsL#wLs3QpZ0zw#qKD*4;kWj}hC7TEo}@2%w? z=1R7WzDj;SPE9!*vgF{poi8)O_|C2Nd~(s(_`;Jz$LHMU?w{d5>-Tg|{%;Z7hpgNL ze*KDD^4a^+w9VG#s$OCf!c!M_o@p#KsM~Km)0e-!UD5J_a(GGNghri2DaUI&OCSC6 z<8H7CE-^aYC?&qf`NTE}i)v@TwCfCq13&)oN;+l}9dz;G7O!}_#U3k{l*(D2o4?jl zeVMtb)fMlx`5b}`k~*t?e`?e&OFZSh|Ln5DX9sVG9N5PpXw>8QgZu7+X>Su>Nl2MA z-Fc(wag$F()pfzjS(Q!-S1Y`hy>dvt=iPHmY)9RnZFg9sX2-K#nw$J-o<|z{x0@BE zC;51cmgR;w7TES)i=A|?%4YM$kHM=hxPDKXIdP@(-h7^z{1a({9DN7kc_SEdlLFX# zZ72P3Z{_*kwUI|T?2q=owXgr3e=}KOf5`n5J^elBwPWREGWGQ?*fD3E-<|%>vHoBY zr^%H#x83{QJD%~c)mWJ_DJWRON#upsIyV(-VUEWa*LRjbdCJ%!aAD!As+2=by95Ka zTSp#J3JsT5d87SiL#p1r)9j8K?%8V7EDo-AU~{?@?Xv8%Pw)FOxfxUCU4B{1%s+DI zxvBi$tNV=IeooiT{-YJOeHB~!y=z`kfmde~Tkqn@jyrKyL+AJzEBOywI_937EA@Zx zv@g=RTrZM5XGQGFF_YHVzMtJ)^3~}NuY_iMuk%=c@zRujU55zg;JFpg?5pcem;0+a z{cZZ1CX+iq<bZ;&n`M!^!qNlRXO+biUlL(nd;j$hf%9Jf+-5V^?c9?oqMUZu{ZM$% zeGTJUjV<r27T##Jo+?>1dHD*nhj*@Wr%rpP{)d0_rp1k&F^_V}7KjzJZDyR$F-uvm zfMtuu+T`p+riJrM?7wcP{j+N21UB~~<%_pnRA(qm46s--yYJjPU*k0X7x%BTSVy@y zM|k!}s_&gC`ny8Z<e$WIm*q*@)}B-rI~Hccd`O{ct76)3LHpEM`*u2RTcn`#dP2BQ z(BuM_E&g-kua*hUm@-Ky^5`2b?x*WFZCoO?R5N87Pf-r*5xJ#_8~b*>h%G&+G4;x$ zt~tA(DgWWBys$;{)5i;cVs_0v{q<DXaf#~Bj(_D3U#Yp8v_3`Z^~L@P1{?f^Rx)+& zdC<1P)VcI(+Zp-FZK={`*JsFX*INJTa>C)n!^bY4u!)|xt@Xn6?n%k2ibXwI-4EP< znbhuTmGC-c=x_CSLq+0Fo?W+7#3QdeeE--`xqhK{k=eV9$ET*0c|Ja~$K;=J$f;PT zUTYf#=GwK*Vyj)#)|y|t6|_K0qNn2G-xZT}F84T|Ik#fFhv2b?Ya1KCY*~5v_s^UA zPZlqJcO-_zOMPp>%nMEqrR-}}f8YLB{MTW-4A&yTzNw3R0yZRxc<+C{;Ag~{*M-qF z>6w-PW>x-S+$YPw^?_E4M`TMIb8`^0=+2*YH;PTg%rCPEN=gW5EL(ioOWoaM@{Fbh zyR1te*)4bEem_Ic<GE9!L5-s9iJ*fCy|UFOre}PAqIcU_YHrm##@n(t{>4YReD_bg zHvhqsJ>D}k&0n0Gqqymuz>GRcV<qABw;pbM=658_I9l=g5zor#EbFH~eK%^^25ecS z)XeWVam6dHwN1AsvOYZX<!G4s;!WMsU+vw(4bF3Sap<^6-0A%5;p4PuTJidBr*`H! zN4cAY<crLAC>y(+lddf9{KU^D74rY8OzJ|X*PS{y`MeKJSY)*EI=f(rNto@f=2zc$ zf9cVlyufGD#PiH&vJ`dQqu*Tq8Fr}8r|F1$!IU2}DmvQ>&hy=$>1ud-(XSVuXNxqx zX^1Q<6)af&Naus;xxVdB&z;_ou;p&>(bAu5pE;&I>8m!a2-cghbE@{$jD3^hj>_3= znz-WBr42q0w)=R*8{U`yXCL|N>29W?JHCeMkHZuea^x>wB2qYW8i%J<UY6di6A^}C zZ}J<ixEj~|IM5)`T+kNyVAd52E3t!Ji}%g6eQq&rs?ZFfE6*-P*vxr!t<C(xF=fZ( zb*&2LRwTZ$IAYfmmUX_<;DjHi(bdDhR{gY<VvRhYoAENPJo$>Kght1tEV1fu|KlET z#J^bcO}l%;%agA2esqNX-YO_5c-de&yZ)A+j}i}etl{?eNvYZ)`bhfkS8wJ<MRTsU zLbjaAxz2ps`;ANYeVn=Nap#^8HNQ8V%xhg|&Ofm;KHA;-zyyK6%aR$-X=wzp^W}d( zpL}Ppqe%zr{wJH1toI4JeX~gvGgzJ6Cae`8WE&d#iLt0h^^x<Br|k_vKNlzRORq{u zlip~+BmQ-JWPwu^hf&DZl>90drrt;2^H?5ED|i0su8?t|Vym)S*s;D7d>n5#udxm; ztJyNqvEjwF4E}{xYn&BzCUdzfd{8WPOJdQ86A5_|;C1K-m-p{m)(;POGjs1<*>~xm z#+s<wZkDc$)}-2e-_#E>KAFk;mA2npVE=mUznG=z$0wzK%We8q_aXPfzmAy2$5aE) z1v)&uvd8THvJadd6)&n2rgLuEylC&*>e{n6SnRhwmlbh1Y4F!UTeP7xPHOG#TR|}< zx1|*17j$k~lj->3=i+G$OETMn<L-KXjP`tY{zupv@qpqY->ad51wxahW(OylTbs!3 zzk4pzkxRCRePx?ZxvQl^!ipb9`q*Z-JA8XQ_2g`>(C41qFIR<1cGX^(TC&H0qef$! z{^2DP_^Sm{yY3a-t8Q4L{hfL4zv`GH5qZXkbQ9}~J*OEhoteByNUyVa>-Ozd$5wpZ z`s~)<u&d%Kr(abr-P5tBM>lodT=n~#cHH?jBi-kuWk`#H`y&zdH8+EQBrJ?v8v0Rm zRg3O2|M^i*87i%{49+uMV49G3X3CE>ipnaB7n$DjJTK(CSv)^!OHj+d*?-b!&-dLJ z-6%Z2Ub3(0_vgCEQ_;>vJIoK39GYqn9I=kYd*!)`Zx!JW_FeyCyND^@{Fm-kE7Qya zmtNJ?AIkIm&S%%OEAfv1?Iq!rVSB%M>{YsRT6T`}w6tA5iu1yvl~#V*_pvu`8p~QX zpK7CkY04X`Dz@&CIBkBWN7c`E?#2(#mY<BST4cNRVCSdH%pt47wN}&zD|4&X2e_+j zU%AUg*^x)=TdL>R;#!3XH@|JlVEQ~~y6V5W69@ODO1U;lp7oHQt~p21|B1mA9ql_) zKJU@c5!gHD6W6O#_Gcd7XH{$e6Qtp5em=ZU$U14kqG+vSiz|J#=gO_wk}RM**N9ov z$-;&EpxpV$gox|Yg*VTcvf{*(eZ3pGk~D;_%oqGSD{R)GVttnHqI0gU-P57w6!7cQ zgi9iu&L-zqv}Jt{ezL~aaiikF;Fp)ge)#?EZQl5nKj6EyZj402jOmtP7nL_ZYnd~B z{^PmLh9@)9W(qA4j+~pU)%-cZoN?3aq+jX}n@&%B=2xON^RR_?SmYz&X+pU>oy?5; zGVPUEXGZ1wdie_8J0to1ukq0ZOy8<f=i1oxZ+g=8e8NL7%V|Ne)A?tA6A&!dn&+t@ z9dz%wbJFLTv)bqGnD&2nnE5Z4ttKT?l0Lgh8E&&Eo84RdRAAlysGr~Cb&j;n3R)-= zes__dYM`7<j@6yXEDl$l!y1#mJ=h#FJ5%cHJlQP)Elcl9dayEUD6Lv#cZApF%tpr? zeWq@=t&w&|j^;g0T$pjt!)fa3R!KAOHAnfrcvqNSTw>d1a;zb-BW%U_wdZ@kY83`w zI^)eHk!D)b$!wdvL6Fm_;>?~U2U0?o``BJF)H^=ml*WeSvucZv_L!WLm40&QH$&ER z6XrKtIaev0S%`1ieLi~etL|xfY15~M8VU7%XU<*!Z%eDymh$;;m-ue8H=pt4=<S;} zixiJV#Xkw%u(-jDO}4f2150G#Za<MreD8N{)VJ~2Q)t#%d3?UY<Fvg8&visSE|zhN zyZ1`t@#>c6yLP)z?Y;W-L)&uMHWAsEj30hRT+;e|D|5!KhSi5wr3OrFpTPFW$GLQ` zgtCIj{Iv6Gix+H&Sv=uJ+zrXATaHhiZMiKWbY9-`yK-UgdY>?f?#oWLk#mmvnpRl2 zh);oW(wrutWg=4UarS1%D|33jyy{*1$>u9lkmB2z42~b?1Pc}RR5`~){pe<OF)jVT zyzNb;?1k5R@^eLsEW1ug^(f}a32u?SRaBqVccN72i{DY!sdgET$%_^}5L|daTB`o= z%pd0jrkA{(_*(tq)w3r`^9)b!u={hm|L6;@B=IRV43XV+EVD0)#<z9fFy>yg^yvJl z@7#12n>;&l;5w)OmX<4xDKj<Jo2Pg9c1RYQO%Z>Ybyy|yiB#C#e+uTpOnN4JRLnQD zCULc?ue&^<>anWp{-PyIlHc8%8szXL&$r1nr}BAsi<+uL|MqNwWBrr=o}FD@EAx6? ze<lBxdzp9LMMU>`pT6XpKBM!|_R>$s!ZPwECf)vWq|95S*4p|(;JTSj+$*(oVn11a zkpJ}TjmhuG7j2eG+I)P+7QNs0Jz3>le$L}d8zz-?-nTJy*UtN-)_hCwT;vmGxvwpA z-c<e+eZ}TfJ;kf4t!uV;ZJw0l8>v+JPlpx>?m48k&)04Hr}r+RuhVMeW8BWXSm1t_ z-E(Ps>dbk(OCF`46iDWt*~`CFG>A2B<3-O{y$b~kZ_eIdz;b>1)TOJB`Bq%wetmbz zgD3B7*I7MSnA`qBaM|0woPa5&p<x?OY5H33juQ9kx#*l``}M?4y{F;2H*WToZQpeL z_XlmBiyc*a5{@f;TobgFVczzqPCvIL?wK-;{cN0U)92~w*<nUW>mICLwR?VN?x#2J zf6jgKqsINw!KaZuQ#MbUSv)u5>=C6RweL)?B`@@xjNkC>Lw3J6SI;HIY3-?pBNNW> zJwDVpo3o2;{X$KZh?#2+%#c0S%4{wy!h6raNB_)n&DL9;+y9+c-<tC1S>2l*-EReT zbS(2MA6YEFagJ}h?YR%je%zBy+dZ)_e#^JtzVGZa7A}x@(C|jU?*1D6$2rTNifoHD zw&<Uq*5j^vabozoZIY&I992*6Vo~0`y;^dcc=^86vi#qE$&1DPZA;hlIdYoV+*g=B z@9Qjobq;-vDcLO25@E+u;x=8gFPn9MdD+i<vT21!3jH?Ejg8A@=hfZSwNsVbpp4P^ zoNMO`@swVkIR$SOmb9FEApU3l6Nky(d)XAVb}sK->Mr!;mcrz_5sk;1@)qU=>REj6 z^e~88$}DiIH;47s`A5R<xh>CYwCOzADefm(?e{KQROfNX(;5S#smU7W+;Z!BE^S+N zqbg9SzH96J^<Et7wJ+R^;=aC4&*;-j|Bb(#wPtU++~D!;@TWUjr#o(4`y%W;{jJ^# zp}eN)i3aJBHk!-W!frodvv4VD>YGqx8zm$2{p6w~<(m(h|1+*R&UtM^V)esIuJ;=^ zUFqB5_$`Fto8I1YOYI!K|F>b&+$Qz)_U)@54`+Dzt2NI4U2U6Jb>p#BOU~_eGb|)0 zGTffdGcEH(j!VAqxr$P*&?L>iABr~DZu&B=_Fug2@vN=P)+T;#=Yqa>_T=n!f6;sC z<>?a!uf1L~a-QlhyZ^{ohb!N4_qR<ewodQoh;QEDVfaN#XpQr$*&n`y#8x)nsh@XM zz#)M7O3O0wHZ$?WRY#jnENfq}Q+7e0*H(i`JCD1>{1!Oo`RU3{J!wPtmpaiGd877z zl8DX|Zwhu$-eRz<SlHp+9bxWQ_qmU^JAHJ|E97WiKc8Vrm4ou(84GVQtUIr?Wp28& zM#ffQUxgLfwLIy53)_}9HOAC5$GK)qDHmmSV3>WgRDJTp>=o@trbu48UD9m&dFEY) zjSsfP|BSrYy8DK(dMW3H%qhnlT<+F#v_DyK=6zvk%Fks1@9w<%$Su7~crv5(-#g1! zvVC86Rzbe>)As1A^@p4T4qu))Me)+5TKjzco~-<XF{*-|f(!;lk6&ALFKjuZ^hiYR zd)mduX<3st`K#$jE&a3iS3pm9il!#Vg57T>oJ^3h)VM2XQ9Rc`dSMykgUX$+<-@D| zcRuD6*&eoE+5OCpCx3lj8C0%`tYek<%`t5~b7`M}TiWKvS+f;N&veUAcYA)}mDcQU z{WpBC{k%Ops72#`?SeMX_3jfqj;GE&_utv;&#G5l^E{tP)bgHPH+e1lf{&eC+k*Z~ zUe@Z)sWt1w|Msg(D}|IIEd;++=}fieS~iy{yYqnUiV)X~!zVXBs`Jh}-QD~x%i?g~ zlc1Gr>}4NopE=lRWUf;ic~SYrOwDZ>>`}~?^#<0HTh8{ZX?S?P>BMGv11E)Go>y$G zg%K$_Kdvwx7u0?JOki#Er?W?%-CW{kx`%5=g3)pBC119>&5k-}DayM&<xy<TyWM_$ z5jg?Q{w?w%VXR&e-<_r%cqF~$aQYE*S;joBFtaaSpVcZ}D|O3$IXZ<gb)nj0r`3j$ zm7l#*j%}Tmc|jnU*~?M!n^<nAy3PInMSA9vYelAZ{kRnB8Iu!JKBN1CNSt|=>!IYg z(ZLl@H}Zz0?ldn<+HIphFU8Nt+w0jG<;bnR=hw_O+Pq%Xgy--g{#tE2@xa)L5*#LX zHad#$o$*gxWKRWW&kj}jFAqANaU3q3zVxQS>Z8U#erRMY3WzzFc6GV)wZ@HwE^<es zj4lXT%#nU|Xhu-ax1+f}2a{+2xWYdzZ)unG{*Rt4qUWVIc&tuc@NV%JJCjK#e;-<) zcD{S!tR3R+w|Xv>-)$3@n$z{0Ir3t1<f(;QnrF>=upsQSNa3|M^W{!wekOj7oN#Dc z_)M8IsuSBY!k;aAnmLh8NUNndd6)5obY0HuKLWq{AC`+X>=x(WeWA8Rq~uH24!urJ zy*p)}toWB^YJB)saK`r3qJF1_>+PrC1nF$aV0lp$qJD~PpG{10`=$(Kfd_vdCX{4y z+X^x6xX&ki%~3~c`Jz9EnT>LmY6b2&YcplZ!iL|64Zmt|`?7HDu$5UZxN(Y5ZQgY+ zosh??PF(Z8vM^c4-IrtQlsVJa+WkG=W5KvVG;hKx{t1k=&S_z*_iWNiKcTW^SHrw8 z`F|{bV;wH6FWs?l|6?`h?Ul)|vVzjMs(hxl7Ug(J`(*^LD$HFFG-=n;L{%Ye>0fR8 zTSW{V^gVL~y88~!&@%Cr3Ar-uPRNd0bNRn-d}pnBB{!uw{}M|%<ILZyN)r9P&Uth- z;^!={?2UWUAGS0c73wS4^~`fZ%AUuwJeN;e>t$ZNUu4l|C;mLME!AgOn}zoYd`Wt8 zf;ZeV^SkE3Q|_D!+c<t+SZKBEpxckL|IY@pw|lRh#5-4TO3n+PUmu^a1^zAei>X`K zu`NL2ntr1*%hWY{#QvUOe6x4|VgBUVOQ!GK%%G*bzt!%xV3hsM^KrimYkB7U<SpIF zzh-XN{m5lI*T`yCs`#CX5I+_6YsI_$N$j`SPTn|pA^fxAp01ViwY~T=muPX-|78sD zW=7iF^2+<ccS{Ba1`y^)+1!$nR*{kf-raI|3S@VSf>kRcLkJTe@0l}e?}@T8Ffd3k zC@?T_FtTyn-M#%Q@4LJI|L^|3?JF-62O~2>LxRJOxKtjPbsP+!y)+V`3zY>K7#R4$ z7<n&Ea!xjqb&ns{e$RVr8)(MH#>UCU%EkaQh5>90oAsW#8Mhc2K$sWB)kR2#fOq8N ze`8@NsbiB{*mqh_S3qF>ZJybgv7GnnXU;pl<+JVg{=W-vzHFC2Jat0E&Cf?x3QWm( z-@otB@lxrH3OjV~=v~q4u($Zr_>iBg{=ifA=(+_@*(2*5elY9UOZ;hkz|U0A@S}OZ z{Exp2-m{0-B|K#htvm3&d9VD7zYVAOKh+sLWv{TG@TqyX{Efc~r}$shF+64WuXFg` zyi?xdXX73IM|BL}o43pFID5rf_`T0=<F7M4%hl|RpPp;6zC3T{morn+)ohIK&U{&> zc6(-4`X=Mxxiep!F-cdmG`@Jw#5#D+%m-(_q^aGUdFPCXweTCC^~O8TU9k$DJu@Ob zYOZJLvMV!hoN2MTJZq+3y3t(Evp$(=T5~%~)J%-$&de-PGdAu#Ct@Z1!e_qm)R`xX z)r^dLXRa((Gc+zdx5YAe#>@j}mRJTq^O<2BdoIQD^7NTn>7R^*pZZKT_B<zIDg4Bz z-`MipmDFX&W=f<-&G9T;c4VeNy49SM1<MZ2+;L`0s+z8G>dejpH63H#b1D|W4}2Po z|DJtf9(>;?JZ+Ov@YI<<(r(T6%vaMgetOm<Rc+7AC1*;^C*So6Oj|XZ^OTQ&+M?N+ zd1~s$C(nwQ3*Yg{H{QAT%5S0f-n;d`R(gI{wbOsPujTipdDFk_netrKM*nW*%WtZ; zr)R})`W!TO`ing#&s8n;FYYt>9W-b9gFRoKsotD^XOGBlp*P;^^>^;O@+)Ze^oaPV zTF<XbuS~zOr{&kBS=0UEjcPs5dS}LI)pmYSHPN42nfXQ4Sif_h$S<K6-t+aRR-XK< zYNX#=x$?8Bp?=}MEkA>1Oh2$^$<Lr?-ZS)L_oe*2G<~{O{HISsPrWDWd+rnYDfGm< zU*B@ym8VOOO_zv|s`31|^vHC9c&nO|AC?}PzGKgpr>eU8sg<1{RCV-u_o@5{df?rl z|99_`??Lyy!{au63Yt3oN8GJy&-bcY`cL<oJXPH@eaW7Z@00F&2ga?c<~-%?AGfGF z^PQ@?{>i-}--YgY=j-o$yP%wXkM)haj`L+-ylXhmS5)4xyV=(I!scch>jRsct*v+5 zZG6j@Ri2Q}URvgm&R$%mkj}ovdd6MHIkE?C9-J+E;@yF>d`aaDyPL1e?s&JLls&iX zz^>-2vMb&xl(OfPHSB6OwYIq1_>%9+8-=rcUgZwEm`$uLHaB0CopH1A1)ozn!>;D@ zvQut0KIc2}CgBX9Rk=bM`yA^VcN}NPHr#A{#%EOCu(SEJY{a_<r}?zXAEdHRv7T|K z@d=+&Im6E8<FXd-7M$jjDnGEJ`KYYMJB32_4r_}$jSu;_$`7QnN0%)~WsfX#*uku0 zEpeyu0UuL2!;a?tvOnG~$Y&2POGsr8EjzHid9Un?w+*NGK9w1yvR7D7*wnmR_QqR< zQ+%&tYFZa+L|wgg>ycCR#i?I)wTc*0+5O8Lwm0vTwYb@Mhwo7t!}jLwvODfB_|CRR z`|Q1h?`*Ys3#yszc#HBIs+(=iFBCW1Xn%beyYf3*MNEA9hNo=hQg`kh_{O%?d_!@w zmHC3=W=r!4#>{7rOU}5-%cFUR=TVx1xp*4eZ0(zoE5DU3wbp(()A5m4RoJb~Lhrn` zXn&0KEN7cwe8GtM_|%B0pYAl2Ej4XR4J&Gyvcx6!<!M!Ep9@o+!i8jGU!L*G@Dk+z zyX}l+=Qowevj!9AdX}uxI66_wH?g;P(yYm^=A;}iTe@>HOZcMZBBAwjMb1q9^yLS` z#uQ7>?%7j6_-XO@#N?E;*u0z&{AJUbX_M1V&S9wv%9yf8v#g@DJV@-#JeTiH7p|I= z`Aw?cJGJ$&bhXeF=`;5f&hb9D^WZFRO8$dqY#a4g>}7nz8<+o}s`;AqmYs~RdBgG- z{A#i^_o!{umAkt{J)_FM$ol15*4k%r3!WR8Y*@dMEhn#`s@c@sVsGP1-Ya($&hmQY zJ5({7m|GM#UzDD)v+)J5Q$9mg^LgnhI~$+#p16~6hSw@z;ThW;^Ba2{XGk~fY<$LR zl;2R<d|Eo<-h<P;TKNy2vQ06cv8V9~uTnlkW%F@qi+c-B^Gf9(sAxVat#MD`BU^{L z#h%88yj=MQp0Y*fEqKZnndeZ!tYa>*r||(VQ$9mQ^M2_ccNe^83(re<$`+b;puBmn z^ozR<r+7c*89ZgHFrQG=yj%LlU4>J;uksk4viavZlsE5`w%FNthxbt)LwWOd=^bYm zl(X$IzA@KvzT}H@4d;1^(i^OsZH+G&H`^E=FmARs-Z8iFEl*Z@LONS%nnOBUahgIp z+ZN*)a~<bM9+-J>w&aO(2hQ>&r88JJUzgl*Zb2zqZrTB>=Btt`&MB1Y83{)xItEK@ zn92Bx=h~SEC2Y%#1B{zZj5X#mzT~-Z=D`^rxAcTGwnfGqa~WUo*rf-gvCT79Fm5(9 zele$UhGfCHgkrYDG=?;`S;i;kFh1kaOHZ(D);C@;r*XPuz`2AXwn@eva~PlS$fZB9 zXx1~%n8Wy(M=X7TMe`9!g>w%I*xHOW<}gl|%$eCZO)_OB<3pYeXA%n7nv6N-Fh1b< zm-fKCd7os=%*LsbAu}28^L$HNV9u;%d}8*&DUuJ)I-KHpmzH4OtYN%jHscgYo0*Jv zd7h;`NMS27?wHMZhv#0}gKa_Yz4mCoU3Vp2_3qRkYo_cLdgoOgc4>3ays017l%y}c zJvAqMRqV-gUZr7+HeZ@E^~oBS-Iv~Y6^1o!zBGGkN_f%cpf_F{wD+!ivMcDdS8iBR zY-XwI)u}<@Nt=aUd1Z%L#hxrtHPv1kxw1s{($ouUO462IoO))B%C4XnUJJBq*M+31 zo}YSRjY-<lb5oD3IkNN8Gq1!jrp=R{dCk;LTvxJl(o?VauvIZ9PkT+#_Fbp4Gw6xe z1Z~@OPj&=NpDGoe6q8w~dUUE#xKqr_0@cG)xxyc9x-@O-mNipSRS!+wu*PJ^qz7J& z+KlVIY@c-Bt5!QU^5iM6(6C3*nfa=Fr><BtW&5QmQ$K`F+H~ozSDChJ<jOo%4Q<=V z&b*~Nr_NcUvOVaISAq84wNJ`|-h1v*e>?BWbCtW3f6SRuE%eT_+V4_v(7eeX=9D~N za(i-)|0>&)=R8aO78PHbGx^CJm+DJzJPZAriZ9KcoZ??p9Q4L>gZkciPpX1md*=Ej z*=BxKxjH$>KdD&gm1nk}mF>wdDyHg7EmwX~xitC0oRVitE>1o(N2Mz0h35kG+Ib<* zRL)O6F~{WDl5>-f%sEnd>6vGuA5-z9XPz_F6X%swPI~GY@3+e4<Y~_->b~<-DubSQ zPEfa<_oO0d`eZ5pB%92SDn};^`8(OX{Gf7pGME3OqD#{zZ<#aYsmh_r8|Ii)OnTti zsLnX=OZlYxp0(<+mM2enhWb6S&U~-3ck+rkQ_3$*nf$?TQqiTmo@MH;mMh<>XsFv- zcD`G(bMl-yD&;|UJPXwK&VBN&>7Mw9eF@)LYvUIDX0p>iQOo#_``aFe^W2~IFr4Rp zx97n()@}MLY8&T@U#VoAD}JW3agO+feF@)K3*#7`v*yPs{AM!O@2F*b!yOm@;8)W% z@hz2%uernG7yN3vBEF%L@fG*AJrBOHF4GVA++?D!QOo#}`@)_FXSm(s6P~dy(&wmU ze36)u9Itl4uVCU#hv<t_O&4@%_}pkZFFvKR@j3U2Jqc&Ht>P7)vCh%IQR6s6yrHu3 z8Mje<!_TJE;t~5EoaWYwfAExbivEn6#wXlL@eDtkj*DCDTX33ND*nKarlaB-`xHL1 zcIaEwG(P0!ia+p_H9Bs=Q`X2hhaXHj`Vuvb54f4)8GbbF7yq$$!F$&5xP+&yp>YSk zH|-UFvA5wA_oq06r>qtF6FxQV7QeAq;S~3)IEJUJ{&5c9n|6v@R5sq>eiX;>y=lAn zj<pNQS@&q)h;^JV`eI$fd9I@HhTToJ+7~uA*=Qfw++?l2BewA^S5|mJI%{c|Lpp15 zm_j=17VR0aj&np0L>`<idScyyvs_8x47;1Ii|$yrpp-Q??7*(3tD-B`DU`D2gf;AH zGS#+-ZG6dfWsSmFF0XKhT}&q07Mq(ciq42^e8J@u&akWLyy%q3#^+oo)+C(avI<v7 zW1XXYBgS!tXhUS<GcKd>hMi5PMI+WdIL)OM{vefgiuR0{#wT1#;S4*Qj*D8XTX337 zD*V8XrlX=7>l6xEJG3og8Xt0Tg&#;|jSgFo${HEwu!BiQTOy|M0T)v^!;Yr?qCeIy z$Y%`?OGsr64Lh*CX|L#uwGF4ZK7|>ivQ}tMC=#C)o;IUtx9E+v3a7YUg)yYE`iD7e zZ`vtp5!rZ$>roiP_NMKkJLWF<&ay}ShOOg#;TQ87&T|&|H&i#-s$VE>vQa-!++?l3 z!?y7)XO@4$bCyy+hvzKCehSZ7wy4jrb(|x7!1Ca1;S=)?oaIdNXQ*zvF1%yjg0C#O zeg~?Wt_rW1r|^{}$FHHP$yD9Kw(%wBl{pG$IlcTHs+dgFEsC2i3eT`?e8K7D&rsEL zUU-US<8#gva}v&QTKOwHW0|9V!^UxjaD!#zGfpG_hRUYX!V&WxoaWT>fAExLiuw$j z#wVOg{tT5($AvBCEjZ07<$s`}>8P;AJcW-e9qJY~jSo4w{0}^3iS}FYlqJ&7p@K<A zUBagE0Vk6`Lq*em;U9Asyk`mbOL)o>>UW^LX|M2$xecc{KlvFvWvNh~P}H<r_{Lm? zQ=G5-7@o5D`#F?1?G(1KY`nwy$d94CX}j=_-Ua0>dz5d;I?fk-(bsUEqsYC%y2)1g zf^n0L@&V%}YvmoXjc+-!+!NASO5GgNS&H2h(pk1B&yaPTBX~ga;B3JYeFx5RB)KzK zH(eLp(YK(KCD-kMRnyho7k2IPDM{rOWtDJQVAXU*aDyb{D~=^S31>O{+!L&rOqD&1 zn=T1X=wmp`ajqw!geA?cz?$izU`roE3CnzC4P&PBf)gYU&JZl=b2!6c;l9DL$v~OG znCYxwN*_Zp%XH-pG6$y%hV&gc&7t9LV99h+(4~)|h^1e-K*n*pph;gtAxpP%fQ;iK z4gvQ93#P+@B7F^~IkxpUoaWfn(@?+?;bzd`@Zq`If^?R~46my`4dqPhN|yNCY+@*2 zsTY3X^1z(&j^G!?9Y&2#Hw7aW&NXH{d~n~fhCIO#Nyhse-`p0MGifQGkUls?@IkM` zDUNq;3Fb{2$}6N9rwH0eGT!BQ=Jp_krAWC$n(+?DJ+}wn8t?Ics7v_HTx+-BH=~{W ziN6f**uT{{oM-=3!*HJcUCo1U%-iHw{B4-af8{5`T>djZ8|Ls|s7v_9TxiGeoH^f4 z;WwkXe8*pgH|%lt4}LXX<KOa=;Wc}h{eoYOSNJ#lWO&7Xt>(cO=4J8$pBqi&HU2WZ zWWP}J;0(K)eZn*5Me-bf8D6m4*#|sho+q#HxzSMm#h->5`~`IhpP3Ww7@jfDl0Wf> z;TgN0eZtR1efbrC8m99H)Fpgko+RJ#hv5mkoc)6zje7DKe;6LKi`g&u(RhSkq3*#4 z<~DhaKMd3PbAC2V<4^g?@Q{5&O~MD}CV7rO3=i1<***B)xQ{>PXTwzfke>|q*}vH> z_|B*$f8zInDf|y=9Zs>ovrG8is3E`NH^UTuo1YAK*`L`xc*0yH-|?H_4*Na32iqF& z@qWllC}*xUTd<qaPWr@MhIee=avaXHeac}t&-O0oK^gNl=@oYy=JH;-$uO7q%*}>5 zychBk%9sny7}A;Z%@lSsnoD=wWq89DXZ~PU<2BwbHyK{Dg_$qd)p&(>!%c=)Y}axg zlrS%o4%pmiBCT<k;U(LJoCjyv+{_cwm={TN++}#dW@jFd#yn42VRNIQ^ou(UGk6Q~ z5{j7<%^1>{XGx#9!|;qv&pcsgqrUWtI}Ou$1M(7zm?ueh++ldaCTIR&N28u}#vO*o zY+~jMb~GO0Rmgi#z}zOSafe|#Z_dqzX}l>n86L82$Vn(*Zj$D>!|;IZpV@=$jr(|G zZZ=Hi4Y|p1pY5C3g6)i2(kE^on8N!Y*Wnb~JF|rCjT+J`ZZk~bwYkY~m+hI^gB0c> z>5khBci8TkJt%9u$NeEL;X6~U-hygIJMj~H8Q!sei*Y#5`YDFtJnOrd2j7^siLcn( zFqiwvPKLSMXLdHs;l2=;@QtZZkKs8}zMeugqq%s;UWPZUarzIc8n1D0*~##lHB5g& zRpS-z4LccLv0jUL@P%oactCNZiMYmIhL@}tVji4fb<<CH#<WPBV=u!CRy+NGXH4_N z6^a`T#b4}cn895Tm++Y>QIFvn(=725dl;Uv>ggv`HtLJ7*wZkbJ0LFM6VoK|jy()d zSmpE|R5a>|XY65k%qph8prY{zw?f>54@_<18haR~bLZ@An8uy5li?xjhM0s8Oikh( zdl(+D{?mI<-nfrDW@p1x?vR}f_gTN`EhuNy5<ju~z!dHWu@0wL-{~ckH)@El*v&A7 z+h!-jUDjuM51ud;iFfQ~xWjr+@4>gd=YQ|M|Gaem`R8`;-dEbrfBx~$-2Jt;*VkId zKY#gW&h*b)?0*-^o!eh|v;6Z${@?50|5|(hS!Dg!Q2VbpZC<VadD*<ybpA8%`Cl&n znJHgutp95v|F8M+&ur(P>Hm37{PUdsKNIE7WY;}Q{`WNc-}Lo0`u;yB>;Ig{|Fi%7 zkJx=5CF?(m+J6-M|ABY^hn;_>UH=q0@59DF54h_dF#o&%yY_y3rFQ(&pLOrQ&O7z| z@08=!n)Tny<e$ElJ7r(FYksx5{`W%u@A>b|8t-v_@JlFXs#RNH&1fflVlKlwmTx`| z=UG1aFq~(3=kuV9X`AqhxeaqUugqka%XwyI!yL{FehFnvg=!4xO!;aG){N%D9djAp zu*9i9uxh-<xn(B9YnCwe1y+q$I5*5>c*Szf=Rpb6GT{K@MiXI;xePB^F8Dk+!{Vl% zkjAt~m}4%(3l=-|fHbCg!V1QXhQcrAG|b>E@JlFWN>pP=W11y=Vh+PI7CrR@%SL_S z6>}P<a|ZY&6fsQ_?wG^yghfvMfkmU9aK;>l$1Gy%3oIIsa4PscC}3(6)|kUEoik@< z!!*v6nG6qEHuxkIFf|Et%wc%I@=xu7dE-9Ln3)YzIYVYL+-Lcww!oZGOZdd>15-F3 z_&S_od8d|O-l!qGVm8ARPMetwcUhjPJxF0H67HDIaEIld+JkQm_t-z!C46VBm0Peo zj7y&>kL^RH!#m~z`3=t*tK=p;XRMGbc+R+;e?zUqTjn=53(hgWut_+_{KTf=8{=mF zj?WAh{1u-WZnE#Fba=y@AphYP!*%v8cHdH&baS$Mj)sZd;#{|e(bc@Gt8YRV2T#Ul zhHLC=>>R!_uH;Yn%y5N$P33`?%s%o9o-t<11^i;T#J-^Nz)bcYy8~yKUE~FRF<fA; zv0HG4*+$;s8Dp~Cg`W(D{Tn?DlNp{fo5>42V@!}+@U!6zdxG7APmI&}e|%~<#a?kU z=S@p!gt*j<8DW7JFV48mB^(=hf6<~1YYk19Tv_*cwaj#I-l%kjC2*pk&{L0eHz(ss zGbVZ|y3dkXS+qni)9sRsCeI^}iOQvYF4jV?Jqq1^$z-1KNOAl0#mOq@wZ}T;y?rXy zlV(p$+TW96b?K!?wwsfz=V_1C$}9Eywxp}vnaJh7$oSHni8p#w(w7{bc&lehRJw|> za;juzvC74XdhU~CUIw1`Xj9hgJCdqmqb%5$lD_2TL<jd>#+TlDY*lXUOR*Mu<5B5$ zN`~`{NAEOcN6D3^+_%WTtvm8u`9^=9JJ%nM?~5(vZ`PGOUu-Mi`18a$_npd|bs@he zzVoP+Ppxx#w)jT>ogR_YCA%gvxKEPKEKu1s@qybZ>67nIdeqAwJh|C?($t9?YFg4& z_VvH&DS5isPJZvt7pL7<u8?0@_vF{a8U3efR5*VMK6USqkJYO?@+0uEdx>4qpBEn& zpXgV!xBBDpL0Mluv@Ydm;3M}<%4cg!o-MxE?_$sOm*dOg^Zho*Yp(nZoYT)_f9sFN z8TVQ8TkDQkO`1G$Sx<}kq$v~M*&X^caa#Y5nvfrY&)t{GFa3Gq%i_!Z*J_SDQ#O%b zTj%oY;%oQK%13Ke(wA)Sud=`NS#bS*_cnRPx-Cx^>&Of8)m?eIcxP`&xc#P26DRk7 zu)FlzBX6;#^wygzzAo05-k8_2`{Fydo$`S{Gfuf>nN9lp;-p)R*`>cLPPx^Z75(ja zr>rTxG;hgs<?X$9YFJX0ZRFq99@!rF-n~%z=FJyp-L^<y&0|SlY$5+O*JQWg?A}i~ zF6?>Aw|gt>Pu+g;Re5)Bg8i=BFUl5MOCPQKvMo^7K)N-LWtZU0-eWmec21npYhli{ z`QkITdD2t!zU-Vhv)9esYV*Zey#eMzcV84KpXt>xKXqqCk@BhDQ#mQSCQk3Yl=CH3 z`E2jEoD!>vZ(UOUCG9+M)-_+R%Xs2*msGW1b2z>z-R%Al!}5I5jqU{fTSbBoTwBE@ z<F<5E1U_=@5^n9<+3|VN*-jtxRhuT>b*mO$DY-Y}ylc6dmvLa`yzVD4N2&zpcDkq= z84JF2Nq-X)Qa$ml>vrjvzAb5s48<?TnrshL3Cx=~t^1AMuEV=CPPtZ!2kzu}w@5?$ zV{FJ%C2jH8oh#le+3)SP`Wn+x9r(_*Mo(yu#s?)m@zl7M%8O53{q>rP0`Iy_7JnOi zr6O>8w~YR#Jsh7Fo#<B4pS7psqmsUOXxx{li;i~&nJ3*@QMg!7S~Ks<j)`|%a@3sW zW}J1KAnlp=WJlm5m$ky4c_ro-<&-t0b8m7KEV|uUpk8G>@r_HKS<&qmxyn0wAE`BM z7QE-W*L9b)<xG!K<z2n=e4d=DzWC0y)~sn$;9a*GY1X_g7J;)nBh;hrX5=k05N@35 z@p;kZZXf-i;=ozmUh1djXcQ@HiBF7cdA7({Jkw9)_zA9OuE}~v$xBif>qracwWKb( zxu<to%$8?LSGsS+OsTp!r+1x?iIw1Umn5}Udpy1_y4t%aM`g#w2QIVg+5dA{{p0;3 z{Zo9?>HCK}8PtFMp8X^I<NHVV_wKK&m*2m)-t>ReKi<FIKgz%A&o&i~{n`D){OtWp z`=kDK{oDJeyK>?S*M;?m|J?n<{p<VZ{F$A9{yp<k`M&*BylK7f|8qZI|D66=f9C!g zHK`uv&*I<T&+8QU|L^7ByPw3r=0A_WzJFJ}=6}C`Q&s*RDxA%cr?jVYm(P_S+rQ<{ z-M_6q{{OLmXFq*E6R$5EI`f3r<NKHQudEMMw{rbF{an1Y@JB<xEfy0Wx#SDyPW(Lm z+xs{7EreD7UHyFhO#HR|f&cc+T#>(M*ZvLl$N&8OwEb)Tv-@V7>UXZGJaNW(8UM=2 z7iaHla&OgR**#%yYnJ$~%>i>-AJ|NJrhn)Dj{QaTbN|f!?alr)|E_bg+@k1?^G>^V z^B=V}*)H(bX&v|8f4!eB%xu44<MJ!uWxQtnN4ZP2E6zLb-dlfPE~~bd<J-a;?RRWc zeovUw{>;YZ`9drHgOwhi6%F`XD>FVT8uFLgwfq#A*={5MtA^vt!i()Lx$?VeU-->y z|7Mf&d|}M?cBlXM{%-wU{o;e-;dU<hrq!DT=C(ewY56hXzVizHjg=ao70<PwvI+S; zVP5+Wn=Rij%$@M+{vLCuD!Hoa6S)iTwLY;qlKM?io%^BQmh^>p+MkF$ie1q=;f+(C z+@wzzCbuU2Hfi6)vz7C&O)W>ck<l5aR_?;c7pI&WxHm?66eu2Q-K2A6$ApCwrXFu~ z*vb+XnQ_{wgIiT^$%!2o9zJl26`Qbo!ab*IP|NJSQ$F{BxzQbY3)Q(D3pWYeamwR9 zs+$o0B9F0FY|<uydrrY(ryfVIc*i(}=^4)qn}!+e9(D?!7$@*o)HFP1-et4kBV!ML zL`}mZW+C~89}S1uCF~Y_V2qL5@RTu1Zo*T>7XAaD8V<5^*eMh+JZRX@SjYdO`oL87 zh)Rd4>>q3s-ZNJ5FZk53huufA;X8vS|BmX0yUdGh7QAB&ko)k2v4np{b;D%#6TBVP z49^+O<Qcv<Y-iumd&OGly~l3luachSDt5|G<r<3gIC!S+ZayTlrBdLzV-lZHvA`Y2 zLiUrgDbE*dZ(bx*BF^w(!Oq4{JX_Sm%gYt+HCFK#S)X{v(8<nW)4^xPkSxoX!S_g% z=TWi1YR$RLZ2V3t#S@-8rt<x=;rO!PLbD71E1Qfj3yj$pT6%m|IC!dgpG->SgeQ(O z*&XFdUOrJcbE0{Z%#x=IblD3nSA0-7+|0*+s3_o(V;A#F%Z`r=jy3O+c~WuV@gv8e z4}80dE=*}&A(QfS!JbAR_9~mL#sO~}RxDn*Y7O&RNtG*yjtUei=p?b-lF8_K{@h`~ zTIQKEg;rSzJa))oo78Ho-QM@sp*$~BT$)vx{Z~-B`cvO?W#ZwYW;~qbOKg>2N@||> zsBi<<<J*+4g6efE<%^P;=RAts7RhFoEwNBODEacN$0p^SlA2{IHzvlp7s;+HU1FxZ zv2Tmjq*op*l{ZRqmM$?>p4*pVHEGtwOFbc0LN7fs+^mc*z3@nL6Oz>|QMoYD&RxrR z(sPd#H!0(w=N?IJk7P27ml!BFN_rMAIWy6~eV5G1q9yvup?yzMRZdM*bDt!mS)_7e zqMUn?%*xXqy~>7tTP!9$^5|4n>?^UD^w6VKxll6mv`3WNDI=kW9uaO*GM)uX4oqZl z|0R7gf62ay>v~dBmuM+}?A>BM>7GZ3+bQYHQyvw{o{}%~RCZ5X)DvPZG-cwv9vAaV zcRc*uCP{1NsqC0|&#mb9itozz`pfKJeV+Kvy~gg;UykpKZRKD7)Hv^6VYln^#kcO; z<geDHJYQ@jfAMF=Irk#FMSnBCEw+$9`18eC_f7IUe`<VFzR@3NU-Wmy*TrV?8|$|G zn)u3nrToU99A6ik%FnG!`89D?|D~FcUxF{)GwiHBUwq-7W+(Jl<BRfzemi@u&l8`! zr`Sn-4t(yOWcTP##^=Qb@{K<|J}*AgZ(zUc&xucqLCu&aPnA#gtJzQbqwz`kM8BMU z(VrEk-FxK?>$d!u_{hCeUa_v^$Ha&3t@4FGGfull*`4|%_|QGVPU?@xhs6i_8SH=k zKJk9>zW#MJDNh$`$$zZf@_phx_Yk{NzcWs`SIB$*eDO|scmJZAkne(1`sdZSe7|_d z-Op~)Z;f}#JNob072RG@u6(bz%>32niSOKM%ue0qC|_(V{qm;9dAAC)U7Ihyb=xL= zH7_N7v6b}2n;GZaip&<>%_v)JA$<_kTG=GM^QK0b@{Qg&^P;;eN*9|+Z_L}WYvL=n zmC_q;a+EGMm7bfIvTNe3-b*<ly98glWtdrQzWBl|%}nU7Mv3x;UORKG%@d!yrI<-= z4t(yGWcKJzM)6_;>BgHL#f#7M8kq08bE0UmzI15blT_tXy=vx@?r0P#pXik{FS@hh zv|F#VVcwP<6Cb&CN-O4-?3nn_tyQ}4X2xl^D6><W1RuIZm`UC7C|G=;m%;qk?GyQn z_w}yJNl9I-CH*mX%l3))+(OJw-Of1WRw3<q^F^NW?%qW?A=?F~^v=t1*?#eko1fXF z+ZuVwJ9_V#742T}UFlwTnf|NdiJ&IPsl6QE7ukxx+^KQiwL))K@x`~U+r+QNr959` zC4O;d#yQs_y+wO7zAds4Ke+S7S=UYCJ9lb)Q@YU|r(d*p#n(k<;v3_(R84&4x>9`O zPL8jOOvUHMrBqFv)qN=@q)PCmYlfax@x>ReX?j9?HNGfa=(f|>DxUb<HAPRVIPke^ zlHQ{|8J`y!h&S%^_`K*$w}JkyJtsab0yT7=JXJc?t)@R|kH#mZ6Wwz9MSE79cI_26 zjN4K%@sVq%xMEyM#l(lMt>T3{Gfulk>76PPeCQgXC$-1p!=eM-4En!zpLoA$U-!D0 zl&6cd#6QMvDW7=HHAL^!?u=8e72=*dU%XS=-MuI#q+D=H_q-UF@{4y|{q!d7)_AA1 zqx+s-(d-rFO7}X;)L$7-eCJZ5c4{t1`6654moqiayHu#{GQRlMWt;F-zm)VvR>Bu& zW}I^=Qd=}Pqim6d@WGic&bn+8-Z@jFOzB2voO;pR6{U;Jgg5$av6}eGWu@@OnH;5y zOoiwArC3dz)p^M$#7gj`ONN@2@x>P|X=*}qHA<8&blRzFff}tTYEs65pw`l(IT^)} zCRp*JGo1$NyXKrITBI)=>h~m7=~Snh`lLA;MM@_+<<yJjtT^q`D{Kg9k#q_x`juEr zeCX0DTsSl1v`duQDI>v$E)i-{b36(b9RRhmW}nDkw6AlWPfF?{E#Z&8Tg)fka|uy9 zH9O;!ONFrK%olk|yE_;8gqRCX>73`|Vt(<Ci=W!0*&2CDJ38;F75%>bd;0hH@9x{x zm;V3sbM|lX@9*dBulo1wXZQ2>bN6qr&;5Vx-`3CD&&6BSKmRio+Bm9z_^0-B__y`5 z_iwCE{J-yC>EGMGwx5l^wtsbf=>KK^Qa_7-eLrje@_OI@tA5UYmj5#T(*BHp^L|?Y zx_&19;{Jv8j{oca>3+TrYR)D9EBhz<dHUz~&+Z%4AN+Im&+MP#pWi=?KfOQxU)aB| zpQe9$|0Mq8{)zR9|Ly*j{<-}$|8e}W{oVDV|JDAv{;B?P{X_o4c)j}Vf3AKqKOKK) zf5bn&f1-b+e~5p0e?NZz{@VKWf3|+weky+7{_6U#|AKzb{$746e$W1(f1q}e^wa#i z@f!8>|1AA&{r&pM_?`O;>mUBx_qViq#dpPf?Pc<>K2Lb(TqAd?mgD<ETmF}o8t0uW z<aT|&@YZ=7|5dvbP=oAZWyU$@BDqDi8Q&IK@E@#van^Yg|ISK{Z;ChC<K&BKSA1P) z#=p^S%dZKqoLBO1tmOE*(3F3!UCOTsv)V7&g!~eC>6{^F_4&dJ=QKH?T8%G?7uxOQ zwLVXH?wleg^*P|VbCTSnnvBm24fq=?Jw7iy({3QYtLDU~h5G!Vc2Axvo@!T<pH!pq zN%2IxoP1Huiqp=${DyX0eoT1e+{v$KSMp=RL+4ih!pe-(&QWrwJ_$T@j*ye8@%XUt zKs$r{uj&);7w&6cXOr@Dp%(u~+b!QG+;a|*J5`-=%DIByv+~6|#og_TY(l;ZOlhBI z<MRE&9cMqeN!1$f6nC`WlPii|QLcEewM_ig<_YhdYQ#>(a+EK$<$f8dao(vyY}e)s zZ=JSrU)4)VUueaBF*4(vQ<2!B*o?A;7TgCTUz~N?#Jw|8qfGHeYn*sd?26KbX51U~ zw(Oel%4sF{#z>CRg{Itd^-^|CnALhoCuEnvOQ#GmtIZc)IHidR#cGr&UTC!w*V;Vc zxl@Xm)aHQaPDx^qVls*s8gMs8dK51_(`q2TE9OMeLVfN~y(g)Pr&`s-C&g$KDV}JR z6EBKcaoVYu+fZ-IjtP&PI=L0~N_I?m2yVJ&oOX&5JGDvRp;LsIRE$T#!UL@g;=iI# z<S*RUx=tr0b)gpbN8K&kC){%i5jz!~amuNJ+cWY-p5pG-MLHqd1*Wvl({b5;;f|A^ z*raHUJjETY_r!{#9o{olh;P{4V8?wSmSH~Y6Fr7<#%i$z>5P?P4e5;KVg<VyZnIv| zJ8+Kal}^Jsre``1=a@3Y4R$wJa5rpjFz22S+c2B;h#o^3<9hCbSclh4w{#jx8CP=$ z#5%lUx}n2R%9t%yu#4d`>xxK+S*%m^8cG;5#0t_F7jqkIX1K`OqQ_9eIG<Z%GsAh- z36Te8u$JgKoMEyM->|d6fSX}6!&%l8J%(b&>D(J)4oqha(K~RONkiOVC&Nir7d?g| z#(wUC7>DVsCVCBpjNRM;F%FNI1jGw=FdSwT(Q7!(v`xq1G}9)Xh62V2F@qfp2U%J4 z7z!Bcxj#fZ+-F*+bKn%yDxHRW#%k^h(F{{rpXer>V)`Jakjhxjy&>9R3hNbJhEq)Q zbQ<y)i@6InG3;bLqRWuSn9p5c?eLzlf`3DGgB|+?TZZ|}PvjWBGgR{}c+ODC*YKR7 zoUfpo;WqOXxdZ1IU&%C_V|*s#aE>v9-=Mm|g1w=*!JK`9ZNqHlBXSJi7}m2F*gCvs zyd~4{m0>k|fUUzT#v3vWUm3Fb3aS_`Gq138n8iFruHg$q24BH5hQ;g##S9mjTjUtN zFwAGyC}ud%Ji+q74CWF!hck>8{2MA84A>cp8O}1N$T56on9jbz=D>935V-@V88!F~ zDj7~PyT~zoV(4ctuyL5qY$Dh2k)fMCz{cSbqX2(F1;b%x5xIubjN4=!PBU(jY52ep z!DmpxaFCfrj^P7CJ^Kf1hx?4{WDcBSTqV=+o}rrkf;Gcb<|ncVrx-u*DLiE;XWwA$ zFopSwEW;_rc`^;}7>d~oiWqh>ACYBv$B@rnV7=nI!oB7){#V5l-Z|FrowDWlzQC6K zrKQGs#|pk(#TVW>ZezbHm-2jp75hcYjB}1fe2Z)|zAdm|KWO>ltm7v3ot7Hk6mB%f z@fX>y_`1N1eWTnK(2dC}**98pd|hD5K36WKYQn7MOEMu<0xumi_^gUAyl_n86SCF# zqHv+vj$aGZSxMoODh>d3ejeFmd|qI{-e~FZdBK@x1O8n$Cq6CEXAhNo@>Jnevl{;- z8;ws2Cz|E>i)>b$cI;(0l-p7<;gMq}yP{l4#e|2Bt?Y%C8K)hi_)ZlGJamlUld|#n zu;4&51OG4U6Ym%7YhDNHyJ)e0l-*K3;htj%-zn>iQ;rqvo|Z4(DeP`uBok6DFr|5( zj7#~2JC1&QldLt~DeP#z$5$l10@U*=V}E5l;hjSb+bLO&@&&fcFC{h3J5;dkGQRNE zVH@*RzLfL@R?HVAGtM~_u`QC#C|h8`d{FYmS%*!`J0&&B6mB%eu@}j%C|zL2ypeB< z)r40LE15S+a+EGGWuD8IVl`n_<0YODD}k2|8EjU@7hX7|u?fj)lqg(iv}4yYp77iu zg-yyh;JHH*+asBb;splGjglV43(hneu<w#NQM5pxIh5~7s=}#8HTFp|8bt~x8s*rF zWLBJZ=w&wK+hQ@{kwYi5B43Hcgoh5T%!QH}ryZi$P8kV2bckS+lJO{5aG;Ta{g?EK z`~~|O*YTvJF3@8B$h*aS!aau&wo}p>ryMGnJtbe{DeP`s#1mpJFr{%GkBj+*I}Uzq zlcY8B6m~S;V=MZ-{JZ?U`m+BoKli`0ulaZKFZ=iTw*O!JR6lQD@o(qn^Kb39{lD@r z`T2aS{}+CypR+IexA1TJxA_+T5Bz+7)_&9f9Y58-$=|4t`(OBX`Pcbo|2O>G{Hy<! z{mTCvezJd^Z~A}EzvN&2v+6JX39gzRAlQ0W{!;ygKgG}HU#vg#NBNik3;PBCYyJg4 zlRsa7;*asO`RD47{5kyd{4@K+e~h2|pV`m+pYX5vXa7_C_<t+^96xP8<-gBA<)7E> zCszMu{(1hfec%6*pUXeWAFCJpANWW8<C=Pb|CWD_f0%!$e#f89Pvv$0r~GXHAg}YE z=b!Qq{|ELB|Ns1b{N4Y)efYnPpZurR|M++FxBGi}t^ZH{8b6iaQ@`ZToxjQ7{ioEw zO8dor%HIFq!r$rd<kkP5_$&OK|BikB{~dQz)bt}ydb)j@*n7w9cD8tAt`dLb2}iaf ziGUgMzbzW7&peiWZE@UU-^Si-pT}D+&fD0N%=1`$e(tvJ(`wZ@8xQ9h-iY6P<Mq1m zHIGCV|2Ptpzqa(6nx0{&yUrtl<{yXU-Wjx;uX)Im{Ns?=I)iKB(XpmigS}-<ulQTv zHEC7XdC1}X<DifJ+XrmM5iggBuX(_t{Nn(d-Us1|10M3ZB^O=l<)bnf*)1{_?7pyV zlUG4&Ztq5qMzdo|th2&94TL$m>R$ahQrkS?Q^iZ+zg(Oin|3ue_j2%=%D5=3aQyIa zF-r@V*ajU3jZMz%{7UXEEGL^BTAh2{c%@u3G8`3}7N3ZkV8G$l@ZrP3!*7}yRYJ`5 zR^4Aat^3EOj#I7`kt|P@)Wj8ccARi^(0f!U*w_7HQ^zq^1HGg|!LDwP7?wv$eBy~a zIu5xOL>`&uni1(T%~e2;>9i7?xZ;kE1Fj5ukMafUx?e;end%x5=`z*zgKkp3U{&{m zO&xn&eIi-zDJ{`?lqXo$-La`-m#ahMk;$$Xbd&M~{lpZvckFOIp!+CSFt7cEEz5hw zGX9It1$MPRDDJr9{6H@0yy6!brSpm(WFDPU%;Qh2?zrWA!_sB0^99QzbDU3Dvb<GH z;}?7`P{8-`nZTy@g5r)F&IjZkeHB>Oo?+`U+j)ar(pQ01?H;x)vz-^nC7o6D<4>&O zFm3lJ?zrSUL5}IH;yIb5F9K<Nid7vKoEzjGeHKXNdsxX~)Gkrnan8BJlI6Lg8Nc8& zfdsyVl^tiC6XYHh>1<i2E2iVM;Di&iv~^?1l?MHm)DHc`jD(~prY;4EwiPxl)13q4 zl0FGcYVWXNd7>!C|FEJ%uRX(t<*}j||H6unBhCtPk3I;rwQJb0On1()Y?<bqV#)GQ zaf3|K2Z5$`4jYySivRc?mUrxPj<IZ+>KtOpa$oTq-@<YZt@abvN2WMGkaaqx_>M2J zyhEdXg*D3*XB$hFyNb{F9zGE$YVWXSxubZG@1a@8J;x7xN#z2yYzwV9?3z!=vb<CH z#^ZEe;S&$jd4+d8kIDqLHLs9und^8(l4Y*r8OfG8ju-fn$^;787}Evv*%Ylg%$qx8 zS>7nbu|KrxxaPP;lI68R82du2jw_BEBw1c5T;q9EBCxDEz_`PtSwoiPrNRZCM`sk= z*b~zP7BzFovb<2RV-HLdnAfae++o=KLZ)SgV*y`Mu|OglW17IM<`Xh3&lL376D>RR zn^(xROm__6ODYnW)Z8J%@<c(7{h>vNUUP;F%VPyG_JtN5M;sOS9u)|*HEYPQOn1zY zY?<bmBFXYlVFOQ6fk0C;hYZUDg@0@h%{%rv#z?kIbqtYYxv%hzZJ{}bR`UtzBU2n7 z@H(ARc*mA#-l5UFLYifYqm3lXU4>_C4^sq+nmeRf?kL=2d-$#Wp8bb^iQoBa|1J2< zZdZTeFY`P3Z+{%m%YXXAcwYY9pNHT0x7Dxs+dS9)%1`FG_Gf-J&#}MoFYz0H;Xj7w z{Q3VBezTj`cl>33BOmww!LRmf_FH~3zm^aCzu;H<75fc8nP16Y`}6P%|FZgk&+R7l z8h@Ez%3t{N@Ql3M|Ac4!i|RT4GQW_w`ycR(e_p-9=XS&T7k`>(*cbdu{LG*DkKq~r ztojpwn4ih({ZIJWu3x|6PxEy9fPaaf_$SqO{9%3~FZch!k9NKKj6cke<;DIl_|bmE zUg6)v5BzQQ8h@Cl+vogjo@Sr&llh_ihChiP_?zlE{xCm~|M%~~_x64EF+ZE9+K2pP zzAyjn--7S#TJ<M>AD&|W;IHE;`FH;kzPD@CulUV8#op#8^IiF8{~kQyFRJhO&3s4x z-oFRi+V9zZc$ZktU;AyrZg#us6L*>4$$fj{cwX+)8^-f;@7_Et<KI@j;%@U?+bcJj z=h~jR**wSg!n?#W{=#nz>HPWM6n3+lS9jcHej^w6{lTvGYqnc%GQXA!`@UdT`xV;_ zH<@3_U3>GegnwCez~*+7YK^<hFXb-0d3Z+7?R!ER|DtM+yUZ`-?7j!2@z1MP*xYVd z{o+pZ4BLWtiN*Yh-x$*PXH}oL!~9H6?|Z_|cKzxVcbcc$2E0ow;-6IAafkVdoZR;Z zJKFWCGwv`ymJ|EFU`P8ATZMNI3;5frHSRD^x6QfPJk2)cCi6qN4Q~<)_?xOZ?l3=) z`}gg^_V#_YF*lp1+J@X@zAyLf+k)-vTGc0RAD&|S;H~2+xp&_Zwzq3kuei-T#n$E~ z^If@T-yWp!7gcxMX1*hL@7sg2_IuVJ?j?Tbt9`ein%%Da#9roivfu7Fo|pY}hw;4Z zyE_lR@og(#vA21y^_88>bFI(pY@TC%;a=i5zQT75&-wD-DO9tYmv`)Cej^+A{y|my zHR~-qnP1C>y<bq(e#LsjPUcs#*X}(0!ndqEpt#+nTw^cuOW6x|9-fhPd!O)(Z&5kN zUgj6FcJBk8@y#n&C~h|_f3c@|hIPTc#Ls+*?--u(%_={!hxwVT-ur~gcKz}ddzz<P z2i!~i#5bwDV-NEaS-JNQD%$nRGxjh)mKA%yprZYVwZgrJANbnJHTE!1x6awwJk2^~ zC-Xzu4R;bh@HLfl>|uT&`|sU@^7eh!F*}>5T8HdpzAyXj-GXv<t@0DQ4^OdvaM$sa z?7Mdf<?R~fD|Rzav9{UCd{_3_y9ZDBipo27GvATD_wIpN`#sAK=Mu~LYM(8zX16Oo zF_-zB%(pX+=Vd;fVLUJM?##n7zHP-T<~Gl@yfTw{uH~7T&2ua-oJ%a@D}2U~&X@m8 z!J6H?xMME!8=1K053Jg+S#Fuh{8}dL`2wr<E0!B(GQX0!cIIIT-?HKW<93r`jk(M( zWiFg~ct*zUc|scBqGFD@%r9i@o(H7y%_~+gZZ|A`F{gQkWx=_`V!p&@3~79`icid8 zekP;$Ji)SEzj(!*=INFJ=MszfCKY$gVSXYb_xyoHyIyg|9OlO|V$T;?v>&llIQOuC zudP^P4)b)&oSDtjEK_DOKa|;UCb598shDF9^8=ZG&mNe!@3V}V**w)UWG3@{nQzY) zn6qmYpO}4kisgf|j;CbaJxefe*C<{wn|X?*%}nOIGS8kpNZ~6g?wHMdN9NwM2jAN6 znSa=q_?@@*-h$t3cKIi2ncqo&+v9j%`qLi9^V0A3Jp9JHEq_IA^IY>QmCSR^&r~+g zF~6`c@f&a9J%;DJ`S%olvzh02)H1)3j=TThSKBr7EtSl#rNizo_|<mBd_yJkE9q-{ z9)96nmLKrB%_Lu=mieXhg*^|?NW0xnc*eUZpQD!fg|yxMfM>k(@)bU}8RoyJX`W$T zurKj5Z{j_MXS}oWPt-6!lh(VR@Uu-ne??96bn}3HiJy2U<#*IDKarNZ|KLZPUVcUm z^J8hT`wM=w9Whtf_wWO6TfRmO^K|o^%I0b2DV59*r8n$J{J`6k&r!qtK>FXk2jAQF zna5N%Pc;vzWWF!`?cRd#Y+Cszst->wf3Vl_l=QoM3E$f^@>f(dPcgTtWWFo??B0VX zyhZsP)y#LK@7;T_t?i!ahjoeNytUUB>}IpeJ`v0OPU_nl$MaI3)-axzdbj3b8Sl32 z6|v28O|L{U&ow<0**wSe!n(vV-ok4P>Ad;Z6n3+jXLrOhzmbZ&{$N+zHPbDT%&(=w zt}octcExl<B=ak&Yik~s@Gi>^*xY84tr5%oQtHB*hi9bRt|z4NF3RSJWqu)LcRe7D zcV4!_<~GCZ7ctE<ObgZ}7V{=vV@Ttjm3<<H`I(g7^@N>m`q?XDnx~rvtV=B7os``X z!~8@_?)rlrZF<=mG0cyp#I7&c(RRdCVco+5-nMLw80P7wIg!oNOj9D6A4+XllUTsp zl+6*t{6Om8wFleV_L;^+HcvGTiDbSn_3he%?QB}vC!!BeF@3Ps@s!lNYYE%iG_qGj zGfy$KiDbSj_3YY%6yBojj%emPQunSsC~LcC{9#_=cb?jF3#!@d(ofhjzmxnn$ML-6 zr#X!0CEv|?_>E^<`U>0TxyDy4ndcgxv231Wd|_VVH=e?C49|J;&nZ;1nWuNyGQW|G zJO7}n?V9lxOXk;-VdocAwOujZV9ESS^4gq-UwD?K2NbuNq-%hBhZp8NJR|9LKH(Y9 zqI3>h<`<H7=L4Sc%u81&ZZk}OVbeUrxL{u5XP(4!49|FGrJt~2ekQ4RKB2NrKYfKw z^K|2Yd5NERCZ%`SFh7x$JO7}fO)ovehWW9i*!cw&ZAXk1<~{tt)0VDb!#v$M$Fg~v zaf&7LL&*(u5<l=XrE}OYKal))?m>CmKI0h6=BdUZmdy7hznxo9&Zd=q!us$O;|Fsc zPf5Nzmr&lOk-oy3d5W=(CG%a$XXhR~;VDY*ux7p^dGFi<v$lJNANmr@d1{X>ux7JM zJ|WBePU2gS<9UfsJ&fli-t{~z<Jp$HLbiFX;T1{dxrS#Xo97r_=u0f)DLlrI&Xa#k z!J5rHxkHxujYQn>2Ucy@47W%!zm^C)zQC&Ois1%H=2sHedLEYWEK3eBZZk>NkY#=; zaiQno840)J328iwk~w6VUr5*;4@l#gm#kpiW|;g!rg?^8L0@7qPvS9#G@e<>CuEqP zN$4F<ux!&$ULn&w-7ugpv503<a)%7_6A8KF4=mdBk~3tOA4`ZGUtrO8#89E{VF6ED zvW5)vbi*9U=4pm0lFSb!HuNMG@H8cJ$S^;U_;>7qdD}k27|G_Th9Q#7_a(j^TVT$n zm3%__@D#%by^g0O-W^LYZ_`L#A<aC+&_<H^uEeur4^nuFk~^fC??~J`_TXFVJ^c@L ziQl<v_bvF%Y8QXvFVj2mZ#9nR#Xr?Bo)>>t^Y9z@w)ho)o960Y`N=d_|IE*(Ir<ms z62Ea5?qhh)oxe}vH>-Jk$6uy5;&J;Q{A#_XzvU;>Yw@uC3x2g;(ckcs>6Q4knulMw zm&FHsZZ(P5_{;QC{6fvcGvaRh6P|G|is$&t^g`Tjf50>DdGQLLTMgr1{Arq@Ur?9$ znLBYG!!zz#@hAQ;Jrmd4pYXF)KYqoZrs?_tb%~$2C&hRCVR|AixBtP9R=xO)KTMCs z#r7}w(RxH*q3+=a?zVW1KTOm0bAC2W(@*)y^iX_5P2vacrg)A&Ob^8W?R)UOb)SCB z&!(yRAwQY!i+|g<;5(~U{E6R(rszMYbvz~hZePOpR*m=-znP}!+x%p@EB<WXgD2cY z@g2XJ?ug&p_h4J=J>3s^iRIk2+ZOC*wTnJ+m+76@w;adwVxMvt&x^gwd0581EqcY> zrn$OTZZgf)J#({Zj_!rL#4_%}Z4Bw$`P&qBvzkYD++}(r7PtMuuGVY1TW&JF77N?H zU{~uE-3^frf-KJ5O%42fs%)!Ig)9oy`Vg7Scq)90*o$om-$UPsEwadS%+olm9{%8N z(`~T_+deGIP<*HD%e^8xVsq<d?FT<sT|TSx<nbKs9dVA=zI@?Y9G<bZnALJictvrm z@uYB$;#Oayd08*C9{hAXxXAe0T<sLgBTlBRvai2z1&TdoJ3dLa`x{q_f5hXMhk0vm zYF|0KQsHU-JKtBL49BB#j~?v`wQWASV`_}}nsc)~Hd<^v;$oP0u!K8n+k`akrO^s^ znP%zExq0Y?*qJ*ayI3#kwnQ;3-SQ!G;TbWT?GDeDg`HUZN=E*z*l!;0%@Gex&H7S1 zj;5|TykXVZ)dzZ>elruh6TaGct|ZslkX6nT`9i&ZPnB75eBq9cnOa}9uda_+UNrYr z>59V(1w@xO{nZk?R<uT|c1H5w+?`y-I)^s09cPkRRlLd|{^-d$Tt!;PkN6rVzw`}l z<9QyCdhC((>Hb$D`KwHm@78WTF5306%lGP&&?!?R3RgOt@?B;9tbJDN=t`&dTSAso zo`fule|qmH*ZHZkYj&;AI$l)tJM?9ErcJxloK@S-g)fVr8>jkx>WlFC3xm)4P4(<j zJ$f=cd)=l#uSB<boeC}66n@b(?&yxETKm@@sWD9}d$&q6JpI_B-LJT&+l0g|V_IxI zb;<LP-uSnCtjD%}*4nY>-o|Ik9?72ax*t&%{4(fa*3L!6q3qgTatGIc=@I>L^@+IG z$rCn54zCxH+#PT=)^b;Amu2x%b=G6A&V_A{XN-DVw6n@6U3=@!D^G)Vgq3(0E-f;i zf61oUGHvBGi{MiKO1rIA-K^(=S8WV$i#~g-(0N6giKuB;spg@@4%3rdN}aZcq}&ax z`sB0G<L0WfL7mYX^-3#3)?{6I7q)JG$4>WyGp9N~UtzVu{iNK{bWLY%i|CCvxk^`G z35zs$UpnohO_oWCwn5n9=*pcvMVqJ2F!X+@yLG#$<Z6?!#3id7Iv@2O+T4CNsBcnZ zh4<aaM7^imLZ65o%lUfac<N~}tF1pAuWeLF<DMgCwq4*D(+u5=U4?t^E>7pw%8Z!N zdPX-P?_tr38<!Ve<N0beW%cZZ39D-s@jX56mcL@(!hb*7n0kz_$X>bQUs1QKc(wMg z$+PEQ`J=-9Ts`FK{D|oKqQ5V{s^6F&R-d%_+42kXeUj^qHsAg|`SwHq$@aYy|7aQu zeah#*@OinBeXW?)(Vw3D{C(@r=OoRWzwghLos-}BHx`wYckS&w`^v95H>Uhh(PXVz zYPQugdgCRyj|;q0IT7Ao5xoAc@0REdcMeV04aqxrT1;cR!A{nbx-R!zcCwz(waGhJ z$Q`@w!VcDB`f=MfoXB>(==4ZTV0*z1*2A;Qwg*&LM=lEz_F0jVDR<QWjBdfrL(_CK zZaPgf;BJXNu&MQ+E=QhXfreo;!<|F-113d(n0=~9>!R+L+=r*c*5oWaCH7<6hV86- z7bdh9ZD!R<-kYnK&mFw2!8~lL_GMj<nXAqP)W@$~8MUXYkh?s3gLHSk&i?f#+XbGk zzM*@?m(_a8Be4ZJ4^N7{*k-VuRXuvbZKpe+0%aTP_Vpp_FIb1Z3TO*|@VBc><M?{3 z^&6^N?ZPkoJ#|j>M~vckt{vewidk*LPZYD-gzqpGeIc5+KB2nxmiCREPII*{>^w9_ z`@~MBx1wq51)g&ito!hcYg2eZaqA83191<(a;*!`*y}V~dqZ5}SFTmz9($Q)YcGgP zJS*zAKB0=$G~A=O^^*34IL5Q0=VB7SaHXwNsA|2S-4OTiGgs=m2bHWw;S$BI=d?R^ zGCdbHTQBg8D`DM&%GNX532_fUaZL;VQPg@$yJ9EPQ&F|`3YD!Vv>oCee&p&4e^JzW zOxqwX@grAPxW^u*N1}Y|6DnE{X&3A~G)+5Wr_(fTfjGv~qHOCGDq0U{GsHc7&s7)x zV)vn`+7UaQrfPqPO?=N)6~3UTb&s~sPNsXJOJW|r<0=d9C~DoM?XdIEWbF&FiSM}l z)+v;??$ACE`|vGSUh>zzqt@5nMbsX<B-?#HVrTNhzOCsxw#iQ=xz9(GAKN8+x@^s@ zjc<BF({-$p&q{iqi`bHUwof!&$0B*Jq<5K)dGgUdR_kl8Bi1Ev?Yo+$b8TbT@gQ04 zQXRA8g?(Go)?D7`b3Dj6Y}Q7v<4UsJXCu=44(;X?%-&M2W1QUCCu$}3B4U2>RLRrD zI!4L8J6E2LnCs3s$*eWXQPTTNn1A0T>F&>Jx71?R_^vTXZj|&cUUO!n!SP)(r;FC; zo6qeFwVXD6qsH-BGTudNPB?q(oLGIM=W9jC-H1Nxn~9~8tIC$|*(lY%Nw!;XgO~EP zM}9Tdm6G0tYmRIbm}{~9&|xc?(*mmtmS5bsW5TS;9uLv%(;+j1@6O~pA5wYjl2O>S zl?CmK=A0_gIka&@kEzAgc^g@dbIEWQtl7WPVEHbY)A?)mZCuxrnz}|S`J-=Y=T`G+ z_aZ`$os!Nz6;Tm>(06OvigT;y_^4W6oxO2M!rfR^^DECnb_KUep6<&kUUhlp5g*qy zt$Q06`5d(feIJs#u4;DI=J%_#BTADUC10P6C`mrs`!q$%B>CiAN$otH9UJehyCie^ zt(HM}Zk%bVmT~ygSl6dodsly3_h@$3m(};ySFE4)JF8sFD*o%tRfSsT_2XaG9euv~ z&dLKmPoHYvS^tek^qID8uxH)W^i{{#f2b*azUt8WymqGIsnb?(@Cp4j^=<gp_?wbm zpI2MOUz~aB>uSs3jeb|3X<No$<oo*L>YLEOeO<*<?*_DndrES7Pg}U7hV}Vs^Ke~1 z)90&>t^TlX(d<`WwQsJ!QzL3E`bP9k=#==qajBJ|&qCwY9on<%llJxXQTwxuugqK@ zu|MjsSE<I~l|k)J#Zzalc3EHaN9*gV<Es_+*Bnh-acQB)@}R$5U$ssyOjtilM(b>N z_CBk>r?#96>1+)CI&)Roii4{q_6L0qoweR;dC{C#Wvldp56;v&7rrEZ;m=oRLN>?u z)}=nvzPS3r!WA(~e~7*am=|Bm7n)YM>c&Ee_N+gv&V<j4pZGKDOh8|7W8KxCQ)jIH zv+mX>(dVJ{;f!&j78asU!e_^~{^U9nJ}W-8uC+3B+IqeASD&Un4UgZq>d&du;Zx#$ z>r{V+J_(-?Z(H~DN9gqRQu~wsWPQ{=x?X6%)1OxbD-FF%?XFshirikFH-Fb>k$2&3 z{xAKWnq9qp<#BNIvQ^QqF7ZejE(v}cI`h@3klL_iRiCEJS^mt2^}ERQ<y`ZPYF>TN zJhEJ9eo#%;N6ll)rRFa>{9N<ao#mf=mZqCuy&Dp=?9l1iU8jO8_G$g;IvpOp@6xBx zY3n)mANq9lL3opQZk^~4(Ffu6@jq*?e!n_({hFH4r`r40f7^HIch{-#%6Q+OT<^6t z<L}m{eh;0p{?)#wPgf_ef3a`Y?^7qki{g*gvVOmMJ3KG?Yu?e_SKo!zZo721>wMVG z=!bb*)3t4*pWfs;A3Q1gZY9^I@|6buu6d=qr@jr_8h!KTs=m3RbJsqLx#@K-xW<2~ z-BXuhO*`+GdRNm|-d$QTeN*kJw=1_VEt=1?`KQS9;G|<VuFo_tonP)SpUd>~l^4P3 z^Im<r@-%3j_g+2L-63n<1m#a_`YduMXtVc4J=OG;mfja5SDgzgo3<)e>s)Z5|4H4W zKd;;gF7dam<T@2x>b+I(Y1&Ft?}d6t(^g(t8Ztd9wySidnZIjg*VmP1{!1%sxxTH8 z*uR`@`=Yy9Vc%Ao`yZ@)^<Gn_$v?G{t9RP+FyHxBwOPEgmj}%csy$V>+QR>=-P4(o z+bgcjUAioX^|{8?6B<`ygKfQ^>bAO;uRON+m(JBxO_!rfAN4hDzB+qt%J!nop>M)A zMDNx6x<lki*t+Pg%k)fNK0O^YEqd$CuF}<4*M>+=x3clN#``*KrTf9WqiLFlmkRYi z+AR7iaQ>q8(Q9wMD$%~Y_DaswwAGi^dTe*ve0Anpw|*<<T~lYSb?Hyq9Qq=t!hLEU zYt@w(Ve_M>-aJ*TZ4}*mb5*gnVRT{M)}5gecG|VxKXX~rw9l?JntrLedAEqDb#&rA zJyp%86{n(iUws-jExPvRsv>Ru=)gSFomb?iEM?k0>5f*>N)7Luyn3bEMW2NAM_cAy zO<jF#t;F`IJ6?sWkE|8gZguBW!RkY6cjRnM)z*zpz1dZutrN|gr@ABbL0Cid-`uC$ zL**qe%)ORVy3|MHVOZF<RYf9?!fK-5<}NJ{e&Ls~ZBf!xamz}P2Yzuz2j7UKt=60o zRxzW5Z|#yhM{c+5N?*Nu?VD{~n?mpU?v8f6`Re3+(YwCW0xP<r&(`KWeX>k1`efwM zT-NPZZ-?cDe~mj@ef3>v?Yc{UA8)D<oxi$h{h_^C=R>R3ohlZcxB6X7Y4y~%p<Bam z?p$>)v}E0^;;D01XRM#KSL<A8!MdvAsc%B_)^+XW`nKx&>X`CNyH}NJ+@AY`>-3v1 zJ1@t*2+eKVRVuZ&>+7meyJd?)S6TPo<UAFy*Zu0<E4wG(4P9v+xN>io@4UJ1+D_f& z^glm$b-?<l;;S!1mxZs~sdYAVY52sr)@Q4X!+V<=C+ZfgF)E(=B6MMRW8Bxu(3z`k z)+-fXow3?#y-=~}^U&Ghtvk8Sgw6_2jccvE`ZRP}c&+5Av!PSND|dE%T6J=@%KEN7 zT%T4QUoEr#RZ-~U(4KJJxTO`Lk3u`bW#dvSLLY|4th-e-b=vApF|HM&(^j*s7uuus zLF>Tkf9pQ&UiE&Jc6j8@Ri{F0!r#U&Ef<}-`qR2uMWXitTAUMidYuX_55E~J`gGN< z)eB<2KGE8>`q{doqN#U63&Rh_el44NJ7jP0N58Gsq4O91T6Sq}*ZGj0!4Lhmrfb;- zKLyo}<!!r+uD%V~=FICCYCYvaK%+CGUuya)tKf?>v(AMSwcV2L%GcPtGGqCyxmxE! z3YJwFPkj@T*OoO$t8B&5l?m-ZGFpWiM;7ueubO+Rbk((mJndRCU8e*3gSXD?DqVGT z;T4{#X<AnoUhtV}B|3X$!15^Lt1m;A1+Sc`bv9&a@I*dSOVL>iclng2t-84KjE}0- zlqUfbogMkMrmniM(xJU*&a2{8M$WA>PZet!1^3QeRjg$gT<EveGIYku13pVFL!X7r z2#)njwVX0zp~doFMxjpwW;y5jJx$d*weWzCspZrsArpfg{k~dEeH;?IENf0z;i{u6 zMcO~j(JEYF6s+i1YBBX;NNaH6%&gNPQOiyli9QU8SSB^ct6<fEl?=;&%|4aCYTwFr zKB=jzw1PkSZZ)5JFC=8yso7blLMnniXTHkQ+P!j-PpG-*l$G;*T+OfE3GrJtX|`6L z){d3;mK9a6`mT9zdD;9|pQpSFu9<hLmh1aUTmP4pTIYi+=I#1?<!$gb|EqSX&sSRc zU#!eJ7hE)NQEk?@l@_4V>}>ER|DBau-!yM5kDFgqy9!k9ZM56^Ys#zOmHrzmxxTJ6 z^`C2(`fJLp<(F(ie~G*d&X{KfDtXi93Ds(S(Y&zSZoby%DbIsb=1F}Hc^;fJ?@>+G z=amNjjg?-XSDsmJFn?FgsZT5Q{X^}ZKGi(6Ty6fO8m&*7Czi|2FREE}I=I*0&~EFG zDUX6X{T1y>e@uB8-0ELgnRPliYTl_&A`gQj=1J9feOP&5Im7&4)u-OC+_!w4P3qH? zTK*qxw|<{;FF0i0sp_m#!4>|Vm9O4u?q0sgCiJ_=l;!hmT)$tr6YMu{Qnl7Q%^l0{ z%`1vtRjzq&Y1#Bwo2R@Bs+o2wmaBZFt@q1Ft@A+@({^pX@-}Fj_f@^r^p#fL7bCOI z1r<$O6q{AH(!%>-<g2qmo4j{MYL#i;SQ<CID0WrpN;B_`dRuo*c@?zMdt)S5=}J@Y zxq7L)rp#J;Nhfrd$jhLNX;z!Bya-C0CKRhxqIqGd-E^(ZQ=SK<Oq1Fi@;oSM+M}4P z;*|#8jgel(E6*%7n7%9KRMAR(?@+y`shX#js!g91qgAANVyWEpqL@{ugL=IU^|tPq z@+hd&TT!oc$CQUbt=@%^S*L@drk&a(@-Qf3npBKe!O8<m8K(b=K9#?6-_mtDsi`Zq zyg%x0-9F`BP{_1X(OIX0D!e@-U*&1;Ub;vpbi2rurSo)Lw_mvv<Tq_nv{s(xj-~ge z6<M$Pu5oX1S^ulzDenSn`cBz$eP3bg{?bzGd|*Z2uHq|i1Gl+fl}mlT!pi-kW!AaC zqP|78S>IMzxF589bvAI5`%X)(ZyGli$MqN4uKK#d%zdNW)~YG50#~|kwB-7_!qk1P zTx!*nS&J{pgjR{X49w`WD!%d}Fs)C>R_lw#g~fLLTE$bI2d4B%6^A?zOzL}Nll6Ip zfqSE+*XI>y78~^MvN`o>g}!^J+|#ETrxvUAPqNYaq;X=gTz`?xs?)yn+)d?<YE)f$ z6xiji`i`Yi<WXR|yQExbg~;^9+hmqLU7_PH_)cW!<R^g<eNuaDyb9C}iu<^1xIQd1 zm^0Tp_nGF>ySA^+mz)i(bN?z^`cy;P{G(jxQ;mI#zx7?(-Dz?*FeL9#jE&a&6`B*L zyFYweBB7ePT-*JwZ0dI5DT^1$gl@kadp|Isuc}DoZeX#yrKQ)q6+0F`D08xTm8*Vz zc3gSUZB4H!xBV75f8{%BedS$1tyTLa*{<_`3$3TFO1%8EQ2o-vqV_|wS?2?)+D;jZ z%v<=5r*wDly?|}WHswsRtI9O2ov%uEoeL;#n`A8VHej>!(aJRq$|7?X-s4fVo-%u3 zQhSr^t5S{Y3!~bzj744ttae_>H`PjH_QHVnDB~+H1C}|jl+-#Ku+(`XUu)V5W9MGJ z)HIEY3!U1TWM37pFmkTsb4^=e=v>ODnx=7Xp;<eZvB->tChezWxQbVtUZ~Ul%P8b& zKwR4*nXDp>lM9vFn`B-UY8+p<i|49^$m4)+XHCAT79x)V+MOl&LM=q5FWknnG<AiJ zvmjq<>WYI4S=zZ|xC&P6U-+l(mGrAq0by;cj707SR6D=qbxmEdXW=rQR`V%S7QSoS zB&~HSpw!t>^3}<J66dqLPg68@E_~G1B>gH^WBdH7`bU2=zc075fBEmo^W}Hu7u4VS zJbB*yPk&mTFSoY8`!D5p@LT_~x>cWr=gxojN9DQtt@&y7U7v;L%)j?X<@e;-^ONeE zKm#b(=SS6NeHMQ0zuJD~zbU_jXU`9)kNSN1rT;Sfl|MDl`Y*Mg_^;*Ja%216e<{z@ zFV1(WXZrgRG^kSf&*j;2L;KQyD$mr<%{Qy(`Yb$SzDfP5Kb)VJpPsK%|LarmQ~$WS zMSn6ssh^y$RNwUH<wy17^LPEZ@<aHsf49BnzbQY2ANjZ2Oa2S_Av}Hlwm(arF4wUa z{MYhy`N8=t^;~~AKP=xr|4-ek-!D)3ht;k6Bz)h$+WzHVm#543%wP7W<@@9*^WW8N z`mK4&ztrCG=gX7+CH80kK6#?PbN-{crr$5$s&AiLRsQI1X8CeE>zD72q%XfSx1jvi z=E?KsetOfAzTDdS?z@!T!EgP_%2sU_o;&y18<lkRTXWOOyEY5YnS1Yz%I?Xt=O&dm z-F;cAetm9KdDdp(*M6(5SH7FFOL+F&fbyu#mtXoVvtD^q^Q_-e>xu7L(v}-r_r6O> zQ@=RZshsKV%i`rm)|KyE(v}-qm%dX;Q$IJ?tek7J@Qk@8<)`j&7B4?NSEu~frr@W3 zab=6{WEQEPoU2scbmwKE`tiBD-dx!s{MfJCTJznM9m0?N+N~wuh3pWXK6l%jC8^7G ztOeh-q%J==m!+KR4rjsg{d516y}JGKlwVlcs!hW8{i>~BzI91mzGv>TH!a&IPnr9! zY}0McQ+}n^jyGSP^eeGG`}RqS`p&tJ%9?J!%vIk$yDI<D-pudI?95-@JMw(lo!JHX zw~8mvoBiod%kyQ{=6CO<R0qHHEz4U~EIfDivpXu!)o#sB%kL@{o-_O29hK_Ivu7ve zH|>4-RqguhsQj#A;n%*a%~#%=QYAclc0hhq@#UAk%gk5q)I96E)O_N-mS@Y1&3o^q zJX5<k+bN%E@5|52jLa+Vxjb8DXkL0x<(b;K*=G4%#lkaYo8+I`!})pH>DfB@zlwsN z`o`rg+LQT7?c{8w{H8rGKdK#{z3a}E3gO4T-R7G2rc?+&@@+Slycbd-Jbm`I946hI z(?`R_qpr^g4{Kbs=$f9%BBjMf`UYDJ*RNTqv}l8xu6gRt&JSuj=Dhb*DuN&QHkkjt z`=mVhzHfNmrlR1fvw!5>+U@yXP0Rf0U6ZG3duA`WQ&K+pu5V!8s@<HYeEstl?aq9s zrfz=nu1LA?9p8NOoxTgpx%LF#nCmoO^MzmId6A;!4c4u;!555MZGsONw^|49nA`ML zBx`v>I#=m3hjgyuWeVwBTY_iIb(*7jVCJFOnkW1Yo)t-2&S2eoU2})u!cwl>We2QU zuWGLFQ!M4mS=M0HY8q@Yx9O$G6(7a3B3{cKtXNHgEsR?)YR;J1^g_gGIfGT}dCe&^ zo1TlD@JT!)Vzpc$jcZQujX6#;G#h3%Jrgln-eB2!S~J4$;b{@A<quN1rUcKJ)AU3{ zX*q*s>v2sBzlEnoq?RABXg#W_;ip*0)e&qlr|F>x*YX3YT+z!Gq;f?rbFg6536_}C z^gx7ZIfF&(e$5}g3-h_cmnEcfg)Tc_-nv)wg>U02kx$DEQn@OECm6Nv*1X}XcuM5e zGKN$x|78y5tvfX>W;Wdsd9;kdymh<g4%>y_IrsSAsCAmJ{=%;Dyl~O{hTko={ue&C z*!Um#++yv&qqga-aMt{U=bWYU9G-I)&r^8Lxy64*t<xO!1C@tntDmqtcvd)RKEv;p z>*_n~7JlW-op<0@%T@Iic8Xs)bLKVtYBBY<sBL;Fe8oobtgzR7hhHov{uZBGE~?L{ zY<eN=G@s#D%X#%Fl}*ouPuL`$5w@DI@Qibg|BV`_8R`v{P0xgl<~RIoIjtUH_wcl^ z*8B%gIj8u~sA+m4tTdnDXUlPQ3%iA<g{9^n_|bAyUBgcCBWH)dMNQK~VXpZHo^nRd zTkw=Ka-PEv79D?ynx+TBO!FCjwCq>^VY~1>XZXB?r<|el4t#IftNy~a@s#kVc?M58 zEBq&XYT2!R!&dQ>@T++YPdWYPIec%~scuo(bVvBnJcjQr+tqjIE-dHV<9#F6X};<U zy~guGMbjI0x7d1L*xX{{ePDBowfBzLrnf>_(-YD;OQ$)ca~4liNax(*JtNj>j_QHP zL$g&+=p8&Olr)`TcguCv9eN8(Idi8S*wu1Xb%mZ{DQC{KhFvYD-WIV<FNLn?D4rGa zn(nZR#l+iUbIV258Iesdgq)@`>}ol$Iwi8{xzGun#4|!x(-qP<=Xl?Uahjpp5ZUxh z$Y^@Q&X&`v5qb|#3u#S%kjgp5dqzyt6CtJP3_Dwnt6JzSJS`+O{lJcvqpBKuiiMmV z-WD-U4~4j<A4uhlp0*&BGjf{44i+76iI}DbLQK;ccC_qQ{h_-spEG<~LMmtIv;*5) z_Nu<nZ9FCPX_`SQXNC8KO)a}sZ|EwX5_&a_A(hjAn#1;%ovId*O?QMIO=H;JvR!qD z?85IHd)#l>I?Y#pA=h|bu&BSGy2aN0LUD_Y`+?#XYxf<tO>YIW`V*dWl=eA1=P2$| zc+RoKeTJ>m9OVO+hh{6EkUMx*FsYxRy5+j^4!MP2Idc0BRJB}HULmLWl_RIGp{m8y z-NLr%rQj7A#j}E5{SH+uChiu+Ef<w%ST?;7bn0iQYB{ew#j@$S;0c+;GlEwA3ePy^ zxZkjGnxWia+4M}%sK24I<+O5y+{4p?TKx~6a!hfbVbk<PP^q7xvgNq4h1|l^f>Qkl zDq4;zYse{n<mhm>uxWZI$kl(~DMxhQf~OpjeGU~YI_?rSO%DW_`WY%(_ACF8UHG0O zyf5J?M`+)H@|L~IFJv1}34ZD`c*;@XKB1^(xAF~H#Z!W>`WT*a`1d)Kx9n85uxz>` z_^6Meyk)!c4&H_39DAH^$U4nee8JavUZAMG!MerP`GRqajq?HH7Hj7nvQ2LVvf2~U zIZE3c(m9IT6w*1iIM0xEnxl9?^3ZI>6MP5H3M92NShrkP+`+f7lq0w8fK|&?#T9&t zr5rhJ4OT6t&K9ywF9ojfD4rGYYIm?=F>$sqZn>y9L$c{bY({*H=8g-;_H63vVtZ5B zn%nEhA<Ohaz^*+Yjbom(f^mzX^9z}#8HxpbiNzc>vwwI6hP0T5skNm&T+!h?&A^$# znB}Zu3Lj%J$8_fnOM;%UwlN->t{B31@U(zNyMZOkNktbv#v+b>=K>k0>53+NjfEWD z&H*w`j|2qT3oKX;D~iNDV{O~ENIQE)1~=1m#T?0|X^JV5Ob-P%@FW&+G&ys~Fg+0X z*Y?1?WuIb<WYbi|5J{%{0^iyen6qd(pO8K@MezZz<0-KnK0TES*S<KVq$N~6!Lnty z;tgKKQv$Er7*aX>6)x~5=5hGdEwE(Ssd$8!F^?nPx!^bB3;wG54d0vn_sX06YxvH- z+y2F8=DYGa{~FHo>(zhw(R@e#!M}v_{9pbkoag`W=fOGty!wRS&9~$^{wYlO#6B^q z&fzJ0t^JNq%;)59tYki3@*8ws^uw8&=Y6WuZcVlpo<FlB{ncUX;P?E?{v`Byp7SYA zTa=u>?AFYT^i6X+P0spcr8OB}e(kft_~@x~UyhZinHX;jj5WUe%4db~#%<@GSY4hu z^T?SLi^)r$`=qA*n!~AIqGoJtc`n2v__<H1v1?&<XZbSg-7}A!DfxNnozKjKG%n-I z&wS>1@74c0le2i)shRuEs8~(D<5M4(HjByP@<X2~F>3KzpD#W4UZCH)PvzI7=iX^? zNuMvxnf_u=$a7U|{j2*@ehWSKo~z$m*?Go0DNd^P<r(jcIHlT^UzVC|*WbDC%denU z-gyb}hdu{^j(6VjT=mZMqWG>_&#$VM`X4J_p7Y*iePVMuds*3p)w`ST-kW~sp3IlX zU58Iscb?+=ux00F=4;dC;ulqG7P9Z?>YWz<LuN@~g0*)0Iqf;Ja|CNw>YY33U2H8; z>zN;LRx|6BcTawTr0iakvaW}FzSKB8XD_Z(c+S4Xe#T$NIr0a79-J+I;@^R@{7Ll; zznibi@A$XiD|>F;fnUv6<yZVu_{yGB*YK;^)ZXH6<4gW4e-zI0d(}JqVm7h2_}qMv zJ*`gRSMvq=hJOz}v!~WQ_{nT!FY&qgoP5Vm#^?NI^#ae>6Y3WHY(68O@bAGV_G$J% zJ~f|`ulULMlwYl0;b-#+d53=wKC<`OzxdRAOy1yM!bkQldyhYktIr42y1g>KVZ;1Y zGJ9U(FP_WkD=OV)rBAVI{vw$%uke}V;`0Wdc`l~6*fD>RoPS>9Gtc?-36;lYq?gz^ zpE0(Wzwu{}!Fh(yJZIBW?3h1GPCvh)=GgS~5WB;tjWy;Q{^U8C?qbLMNwWWZL5<t= zbQ8PgkCNTz18UqJ84JuW{K0cLUBs^WwDC3@=hMcUY??nvM$9w(!E-R3#g6%dWc~RM z)o%BV*V!CCWxUF!`MqTI`3u!-Q`4W=CZ96?Fi-KRWcm3G)oxSLuh=r5GM;DC{7$m? ze8DH4o#{tFYpL?j7eu?gH>#Mvad(g1=?k%J^HZPbF_%kLPg|HSSvjpSU9x;y;clMW zsaNz4pEG);(|pe8nU3>0qm1c>yL&87H*D@PKRqF~ZFcGrJ?1jW^`{GB-Ci5r(rGT0 zTzxtq*6o$i4ISoE$?R!`yLc|Au84G-l{!VQxkNH!T49>x;?oA3c`l~5=rNZ_&OfcO zndf}!gvetvQcLul&lp)u-?+2K;55T#p0lYbdd$U=(@$@RIW|2tMDOrvBaP{XJ9$o~ zy67<%N%o&Eh;f^qYNFR%DA|2FAja*Hk-+rA9Xy9qMf93a8*S5ZK5eu~r@25fVw&L& zo`b0@ddvlq^`}2XyWKZhr*rs}(JGzhe97w57oypwrasY4K4tV_nqsPC`RNVOZc|dP z=rW%&ny1s8Cs};DU=z>I)FZmgd6N033#{GV8&>phtnRTpe!-S)e)1DJ=I;{KeG8vU zRQ5GKmniQmtme6$d`0f?Im1^n&F2iC$vB@g%;-0)?y)%DP~2mFe1dJ;?BpYI%-<x| zA1|<Vdu@12runPH>f-^nZm$e)$S{AE$nGnw;<=o>!qRP4@)Wt|FA^Djh0i1wA2%rG zxtQD{$NWWN{&9_Bp7Y5QERW4dE|GISV`$O8v9ibDI72bd+2j;C=Fbw-k8iL!Ha$5+ z?(k_tjef&Qo|DNga?GD3`i~dbxJ^$sk!$`a(S1C?#_f@zK!0Hc&*5Ydx#rV`+hm+i z8*Y+m{vZ+2XIQ~=FquV;`GZ9L@ekH+_YK#{96n{ZN~Za}MD_6t)@)OgpU5VkGW^h| z_*A0&_y%jYDalu4nNJzclWBe@QGC3hh-YW=5zq>>{Nn}EZto2$+BaJF*d4wg%Qipp z2_JL0M0MN3bcxEg#&n7DwnA&3+lg2B4xcl4#nXJw;2DqeIfIOLL+c)k!wtqg=7%T9 zw#`mF!pB@DvHoy@tlMjYTRhFB5~~jf$hy5UxWU6*Dv{k*XvK3mafPJYti&mN%_R~U zZG~wPiw_$Z^IS}9;bSh5n15KqnCE=r1j%DF5=;1;&lp&=Z?x<&ILu(ob2c%BkGWW4 z`r!>S$EGKS@EtyFpwVt<$#XK%g^#&NqW^G#jN9}?6Tap`iSEMzGH#Cy1lkKNcn&9u z@HL+{*v8|0+F%n;bAd!eo1q2I!9*55<^qZO!ylyG?i;M*Ief}s6;E@%MD^hd(ri-` zpYSH1GWgJ@m?}|zc!RXtl*B8%%%=?I@iga26dx`y;@O#agqJx_BL8r~Z`b$w74;i` zciZj1@RxOd{F8r7-^Huz7CslRtZRHOUS3!DoBMYBm4AoM>A(8ZbWZ=-AE$Hr8TE$0 zyDj!NeC{^iKjCld?D!-9n7)ax-(T?8^|k)3KTTi7SMLw_>-tLn#vi7y;@NeDzql{Q zulVUYD}KtqrZ3_db%oEw7w<Rt%zZJw<sZ`*@%j5TK69UspYZeOjQEm&PG|Hj>No!E zHrUVbnfq*f%0H&h;?wtU_;Yl6e8|5;r}Z`J4S#Z<jCc9R^hvybf59Ku>G3B2nm&qm z?+^In`bb}(zVHY4;dqgMO{ev@{c$?2zv)lY2l0qH!ynuS<5~VOeGsqT|KYdmef@QR z4xQ3p^{45*c=i4ZzgefoKlz(<O8-Nh;#2YR{TqI}PKm$rm+6%LygyCv#EbVAeB$1D ze4o#P+@&X&ev2(UT3R}BBS(Nr$+q8-`ZDr13GvCn`j6@uzjtqs-%)$*x8(cu-SfZx z^!aXVH~(o}+wYt6&VQ+y_T1Q}xwf~}f_d{?!?Z%Z?zu~+E}nWw`}Lc1>D%YutPA@+ zbME<9HEz#0Th2fEv*+99o97?pxJ9RLzI8UQ(ERmg$@yoWteJYK`wh>z^nAO|Q~sVg zo4#WH#+85Gd^J9M{!GnJoo~h`&#Rd?f0lfcK6id(UD?l>+E3H#=O_N``LJ0h+D`kA z&&SP2jb^{iJN7&Bb$YH{a_*lqA2w?j%rE_!^Tk***zWZ2o>S>n^FP)edupsbf9_A7 zv*}CbPpzBw%-D4P+MjDqrPp=LSFL;Y<L2Vq>E-kH){1?fdFM`g$^4tOVmoiXN!v2} z<jTD{X6Mo>%}(F#IiI?5_Sd|&bmOoK=bh|@|E|g3eD&ISJM-$hJY~k$&)&*WQ{50S z@m=ZGvn%Fto6nwl^Nnzc@wtdAXXm|9x|%1xqvqF!n{Rqvre(1l&vDx^^Kn|5ne*oE z+h;w@wRtz+oPKsgj$GF&zniUZ)AB+R%#}BDM_O#2?Rv9S#%gxuyC&1~^X{B|5M{pm zHtXB8fF~^FfvMv2lHa{}cH&LamM>nCI}RN_6032`HS!MYGd-zt!IwW$#CxVpoi<_j zjoYrv(q_cwBu%`-`Y?6pwAnY~+Nx*HJN@iI=jNH~=2}-8xSQYJJagXZ6zA_#w5Fxr z3ftCqvdHbjX|bRc3Xh$%142qFzx|r|I(_Z@tv`FdZoYax#NPXF4=A$M{(SSr`11KH zHPfDLzI5Kh-ud&*ndjZ?jX%$Pk-l(#W8Jr(ku%TR*eid&Ipe&Qz3^wr=jpTOxBlcg zlRj&HYF*pUn@`iH&9D8r=997h{J=W1pEIANPn_>q_wC2b$LX<l*?)RIZa#Wm#NPW4 z&&SP&&-2)8|LHlM9&LB|Q{=Ss9QKDl-F%SVG@rGO?Z?gg>2>qJ)|P>yIrL}G`^|gL zf3aKqDe_)=u-)O`KBv;lL2>$Y^RDv?YQ8-&-gW+&UGb-xchU>zAFTcMZRYK?y|X{& zZQC6=|7?l*<IR!p(ss;#d(-E9TBX_H%{S+s%`@M8cTJhG_3W!Rd(Nd5n@!#<`8I9y z?4vh%&ZXs>O}=~PY}&@z2lKw|n)y0y?d+{LdrCK7JsV=~eYfXq+KSn0Z@wupzI^sd z&a|}6m(F^aJ8!-@^Q@b>@#dK?(iYBc%=@-8a^_hZbLGu9XPmV%7v3!SJZ<*u)|)(M z(q_$0&1>6v^J&_&*|j&<6dCK!4$L##IrB-{#MzE{-#}?7)-3x@PvPdHXGP4t@9-3E zK75wPT>DPX>9lCG%bOyno#ik;yy@nHw5Hjtd2Bmw-cPHW{WZ5N)mVFW=*^z|&3n&& zF<ZPT@?Ki7+2Pwhr_#!2-^>+D-Ms7Uf}C$D#=FiwGb`RS^G;gf?1Q=Aw#~e)S5<y+ zclX`cFE?A?>HT=4R4%?__l?cmw!2Sk=C;|rV{`ZI*c<N_m5G;?1*VIalo_Ur7nM2g z?!Fm&<R<H!*gZE}-{{?Wqf{ooVRyyd*4KJb<rmY$*X)kC+xki`sQh4>_=?>To4YT^ z&Uoi^R`1fAMJ3`(b~|kDHr_39w{>Q0$2+AG@ddko+;N>5Tk)>xjNY*~i;BgQ$`0=A zJ{#L|lXXUH#yh2A@fo{!+-ZHPx9`oOBJsE~$DQ3LV?Ev}6^T#SU2&)NvEHsXiwebi zc1PT4eWWK;-ngUtaID0;MFrw9WgAn)qsk_xinr`Ou&MiCEXO;g0`Z33KW?|)*ZWmg zm?|Dt7MLntv-`&F)_Zy@-YDgZ2bVQ&=hoc4<96#^y+v;p<%tKBeM}KA**)WS>*UxI zZ<X@I3wBrBZoQqlclyV;ZPk(UPnYOFE{=Scx?}p=oj&JNEA<W+-<*3oPk-~?HQ$V^ zr(fOKb1t=5Z*sBZ+tkg|kM86-mzu9PdGDFCsT-#sjQdtK^L6Ul>05X9eBE^Qbcnw9 z-k!6mE2gjA`R0q!<<nPUrajwq>9mKwbMeiYr``08i)X$_T{yil?ptN#%+ogd%EdQl zoVL;zE|z?rI(vHSPM$NVv!<uUwN>7HnmTQI?anoyjP$1m#+g;le3CkGx?|k8ikXj7 zWA(E4^nBcO^t6b+_a2^)n+~7m(bwM7b2>Fz?{ZP(w9_2=hl_4LNNuX`U7GOmX=+Hw zk!7n_ywEtClBKtJ_L~x3X`{<_i&LLWxw<v%&`aOBYc`i`GMhMULY0`{W)`)3UngCh zd2w!z-Zw+@{=1BMcGFgLw0_)ZA7*bC@Z#Q)s><U}o{25qd}FTV%JoG~!rHoqriTm4 z{F+{|R<-8#3TphiHtl9?nfte=n=~e`G~9dU?Iw#|r(dagA6|YwWyj*e4_~Go+R2k= zbno=MJ2T&u_`cGbdGeTpo!_;mmkK8qUh+<Qm=eXI{&>>TQz>D;=H2mSOWm~d<cpl@ z($^)GYd-P3P2QYrb<{@e*NivGtNVMqnoj+6a-VcMd0qcrJGS39?k4B)B#X*xo7Cn^ zG(7z>c~!rn-LhvJ!wwz)_vwq=?ahyqm%caLcl^(<J+@)nCGyw3OAdS?7F~OW*Z)q^ z-hRu-obQG^kEXm|a;<Q3`o^0_Lt-D^U=)>g4PKRIbojErR^`IZ^e-%%4;|Td?3_;z zug0%?lP1pODKYZ%y?A<o&oS%B_o=1RPx@}Np7}gw@$|jEZIv@8pMIw{d#UlvxhHef zyNz#7Kk28QY&`Q-%F=4J?72SWo35WsQlETw?wKN^qbHTr7tdK!xas(52KCu<dI}1R zj-1@$v+e1o{U_JNJS&g9pBk>VyJ+Ur)2m{RmEXLVS~Wdzr_TFLn$sV~sy*Fk+`q80 z=gY=R$1mBW{f?M_yi9)e=ZLq-`Et8!bG~e}?tfURb3VCD&be0S>qfKwvz0yPk}LXa zD}Bx<_fK5VUudWHYsSpuGJ9>penw2+e_TRW+)(~>jn8L8gZ|daoZ!>R(|7bgwLA8F zqiz32JF}U)XS_>V*Kb*=b1JzcKyLNq*LOEZ%)N2+jm@`h604r2tcXvzANP3S^4P#Y z2L06X={IA=o^IN8dO^&$Cq}zYKhrBNnt3O+aQea6Z)G!Yr|g~l(Qlh|<ouH*>W_^h z-=*xB{C1|#`IJhv!^St~p3GC<Ja<i*k@e)OGkeaZ6st`(mVBGCdGgVjJm*sK)h5q9 zb2eq;<b!_StY*GWSvz^_%%0LsS5Jnhd(Z7To3di^+L>=kj4q$N;xjF6)1{Lh>dwYD zXP$IZH#VO6B4y#^M!#>Ckuy))s4E-aoN>}hUD#OidCKg`tuuMfq|BO}>eps@^J&Vo z$+a`r6dCDH4)im#ocSbW;$%m^Zx%Bjr^Kpd&*>@Lbo8W%y7wHO!cB)y@~CUi={cPe zt#;Wca@t7_^}|LtAEY!*X7yvUxOqRNZt_>(vQ#7O$)PiQ@;B{0`9*E9QRKapV70@u zeNLs6Prm6Zmbz)z$pt>&QjB(;e5O`xH1keM;pBt9-^^y-PTt%9(QX?kW=rHBe~x&U zyrcguDE2Dl4u8He_jsQC=Gryi46XaGf?~E<Zt`b|x5=CPk5=-WOU{>@Tzlqh^2Yvy zcHe%@c%8hqe`{sW*Ns<?hsb-^_MA;#(Z9Cx%@@PV$FJB-d$#e?aSwUt&o^cscat~% zJmW?3!v03PZ$Beu9=DNK{(NJ`aVvS@&l1m*XZN>O@|;PY)t_qD_VdQm<Z1o2m1{m3 z>h}lQnf;vcBza=LqusY3Gae_$%4OH|eB5~SxQM)W4bR7ohmZ5fYuEIgPL7tl{3&AE zaSr*zpKd%zZt7>XWBYOAesW#^SKG3uhT8q1l|An_?mhlRZt<sxd&$9ahpT-~C71W# zv=w{0ao6z$Hs78Y?mGTVuK3f8JIRIp2W`K7n{hj7Z}&&NZM!4pA1x7oygA}s(vI%8 zkv`{>D#Z?OzA^V`p7`e2HD!j@-B%-f&LtI#P2Mc=HfeMB(MX<iN%>-vW6zvT+Sq+i z@7t~!uankxZ;k9J-FWqAh`4ub&)K9E-D@M?lo(z<dPQei+Qv&qJ;a?i-<WySP270% zj2B4@yBqbs?Tnat)J9x+^Nkrtt;B^lOFU1S-Q60=b0%q4cdB07&KpmYrghgwt|>Cq z?+(;6+d1P&(!_2@y>B~aJWh%g%Z}+O+<5e;h`4tQPvOSHM|s4xV|q>}MT=eD6fx~6 zhxp-5Hy$K4b+hWR?YMD2sjmC0Zdt0Kc6VrGPyWWeN56<I-V|{!DOl`qw9l!e^6s0Z zoEl2IxK9fo_1)h0_N{uv5&>spEhR;<)Q!82F3|awVz}$*GqK`LGwvi6b|2LJwr$4k z#J%kw<+fEv%s*Vh|F}5fUE+@Rx0XKV6D#=+7vGqBIFEm`?V4`}*6mj<dq5jJCl^b+ zP2Ajm^yeW~;dsqmEnQt1bxREbSXgc>I+s|$S6w{gO=4c2V|B!v#0}dg>~(#ko4{Xf zd*-Xyn)a=7*Pa<%I~<ljv8wxO^oq8XMHBZNeWJ^k-+1GxSoD@Vk1iIz7ptk={$qD* z{5{<li(cG(c=ypevEV#K@o7~OvkwRGM;G6CnYgTdrKQf<#HH;M<=UQYFmCUaOM7N; z@vsv=v+bMD8;sg3<=mcaFl;a7+m>!{=U@(dbMcHB2gBICW!ID%Ts?S)N6q@i?1ORa z*~T+oC#-1R$j4?K@g`wu2Ai^N&*u$i4<C|YduDL<uo3@Zp4~QQK5fu%50!iN)Zo-% zHU7ymXU-&~^L5Lt`D}3Fpag%ljLw<FzV=efH6IO*9du)tF23<7v8!EGuFYb`(}bDr zvT|t_5;G5T@jn*Y_S8VPJylYtz~JCv4*tVMHy$KZ)W7}LY8@f6L9=w{u07TDPbJ^< za@|d+tZF{W`z*ykqyFo)$h9GVPZt^NKFq?;ZNu|n!~Vm6_+DGTIh7d3x4KB;eqwd| zOIf$48}=MtCev0vL*($`cYK?zbxtLgwmVwBIhk0}epdF`6N8<HAMrI?zj<r0J^IVN zrtf0Ax4$Unz8n2vr|UbNg6IeLlFsXXxubMm_rsk>=XCS(6RW##Mc=So<2pC`!p@^} zqEGB(eXE<6FZf)nAn)Tdu}#|xio0(_AGr7EtJu2j8GBu4M{l^7^i^!tc8|TRv!fT> zOFFCTm!DY0ZMxl~xcgG{gnLY9b<f>N`XZK=r&!f}A-dt-qt9Zgc@HbOjkZe^cb|*y z*va}_*DPP~nOH*J!piP5(Fyk+eG;3t{YO#vspyKGtWR~-@)aw)PeeQ1d-PGPZ~Kd) z?qksg_mVz}b#3?9!}>^<FF&!O`%rYj&ZE<!Gj_U8ix#-YbXu1!U$LV5Ks3X>NAJbz zw!heYbZT_OPS>f?AMPf-7pvO7ps0IKw9ih~d%8>RJbEWqw!NdMdsno>&ZCo~FWgOf zC+3%@Sl+!O`oP^sZ^iPOzw#ZkzVR-hmhG}^&-sL%%@0AT%eMKcB+vPTa<<*FXUaC* zI{1bsEZxAW`K+YRxr8mvXZgg^4J?}XO8S%;m^UBgW3#^TI$>S&R=#U#2G<UTu?NfQ zlp2^dFXY>nw&C(YANFA5h*<}{*p+2@&L*U@Nz0x&ld!0{m5<Hp#`A<!w$Cy>XA+Xx zUd#BLNtn}|%O{p*V9=b&H_cMwS;F+@NWO2W8%`bE!{cUo<4MA#W>-Eo%Nvgq`kG56 z*AyBYJ1E8;ETdCsaO5B#yRpog0t3C~TuGnP36X5dGCZdfnwvTK#4IEpB-A(m<h^Eo zW9q>*JYlH@`wo6%yDZ&vDxtF3SCS{+K(qNSZ<=|;l!LF>nvHHuKKO!dw)B~k2}R9E zdD+Zw+)l`=|N8If@9Xd4YyVyP+kHNMXZ^!}Tc7LO)<6BpeLlYY->$!>zpcNu|IMG! z=lWLlXMcL1i{Daz_Mhl;eT(|NKfS-{o7W%x$NKyF>-cr`TmM~srhjdJ*#Drv+F$j} z>KFdo`fUB>{XYMLK8MfR@AY5lFZbE_^nX%+PoIfjRNwlK_1E?1@u~kl{pmgvpZxFD zAMZ2qbLw;di9XXesL%X2^{4o=`04eL|Gqw5e`^1pKdwKoKZ&1I@A{AR=k>?&ef6b3 zSAWz$wqNXj&>!uO`bYNj{Wtov`h&h+eeO^1)A5o2l>Ts^j&H8#{3rTD{6Tzu{m;Kw zzh9raf6brJr~3Q$fBSdoclW9I%6i|Q-0$@@>+k+e{T@DL|EqsZpRP~d|Ki`Q-=|N; z7u6sA%liHL?fAUSFXpno*C|WCm@c+!^8@4VJCP6WsV;b7{rvTOPrbIy6}Ep?{we&_ zA9LF`O{nZ-(s`XPXOzzCd^q#yoK9YPqILJJ$Qv_V=SE(bd2~+XiJ7c#b<)xW)5QwX zKBkFn+FY>tdQ$t}(r$I1hihg=9684nc0^gtu=~32oQKP|Kl^n=!*63(_#M-3?=y!= z#nx`lFy_7%x#pZxso2WR3C7%4BG=43`clUyePNndW?G;X_oc`MGmp-U>^XPnjE+mX z;IA7x4(T5)yN%r9S=1vAx--j`G_dM?xe%Md($(-wr)Q&w;er>s>xB|yQne5HOqtm` z*TGp!>4E4r)3hr;pKhA;>0;m{*5v61=OZV~JUSz?<ebwP9gFmhmfZ%M8H~BlMy8x& zDi)i*dBdEe(<4L99XhR}k#1<oeKOMJ98-~4|K@@@uG1q;&NUT^b#D%s<N8QPAidCn z`*5Vlxu(-P+hmR@6%^<+)K}M4R+Sg~zdCf{*oh0r_W3M`ebU@IEiz>$>qDIlXOarU znl^LHVSS+UFYTdu_rA!OnXOYJLuRtx*ZG#V(41Rq^NHC<r$jzD>vT%zU0R}fx5nlb zvstG^+RS9VtMe@FVTxGM=8oB{cXaNhJuI6Z5Gc6*-rkDux9+Y!AGfRe<4x^y{X2WV zy;+*R-lqED&DZDRwpZVL7rJ};+`X^fxTdeStUh_OyKMc<y^r3ormr`zKKbrx+WH%N z6TVm7Jzcu~+TL4lj_$htDsENv(sxJG^snr__NH{#^p|m2-@G=5zl>W_z3}GiGjWTn zXWsNKS$|=#!}nizR-cKRS3U7&_L;c3)txuHi`Spsd*}^on*Q0nM&A$Jd0nJ`dhfnB zp*yEf->dO`)*bJn^(Xc!e6PB5x^TT-wduQ~JFY*9>#A0L*Sh2S!??C;(RW8v*Xvei z-qb!F*HWE$GrK_l;9jQhOm|-A>+j$D>)WnP)2HrT_2%gI>-XZSssnFozvtH67sRk| z)(@}51sshxZ*VSFQsEZ8aN<xvPiAgzp`eDAK-m-4)b+bweyb8<FTeh7-tLsbk4I+C zW$s@3UeDgaWNFs937UsF(~36<T{5_seQM>)xQc4eovV9a$CZ~a6cuGW`f<~3?Nf23 z)s8n`pNuQ1KKu4*ivG^MkG?hCex0koeRtLSM|-osud^$EdGF}+b$50byuVdEectX* zcUqsXvo61TFSR=SZEV@QRmI|ScR#zM`dshU?zHz^#o}{z-@Bt)J$?4>r1wpGUw_rR zzB}rDR<Zc&*wy7L?@g@|pS?TaeN^%Fm$A#rSMJn48@sf8;=R^q>x|2L@1;J|ySUrw zJ=5OTpVt|cSKf1dw$8A;^q%T7y>q+G-gALQJxt!8+Qa>M-Ra#r?|&7AKaGuhw`foH zC%u!qmEJe)dHqrE`0ibIu2zUYj_oejyf?K%{84Osx#Ydj3i0W?x7}I#be&GQ;JwzT z>kjT_dC#?n`@_2ZyZ^j<Regs0MQ`W2HTi*o*Qf4Yb0_qv-oD-6-d)<=eJW1%P=J7_ zqenthj*pLa>+~iA6J}SAU7y~~DiXgJ8}x41?$htqX_Q~R%ldSkdilk>rsdNo?|$;G zYd811b=!B}iM#ll>t6VqeT&X(m&6}@F0a4-#M&CxciP|fIGxx2w1?@u_PafgzKL#I zzoNEvZupf-*16$lDqH7-U)Y!QO|&qM@wsSzoZ@dT^YtCItZ%gA;vfF%x)#2rlJ&KA zSp33YU01?4RI<L(zP9Jl7tv+w13q_|tk<YzeW`t6&!aQiZt;oFL>H~+sAYYjZ5JQ- zOmyCQh0k4v>tEEg&Im7%V&Y0Vxk&ZXr^&*b3%Va^o5c%06HSO)__OOwc*4F%pG2pv z|M98oRCq-t>r-vDc*UPxC&C@}J^CoxxBkVau4CZ_`;tD2cCGiQVSS{{7oYf}>ri+> z<<V*38I`Wn!Ugs*oz`ZHSNzd+Ae>>}qxYh9>t9qKof;ld={hz1!``I#qE+h`eCpa0 z?o-KnPkYIpNAE<-)^~jB+7<3ld3194g}q7dME&9vzjy5jKd|@FThYALFJf8WYn6px zOc&j?`ayBTx~@B+57s4}*ZQ(X>Acp5HIL3|<%w6n5o<sD=p~QTJ%e`ZHxK!nZyZq! zOWfUcEA&RB>)g-_kw@o*o`__9tCbcmm@Zln_AyO#)9QlFT{l7xtb0@{x^8twtn2L1 z4eOFhMOUr%h-IA}x?o+>SuMZt#9dsbt35V%T?(DBj_It{xiv{8qG@4@ySgrfHmrM8 zESehja3`11YKhHV=R!LoS)XgFXo3cE`~@Z3Hc$4M8T_MG%4uCvv1no#W18r!)hA+D zpK0lZC+_UhU%euxb$V#Px}+k}Nvk_zSf6Ogg+JWUrMEgGhV`+QSop#nT}MI{);%f^ zZCkAo!#X`QC$e=~Xi6mOL#+*Kk_tqdR&&I#KG6CX_HcXGzR;M+)~TT(k*xQ%zJ)E^ z&ZV{bMD)=qp%2zNozi+2mbkr3WA%z?)+wPjk*s&Mo`pS35iMHX5zTr>>t5KyvaWl< zALb=}7pe7ISj}ZuzK}KHujL>1z*CRxdt&93|1Vr)ldX1m@4rVuKh7_BpjqVKSlwm2 z{6cY;&GG}qUDnHY*tWja%<@lsE>h~}_*|sePw~0PmgO^SUFQTJusk|D_{6+JXEl@j z8LPXl2k)4-=&MMs-@&S`tHCSgDSZ{m@oTK=GF@(A+xk-T${eM$nqK~nRa_>^EsDD? z2G6i;eWB^(&sf!UK6r{{>vPQ$bCS+zTKOwJ6PdI8hK=it;0DXqXPQR-jg?)egCpiW zI<2YY|M02El;tyQTAyet`7>5_9S^pcx9GH{l>fnsuA{*k^OQb{bS$^9X?>{4<$v(0 zNVMO=ry`Mljul)w%Oz}DA80c9Ggfr%5B@QC(R-0_zr?2^p?(L;yY>dZnA>zp^OK+9 zQ;~}06N<Wa2j7^hbV~D;ALCOIe?Q0auARXamaTU*ANetscWn>e(YvTzWY6Lovaa(3 zU-UJd*C=vtwC=K9e8ITOX7K^zF6+fRWLw{AWVt7%i<G)Kri&E2DW;2TG2VGH_x}+~ z%}<ZNoxg2gAT4>qQS8%%&NXF?ix}2yUfePH*cnBubbiOQV4i0h$13WSG?Z3tT6!vN z-I48FneKuspPi1GvG@a%=Fe-7G}P_~)vTUWsC#rP$JTo}Pjlb)GM&@7r&Cqe=Tsol zuvo)J+t}NLn@hLY^<3)rYwuzvW$%p4J{MKFCB<E^B5eNF4QoUzroD>_cl&7Gb#Uvv z9;Y&qJU2mWt{Z`S`VN(ftX-U8%ylhrO`lV#$jZeD##~qYAAI`hB7e{SOW&bfk^F48 z!m6fXk?O@Oq*+DXJx_U^_K)dfI;C#pzR<jDkMNAuc`4ccSAHIOocS_)#tA>8Sql~| z-j!P5|6y)NQS@)lojX4`%<S%uoqESVU}d+oPsyR*Z98tK3r<zqcVv-)t&6U>?DfDE zkuFoO?M!$c726`AcWtK||Hm{zzh_;sNAAR|&v%=cCbD#~f-LK-onHKn)g5<SEBYRt z(QtE5OcPnOsnA3J`K)3NpR+q%o*NmTp88B+?qUvER`C}acJ6^`BJ&n27<U;iej(F3 zBe0+^saPb@jWJDR*5VT~tj`*il=kVGE#6V1{Z;qs_6YaHpVMXq)=0WOjoy;)yD#)d z*sSdrdJdhA>0G=(=I9d*6?Z{Pt`mVaeTND~VxJv~$v&+i<$lnj%k}j3Z}%=$cb|$X zzBlXBwW-^G-TPGiI$xwKx-$Fey4Ky-=52k{qg1GSZmYxfUoorCM73nElw^GrwJdw? zx~*wzk8PE>9u?zVsC$01(eq8SU+3wm7yC*ceHguau|du1a*@`>4~)8Wi*3(|T26lw z-I=esZ>t5D?&1@l#2!bcoVz5$`Y>|t;scw+?rN}I-?i!5<gK52oIdDW++5-=Xu)-0 zE0g=j?PAk5|LQrEFH*aBhY^?d;uS_*`vTweI^~OmxJ@+g(p-E%x^+t6o!&!vB7ts! z=3E+!D~z~y1)k|WbW-Dqo1i(@j=(*=hu#YBo&VtPk$399YMj0c*Vs+`&3VWF&Ciy3 z{!f0g%=5qV^T=EE9Q(lEoYwPKeCD*8KjSl}<@^PIkGxTTRKs*m{a%gJH{m=x!QY%W z{P)xy`YOD3e#U3cYyNBMoW2ULoS*QS^NRnPpGRJ*``9mhCY)&(_>1$B|AL=KX8QNk z9Xg}#VlViM^MZd(-J&z<HujFsgp=(q{^T^A|KQJ&XX=M)n9iu1*iZb)dB#7c?$9UU zc)P%#oci+@{5kSOUBzDTC+7)&o4P|Eg=6h5{@^_3uT$srQMhxy!=ED$)p_g#e{kx} zzwwDvcm9b_oQM1qeja(C&SEe4gY$s@pV~w3g=^>U_{6C_f5j)xeg1E1o!$$F*iHQ2 zsX70^@0Kb4cWMv46ArWs{LZN{zv2_;F8?#Nhfb<Lu@n5xxx;@??V;Rt_jXo1zcqLD z`IueBA7^Tp>)qM;?ab2jbvDHhXTClcv%UD{xlrrrb9cTv<C?zCviM}=kvob7&lklW zd8+vBjHz|_oShHOd`%M!eKu+C>9a8#iw}0qe0{Y@@A}TD=UK+$uNCv2N7=f(i&@pa zVy<?no>}*bmKc^a3G?oX;*Kjj1D;12Uw;|1ta#;2?XxjUizl9IO<QN&Jz;kCl+$No z7PVhE@`UT`9PW~J=Ob$>D{DnXYsK}ad{jAX`b=PtxK2se!$%^F$G28?#Bz)7I8@O1 z@Y0i0HZJpBcZ50=D!JZhTEy0z91|Uz5v953f{2Kzz>`CBUKi<|-ns8gsO9wOJ2jrq zn&Vxx?!-=o=T&n~7p~JQHa&OL;`*bQu42`5trpiG#<UfSo;#YlPPaI7ruONWmg2;j z*#&wBcQQR^n)5neZ~x9;&vqG2pSp9^nWN^{@5NLV2hP;aU#D68@T_X;y4^e9JnJ$F zzZ(<qtjb9IZcK5p<xKCqbvt%Gcvdz0^xL)fwpZN0RlE9p^sfAmmD=BR?`;3JXX*2` zHu(=LU!RNKo_}*+=<jKBx4+us`h2Zr{>jSjZ)<OEf3%17`C9Y*llz`NTYF=B!u_h+ z(_hzK+kR`$(O=hIMX$<Vy6@;S-7DL#?J4~=?PYY<J+IGUFQb>_FRXlhCVFxH%u4Ss zYcFhfxc{qW^_l2-`4cO%&qUA7@2u?py!Pz&Lwi`C>7LzgbpKGz>rc9;x9{5%`g7X! z?Hc!I)p&nedt$r7{i>SNAJ^*To9;XM<JzO>u6)&ftv{|kjBd*p-FNiqTHXB2O6}9p zE%}L+*&lQdZfCmBRP*}1?*8q+?(O<CZR++_dyam;b}zarKd@5!{aVfZhkI3@uHC); z&AqNqVRxeg?p1vfyBl4cZ&~U6Ztafk5AIb}pUz!-Z)?T%Td}LpNA1e~7^z*ZduQvn zHA~ai+GIbBe0?rzd-lzBp}VKe-TG>cYx-Kt?30n*WovJ4eYA!(eXV)+$#qZD*525f zaJ?$_bm`h_TW_s7y6f7js8!iZ*BwpMy|VS%n$lg<UPfhI^V%HtGHOZo!pPTWq84Y* zjPx#9djVD$&dZ({nSCZ|Zgyv6ck$Y@TMw;aP18NQ)#&=6nAb(Rr?>7~6S{NS^sO4# zXT^9Itv#_-;d)if>B6;o*{17`?zr|Ssw-P{UF(i(52M<$Mb{loU8|d&8L53bswF!y zGP^+c;8v#VOfj$Xb@y-mb#2$CX;ZhZT61*!wR=%j*@2PT`D-<^AFfqRUAuehn`>R0 z!tO=|T&vn7b~max+cMHSZ|#n)53W^3pMJaM-sXz)w`^CRkKC31(Ng=n&YjKQ<}7`_ z#wPut<?C~i+tY8(3$30uck`<`uFuz4rk}Lz{<h}k=0|f_pRX}bKRNH|vo$w1C!DXc zJ^gjfwavHY9Id+cDsol&(s@Up>0H@-ZBA*`w3m@t=e&x;UPdlSUugOIOyuJ9nU>yP z)?C=^aQ>Ig>NAn^(kEJGpNX8C-f7wWdCl3)hvu+8(>c4@==>p@*PnDwZ{9a2v~t?? z%^K%t*?50ib7Hf?`6`>!AJ^!mo6b90aqUrLSGwxF){1KnBiqtN=N)~zMmIgvQu}md zOM0SZ_6MDVo0-lt*}Q(Qvw!oibGwSBP2Id|&e8H~_adv(11+`RuhC3@I9K)Qn%$e< zoa-tIyBisBuBu4vZe($~rKR_~H9IyxI9FwTI#+n_><4#`yi@y?<5VtOV>WR&=N;cS zH(TcUKDo&<&-c#FBX8Am%ma6GTF+jwnbT_ajLn>uvlrYw@<#1Z4%0ccdpS;J!g*$b zyE$+8?#Vk;D!g`f#%9iIzH9QFN`+U>PT0(O#dpokBQMo_%onB!XPO1>;=JU$;O3E; zzCC$|&ZxPV3-02);9HZo=!}|;xnr7evf0I*oQAU>+&S`0?NAQW88s90i90#Z_{QWN zDiV%23*5=6KYPKQBTv*+%msIHp76EFJ5(qfYj$x5=P_TMJf}k8&e;xkjyzQ3F%R6q zsW<z^CQjYiCpK{&@=dsT<bfKCx!?}Y1HON959JHj&fc+!Q+xJ`O`Q9D-{d;w3x}9Z z+}^1<`@rp%DZY1d59J95ngwp>)R<kdiF23lncPDs)t;CMZs*+LyC?V1TcN$vAM8Ey zPW4xe(|4g7y@}PFcf8;1Y?<f%WGBl!?>jq>yj9K753J_2p1z`((`x#RVouBH3-%s) zqxvX@>744l7^iPSd3u7?oHxAp#2xx7w03$%G3Pb!HE~W~g;q{aDCWH4y=Lc;m#RMc z3!e#P>IGJDUh-bB^T<r^p14D2R9*B1t2i%s*TgM4qiUn?_)I8S?_wpV;q(W4jyzL6 z6vK2z)kJ?{CFdFMn7BiqgyQuAD>?P2FW7VBiK>deU?t}XZ=1M7ABAG|E>>_J^VW%T z`Y6;n-C@s>hpIgKffby3({B`U>P|mV#Cga&Vds$tsx10~6`TjW|HK}8FH}2yM-iv? z^c6*%`@G-8I=vSP(VJM_sX6_??v^RucVZ8{6AIJ|Ea%jiUQxuk%ll01p_8gl^aRT} zcX;oKJ(Mf7ck+X|N8YLY@^LB`s!^M0&3VW3&CHg0o=;}7%=5f6^T=D39Q8nJPV31l zj5)0)&oJh+oV;M}kvA%je3;Iu-1BiN6UtK)wC23wxySENsnFWV8OEH~JlFU+l?tt# zoM6m(#dFQfBQI5a)EA}+WvT^QabEIVF!RVv&mO-+XH;C&1+6$Qc-HtWI-_Ev?wBT& ztaj0o({S>GIY*wU9P(j0qhg{y(US9wXN=#WBB6M-Kub>j$qVKjd7`4CE@;Vl!qdj@ zP@zz)+C>Y_W1c#GPK839lO5(9d8opp9%#X-H~EGUr|#qvMx2K{6J{QHpu(aqXu)~F z^N;VLe4*OOJB&EBC$BK#+~@hm*C}5pL~WvZr{?4Xvs<Qk-tj$@Clsg_XwIoIxx$EZ zm**MZLnl?9s0o^L?(p2>d+4p;-u?%*N8Ty_vT^z@SR*&_H^&|KH<c~(+@Dmk%yYj} zdE~8fj(p&64(t9EpE<1hXME<c>|aoO<c;zp8>Vy0_iUWL3FgTO{^q#hzQ^v+SHZRY z8J{_>xv#Nv`YO1xKjAaS756ojM_wxX$S-^*m?;<di{p~}g32Q^-Fxf~ol$m?7yQL> z!M(<A(HUhMdB<mh$#NHeav1hM0Ns~)$cE{RvWfh}pB!i0W9$xn5{#D%{K=u;zo6#G z6J-^7!Jix_+->X*eH4t9yZD3Sn7fXh(?`M1eutVP50!c31AlPn_22l!q1%7r6UQO< zgvuiilv(5je{dXd|6_aTy<lzsj!zug{VP6k>~nu(>-1hQL~i2u4$b}p)h$!p@7NxC zCm1Lf_?<(ezv2_eF84FGhfXR#krVvRvBQ0j?V()3z1<IDkGxa*rQ=jCSR*!ZH^&{< zH<2y#T%Sa;%yYdHdE~89j(Fg14(sj}n>nnyXKd!M>|PLi<c-oJ9j0?i_jH`f1oOlM zcXQlu-J^G?RB&x~#%7Ldu50w1N(EPTCv4`p;<_gC$V(+3@r7xEnPP#vI4-#^h&(dW zwMXyJ86_8S!Cf2|Tx;|eol&w8cT5vZ7Q48U!?627%#mkGhjf_ED4B>)+{tmqHAYYA zzJh}1K^1{UPp3%@p7ud(54^vWF|Z2uv~;biX>L&XJjtVn)lzjO^OCqr{n9E^mxxTL z@|5psP*|zL+OTBOlLHwmK1#Es=qzvD7q6hZT+(l{*OE#jrb|h)zHDB3)MRCYn5n0I zX&B4owuqanH#1F-mR{Z~6>xUR{C!%JJnd_Re+V(&Jj!@<<Hg!N&hEFltY=o0r(HD` z@{xU-ot*k`ioHoyd+8qgg5~il-7Zf`CM;4^tMq+f9dc~;ldl)bSLB#$HZU07T{2&r z`NQ97FV#GG50tOy@xQeFgj2(X{0r?HZRYScOkCVNC#1-;>SOg}k0h=`zu9;txnD9S zO)@A^Yn<@u`^D)UE^Ts(F&RH3U;bv5@|oOXwn8m4*T8pDyZm<L1qUuH5ntfRrS{?P zzX~Ci!wX(M$h^e9dB2j~pF%a>pSOK>eR!O*SYI$;LB^!W1v8hhl^ehE6S>*CK`F=g zm!tEISt2Fh&VSD?OPE?<%K3`*zJ42rBFl!>1m8<hzNZX0&CRua9(f6DQ_D19Qp%b9 zMKx!#$Wh0G;v62Aye_ey)b^A!bNYDkCgZ+%1+V=}bWZJ85!C-t*Qm8q<u8Zdp|iQ~ zs#!uJXQ(7F@Jz1z$1}N!rN8S|P4kUorwRm<larGtF?i{D+Ao<BGl|LO!Sj5fygdJX z?haFXJeedW&uREo(_E!8_sd_V$S^g-pDS`1UD_J9UhvOYniO=AV_*D&Q^!<fr?h&i zs6Bl4?VBmnA`>4khs+sY9FB{39FDl(eX1=%bLJOkD~2F(kds6wPvdF%9<QX}eR7H7 z<bvYjPo_+p=J<f{s(>etxv!XpsWI@^?r&xOpekkjaKU@=69(p;XD0Yx;$NmXf6055 zJ9i%Kb8~2`o>cct?w1gw<Rdjv$wz9svYZ=Se4-wIn8?Z5>1n^T)00W<;j^^wrcA4R ze6*|^7>=l@FH+FFudu-D)DpqTo=RaGk~s46^6X=^v?tGD`&HMdr8>Fpf!!}5#>h0a zofQYZbB9>Yypk-GmlwRx&0*?PPgOC21(&=hF)v>K%$#GzB?C`}hY@*sdH0nW{{3V$ zW{6i(@H(($C2!4shbax7ZvTF>PTDi+%jWOrr-LFXsEP4MU8Blc6<Z0Nb=$vZfBDPw zx2Ca2W51(IieBV?CnwkYhMRS_h$gC?+UM#Dn!{n$5R&>WCJLI$kq#)i(J#Fyeu2V5 zIWg^e`@HbFJMWH^{V!ZxY@`-`y=A-S`=l+M<_ni5tDp1D`}pGET-5-VC+=#`<;{=C zZ$B(=epr6{A?-T*yvEvP|99|y>YdB<?xN+-!sf}s(N{nB&z7FDOKq?3j~ja5Dy7X9 zbZ~q)&inDWZ+GIoe(7n3r|a*0V5-0K<Cxz6!q>kGn}v&eKlMjX*{!z6{N?5uvW8b~ zTh<)v>SF5nw?pyY<FX%*(|$<2w$E$rU-|Fxv8VFeb^q_k{CQmWbiedN`@D#Mh0=eH z`+kt$exUvi!~eqHzmK1NFTZ_X{hf7x9;ZE(->&t4$H%{qw|(!Qea}8G<X_?G-^X)L z+2>XK-{JYQaQE-yXWz+h*XTd{_i@<w8F%dS0{#_Nf9jXMYoAx#{q^7DXHSm%zLVd+ zqyEl=e}&b*3yZTaRSVx!+im{xvdee1npscov3ysn&Rg_ctun9axmtN%QMK^x*;noz zIp_Q8PRlvpXLnrA`DWxBRZq4^Wa{eJ^PugaS!3~J^F$|C*FK57mO|y}<`egJ&Ypeb z9!r_BU*4x(6A$z<<UM(>w$42BugCO$lY2|f`bOk$suI3Bd&#|)v%UfOo1UpHm#*B? z`O^2w9hI|gG3KADCSRI8;U3G`+^V$6yHC!%X_9_=4sY?c)3bZdO{)+#-Yk1Ats?qG z?t<;L_rjiSJ9RrR-FZ*v3tuPuM^BWCHg7z)txEX9&0}Y_na`dvyXKskb@ZH@56*mh zrk0wv+DQ6-&Rp}4dpKv#?%C=2-1o?xmd|Rl%{hx_zx6$E$K|uyO!I?#PCoV3$^Z0J zZL0alBH>fBeeSt@Qk!VrxaZ_!Uzz+%Pt|(O6Zf2a<SUXtsY3Y3Y?*sUKB%>tKP;N8 zYksk4vW~gp9?og~Jb5hV-5%tmd{b+dzxjvbx%-KlBcGL1^OPzkALy6KYx$<uVE%J= z=Y8K_c|}jv!t#Qis@0g^+}(N4cf}o*_iDj;P36Lx<~w(H-pyHJ-dQwx*KCKKCnwLo za5v?hnqQt$`Q#n558Qq7RxQu?<y_A9K4s~b($#huKQx|vXXb-*Dd&B@oKZRN^Wn^s zb3S?LN!F8Z&Ac(wbMDLwGf&Q$d15B#Tc5Oap>(x^v`=Yjn~V#MC*PQP;M|i^wROgs zb3JFz+;A?XRBe^9=UmR&GZ&moIqTz>o@6C#YV2t|`O?e@=UC4AoI8_JqL!AXWHtH1 z%!YGMiq%rn9$5+-8A}>ZJ~y*tCg=0qmhFi<b3d3JoSAb@<&4jPGcLtyGmQ_<Ir-E_ zC;d~Z+En9@M#86N`kZt5wC&dIH+RCGZ!_Dz@!qzo*{^a}Zcp9$_MO@8o4@Xal}kU( zoxI)io>-;yliZ**Axq&CGi}ZtDO8J1yJR7JY^KgRmqN8pW5+osANug52U!T~8Q(M# z)-^t9Bz$OQ!pxHod|1+jEQAlt{B!n5zFMvEP9tG$<CR9j`)0m5>yob)k~YbFvZnFD z=(VSOmYjK#r&ea%X*7A)Ooy2#C(pcaHYHEZFHOmO@{XAY&OXUi%Uhio*;}wxXLV-e z+f!K^SMQAU{ieEC|6%RPcizADxO`WwiJSCW=+5*vm7VjZKdI!LH~miJ*;C$m@kzfY z-I{(Q@@&D@!&e2uy<>a}x1PQ#7ryw@B&+pDD|5e@-n#x|kJ)pjt>PQ^o_Oo}E{5g2 ztDU~m_FJ>N*X-nYl^qth`%~2Y?7H=TW0#zFEz>_#J@MZ4J9<UCq~=^T2>%_!Te9`S zRhRhBHEYjg&tKoTkL}skqt^rCvuoC#&hA@(wesw_?5*o>?klsFzLQh9{@}iC&rFZq zbVxTgzWpL6JI%S4bMEvrm7Q~@U)Y!OO|>wN>A7ltoYHS0bN$X*&Ntq1@sEB@x;A}F zCFg7Ju=qv01dmT&vajW=cR>86XR6Ef4Qo4RO<z*!`O@1XzUi52dYsWOA!B{PTF)2W z4)LFMPTbzRBiH5Qw*Awm?BxBRI!|BmbGLSNX0_kp+LJS;m+W&n<82YY>1X%8<U2-s z$%URXVomiKKMS3mp0bbSv+8vHjWs8yPY>C5<g~X&ywOjglbH_tR6cFFlj&f&_H1T` zpR(=RFD5rGC;1l_&w7)YzwF}=p%c?>_8s}C8XI@%htRR<I{REcs&?u-)|`Ck%@ZH= zLr72m<|iRt{ga=B4oy#}Jo&(zC0^)<(1GcH_8xh!TC2bFlaRLlN~2qkGbWrra2mAp z?}e>1XtQARX``a)is_QOj_=sqb2s&a-s10)3(pJGw#`iM*=Be6tK{VK1~tbX8vW`y zW_fGb;u(gEXRZ^JS^M#%p+f)18&4&to}LlwHYfGK$(m!+Pp2o$oA%tqcGB?|Hg0bX zmHGppOOzx$kZXP?Q5JXT`=q_oU+ir;<^3tn=&5Rj{=`p{c2B>tSLKxVt2m~os{V0K z-zV*yZc*8J$NNzn)Avc+r|($1q+E56_RUz&`BPu4YdJsX;)@%SYrmPCxoqTr*@pK_ zW}@F?>$Ru6iu`vMMcvQrSgty6S;ehKnLURse0X9w-(`k{ZAw?I3Y(O!S`k*1uDV@& zW31;}uQzL!ob!6ICgq&hlQk`6s++YtHw#&4S8f)%Idw;*=Nqqt@K3vhu20>vZpm4% zi11Cjgsx6qvaaQ<S3vltXX1Mg7c@(|-MMG*BdkbDo148@b(wbH=1C^nnz5WO4OXpT zIqP+9O-hMsTA0$VNf)Lztb0<dni}?Kr;w4h<mO4|E{23FrK!%*z8T{=V`@WW=QA&( z@TQ%UPEU<k_vEyfR`{b-)hXIDV>+LBDTOoboOFDu#kwV@y`;hq?U;0Qs>V8%Le&m! z%b3oGUR>daQdOhF7Nx32hB@sJ($SWT>3rbD6wb6`(*CJG)-K6c4G&97RSgY0w0+Xv zsV~;Hobvh<W|XR0p*?Zaq}@|*_&OIzHD5kp#Cs?+#q#XiE!!_Y@awkr%`>rBd3nO7 zNg9_sVm$A9Em-s9q}L0z<rlY4+BwxCvh$ADqcA4(UX9BeW*@ujbua8u*`#}uKg>({ zu2So_s9MNQ{iH4DJI`-C-%O`DtXtjP!x}j8BL6g<{~15iPy0__Q?JDSpK;13|EHc` z=BS+a{4nRqInO-*r0PkxCf~61oICk~<;gjdPgruk^-S{@dahF7_vx9+CiTMNNjD}R znD^wX$~yH-ThG~(H_S`<s<KMm)0T7g<OTCm&U*U!CshfVs(Thsx-@yhJeISb=jNn* zQAzVts+x3Ra>KkQpH))*9#sk%sY@15Iybq)lJmKznZM98l?1;<m6Og)PMG)Plgc#p zpGA{SO|G!yeCny@uT(kd#AJtgPd=*jslP0mbZoN0yp)eBUFx1ToR2*D{F5ps9hzKV zd2-t13`@^xlLh9noc3h%SE`tFU^2tJC+}72)L&YkoH{we(sSzM4|7xAt5m5kESj`u zvX3R_J<la`p1e~jQ|~OAv}>}1<;lsDFU(DOr{d?QR6c3P<O6e`yj96leksfO-lNR@ zQo716<%h<T?o52pmvY|YOOMKVj}JXh&UxgyCs|LrHSva|=iG@GBu~znctVo%tw)-> zP`XNiThXMcdsrL;8LkUd|1Is(;Y+`9<Z`ldrLoY>i8~}c-*_arf3gy~K5<LmlCvHW z?whQHu1;Li*K*b)z<pDi%5r5x+0I!Lmq>cP^zd+RN>fR9GqMsgRu+`?eBt5X{>gHZ zk@80w&Y2T?Bt4&d9O-E(R++8LX)I)*d{M^pnTLUUk)_b-i8Yd*Pd)bZv=pgKR-P#1 z`NTuP{gZ{z@rfmpo{v2w+&5VW9i6Ds$5N=$u52jd`OsrWPs(YJEj=oyJviK(QdOFj z1!X)RcrdtsG8fuE@k{TMQyy!2mYnkV;kL<KXz#=TNzZ#8%X(PyRf61#%!PJObdmJD z>#?Bc$w`kFZbs%p>dF(PJ@0rtaQkE?w7vgJUCVdn-SRI#3*PPj@YCa+dx8C?=gL)f zlb$PA*cClj-Y&oKug6>WH#JMnxxc7MIp_YQrsbRRX8F#~f)?_Xp9OFB@A&EQ#y!FQ z(=Wm6{afmmoOO?|-}FoHYX6eDmb2~w_M4tD3jg5FdB7~a_ra2>+k#RW6*agPR6hBl zyi7ju^F$MQ&A%Kk-7nNUIpgkTpY%+5kv!*Lju-BB_Ce2-=gBL6o@gll@=wQ%{(`!c z&&r8*OwW{O$)Ehg@yuP%KI!K~efgDtI;Qsr)TMk<o+RJ-hvSL6oc*I86ZPaX|8P8Z z7qegVW8#s1g}Nsnl-uMr|8Pw2&-vLgtv}@_$3yoGH7OsIo8&qFa6EATXZPs)#C`oS zKRc%Ohy3KY@BYnh(RV>D`IEm-OzD46>vGEdon6xRi5l`Ne{)RfxB1C&*Zr9tsPQS^ z2^!V8XZL8^#CyFT@>0r`Yt0tz7PON-d6(mz+qWE-^KPGVSkAk>%Xw0!yiI!L-Hy4v zS8j65?LBj|V@~gdyp%HKLNlgx<$N=x-Gb)Qop(9jxW$=2+BNZ7@0Ob!uie7T7wwvO zrFX+kj#qBia-NhZFOv@3Jkdm2^Df6rw+lH>&bYamC#5MblIFb2@xsl{JSa_hp0wiT ziH6cI?{v)QEyznLR!%fyN>iRCeew>+GdDf+q@5G>rB~kRnBE(Zmr|rWNxJh6#}hX> z^G7=->Pctb;dtyOX1-|0#3Q{5c~1(I+oUz`a7^#bx!EzTH{~YBL$?h%DFw<+(wuiV z9=QE8d$fJxzTTLd9aDQlZgSjr`)0OiyP%fz$=fHU^ghUSIpy}wENS~h4e6D)Ii~d5 z+~m0H_RQ>2igJ;3=WUKVZuiU{l|{eL-Lw7ey=%|S?%w`!XIi!NyWHx$%f-?2ZhyE_ z_I%sz+d28G_ntkMTbj4H`1YLJPwu!?-+q%@nAco<d-m;={Nm#1H@O?O@4fe|D*AP9 zZeH@<+^=R=ZwKWk7fZj&&Cat1?PD|DzI5l>FJ_l+U$|5DY}>`#XYQy~!Fv;C=Wn05 zWA<#@x!XtX9IL$jEH^Qaxp?-o+?m@G@0C@~ewrJfw|dXn)45Z&``%NljDC_kVY}_U zXBE-YZ%gGT@5%jWcJ#JTzVn{9AIuKl=E{FubbH$EEqA6pH9K^B!yU7V*$;9Xw=>@R zRzCZFZteEioo7$whUPuqo%`Nw@9h<Lrj_5Ga{EKx<f7YmbIZ27?p*uMOk=z4&fa(1 zcHW+IN3A^iPHw^Wy?39PMZeG4v-$10Yw2cpZ~izl&06|hPIcO4<LG%eKb$E`-*)?E zPWtM(XV2x7rY$zUJ?G|=Gj7(m-{cggH5=caeKRG!*f{!4&W6o<&poq>ew~w>mOMAN z)a>fbp!8&8=~p?~Y1VVkmYA7tUOIDaiP@!_7tWNWZM%5$%o#PS=odK)HrJjDOEWuv z^TZjmv~A~Z9yxQ&^7gZw#588(+0SxjZcaQ`W;y$5PJG(xIcHDjOxf&vPR%m<NzR1L zw&$K%L{Gmdm7Y8&x6th9O`&w>Id2Qh4&UTTe{6Jn+RZIzrlp!4y1C(unZ@h}IgOhc z&wVqWeLtsmbL`Bsr*cBm9?#CrH`{x2#hGd5x2N3vkT%)q_T8Ma&8{=o=9y`1ww>9V zw{7RmIcL<&qwnMtY~FkJ*|(_o*?ZQ%-FNM|>D}u;_DuUN^)9<Q?(*lTdDlPeDSN*4 z_Vt|j)wO5OWtYY+{(NiB^(TAWe&2eNT^QH=`PS^~De=Xhquyk1Sig7QvtLoKvvcE; zYjeMvUcDX^pZr<sRd#lqb?w<Nrl#wcR<8YGdg=OwJ!Q|fUc7#0kJ_)O7ugHe*X|2@ zW_temi9Ke|ww}9wWY4jmx1MDu#xZ}M^(=el`ow)@KW9D7j*nYibM|!hl=Z&*)P6=i z$)2#@cHgrfQPZzW#V6O~el$ILT`1nU=Isa5!`HduAAh<v?fRBI)1I0hy1rqL*^gNd zvK!Yk?)&zA*8S|-^|6&_Pi2S3J+97uZ@TyTiapc5-<op$L)_#~x9(<_t#_?l`_5Ej zy=`UhyRAE~&)K8)J?c(&!TP;>pOsC#*Zm<b<-1a?-lA$jJMoiyIo`Q`i*Y&c`U%tr zc^C8Ko6<J%m3uqpc3;`aF}M57&W<_V7vfUBDHZB5Jy*)tQ>qp;7w_E5@y0by|54S% zYu#IRa=dm8(_d6I@k;lGogA-Ruf;t1qO?pruy~@0xaMAtm#!CLo}6)Y(@%P)v`CzD zFUJd4JN=+%O7p}Oizgb2zueO?qq`t3<+D<v9@8_WS>h-6a6EI>(@(0Ls4u>9PsjA` zfVh-TN|VGp_i#LMmD7JzF;P!Ea}UR3S26uX6%&tiE5tqdpwuR=xrbwVch1g^Y27J1 zIUc%hh)MaN)FjTihvR|kKfOog6Zdt;?ChA@9kP?-zUw!=MdgB8;wN{Xn9}_q*5#Dz zJH4dxi5lW7cXLeXw%N&X*Y%m+qbEv5;+>$;=X-jOwne?q+OzuYx@+mCcd!0fGi|rj zyR7Q4%bTO-UH!18EPd<kt2yDTW6z$;Dh*q_`PQ7PPu95YzV#-nFsym=t=U&o!izUY zy~*0JdhfbtyP{ra<%T84=9ZdXy&4pryjkj1R(6<m?Aa1i)748O*Or)Gx_V(vS=!c% zSI?|b+ZFX9Yr*Q;bzy0y=dYevW0tn{+|?s%j_thlEGsdLdGoAiSu<BBt}EL)>uFYe z*y@<Gr?aN4_Fbp8GwMm!gw?j|p6!U5epM<wIVQKz^ypQgaOaq}1*V6ua)m$MbZgqx zEo-KwnjX5kVU5|2Sr4)rS2M2rwtd$9tlHJFk!Me3g@!$j&doR7dv(Q{Y1?m2x%we& z@}^sNv&vSxMy|~>)mUvC*_*d@=hZoD)V4?6$tqaAckMH?iT64`_@$I9)v7JB7PJ#S zIhW&|%QqjF^Ddu!SkAk=^LbLHv`u*B+>W`OS7vg|?L0HHV@~G<zmzhiLN%szrF=Cd zYe942&bb_KT;kLpSxvmwxn(BDYnL$fMOG89bZ(f*@yg|z&yy0RWx|2R6HSCQ=W@Js zx#08UjEkFkQkv2tVa~Z6FI?=@gVL1d2`d^;G!%Y0r(;HEfnQ3oQlc7Dn$j%clXEzp zx#+1USx(d!UOA^@dS`%NN|Dke;m$c6Ph8~GA6ZP)6V9B&@z_O7eUZh)Bb^F<PYRUU zgf-`IOz+H@*)gp%WhTc%mkmBC1xiiAoO3uHxcpOlWIl0UXUxowshuG+IqtiBQ(I&% zs3m-I_K7K-4}4utxx7<LGM}g+ymB_jlunzO9CuxwsXa<jDiZFT&2h)&p4y{t6YjNt zuuJ)_SSz>aw}2i0$y$zg&fjcY&O3jyVL9*o&gRKC#clj6Ydhw)U#aAn+kU39V@~@8 zyOeK=g>p>K74zkkehZlMch+*eagLLJ^lQSk_AQkhubso>7yX)WrF}ys$1CS+Hc!4N zF5?gUJi&xtvzFtf^97qHXPn*Slb$Iq;^(a8c;Rd(AM{Ld9>3z}35NVHYdU7M7ucnI zR!o#*dZsvw|6~ovGiN>dq@NS?`B&C-Om7dcOZlWYiNCXk<B7AJ{G%Te^!PJtI37ET z$uIgb;YhoJ-IEWBZTy-w9Mju#Dm$jNr&Mx0blzZ-@<FkQpR<PJf%8APN8cyxYmcey znA#pv$#LKLo7|%B0$Thht4~a6e_-o!%K4pK()S4({41+DrnK8sa@=)(Cim!xViA95 zHOC$2dvcGqO}N+kK`*6Tu~ux+ZUH;)ld&A{oWAL}oOk-9!*br~oz9ao#ckXxV>{-y zUWw$G+j=IlV@~S@y_7P=LNTUv#e6ZP-2&#^ov|EmoZ`eE?V50{bxS12Yo{>rMY|?k zY26UX@yh9%&XW?wW!!<ACzx<+#&W!Lx}fvqjFX#qQkvo-Zq8Va7fyEKL1~KfxD_`~ zFyww2(=ns9Krf|OF;R>uO>q|Y$rz4jPI}@=J16LKuZ-!K-Ws5nQlvPEyEBI4iIbf8 zqa73UxHDro9y^JNFWNETNUMV0lLEyyZp|2u>8&}D9n)G<A~_y9ZO}<6P;BDnjNy3T z^iS;3_6hr1V<J1IwuVG<+;{pWwrIP67Wc{M6H{6r=(?P8dMB2&eS!w}%4m)$tu~Py zcb%SzJxWn5;_i&*xZ`wB>`~c-d(9u@QobwH@-3<suwy@I%kj?fn~ckO$4@dW=N;e4 zJo%=ujeVtU$K2*CmK<}N&scWMX}%zr@=c+TkLkHWKA%#xfH`}oEyo+jIQ~af6RtIH zvE+E|7{<S-YQmM~4VE0Q9Iwed`J%9lJ+OF!3A?5($4kcxGEdGpy74DHQ&_~#Y0L4# z(T+dpnZi7F#o`Hu>@RIPW;7SbrF>RM<YRiKFpK@94aYM_J^rN13Ht0SZ91km2gs#- zQkcZvX~XfvQI7vn#RNU}OdF2Jj$-_aDkdChR*-x0L7|OZ(}rVubB<-lwB{5`j)#sL zWKupTG_iBqa6EAQ$M>jw!oKDh%Z{ndA(kBX9l!A{Di_dVKWTkpO7jC*ms5`K_>#&e zXt1xe=9toKW65#X@fqKvCkjREoz@(89PjZxGMjL(@dIB<xk4@5B5MIV=997<?;O7I zxSV(R#KUsl;T_MDGKFo-D`h+8HeQkBnA><pvSUu;1-_Irg+ex_bcK92C2Ik5=1y6T zHx6;^kE|wKYuqBq@!BDbeUa6KD~%f@IbJzj<9Sk|u#7p-c!CMDrYy%xhYLJU&N#TS zC#5MYV&;_Pc;R5j9+ajqk6F=pf+6!unT{Ea1$-&R3W;n?X$rHLPs(sSbI@Z?vYepL zyi%rPdSd`zN|C}O=1v)oCk}G#k1QtWF=xtfJa!OcUt}@iNTUMZlLCb{W=$E6>5Vy( z9n%_9Bsm^BY~V>LP-tT2l;L>b@Q>}0`GkFqF_Ilq8$%>H?mK*ATVyVv#e7ow#FWMd zye_94-mxW_PtagqDa|pZ(MFQvuER67M=1(L%$?F4cO34qJ^I#vul~co<nQve{}%q{ zxBGwMFZ(<DZ-1Q6+kg7QeBS=upU2<ixBXx7w|#E?m7nZ$>(BgbpHqL~U-CEk!hej< z<@5h3{^mFT-|?6IjeXqzhrjx-)o=O9{@On5|H5DWSL!$XWPfFU?a$*c^2`1QeC{{- zukn}trTv9JkI&e<{ZD)*zvw^5U-lRFcK-vP$<O<*@VVdc|BFBEGwKWeC4ZJr{KxoA ze%AjJf7qYd>-|ss*{}b9#h>=+^#T8qKgmz}-|>h2iM`zahd=uD{%8DQe{3)If8me* zBlQaZ9)FN;`>*kbeR_S)&-Q8cDL>gC+Hd%i{6W6yKgS>T2loH|J^bFkuRi8y`_%f7 zpX~STzx`YIonPz!iQmVk)Ia#^e9Hdazr^qT8vj@PW}i}T^OOCq{j+}$pU4;e@A%Dr z$Nt{Ghuiw^)qZ%FTrOYxZQ*WyyWc17vcI$Y_Qv_V-KRIq=k4CTd0ZyH?e~hi?Q?6d z++?3yd*){QoZ1WTlFQ@^zcHrE=YLb&&2Rp@<1YIfySVQUclBSZ-Ex!twO!cvg}eH% z)NZ)R{>tv!o5v;c%YFxJ?l<|ZahLt2-Gw)g&)B(rPfU|v^qb=@`wKg}?}2IZ^L{IA z?l=7X;!gXF+Jbk<#qx>Y7}Mlu{XTJr{h6KK_r#t3`oCA)X`fyj@GiMXe$wxbJM2&F z<i0=L(XaPA;|}{{JF)Kzck~~rRe1NfK)&s_#vS(QwK+H2r`4w1WPfP4;Z1UZeA91^ zJM0ha{(XD6y?<YA%+2<xwIMgz@7sO*ws1SY*6$Oyk58$6@Yea1-Mepz+xs<suei-V zrPk&q`(3+d-yWvO7ya(I&3?!3-nWNk413uRR5R>mzwn%)gumfD;}bcCcZ_c=8En}n zJZJbIb6_s>4qJxX%qwgetl0yeGrW*FFo$_UF~b&igKCCCK8ACQdn_4lG8YsxY-DGs zW?0Yupo(EF`-Nu=5&Q{X8AA9KzB1g9VR*&3#<Jluvw<yxDf@(H3|{;VUl=aP9GJ;0 zVas65u299Wke#85VLp4nGX@*}hBJ&kmJR2a1&SHwuy3ejNaSNU!<ZrG@Qg7*?!Z&V z2)P5(nOE2_=(9UiGE8PysAQPP&QQtF&;Fo-A(n5!M+OQ0gpUj&{0bi#1o$6(VBq0j z@PT26%z<gl7m64n`5HbjY>+wdfRRJa;Q=Fq+=2UyKV%*5GsajlXtM`AW%$9D@Sfod zU&ATJC$a~oFdwjH&}1(tXDDMAc*^jKkKq)fjU~e_<{8!u>g)>T42A3r<qY}k56b%Q zRe!jb{9Ug0-NI^qyYDCVvcI$acE|a=?Wa4;=WXBJdHhXo+xHcF+viqa*~vb)`pnMu zIn@{LC4ZAEe8>1)F8`fkHNW}yj=k(}Y~$WPtm?m3y=5o+Yum8*3#<CCRBzbH{>t{+ zoyT9~mVFN>?l<|av6ua&?S(s!&)B-XPkbh~=sU+=_7}Ex?*pI7&HJuU+;8~(#h&&V z)dlyGKg%V)V|*qz>-&j4?9XiV-X~V}>wjOdr+s>Lz`f*8a+AJy>|uXmEBF3kMZezn zj6Lj+ZN=U%tmr>dt#I%02f4QI8hhBMSLf_(pH`i+ll`IXhC9h0<eI*7>|uXk`|sVu z^8S6*F+1C*R)_3lzi<2P-NJHyt?wsxAD>eF;I8v2+js90%lkFHuh`8#rP^jE`(4{- z?;bvpEBfBCoBfXMy>}1I`tMbKIG0>5SNm+CHNV~G6LZ<$*?c?WeBS2M8Rqjg@6J3f zliT)r#oYF}l~-o6&#gQ&vwcqGg>%Vea)r+r)8+D?DO&TJf9{ye{>CQm`9rJzYn5AO zvcI+od%n=B|4QYCne4A@uAO;YBDd^wfN{UcXN|e+FKsTId3?sk?RjFF+@jAMbJ<_m z*gX$SlbiQh!MNY>^NTs{Gb#(tB^S#jK4VOioAvp`9QJ26de0Lr`}IGsnA1MJGT>Zt zk=&%u9dp>9*vLJ9Xwk3tIb#m{V;iyO3oZJOR4SZ%Tp-u>Sz`|S^vax>?b9k#X0kuD z*>EPgK(6UC#~k(tHvgVIH1FS688fqeYGuew_WL&9o-H)z*ZO>7_VFo|56(KDvU&F` z(Y#;d^NQK*Qz~s{vfs6N_UvJbT+!!_+3a_0?mc_>t?yp>hkeQ4Woz#({LN?g{zNVN zJL_+IoX=Z-+QWR_`rV$#-(<JFUs2mWxBN;a``q#~mF;uNFYHVHCR=!q@wsgNJ;mRA z=I=Xd+22^l-GBJ2?^^kmO7_>*VfPpQ>bp|Dp_2WT^|d{ZzsN3oAMm-)<h@2M`%CK! zdmf*$cDtYWOm@+Gj#~B?)^_&;pUKX9ukg9g@coOL_8H{``;tG)Cf;LwCOhl>i5m82 z)_V67fA;CWUs2OOy*yxF@+a9z?>lPPpIFP?fB2(M?|nuM`(tad`wM^c9Vu7X_xOWs z+k1@~_UYw0mF?5YQ!3dXT5s5s{6V(qJx2}u1M7eH9)9oJR~}Q@KD9iglKsB*w|fh} z^J%?5QGI+$`GdXAr>x)IOZ?ua@qR@$`;>B<O7^?f&+a{ZB3tynqniDW^}Tx!xAomC z{je^%T(<Vw!rgp!uTR9Xzq9(b#`(O}r!~yyt=_G9Tqe8i^@`Z`xusVk+2@v?iEN)! zdSP91nQY-T#&p^IYl^%1%wKoJvcIv4yZ&%j-?h>$k?gOn!mcme)pw<ILnQkvt7~f> zm&h)A9k992<h4dD`%9|}YaXAma=V_GCcEf0M=bjbE4%A~X|nTPD{SsFeElM(eMV`) zy5wTn#A}Rcva?>Fh+%(brFT7XXP^G-6*2A8O9R#=7s*a~-4Vn7#7ge^!ySEkuQOuU zA6toCU$~?1NU6fQ#|5%&uQg)Wr<dkLwofZfiDZ9hwP8(ifo#)jju`d_R{yR&+}^jZ zG$yirYH3I$`+ci#*A{N)(|Ua(`uLR62Wy>AS-rcKxV=x~^@?crDWx`%?02o6U3-`! zTlBgkn*EN|y=xE4`tB8fn3w!rruN*zYCgN?Cv4f@S$>=2eBScY9Om<u@8&%ICbRAN z3fuO%#aArZ=N6x_Y@btnVP5h#nZk37&t>w@DOU5DKku+*e`6VU{$W+$wc;(7?5{1u z&M&O$yHdQtlKqwCwK<Q!$SivvP~2zoT*H?ArR9Y=kIz`Tolkrwv*<a8E&B^gyYqq1 zWad3rDDE?S{=%kxMsdNs<j*pR=NO;K%zA#phW(kP-ucAJKK<t_Y}%(62h2<UBs1xG zhYkA^OS$t8EBf@FXV|bmwiG+Ru%hosvBJE^A7t8|YuK<)FV3-SpH`e=$^OuC!<^&~ zGEL7pY}g-I{yX=uyl-D|jAi@O;t)&r`<CC%EiC8LdVa$C_>|%YbDd9FzB`v#-ly?= zg*E$>VjD~LyOz(+J$xcl^t{8G{f_0ma}Uk>?iGIMOD>nGJ+{!A&+hRFS@w4p-+G+S zTYTzaK5y}^=W&_Lw#O@E+vgTukz}7+ct)~)PT_^V<T9DUV~puC`NtHk`OF`8$g;n& zh&%q!s_$Ci7D@Kk7GcL1TJ>Ei+#t#R%Hmqj;}V%=j{}VROde~<vcI&r(DV3=h1>DO zG?_(@Ib_*iSlAs8Op}@SSi!i@@bL?o_8El*eaXc#iN_ezWM(}+A;bR6LhpE@WuN}z z6*BG93j_L+i)1D}?vP=BVj*|@p+%qG;|v-0#};D87h3ckDOBitTp-i-SVM+=dSQ-a z`?SIoN%n^p8+wupWSSmx$gn@K_;>7~dEdUm7|Hgjg&~sc_bt91TWHRw_4tJJ@hOE5 zdYw;MygQa?-ly?+g*5w=LK{i;yB5!mJxq})dfXw+e#he8v4`J!@8y4}Oa3lhyKmud zUc37z{<6I@|5oFC-uzPy^Lg`kHIKhZZ@a(ZZ`<7bD?i!h=AZf5HYfi=UGg{S!hMX- zrStbG{^m8m-|?62jd|SuhrfES<!|}P_S!sb|H5CrSMoRfWP4?Pt>*C;>1Fo=KKGj3 z*Z9l!()>cr<1^-N`xBo@FS^h1m+ghQ-TuI5((~>seC{>8|Kd;EjQoPS<j>NH`xu`| z&$@r&58E?yz5R(ld-d<H_|rB$KcFu8lk}wf9e>!Kn9J>d_@h_ve#RfR$L3=D7yjry zlCMzr_=9xYeT_eC)AMtFwoS`V`N{Usd_zt02kEB!9DmpznE%`N@O$sR{Ft9@Q}aW9 zvfVfTwr}BgUak8lejl5X|De|Sl=-`TiQjuQ?yvaGHYMNYC)-`~XZs#LkuJL5@tf_A z`MrG)xAor3{g9VjE?v8A;ci~L+b8a_y)*lk<9y!iQx5Zavv)a<%cQs6UU9c=Ztj(v zY;$wZ+-#eZdm%5mOuBFzW4d(yHpSh%=C?cUvb`~j+x~D@@3q`5H`!jBg>7HBtM^Lo zhMR1!%&z4;E|Fe#J79CK$!(3hY%k3&<UBrO=C(aCO?uI7j=O9x%<Q%Yrb*Act+2V* z@b-&4Z8LHU@{)_C6Spy@Nzb}{;ttz0GrjGJJA3tSuej4TJvSgPxk!4_?T$NaPt4@D zKitu)cRS+_+ha4a?F)DG9?4b6dt4yhc3a~P+w|O=n{Cr_Q*N?7G~1AqTp-<ao8u1K z1G9hI9&Yd5mm71lZE9}FO}6`H-?lB>&Z~9%#O-5Kav$V6pE7&5EpdCV#_bii*{0;$ z++@3J_H5h36zQVd9k<!;nBCj<u&no9_J_FS?^3nv7FP4xT|cpx?Vahj80Yh*pJJHL zo4$*A{7q`x^%Z;D=4M~n$u>9p%+9ts*%#uHzeyFYV|*@^zfQ55*Zg|NUbZ);aqAye z^<K-~vXkw#Y1sOORlQfTH|%74WqK{<@fWFO*8_@sO|EO~WqWCQA?EQJQ@8br&!iSz z=h(~k!qjek;4`Ut*A<F;4X?l0(>5c!ATIf{RN^|uXHv7SpV-6p%v5iEVr8%X^%Z;C zre_DlC4Z8dbiHE_+Y?i{^$#n0^{!{^VS8*Uwtitn?~!bUxW^x)+OBKtVVj<vv$Jhl zcFIn+ho&21l0Qf_UFX=t_Q3Stx`*Yx`?6zpwoT0r*~xa_^xL|H<-A(gPwYN6CHq0F z^C{DJ>k`X*HLkDN%{C?5W+&TS(`V}*K9MTA-m#nQj_JL156yb-Wq$BWE|;oZw$Pf_ z?(&JbZ0}6I`8c09`Q*cV-sGLn<1(pjmsiYfo11xMCfnT1Gc()fWM1$~E|V%;#+WXZ zzf94Z*ZgwFT(&nRamycC^<K-|GL!AKN!ap*R=rm;H_T*vWpd5uaf#Hj%K^r{CYLql zvb{99;Pd#5iQDqTG^s_GIp(sxFtJ-6m?kyvvVw81;pG=|+Gb=H_$3!hB`#x3lbUt; z#2mI~CVI;gEqnDZub9&|Ju|>Bxkzf#<&HUQPfX;NKeXu8yPPqH?Xij2@`V<?M=}-s z9v4WpUDlYxHa#<EX4|yPl$mS~O*Z%>7f3Z-=9t6wz~tYuhvvQeGGk`8P0b9M$#&o5 z+p>k`yjqt}%sw_H^MSAPDU)~05<zPhR?KFbl4&!O?XJnQWe-!NiY|A|X1il@Z`s4L zsP~zBmcN~M?YYU_%RlB!tCo6~S?zbZIBMSI4|B?%Z@GOr$A7i$*>jntev6B5&AI$! zj$8GuH<^Wg&BeE7UrzBaE{=MWxncR<dC#h%UT5a|CEMnHHMx2@$UnJQ>Q!d8pSA7T zFD9nTms+m<Vsh#7g*j!<wp_e?W{z4_)Qijo%WLO_Ju^9f`NSNvXIsu)J~HQ6<*jF# ziGIw*vz}$nT%I_uta8@V%y_@mHfK*~PFe0dPpvZQN#=y*w)37<L`}ae<)3Vm`_bg+ zWg&lOo3|fK4qxW-e_V8H+T|^Crad(|ba}%Zvx->{G8>mO&ihtA>waeK@>t8Wr!qtR z9$V+WH`#l6#hhv7x29bF;5WJG*4@mq<*t@%-<fDEx3%njw`J$$Idjy?qwZuDEZ;l# znOW5Pj6I9r_FYRixqI<P&opbPcNx`gmyM(5UHs5fmcHfo#T@t5vS-g_l)5c8zBT9K zlO8wgTW>N7-I|SW&Ayo8UThroCS$|my?xKDqF!g@x+TlzmYQ6>804O8EcGfQ+s#_` zY>A2K;-!*nOH3|ZywFpYw&mi*Gd*fnQ7<wUEUxVfOEWot@kEbV+Lm({kAPMsJ<CXR zV>X`kEMw;4#J)1iSx+<K-B!z-J)JRSv2UN6Wz>_535#v}o>@dqzbNINER$Pka`d8* zyR*#O0+YiRx!fNc-I{iBOV6}alS3Cb^q5)9dXUk$n6dAh`K<dHwTojV&z{N%b$cwG zn{TrB;)<SW=C`I?{NOg(=+@ngvc;~FYx7Jr7TZep=55(|aZZn#dDNYZg2j7#pMC4O zm;S*n`MYH8yoJAc?9QL4WqW7*&Bpn>@h2PR^TzLN9)FYEc78=|+uZakm27j<&s4U} zNxxv1{7tfO9^-S#{CSGMdCbpu)Uv%Xj+_7RSI@QdEtPDqjhQ-XR<JCU<X{m~H0fXv zWHNFvYEe8o#Y>8f;j)m2gM<PXTgT;9TW>RpFt9(4u<;RgPxYL2#DR-Ra|uHb0|x`+ zL`DVyh7ck4;-3xgGk$Ho)Dd{{mSP(3U8U8H23-M@ie71cuG>`ejcoy+`#sebtp!bs z3$KX$nA!J2-B)2%^yVIkNRiyHT&5*r8X<K8b^a6jF86A3*cVTWoc;Q;QT2M0s9v7j zNfO#AqEq&rli6sv>$UFQTTdjJ4SwZnJzY8J0sHCwGjj}|e4nlmDssXiuEFOAlgzww zezPOdOZHt&->h@*bF7E_5=Oz}Jo^uI-`?ZA<l!P8jh`yAX}{KeTX?2)x%ZMqA5ucx z@|&AjciBX4iCm|yBftAoalG2UMNefdU*!wW+uE1(T*>`QYu1jb4l4o$-NkaNpQYSd zyOik-XOo#tC(p#Le4!Oz`L8^CYaq(1IpIKgL0zEvVUrvcx$W<3o1WJnFnFp}=e0&# zVxsBiMGn!gjKAt!``n?i%x=@s7XlZeR<M`PTeG4|bLQuTeSfuQHQ4=Bj<2~N{8c%( zb#4Ni$)}^=%0u-wi>+RGt>@Iom=#~kS+2ZxiS63odgPP9r=KzPx2hj5{1c)b^ZAdp zN{!HQxq0?Gq<k*={Jwp1W^v$8uD|zwHWgLLztPNgPL}?y_h??tp>0R(Qtw`ymi@@3 z*gzoe$SoEbC*3t>Hx%zVb*`;9_0{~p<#xcFuw@FlslOKU-d)$dhGDL?pT+qoC0BcC z(XQRwRlV-G&DHhUVmW)Yyot=|n;RY+@z~R6-LU3$<~fz=O~$w0GM;;y(<Q>ynwrEt z_p0EzW8M>=ra3<rP$`O^-OI)lJ&8ZMRb=x*?mc416%)H_o^7j$*cLTq_QIH(3Cy?C zc3*q4O5@nZY7WbVVQbZP2_#Q)KmJWwBK&>EjM@qIkw=dyD;0j*^*Ha`+-<At>+a=q z{STSSfAa9L7h%QaN{`D7jONN6HLAJ6B|E_~hr>2Ii-&u5&6|wR`_EiT6ReHtN|;=G z<=`{U8yomMF8|mxYxDaf``V&zPLbNfyFym@i7BtjuM@AcHGb7_{*da=wF`@LK3XS{ z-@CuRpIf(nOWSW}z5A19L<ZIW&*=J^9@YH)2-h~B>>kFPdwi^GKT1qn_%!>D`H{6u zC3bgKEiL&lZAH8+<K<;+$>Ir<H&?aKS?~VlxRU*)05-wp)7aOl{=as~{(;o><{KZS zwB~POi%)I8AQ>U{(%IX+t2!)cr@$=#<XMZq=>2VYuuT2r@3zI9+i&`n=xh-9TGn~# zq(kAC%QiDM*S@rtRPlWzcJPCShWD`~vl0!XOKVQN<8O<oy&0Ua@qM)Jwz{V7yS2NI z?yIcQ`gSC{=kDi|!Bg67<krn+cj}YrycpfNYva5*4|m&L{IV-(+T!OHOI@B`I9>C} z{7${GYt7tawzew-c;B;JRr^sTEvKsxqSxhj?3niFNMnT{sfq4VlD>>mi%&Rm_wGy+ zHs5q2%~dQZtL>UejqVL|$A)z`lb8J{yDKIp@YqLmyOM$3aq|@?4pt_fSrD4Mw0Gaq zkXIfaCTnxwvx%&icG|MX+5PM5k{^%1o%YyuWrl#kl@7r>VPR>BH;+Wzx*&bat|mV7 zd{cg@G?$%}aG>iiGnZl^wn%C17-?J4yu$_o8>)Aye3jwtn6W^|fw^X%?uGc}3mikE zn-<r;mAR<+tM<oSGrsS$-Unnvf8*QwA@W&tV!`zAI~&%8Y`AC0G3`N!efH|L)fqv8 zzkW=z=K3jeXtir}YruuP&QLCSt@YPt*CuY9`dInVL5q{Tdl;vmc;P2vcdNN~Ro`3l zo+*pJa5&o}-BYN%Zui<DwnyoI9nbXURJQ}nJCA?iwzQlgvF6dkGsp6Z8f5-wx{39- zUQHCNlHJcyk^I8y#>t`&hm{>Uj?I!exp>a*4+0N$^w>XIv58!KY^dG7<w1yhw%g}7 z=2cf6cjwn1cD6m`=)LmWM#U*#t}7Nht0|q`IB|)_<zT+VYl*$b=XJRh9l0N6|Aj5S zPwnQ8Rg9julh+>SIjx$$#*8P6%}>@!?VFD^|MBEsvbozUs&j0mnoO=ta>_{+b(;{h zdf6)5LJ`Yj+~;z+?0o(ww(seA7{GAJbL#oU`VW}g8a`^rd`<b(o3yd;;Rnx@o2l_H z-{^~Rz20_%De>gaZ%4xvcHb~w@n_YKGi%oE$WqW*xOcsIjry9y{fApqIl4b)H3{Z? zzihGj!Bn38oL2+RsfXBS^*mWxHg&D!8cV(Mw_lb#xcbgK#PqZ9k))Y=n{Hnd_R6vh z-1^nX+M20EYmManu(@ZGjIV#tNZQzRxBTrH-~COS!#2&@`R1R6o~QEdFzYl2<=a=5 zZZ<W&eu_(b)9cgQn6mEoe`?6y;-O&EICH0!mY%%L^_ClDhxV0RS}rl)p{~B>zum&) z;gj^&<mb3KWcRLUJCJ|&&huA)UVJeYcp{LZ=G3*`ZeD}lqlrGk7Z&IUg!FnGoN{Ld z+p|uOPw#q{^K`ZxU0l)qyI=iT`!mi*7Tf|$(~ELWSZFk!OYl)L5(qu$Q&Q;T-W>Ag zu#50==Ke<#SD0<3Hm5xKDtn^obN40LgsS$<a#H!_Ns>3BWZrA1m9lRQej<1)#nx#@ za%w=jVGJjWNqzhDqw54cmL2|Fb$nMEL(1L~rL#LE3lEqXOlfF%C0kpvcHX^5%l*|) zFMU!czr9_k;h2h32~TCE(s?Vn$NE>xjbC1Uae2E%{C1<~yVqR!`DNkMjTIA&+HS^b z?vSjh{wmD&>DnZB{%u9moKIVSo*y&Y{pmTGsmvvg#aU_2+vN20Pp6xe6xsay6l47T ziOR=|57y_d6??X4TDk6%?1VYa%O5c<ti1n%adzj66Vi&2@4U;3c5c*64Vvv(DYp1s zu-SsrW$$y33Ww#&Z2P)OKqKpv&g)y(X0!judL_VT(3<tc(Pm*lt3vUvbX#urBYxp) znQt+C{_<wmd4(*2b@$m0`01aoy2du;YRTPed&@8VxwyR7&1p(*QucLE{|tkfv$hDg zt}L0neAUc8sZjaQd2iy2ShUpSXJ&Rtvl#i76~`zYS?;yth`Nff@yc_da}HhZW)1a9 z(d5|kw1085h3|IL1t+IUEZ!Sc^s92hjwiRmMHYYaex!7?Udci~<xK>i+VaLZ+MjsD zSmYNTJGv<I2#alzSiwC#{yi_{-d_uSS7v_O*SzZPF3<dXdWQ?mWBs@1&DtHipk(FU zFVAo7Vz^OuQatCf^17X_PR^3?s|&W~y43TnpUmuGTshfe;e<xrn@pj<=3P*hOi}b% zHfv^5*yMQiM<F|otg+X--ZHDG(d<OMOd_MvWE+*<jz6ytU)9m)Ww@`AI&q!R%&i&s z_Rlw%btPgkm%>)Ic^zB$j>l^F%rF!@(qkk4&C)zW?&`5t!_W<$d)Rvtr#48Y@H%*y zWN#{a)*cjW{pZui2)XwQ@ABmHaV7rws`xaSo8#%6JrgE~^VRS#;$v>fd^F2(Z`vFW zhn;5}CmV1Y1+HzoD%HAXg<w5v+k)r~VHP!A^WO>hX>3)`uvvVsV!mZj6wkStk(TRN zrQ#TlZ=Kv3<EtyO^Gn<lqX{QOjVvZ+e|qh<F4Rpg=C`ZfWg$1W#Vym9e7&}$mN)-U zh2^ch*+rXYR;!v{{(ZxZ;V<{Z7j;bap11NP6LSkxpG;r;)y?z!**o6FtE(<=+i|wp z?Kh|R<SjdScUU)jBpAAPODEm&HU2WI=yUC*T|L&0+0waA9NxF`dv#fwW!L_GHv8(E zCv#)J>TC+q3pyYqw&2t1>x<6Jv)DT!^u6ZSUWeNIu3ydir|img-MiRZ_RzOD?~EkJ zWzUZZEYDWD$!!?%b<$Ej^Rm~I_a57oa>KUQR(|d5Ez$|G>tlA_h<&HC>t3ZtxcB$N z%T|bc?Pc?o7vaynbX(W<`OKFoFH7HqzncHr-{QW=-jv>dRxfQIFWp-|rRsF!-m4Sp z=6<Ph*=yYVe`@<uaiedsU%$Mpol`DhZkf+@J>YJLSM9Xh#eV!x=Wah)&lkR8ournR z<PpXtS8Ca3^=*i8`dRbRC-AsD|JG2m#a4UD_*R)Oxng->%9h|zzj~8h+Nr7Q)#6SD z-nG;yHQx8C+^0$>Wc}jxCXAWxp&{SDh3kA3(%R3T?I0%4V8dvc>%y$Y_h56QgCgsJ zB0rV=*Mgp}i*Ak+SmE6`$>*T&uI~=#{r0fh-U;Q}vMbQF-fx$^>5sMkf1W0u->AZH zCDDda;Eq-10ax=6#|(aKX%0TSNbBa)yMM2;mK0ep?5x!d^Gmbv`(5{LjZ3WIs}~y! z|4$8G_PzFd?Zk(h`8r%?nolg~Ie-1{mDa5x%w_A=>h0L-Y!>|dPRaJy8c#Vbl3w_3 zTT|#S{v^9{m)))0^t>oZuNBc6dsDA=o_^W;YVVcRj1DEGKVSY`a-XBm(<r2zBdAue zv~R_|z86d6!r9qeV$JhUOk%99%ecB?pSqmVqP!Qqe5;}h^Cof3&tnt+`bymBj%)70 zmr3^ePu@@T`EDQE&Z#1P$Z~G`eDj!7(f<X`e%by-=eb&~h3!MlKVNLG`@gTY3$`h? zlc_!*eUb6f?u=U#POz&-yb5u>?msy}Jt(ZYaFvE>f_PC}<XgL4OA=qME1!^b=5$!l z!u~J856gBH^oQsjyR%_q<x-jHkFM$7nD_Hq@0#*A%cmc>s%!e5efzz*SG)8jL(KE8 zMs7VSU01cLq`zoa{j%~C%hN4nxkB_SUuPDsRL*>VWchX7Qm5Bj{m+NbRolxLsK2D# zYp%reYP-vqZ$`hm^7nH0)8yb~w>g4e*(|xdI&a0j*Dj^Yi>`~_>k9Ih-zXQfGWck5 z_SLOS4qwj+evSC%x#R1SU0${i;@$^uEsvb`>t#ahc?a)#E1s0BjxKk&vew&HXwkb% zn}5gL`uyc>Vg8S=b2Cf6pPhR(&M8D(?Ek9$JzwvsZs#pOuJbiw``);wPfM0hue!49 zT;;cSzhYNtmx_FywW)rCTth6QSi^ysm9za9#jU#X^kDR>S!+&emU?zq-LLg9e)RHO zc|n=>^sMHBUCXb`RD6}~ZF|(>#o8mC@@uX*AO38yWG-Lw?W_{B{}XnwK1qJyf4`t= zmG$qEtrmMLt7ku0-?TG(vH#C691lzOcj<<_nm6@4tE6Q^dBv$$Y-<-rtay6P?b_7C zY62523~sqDV7#@>+4)Gw<9|m_$<LhX^G7?a(?fGj(1HF+&uvo4_aZJOJ)QM-Wuf(X z9Xltl(rwaBteiZHT~EgC-#U4<P|+r1u_ZG<we}SqP(8NIH*3)+onyM`G5d}`N-EG0 zU_ZyR{d}Zv?DSW<kzN~LcRgA2P+?+_eO$H3Q+?BSCKtmLLzYLC8z~pRZ27w6P{XR3 z8X56d7H?2$>E=#7t(NTN;<?o8=9&4%+XMd?>$WqR?Oj^>e9eKNjrW~Qv^Z+kojPgk zvZA#>V@<=sRf~JWH-zqXTCyy0!PcvX<G8oaxOMN}@}t2D>AQH0G8WIiy5`iiSqyGl zV;Q+p*_WyYarrM`Rr{M*z?1&fPg9PMVVg*xfN0aYouR!mr-zF~FFImA$35L|_eZAZ z92=NJY^qM%xVUN=XDyii?pWx7LwnSA_Dy86-9I&?ao+yBZl{BmtiJP9_Azsb+Nn#E z%pNYBTy5N<$XKAGZM9uivQ5Ru{gtTr5AjpQ$$Y0TXw3R}++>Q?w3i-<Y|l2YG%|Rc z^wNcQDd&!;9l=kxZw=@V%bgz5zI<KrGRdN(N42|Bw}{HWl-?nFvf}9`!IngM$y@2U zeK#zo9Mt)jxx4zd@LH*ciOkL{8&0Kvdmld0b?+k)_p_U_51yJOmELpfH$&pp=w;86 z_jKp8?h$XlVAy`Sexh$=rQv3WxG>jK!m~czZ``1l`C9d$sjKAH*pm^CM}>L$xo2Et zTcgo1D}rY`S3Bzzztg!N!&g50?*6I#zAa<P^4o7aD_2``EMw<8RuVk-=j&xtXGOng z`Te-$k?upE`1?0Sm;NrBsLPYnQ}-p@qEhdbq>EgJt-JXY#$LaAAA_{;6{>q~?OwXl zvDNC&PwOxPmg^@LC4Sv2q&`<m^i}F+M>BcfA5mul3|IYUt(J;BzTsHLA-!d6HHn4q zlvZq7dZ=hBckQI7veHXU->rEmzd7yii$8w0DsQ#U?LN0nJ4a<nXo_3BWk=AA_1BJg z1~1V*m8lr(lwUDvW@WL8&3msWa`V`nzHbv+5$5gNJbTk*+0>{Ve@d6zx&J>GbLO3I ztdSef=V_;=Yn_QRb#FgXs2F!jHLqo><0I>BTyFRGMkQ?e|IFb^f~Iy=os&oR%m#n? zyX_%*8(eq1U&sDy-Lt|eXXS-6erj-@{4coqqi#{;toT4Pvxg^l|60_vbhXC9UstMv zDmq-{;~(8-fA}XNjn`)1hg09%W+Y`;yDiGg=a~5QyKqa>8#krR9|ErJP*}L^#>zEy z6E-dl70Iy8=~lP<=JP{XvC(F=(yy1nf8NYj==|rS8+1u;!s}@!8!v>NkhGjNl}%pE z$KJs4+C<mmN$X|PGVLUqxsP13nieq0XT|}|``yQymdZX?we|R4aL<Eh&X(O1UrbrJ z>4cOM+srJjshxfEoECm+Js6UwyQJcM4A*SoSwbu49h)e9?#DEz0PVF3Up`j)yeMd~ ze0;a>#*8Tko7-5Xy3|h8n#s<|xa@#>*OEJ}-@SWETBa}xU3J;B*t&FisgAD7s)b+V z=3e(^(%z;Rz3k<Ek0y@e&ek{5X87wIQ&Nr4Jd}GlCt}Yhq1ICd`%F{rFnVA5^z%gQ zk%Nu?r-B}xlG`TJ6E|mB#F@E0jq7{z>@Gf<E3kal`jEmGf|7oRR|{$OPb^w{Wz(%! zQ{?|?RyKE;=enpFIXnvb<sR@e-1GUYzA0=|na@ssP^np3@+8K|>+SPd9IyUmZR%S) z$4T>=bVE5yYv<OPPcA6A#wcj6<PMNfEOb=c=<v|7`_<zK0+*EI<xVp!7Us8XiC7ys z(|=K%wMTV;`_kuIUz~V2?QN>}qoAlIXC|Hq6zR;`wfZE3ve0ARWiPv5==JHV{^_() zxBGReUa3DiXT>BAIVV{;Z>{_j8xq6ZZu0O87*FU(5p~Vt*Zy=rSzzhUB}%JR7hPM@ z{5J1lYZAYfxV4~&c>UHD>gHSw9bsI>=H@~iUhGU;Hn7Ica5WGP5>QBcx`a7ZZ>7`f z9>L%Gn+l$-o{?FTbA@FULrcw#AokdR{3892za#1jcxqw`&e~=*`3qQ?ERnnY_y2$9 zQvat?=O0{Ly(&$2t>jI&OFD|P1%%!R<qH23I{Hq*@51Ey_3=gL&u_17IPvkca<bhC z_kCRwpAXL9KUQIIjM=C+{LR9@E<&8`{1Z-_KHGGDc1c~-rwMOcw{4wt`1#DY`3#ZA z{8!trUw$_?-PPP>xlc&?q+O?G3YOeCTXyHo?Wr2K&!=s@wdnLBF%#au9btlN4scA$ z@R+mEz38MgZ^!x@xfw1Y3y+?^XlGz~<agtrMV`U;yJWAPK0R4ESZU|nuD?$ULf<y$ zxa6;B7T9!S<CQB9PRwYQPf-+`YnJ=LYNPn0e*MK^KZ<VczU?kATDmLk<A=@F@0VYk z?N|4UrBplo@bkBe-=FB=wB*%qne)U+)<;pa<ycC+b<E5so>sefm|v{-VGEjm`ku)j zxf2@ueqG#|ne#aRvo4pUPV1hp>vnlJX&hOUf5Ix}My&gfxQ*gXd`&%3KWCeM=FGah zLpdP7sdD*`2`>)zT)*6J_D<#RO3i3B#!{^(E4$~#$nEa>`lyKgp}`rh1?s65PcQ!b zWc(`R)%y<Zi|fj?eHTcWO#8L_k)GIoz0}|<d`GtMhF_lTXZPk?V|z<Kr`idx9BGFi z-;E>G7YE*Hm=LHhZZ=y-F(g&N>Cw@3tIy1L%H}@6x!36AMSZK+x7W`LU-$89{4(L) zop)3}s@?59>+~}{K~N`evgx((bjzlWLlcAJe%^Xhl)IV7urTN8n}9AUZsrLB;aU^J z5?S_i`5XP*rQCb5KihBGB#T#9+~p-gde_uTUHGx`$Yh^=w|*oYQC*x>Ul4in_i>|@ zGtM34*tN=O0aJA2F`hrM_bkeE8d-`yL~q=ew5!#3nvjS~_ccYvCWF5nmu4<hT%DG2 zLgs+UzF*m<j%A<RK88-<l{U+{!I3t9zN4;|Q-r@ryx`ogy(+I36i(b9q^?$9##|cF zp7d$Pj!Ug`o@C8FIl2CdVQ+H5E}^%l^Vsh!m~hcRUC;9N*$|<9h7S%ed9BlRJwh^P z$xqH_ZtGV2ER?fakom$>&0TG0!5ht@v+-(K3k!Sq+vOkD`|@kmpUx}Qy`6t*@2Bj3 z#mvu{yxH@f!wjc9spUVsZ8l4@$4{IpefffBw#JFbd{fI~;a}1PgO&%>UtF_+dCO_V zzOw8OpEJ%*_j&SKN?&s2jI@;p<{##fJDJDxX<zn2t_1tIeP+8K^>S$B)o-@DR@3BG za_Y<USKYUArpQ{J*nZd4D8Rb4asR3EtJ>cd32MG!H~Xq|?V<11^6R0>A^{BF&E6b; zXS8fWhu><CJ-au*5{aF<+mKf@SWURKW5pCH2MK}uO|oy}3e8`flyuiS`0)U9f|UDT z(ZV}-%eLN>&Ftx0yodGm?$c*?#~cZ3u6#O)yYLOemIG@dpExDU)<0FaFLNtMC`n5E zr}o#dp6pk?Q~dO;e@ZU>>!_M=;Q28Pt|toFD^eFEaqC^`i&|>9%WGSkSZ!v{*Y9uM zwf}Fq7ZbK<yQ+QV#@}qw=L2RP)BhJY_eSj_y<gALH!GbxV>R()XKZLqk6gx{1NXo9 z=pN{4yQ%miyhK`RYsP|r-j`ZgPbH>G&zXFAzPHmNmZ&-Qw<Hypxdgp3IU4`y`q#h7 z0WV)o-rp|ud*S+z*W#D$7B+hIl&^Kp`+yS;hfI1~|ID>2JU(~HcGG1h%~DL_H_jfL zyF`1c%}<u4)wgtR8+E!Y*Z9X3dvk7?D0}=whEHPiXNY(%P<kbP<dw^66Sp*@Yu4=& zmv_8=f4)=qLc@d8iTaB|*Qo@B%u-lXZ(^}<?MX|Pnb|i|mOi||J7LAno~#e%({D=` z%KTpu{AFvd@ID!nwvXm7+_~7EE7aaSz+pC{`WnwO^Ocv^?DtcjcJT1(%`%R25>9YA zmVJ8X_VMcxX+cSm>@{Bn`5xO@K0cu;UiP?R)-ti#t~y=~a?bW;;feN3<V@xz3NY?G zGSPWUk<HA<XG5Y=Gkm^<zm(wPkP|BG%Rk)bD)MT<N5Pfbqr9ah4z4R(uXxR5->>Ya z(`K$pS<b)v<d4*o8q@V>`~E$UGR1rLuhx@i=evrU_%T0zvTnb<_r9|K5+PNWxGyyI zYzuRA>t1K&y4dO>`#g)|@`3t?l$EqHt}QXU?esyzK!0&wV}{YMZ?k1rNB9UTv^a&T zUbd(!+GZV_#eCY~PsibN91cbeDVsiKo3pt%7)gFDntprtpXTKoC+zogzdB*ZrDJoK zC{NsTBlhX^i}r3)n!d8Geymv{Ik(z;wTWTQ!xr8BW%&s;{|gm^orOd%TUdDQ*}eJQ zzo&~<r(}67Vw}Thb*yM%;ft1IrvHrY+kKeu<72<K+xkCeryUi%Y?anAFQhPuEokA! zoA>{iy*Xbsf5$D~Cx2%v82?v0;iPjajp=*V_S?}J+r@kjZ2Te@GE2d}^7Iog6+y$T z4nN8lW!cY;-Ce-kk;J8YPWpJ>n{`P~-pDLjFa0Oy+KXv=ffp(o_a6~n{NoMJ-7_-| z76u2UFY>A@d%Tsy<k_$2uTKx9g>r;wI4vlYig<piJ!<Zqe}57K`QkQYOkICAjaMtS zwn%xBscMknTP2;ve>zLPzRlX45wXO9`7HNSh5s)$U5h@pTl&~t1yg%Zo0BOSDL!vo zRu%~zRqyagma3m<f43o^XzTm@o)0HFwwn0;-;*LypM5Mv<kZBoPCs8ynppGJPN`J! zRh#aAHyPXfZq}nQdw;h0xGk*7-S%8`Te-|V+k3K^Jr|V9Ua&Uthd;eG;bf-!bo<Gt zOw4{qFD(+deB<c-M}50Bq{NIk#6EO7D}QZ1X7KM{hB>?I`$zuaJGc_&2Jd=hKK){! z*54;aL91Ckr{0eN70X+1pH^Sd!My+9GeK2H=BLLlr(N98axAWX{=A%`BrdimWeRH( zC7hEt?w7t7Q}Xkrx7*^=>Dh88_C9N@IdZ8nx8nCy_b*e={VLt^JpGkJ^0NI-;ZOgi zo!nP<*0JbxN>%nuPdQ%6xkZm73}d~xFZ?(XzhwR0;wui^pC<2T|D+yRF7i;4-`~hm zu;tFzJaf(y_D)-wdV?KIf>Oh8H*R55<nG=0&u#D8!Yi*|9?IkCu&-jQnOs}PCU}u| z&yyAUkw<pVev@};s@FTU2^a029DJ^7K7V?R$)8I**bZ9!G{5y@^E{vFvlx35|L|7b ze*0DB$V!hRYgvD5?!H~DBXu}=VNKGjC(0Ykw@0w6mvF7hJmJ+l-*HCXn`yhOA06ur zIi%byFn8~bwaqK9PEtL1aqG$%)@~MS)OI~i3*F$}y(LOb>k3!z?!zn*UrS~&Ejk%h z`Sb9_Jia=yy~p2Ve-G%2x_wkMg5z%q^P@9s%6rz|wZ72sqwDq*k7K)!`PW;@lqXl3 zzy5mVyQ=xeNr5`{X0y4Doy?e)ymDpWOEb|s3hTK~Xv|<uIkQxEpK08K{HjHB1;xuw zJ95=c_|0DGFwbs#OjEz^_X_VPIlEMJ$^?^>x;0Cd6<T>X>3s@+wf4r;en+9A--j2m z3p_Gue(&veH!sKR>F-Gw^<=8E9-lj(Hu>4Twv(oHy1BoLT^1LZ9+lmlx<I#g;lFPm z=c;V$IrcYwh0ts{2e#Gbb7Lf*Wp93YiKBP#Kfk|k_BnWmRh6eNP~_~ryw4~S)Ta4p zbSv;n`o&vLk2mf2FyHoc<7x@ssdpT2YIg6>soQkYgo(8{zQf&MzJKHf%lRoqYqma? zD43*Qw*1G*rn5WCQZ^gjn3KKgn)dFUvo6KGdAG3Upwrf?R|HoosufJ}C`u~lRpVC> zk9c+J^~vTnrZ*hpvWilA1<Qey1FM#A-riMxoWh-~eA|uYd2@W~E8GO}KJ(YUnJj zBPScVjkvfsO=6BzFMBTWr?9;5;QtP%#%e9~J{ilRCr^Z%d;BcdJzVs{R8^drMPP<O zB{MtUWcl668!Q<$1w_uU=S<!_!^QFXy<<OSKX?xU`fl=8H{8|s_xrfr{xb38$^B~{ z`yM}^C)TXOGtD64Py%PO>XYINjFqp?eoZ##Hd9EEYUDgIx1r~er-R+hJ!>aN-dH&~ z^Tx*H<9>GjD~>iyuGz%-U^chj0>K;NDN}eaoSi){eqMaszitzz-$z9>^1hrlxw7J9 z?cye%!%W;o&Y8gu7CGnCXHGe(^XtQ}51)RTH>^qSoqZ?eZF=9q^^yl>9W)hLvrs{u z)%ex7EjLTfG8o-@Cf0n`vcXIwBc!RgNWjr@CP#Kbi%fDrOV1%m5k>X+IiEILv&kIf zzu@S||B2Q1M255@f00nv+@4di`?nh2n3HGoLt$~Fy|sJ7@sk?2*?+R%o_coE-dr=b z*~S~#?Il<e1y~MR-SptG@HB7CHL{Ypp?1IP#$>|>EL?1xI8#15e_%Rj-jrX&eduI# zQ{fGkmnn)(rADC-q%8`H{&!s3s+ll5howMZ18>6YJ0{outPPxO|M-1h;~~w-lj}2# zs+Rix-j||M&tqXf+gEO8@(266H+_O0jtLJE-W@pD^N3UCjQWFFIc65>$0e2+d#KNE zD%f;0TE+TN(SqjM_)lA>$iDS+w0XC$X;xI1(2e-rPakOb&o=vzdon)YK#EwR(J3__ zyY-90wxx)8&;FEoa>Z1c#=qOAr@nY9Q(s+QZS+s3ocXrLRKXJ++vd&B-{q9NC75sS zx&(_oqQ5uRr72wF>3w)H&m`{MpVE__`PnsZ-KIv^bSys7pD}gy*?F}ePM>!EVicq= zZ2D4!X`%T=gQ$GT@QE(YVrP36DEOp!M*A#kU$miF>df*uBdzZu+><!gU#NJcf8{#w zqfH-mZ(O%owE9I<x2woyt{9Q}oKL2`7X$ga7*rXiDDY4B%srLLd$~mI`uQ|LX~)NM z^;4??jD9U=SM9I3ty{WOTs8is<H@kFBkP_#e0b*Fa-rbzrJLg3q#aQAIi2Ww()8|M z?aA$b4y360mbcGHc)4)S?MVx_Jejw7($;&QE^WMWWmiez>H9f1Hno2`^-iTQMNWo6 zsL5Y%vE+x7PZwS5yqhHRW789{ny63xcP8z>trLIq@8Pr2|1V59nOgp~s6Td{;PR(O z(jqw&GntCYk8ITYvGPf2O8L}6zPGu&Y4Wjg3*LMCZT%&8BB?gPh+U~5N#@71PuzAp z&+J=%e8Os-Jj)Z&Vhg`dyV$WN)zBoss9k;fjFXeA@0dPz3Y*oaxHz~k^;zqk6t=cM zvoaMI=aws_DEa%JND@9F`_AQr;R2VRw{ip)na!W?=xo4|%@Y#7jCZ#0^cGj{GaVTY zZf{K#_}K*~Oy1L|GkKOoYHOo2*8vVAtEV}eH6P5J!!+-tH){sZll+eLcO^yc-}O>S zT+OEcvfE#vkheo9Q<ra5xs-u^IpeKV5uSutIi_o=%8AlUeM0#M>u&9se8pUKabaD- z&)3hb!ZyF~dmQqiImY=y^O-$GCohWTyVSTEbIh6C-B?`I>tJ<LeS#lf(51{@?2dN- z94A;VaWn4?Hs?NZ$S6;0!sPt6LS?70t_c&v7Kv<_uRS^DZQri$TR$xyN$4$(Sd|j; z_EcK1y^iEvq3OTV{gTD&?;7v!l#!h=`Rt1)TI@Uec6Y0_$a%=_<Tkz0*q@xLu<hKM zpJHzgy<4|A^X<&&m-m=+wb?u`ca~h+9v^f~K)}TB{F(`ke`E_)xh3DO_;iL#GIMIS z%#-yU^0{|>lS^ctelOH9-MU?GS%Xl@7Vr3TwUQk(;x;t4y-_rc5^P}KzCG<CcW<z< z$rHu;UjI`EvaB|WJN@8$D<%B%_rH!^wYg!h-v!A>hh0*A{p0hb>Gg3%LA$^yHhmt$ z!-i?|&pzAnx;S;a&{m@*VQ1$4xx6m%!?io9st$X5oEXoXULmb8YYyYZ6oo{?^ZolB z-(S3Rw8Vh%rq2eyd4@L}{R+Qr-W}<(?BkVq4SSuP#{}$kZnnh7oU1F8%$RXXaNXap zrzctNVfR-1{kZ7b)rbDdVp7L{aI05tnav~^UwlWlhVAI)V+*HQENx7SpV4#d=hTxL zT|XW^U1ua&`+4_i-~GE|zAU*MqOT`B@wB_K=@aD&y_EIq1C`di+chE3R{WDd9QV$T zQ77CFoAf6CX`TFMQ_Gy@mL9%I6Y6zLx9WJjkxBT@u$%o!UC^;;spCPxt1r3!2|jXB zutci=@7mqBa;ntYYS^6J-uEqCrnWTNC_UkXn>ttjxg!%C1#U~t{+qjtQ*m+5|9<h= zF_BNQ%qQ+vaXWEl{t=_z;637-1eP!2e8|yT_$RiiJe^m|_Gh&Wx95>X$@fy^#8VcS z&zG@&Dp<>UL}7h{#1nl7i%1R*_5A^J5B=c&vQ^(Z?tY!@+kLyTuP#?z96m3{?Cs}C z^Z&G5`nE?a{;@*6Ysduk#Zn;?)a7G)A8D_8(8}|qH_9)Nk^AS7jaRNbJF%|p`}vFO zKK3uzZz#FcdgD!z_wP!2W7Rgb`KURzzdx`g@za+tm)>nV9(?cGkF6_G7ffk8mQY_m z?@ijr#$yE?oO`u?w!}rV73!FpT8hRu&snJ`yfE;zUB;CnfgsTXv3$ytr`MG1^qe2- zS6F&RZ*i@C|DOvfTTT^jUMU~vcJ<dAqnjIF8Essz$GtE>%KvYy)$LjVt+~fC>gW1> zDcpXZm-A<aKugaxNft%!yAvi{)XQ@_;bgA-L|H`N`!I`1-UI#5hw`ismz&?b%XfOo zHN|5O4_Rv6y_!~kXX~f1qZ2dp<=?MQ6AWMOSO0vTne_C=mLqx|;mc~j7JgB_DZ;hs z*JfwITn0fQf1}D>kJmkzc&*dh@5`))Yitq%fvPf2$s+Zw_sR}8IIWtn|6Wjd<||=y z`LG20(^dE0#3__I?G&ioFLpI<MuxW1RThts{@wa<JPI97uC={q|C!xyl8sg4HQ$iv z@?=Le@9BwW!z#Pnc5b}6W_wJ@<HLC&FD00YZ|ZPQOB0NHyx!6yzE^#jx2%cU=MQq5 z)OQEo2|eX>aL$b!W?#AT#v@Tzc4*mnO$tbLdH?*v%oyAJ<$@KjJU?x|?UnDrI8*zB z-@HO4E=||(Z%v*aQrjPMBSkIdMvmv?ySkZ1S*qO(o49r{r-+xSq=>ukUK*1trgM}{ zL2=&P6+d<#tXt6%Q$G8`GMx$g-mcr&=JvJGf5HTI)0#80{7+T<YSwI7bXmT>d7nv9 zQhAiLJF}PEw%*Lh-N(}Ei*NL&>b-kpwoIVgiQ&zA{%(GgHfNs|4vV>*o(Q+3d@lMC zv+~J{&b<Of8zSU&x6TYIyrWy5xcS&ZKB@0NX4|~W`#sy%nv3~`hl2gIy@6l$SX#w? z{NCGPd~k)VMaG{;`oGUDa%N|=y)wZia_*-e+*^)b`CP^>Wq6tCW}xb%xyO>~Ep1ks zm#E(A^qw}ycls8SXTNTLIbU({XyNDdi2~LNu66!<J)f&|`CsVuYYm93G~Zo%{gvnX z?eY0#nyjy+ZvUuO*E}hG!idv&!Nv*uL-G&*TcgZekosNh^3=?zeP*-uL_acbSkz~% zacu5S7QtY_rg?J`zdObiDfT^9Ja+lfp29Z~om)2L@A+pZn_Q{=Em`*MH_k)lQpO*y zKhOAnze9a@BFoa14)5A#d=&K*opa~2uwPx|-ecT<eEru1m!wV-p8bV?>M?(_OA?*6 zZ{4Prl~lQKy;(ld#4SB(Z>C44*hSO6kK%lD=ln5ro8;$q_l@0&6|-eIW@fNEeRH^z zTpV|EZnA*yzv@#5p6s}7&u_5g=<%EHWXjq9FB4v)rMs`}c!G1ft>3g40!u%3?RW4$ z_2bnP_vhX}roAW;cyUrqec6r;)yy1;ADh{pY-gP5$!v7$`{lnIX7kLjmVC<4%^Yca zt9KFKoyHys%U6dYoE|HN`&Y@@@7!?PLM3O<hNn|qJZ$ncEpL5Zl)1-2Wd7WTbMDx0 zw@Ur>I<EH9_aCdaZx27l=ebLy!B0BFuG8tH_q>l=q~FD>E#=GTF=Fs{V`X4hn;-G) zQ{{rS#*$MnuTwUe_lx`Mwqxf_zD8_l*7=sMu!UvegUUOy<x4(zL_AU8ovFZK`PcK1 z%X0sE%RkQXat|MERzCjZ^s<He;cr?kieI1Tj0sdecjndSNz5Of&X+PRnqYrD-sRNg zw8d|FeiR**7K~T26nwP2@kvFKy<pa&9}L^;)^1m4VKub~a%Pr%b}TLYO>4!wWuKI8 zO%<+ITo+}ixVR`{(T}ckcYbZ{;7Bs~I!{<(%a<RQ8kJ{1UA0T-?I{EGZ%-b`?_Qn4 zC{(7z!n@Bb=jdH?r8^fEDOO5-{=r=Ws*TLQ?K#ZG!Dt<0sut<Y(Ot1))xJ5|5#ATi zNgZ7M?YYlmvHGoc6(=Jj7CD^Mk~B<_Vt?@dQ~RV~mrjLKT8~e>lhcpTSiN$mqS_>x zOsT5GCLPT;b02ZNI(20dk3yh*S-7TBs_U6odGmzJ9Wxpqu3F2p^6$$n?@a&7R{cKU z{OGuryHudk%nK1G?rX1Dzc}&q`h*85kEh;sUsB+s``74J!rfl2M?0dKI8V0Tb$I9I zs~cMRYG>1{kCQ4h3a?cdKX6XYX!3f&ASHTj)|C#kwM)(CbKFl|uJey8bi)3=r|Wo% zOW9?uoEHnvo%VH3XIAB=oBGEcUG^M5KJl*2k{>LLW^%tzyB`;C<M(;i_<h=w-0wGT z#>a&fJ?hbB*OXY&&}1s|r1$FHxpya?^m=#d&eWUIhpTVDiM$(e_k7y<cZ<puJ1mU3 z+wb0(J8Pry_ML`OQ4-dxv$H?V|FQe{xjWB}oqM;aMACZoVrgrT;EUwb?hz8JtF5h* z58a52jh?KOT#=t%n&xrB^N-4h$qG$tp4hKeHZEgZVSbp0GxCI_h|vV?-N(-zJNM(p zv2({h^v$+iJ-K=R8#$02K}$~DxOr=)m{C>gn>TsBAScf+jPIMfQ+8)y@BN#1XHU|$ z$(yk7aiMc(-^R^WCOc0~b?w_-d8feI@Ti2`l+9Lp;b$1!49d#3r}Z#L96R@F!JM^! zJDwbWw{f$nN_tem?~nO=Z`g)?U-snGyN$c#XWQ;>uKqM_=RKvJpYqCl&dgr(<nX(V zR)z)keoq<?vKAaa`)P+^*|%vd%{gU{W^<o=_pImY+`E+rjy^s%YpP^H_LZKwtWgGK z$C%$|Y&L%-w`1bz`)M1_&f2(n*LIcovi`Y08zomC)_z=O)Al`ib9!k(L~^=K-j%JU zmhYZ5mM_jb%V4zY+m^^3+SOInsmIQ}x;N>L#*@?UHf~l)F3pSkG;#i$qwfs&?5vq~ zwD)-L?)^L0JURYu^X|G_%ahU^F?zc<Rlnge&^O<?=|rb`-|pkD7R<T3_iXP&Tieyq z)`e{o^>-ituwl;GoxN*Ig=N#r{b$$CF220*@v(P?d$w)fwM|X^*tta_`(;*FS3fA* za&!BRjRME-=D3?#hg~l#doGsYXjgP3Z_PX3lhg0oOfbK4XI;(BHxYAV<}gQ|dnfaN zd-7?&H_r+m>z<r?H@U*xtU7GFX<3>0@oy=clhe!f&30~#J}x15CvuW*L{3%orXCBq ziuY;9U&V!ddh9041&X%3H=r<hr+c!~Xx^J=jo%;j?%J+W6}?G7Ie%vR)SgGK0;=kT zlMC;hWqzN&+5A=C)iePoFP4B$y&5m0=Iv3@ZQ8o)F~^l6L;ti%dJkDkyPo7<?YtXR zKK)*el82MqN}1egr=*%(-8X#v?sw_FtZ5SSV!5d@kG(c+zZ>P9o@Kz-TQE=Tc{opp z`8|twaUb1W*p5osIoi+7`^&o9I^vMn4Y3)r*3k_G42BFx7VyN)<Y~L!zWplOy4TG7 zRwq{6KW|y`>_lbp!P(q85=#;$FdgLlr}iUw@$}`>+n23=e@@@;-Xvl5=93eH9q(;g z(8QD0$eQy>Owz#7asQsB$Ml<522Q?c7M)OArraUW6dmU&agdi&p@xBpBmcpkMrQTJ zxoo$2wq+V0oW*%<&Bfc7f8Tz6>0$V?)$bea3}UUm+>2{?$SRTW;?yEn?{M*3ZeHQx z(;vtjw1~B0(q>q)poh=FEc;*4^jZ7YIQPcO&9X5`KXGH?$JL8}JAFTTdd?l0_?YQ^ z#wI0GFD5Q))srp%sInm3wf~eXXSwo?#)R*UbJ>$$^(-__>yxlZy4SH&VR2o=m0fqX zNa{3)KhxuxVUQsA;!^jb?PY3hM(J@I9zW=dpU!74U&4Fo<)+z9+kVb|kl)1l>C2}t zUryYaxO4a7>V|Xg8}(#!cfE7i;e8;M$>^4wzi+;8zAyW&W%=EFcH4V?t(;K0WI~{g z$On%TRv)}htdNc4EGvEU=EluV#|ga(vmH$9vi_)SI2~JB7U_O>hMsIi#I7H=eyq6S z;LK}s=7PH8d={Y@od?d$;@DO4r}yQj$B(WrUCqCa-&_3tlr_!0{cE-T+xAF)N_%ms zu&q_kSkNH3NmAJ8*0I(-w<c~>E}ryr@#o3em#<#G7QS@7*Y!Bwnyi|vO1&p~MpmDm zJbh_p+-R)Muqbn~Q}}}TYl0e%3GvhU4sbA>tvDGQTT=4yQmg7Y{&m^?ZF?oZrMx(m zt@!#xvSNN~&o57f^{EUefBd}@_4&ZH`*-Hw5t|WzJ8b5@tvt)71@191O}Vn3`@*{J zGuP8fZ`5|4wtBN~Tcft(`htWaJ_`fMSO%FHbAl=ZHfL~WerlV4S3~;Xo`;#{rx<K@ zdhfloFj&R6S-K%M(<Q%y*Wc~_jaja`UahZw$jR5{|7`iRIZ`HkkFnzf9_{9`qM{3a zdWs>LGn%TRn~%k_NiAy7t-IG1`_-}i+-&ob7I#gnzaOvL8oxfiX?a}mf6i^Z3jd@o zJJh}1Hu0E;Z6WW)tD2YNzn|5Qyw~w#mgB~^I^OU0>-=2dd%a^%#06Djp9O`t*r!V# zm^3|n_tjtbie|MveJ6FCanb9_*bJVp-{W_`=D5G^2KPs~&GO=9g`!vaEUavqzAn0c z`<=$VMUOS}1SVwvkzCQywb0N;?#SeJkGitc1x}B*^4nMa%#3+^&f$;}N9Nnx8y3se zd~js>G<ko!5NGXU#x0N5ahR&C^I23TvC#15eZKda)<Lfiy<21Dnx6FWXsV(*)5684 z?RiSNmNL(HoHpIFQp*4DR4Zd{AGhg$-ppILqe1SJdGD30t`23>^#m^q)Um`?mQL5q z$(XYIWB>klQ}1TR?|-Hs+nJ)DKKI0v^zSjdLYyA6{WD8s(XA{u=DfRb!bQImA?fzv z3=2!#&7>C}Y0sFsTcoETtz7Gg)-DOvKC!K=iB7w{OVz?I_nM!0r*?2cw|(p)Q{Q>~ z_GRk>Tk2XKGSB(t)V}@hE3R@|hn?A_ikD8UdRF(g@1fV)19w;Pbk$_<bekXTwzs14 z)*2qiYPa}n3QH~(m<vBxZgu#sCU4Y!9m%t&uBJ`wNMhQsDDI0@-y?0Sck?dA?c5Y; z7(V;${6`mBt)ySfU1AlYS$jb0x!udJOPE!Kem7mce0%-syOGUa@uAyISqgtR#n>sD zyZ64f{<UE@PgR5cPMa@F>wB~rB$q69iRx6jk}&T?l8;zb@!2@H?J_HumK}KDeId&2 z`;TjzE>29heLwS<!9S+GKf|YA+_Cwr)7`h*6Czkxq#pm+{UvRCuZdf8X;97EIJKRo zZNF~YFACK-5!uw#D5}ueR`To1^uxCmlvqAr@1NHAi=lVbT#0YjpRQS5W@Iw&m-g1} z+n+Cf|KijZ^@BE?PyO{D&sVA_@hY|XogDD;w6eY2&rUbbX(uj6tZ9~;+H-90jYsKI z>^X}5H7hXlMp`i6cu@N1iM#Uqg$ispUw9|8{rk12g2_MZ_!7e<7k)7A+v@XWoAsOX zQEHss8Lyh^wC0}tx;av0*~jbmZ5Bxwaiz6Cm^j7UhSTNlR%0fACdty>mvXz*8+*Q` z%vkQBlp2$4#Gk+J2Df7K+v6fznVF=b|JFKr{XQuo#i!Wt?901voI%P736+m;Twde2 z)JDAMALo@<ENMS;Z}g|SeQ7)Q#Om_rZ52`pa^=}~|9(gn)CgW@)~%9twacLC$;5Q` z+NQ8=th@KCox797wRVlf)mClu?J+jNuUBm1*Hh;0t_=5yKeubgD`pQzJ|RBe4~>r$ z4W0ZxrA(PC`2Jw9V*XuAh2qlK*p1?kPUcDGRH*B0G*SEg`eE+&>vxxfM&Pn#rb_4N zeEt5)*5#i5#1BWGZrWe{T0OWU^nOdg#oDKWYk7~I^gh>>F;&<j_MY9ZzC4*^VY#&z zB3@~oK6ZERJgJ*Toi7-<7bYu|yBExjO~^a9cH_<aJ!)@W7<t}MJHYVEuwO&pKW^Th zxE0Typ45n)$6cuxk$LCK_rHbgQUdvm$sUeEyqX&(dH&u!!M-zsLuz@Q%DFpL#d~*e zeWz!;IQqnrFZ_iXjjWgWcfYO65%Y?Ri;Fw|dSS3)bVbg*ZCw-2UN+`<Da78}{73X- za{2W2XV(=pGnjLn$dpf!&$BsUXW!hFaa)V|^6dD1IonQ8e0eE=quJoX;o^%dOj7>~ z?w5RW`)IbB??!)`dYSY^=}Dgt&34%AvG>xCm-_AV?^c)Ou3OV$VOeR&a9Sy-gzZF9 zNO+{5ecA5~ubiH|6FeEK9=>VLf(aqv3I-3D+5Fh7Z}%?YVxPh`D>v3YeE0QrYc7R| zcyTXr-#y==CqpdoXNeVS>9T7V*ITOv|4W;=Mss4<5k9Hq>1q=idv<(k=_w1TOk<K< z$-&ICz!=p3;SO`US5-XsZJ4#dZN4tCa>tgPo{YM?78G{vm!9`#nb4{ovwl7^x)887 zY1&+w{o!}l<xD#@E8+3-dFrd*O$tyn-|4Nmyj(LyNWsMKy3d5O|IE(cvD$dDQ*&Kj z<X+a`WG>$542P2!*Ep(L-(;WT^VmgXKmUA%^?qyr`OWQYZ|U8gmT<x?|7hHscSWjl zTsNPmUkD8SJZsmj+^1gZ;k&Qu=xxdCjsEj@=Z5OOU;j=iFWS8C@2kq+eviML*PH+2 zcldNi8P~~QQexAJM1Q7F?`%6FR<|Q2G(GNw#?<o7_SdTF_N}eUY&a1sblmFnqR1nw z)O>XJ*7In^zV*B7p<w^Bdc{Wh0Opp$A5!YI<&Ph1Hm<p?d+pzr7a_0TU+UJZbNeXT z`ZqGZ_vNL~j609lf7)^TRR7|;2JhHD2A=euS5lNbefimKTW#}a@3P$eyYbxgDf1M! zF(gPYTe579qoX%lQYg!wgu@Gbf+TKjSGH#9-_dt{-JV14)2Ews_hrl$e{=iY`t=b< zE(%ulCjMbP<ju(6nxoPxvSY=Bi~1U3#+7%(YF4Bw#5g}@`)9O1r09!&y6=RGjt4k5 zU7GIx??lRcdn3z?^&hXqui)PNO60G}V<$JK$A4_*-FR#IHBr(ez{o4y*=_9uUxmeU zC$!r4PtuZ^w90($p1_#5eVdFQNpR27`Bt91GIhbhW4wR<7Jld9O83hUTPY_nKP5@I z_u}<;7B8n}W}jYS>#Sqa_EG%Bs;SEj-my(xSbeee0h{FGou+e+1=hPRSU9Qnj_c)V zYxmh09nE9eE%<4|T#lV@T~4^azjE~cqpR|c`pYbYxQ-P+&OY;4|3dUCUDjn20@giW z8+<uns<A<YZ{rCE_t2KFtjoU7NZs2yx%)<<mS^UiPrjbB7qJ#=zKb>dyQ1{_;r`U1 zYXV0?n2auLcZt&}*>vMPzhj4=hv27>wk!WQEHnN*&c86bG4Ixv%*)GqXTSMu{K9#8 zXuW4lYH?lW6L)QYv+gCYPRcafHy-<N=$)CTl4ai$ccu5U??#u;+*fwmv1-D68_#48 z^CL$%cz)y<w%Xo|Z9e%}@4{q}nXmJvGP^I(JF-#4h2{3#I{)&h)l1v_yXW&bWf<;u zn%JV|WoB*2F>(6#vrTiKW*rLLI`4vmciw)5l}tiRuaD<RI0Q$nICJk`!Rjy(FOPL^ z+6q~Hr}U__*(jgplWP3Cq-T4fN8X#brp7LvWFvk}#V1PXyDzfx-1|AHGRDAZ!M%Ij zJ5NkxKH+M|a^{s+vQL8B?jFuF9?3VJlm$CHUb8>BHmkbw+oy`i=+nv_nXJo}-0S-L zV)6S99^FZoDjN5{Ja4;Le0Sp=UPpC~i4s<ZULq401&5ThmP?iY{cE|gRo1#_ikiTM zALs7Zd#b!Wou?^&?4(zLRC@1M*;c_2hdV_r?7hK%?jE>0<yfk|w53t2%#+m{eOqmg zaBb#b|FF63jFb~oujG%@Y%g=myDxk7F4kJNVA-^F3uXmyI4Z6Uh^^gwgZJC#t<h_k zyZLqf%%l!0miuO0*w?}0(IKhPCNZ;RMN3AAW5>1`HBD>6w0z%R<Z+7WZ2RLGvV7ys z`!!eBpIiSf<n^VcAFsx*Hs{i?eW%s$^!0v+vEh+~5cdYbFIOw>$ck4q)Hr1dZd<eN zja#*m&tx$@fk!QiBURW}_5bUwm@H_<BJp9R&|@8*HykP{%E~<xdgp~WHk^6vJ>~Rd zn@uxLzB)hEO?-*js%*y@>!LPlFJ$@JC%AW^`rba7>RFA?OrCuVfAubutIRFthA#Wd zWlrzrhm{_fpuJ{&l>Cu%eR~5#EU)g`61|CSs-BI1i1h>Bpqw8Q&h(#k$`o6fIp^El zlbQG9b&4%3bsnXE?>3ffRX9DfW(uosTCbwXwMV~L*6OfGEw2sAzf^nU%>=Jghh`s3 zske=}`S*yU=jZf57ODL|PXDtw!qT@}^~IhY*-7W-=v?9Y_~eImT8~UkYTtpfgx6<F zQ}}cuo;=v8Qr~xA*`sM?+ih>HJt)|xsv0C<$h?uytFNp+H=%}m+qKVnFGb$!U%jpF z5%GMb%QY!A@937tU%sExUa|UaR`{w}GV^OEOj`CWU|+)jy1p5V*Byl2E?kXWy=AlM zF0+90-!JZSDCtk%+w<hGlK8$Hrj<7}CtsKuar~~Uuy2FuLhg@q^1tm7%;<TPf9dvy z`H{_D0#DTI`At<WvPk)RidLR}qA4g87$nujZ{qh`FTiT@Yb~(@GHkv6e^@_?y>Z_> z|Lys%wA1lVyCpMpPZ?%6{f$nKjCo;t^@%;B>UVLDt^}$7KVHXWs`Lil3RDg9Y7~Du z(XQ_oi{k}}WB2VYcIM@s5Lp&9<5+n8+IwBLrhHQ`L>#-nU;4ydjZM2f<6o|^o#J2S zY#JugC*)h+{$xhS-M1f-%SDytH!0U$;hhn#HS_aB?YUpGZ<RTovv1sBwRKiix&ph2 z*>CO)b`Q7Jog&Kuyc&-g{+k#7jN^@#;f*=Dp}$hB1CO=eHM}t=gXy=Yq$N|e9^358 zrytx8Rx>xBKKpHM&+gb=PcEOBYUsCZ5vQ;gb5lpgXU?X}6{QL<&Z^rlH0ge3lJ@cY z;q!U>77On!dAW&=X)E*WV@7{itqxqM&ML^<;qdbHWtq^k#o;fGz0)YaE5AEYASnFB zfhEiK9e8+H!Y4rUvRpz&D0f!U<cr*OTA>pz>Lth9#raeh&YW^&)&-N2YZfX_$tv}$ z`*t_B1Sq!mhfmDr;ua2N%`%8huvo8dp|oD)%iGM&NjHyXO_Fk)c0}x86kqf<-3fMu zGny_%MX7174SIEFK8r@xtzK2;t?5$oKe<cq$Uc=X`u@suy<++LD@Lo9Pn+5$vEfHS z8{4M&9!h(Th1B=w3+CE6YMAi--d(flWcc?pYrc1;3QhK#|L<FjM$P;i+#l1vPnVwk z)hXFaKCpDxt=OHmxnc`5bH17HXDu>!xpDa&>m~W!t#?YT*qV0bGANo{p3%Brc3s@g zTi3#tTz0zJnmg&lzHL4xI@b(;-`<n;Z8Arvw(*2szttWwy_<|5oqeb7Uw3d)W7iAO z^()Q)A9!%`g4Km*E8ia4?Xt^3K}UR@t6&SuimIYxof`M<W_KhpuUVG2=c5VpSJQL9 zqL-g|b6zUs*W=rbY)7h3#f41zy6r^xI>~UUi_(vpw08%dnC@kFBW2BYw>pzaq02d5 zpIB=td&W4NA=mciUsHC?evYoG^X5LB6)bnL`qVu?m!h&aHzbXAZmd2kekot2ccM&+ z%=P~G;tBiiC|0PvD4G7k+t#wE>wx7`!HpNCf8EMW?@$v@-1K<egNxO>PTqUxz`W?h z)TC9{i~}_+CwyHxMS1qtOVYpg_w_C@l;U~lb?ff6H|JLce|a{gd~;m*n|+@;_PsG% zWbVSm>00+BtE%i%^547BG128~_m$n26sz3y`?a3n<a%}~0eiEV+Mkw+>|Cr9;&W}m zrKy>W9Z5_2d1Abj0%{W*Ltd{C$_(Af!EP&az2eqfosSO567|jJ&ipzlvg&fgiTG8< z+t-z4*Cu@W=5lSprK{@ZYL=Jc!ZOzDIjpX|Jkywc^@~MeQtJ!qE%*F<QsGs1+$8R! zxf`cKpuJ;(Z|Fpe-v&>!H(P}<WNwXF<q@!;QLSfzNoYqft5n7z4zJ+WJEdI@Pl{~h z^V0|mxg2miD(s(2R#J9OOAB{G*-noM7cHzgQWWiXKki!;vd(Px0YMEJ@AxW>OrGXD zs-K#cywsc^81QRLK<?etrXr>zGsTU1wS!OC)rkgqD2i{LuyLLZ<0IW0!tMIY7i`MV zJ*n&PXSe^Eod*(agQMi1O*qlueCf!6qQ>1We05?cFZ?Q)(ySy^U(W2iS+dY0qUOgf zrIoKL3w0J0-ncKTU=sQJbl+8-sEaENy3QL<-hB6E(8W_fr+Y3rX31+3?^C$APrS6| z$6?#H)sy@_UfL8hqvc*n(H(bf<|RHd@^5+KH@Gh;xTAZpPa^HYjid2@O4}a@ifTD8 z-hV8<zH^;V(ZasepgG61XY7~l+x^%mfU|ezKd#D3b8Q>0%Ri3Cf1i>6_SE?_!S1U( zhK4s7d&{@adYZm@C3g;UOOt&<otgIcylwS+Ozb|2AE~bL*^qWt4z$W~BYXCrC5AbN zg-k#TVKd9`bZX3dllS1Y$d#++G0(VPJ3N-JzpH${Zrx(r^#SKNP5D+HGK{eK)0@a; zyWr!*{ZZx9_vIxl+0>R$C?(<OUiSZor^u=7GmqEb?#%XCs94y?IA7a+cI^YrV2=~$ zJSXnG=k}$o#XSNv`tfVmzQ4b2_AYtpDOpxpba|bho{|vr(@FVlmh%pKEik-xf5q~< zxgLwAMtnbPTOBTXt<tu0uI%oOr#hp{XU+>zY*$~;?~>X3MoiI9f02dXG=WW0Gg1xm z4`ggO`bBQD`Ig7;nibYbaTM+f;QO@KN6F!_c>PV~^Xtl1Cnsg><S~rvEKB^Y9CP}K z`P@G)i|3qmE`H`CW<Bxv&z5z$Cryrpek+)28<WZ(Y}mYV#>tF&^La*U$vXv{^bRpG z8TGPXd8*i48MMn<$7RArj(KL?X#vh|$`-eEvKj<F^-1r(c!2X0Q)9jUjTDil`DYh3 z<$Ri$kdRgzka6bA^j8(`Qj5(44OYkmcXTBEWD@ySc4GIjISXUtcq>gf`a3Fkr`J3= zw5*W1ezy3s8v@&Xj2LF}+gC08%(*BV)YovfHY{DY=GH9Nvfk`JUw>{qIs5#%3v27I znfQH7IbrzkQ7FTDCd-{peTCoqn94;?oRkmT&aq&FrSgPH+qEXlUb3U$L+GX({V6){ zHeFOqjXjk;mEA?==i{Sk6DQ1#KCY0kp_zwenc;-}ikJHQGGo4Nf1Z0ep!bh(h6aoA zks}lD+V$P$Z|L3o&n~vqx_VurZVpG<S@GaKyuYnjzwTi@Bcjig5%cZ($*#!HKivfu z%<KtTIjQ`Q=BLSV#ddXX@)|0aPWsNzR<p0>pw!My)g7H%jURme({#34adFf=gNAv# zJ14w2^et(#*OibB)kaU`;;U?*uAQ*mN$m6^2IkZI*R46unfgh(@|NzkM6Xw;mHd|< zIk-c*<m<|>lMWq-6^q*t9=G9qGOxk?H{WJGGJ5jLM@QZKlEWe1olVZWr)b`{>iipF zb8p($nzv<#8az~MHdS|f9-XjT?NP=7hFw`@+p14Yz0PDcE5FFr_oV*{pE)a8yCXkm zeeYLTzdJZyYU8E$)>rF3q<1a;xHz0w!Xd7F`IJ3x<%+(a;y!&XcD4H6I=7jxC)T|F z61L_ockinc?-a^AH_S18@YQ7d6L;y#w%utyWkyfdb*hKXIGVco+2?7ZQ?)xe?kBso zX1!i_b)x?6dX~Q@b0=0GoL5;^-q|(#I-i(R$Z_fXnwxu{o>B~4Gw;HjPjM&gKBb-T z^HVsf;LKLVaPf?kdI`^VpQvbqIRE%=b-vy!Rwk>;xBE{xb!?$wO)=Lj4a?WEk8^$% zd(Pcmz24{3k_B^Q%+gP!Sx!ExBw?(!y-_Gd#=lpB_1jv{2^Y2NcK+OaVuoL3;v+9r z@p~0r*Dc<z*ShBRj;nX;KRwyx%3C>89;ZD84G#q!o={ma`OM+oyU9fXf3G|a&G}@i zy768nsJqqApJDrRXMyCOrAJR+dib2Nj?eZt%gIccC;Aib^yXN;^)wJS%YN*4sW*9l zkMd-Z-{}m!>;FaVoqB7Q>mfJAxB0)9T{`J6kSn<&)SyCT-y@wT{z|&Nm-!?vFfv;u zFv#&2U6`F%Ufs8R$-YaGPY=|cs=Bx72ZMOa3vQkTtM6tyy$ka6+}P;p;o7xZI;7$6 zh93dfK5x6tslCcA;(YgAZh^h)-stVVE&MpMe9CrVg$G-foX9Wx&#}m=&U0hq%XI=0 z8|2j#SFc>V+b6Ehth?0w)vMcuo5Qxv*ih2=d$tiXx1fXMgg{Mu#}gW2R-!E5I2C%B zC8sVr=H<3@fuJL=2)~bp1M?<s6Xp}Te?G80+o<3pbMOt*dG?#Ea{NYKtjtD@Dj(k7 zJa}L!L#C*vQO*P>aY3gO&V5@>JKL=}on^kXLE-y?@*__~mNt1a7(H74aqI5qTQA)> z8n07!#an8z_T_6+JXpY;+VDTuq<<aOn0U;}^>d?o--nJ4H-)vfY3^SnS8JNu=5zJ# z{`YUs)WYlU7&E5{U%jg>+F~<RajWdjoU2_+ygDv(3dm17cshC7(sfg!=2QfVbQCN+ zncc-b{e+=c3;$}nN7*vpwm;t??LKAuhZE}xuipP^n;PNke`>`f=P$1st}-rAZnXLN zWXE&Sa|Z(-#V&d3qE_sor1VZ$XhEg`%NFs=>%Q^x^q&5w#d<YGS|lap)MQ2r(0Fk1 z>(hA_n^J|iddvTq{#toVv;W15&b`*6MIGX6--VoT*8I15`CY&A&b~AOCa<viqKs{s zHmapIWr?7rQL}93GO4ZSchP+Hk)g`pb8Z6H%Bhkc#oox8-Eh?VJuzpd3#fA_^G!*9 zYRwa^iQCuea&N3?5(r;b`=QZ*O-W_f?k6v~SMAu@DZ5?1>xi}A3aQ`~K97YJ_Wio~ z^xMgIlJV8|68krtQ=Pl>Yqo6YKbKXPXB@w;9zS!%syUvoXN$j?m>$2?ZjY$7^*6VT z%Y5wSU)U;O683TWUZb}!`b^yH*^(TlP1^9Pq*Z#}{Im1YJLTS(Z2M(hy6oze-n-_H z{2Cm#?#UHft?6}S;^w=)(syRrH&2o<@%xx?B0Rv~jCbzJqaj)g3z_R}GiqNR2)0qN zd$Y~@QqEcR6&{X{73w<=_1)Sr`AgdMDXBtIZEQvxnrxImFjRW9w$@$V(YXK2@&Fd| ziiL(5HebVEWo>@3&aivGeBUC^s!g*`R<}R6c%7%qc`>_*-tXI=7Yk+PaxGD?N;n?7 zST#d1csZ}Z{3#zxkH&S(+uq&a?DJ=bQuCwRQpzdw=R3N7X*~8aKCa9uUnW>-?b@d9 z{Sn8zt@?IP_go{R>>R$R*7xVCC#s4Q`6dXSzZ=rju_5a-XOKhk>ixmxF87kxsLx&C zrP;OLGu~)IfU5AZhuOc59Sr}n>;cDH{@!KV6YXmsC>CDTXRh3MyI1qdqR2%(9f1zh zgeEuiZ2OzD?QQPnSbO_Lp+^cP9MyZ%C)D^zd!qjZuH}VY`;U}&s?Yp$;>mkGBUM#H zkpm)6qc<uS*W9o*S+%h6R{U2lrT2FxiSM3yXZ@DPV$Uc0Y<TB(GQr(s!N>0XZ>(4Q z1umH5^Z3WfsUAu~Qip#?mrOn7ud@EX2b<MG!=C+);-?(#Zaz68lD>0RU!cWSXG7J6 zUSf}?tpqLoSoel+a_UK5*Gtz;dFzWJU!Hwu(2!;%#98o0z{cv+w(8@7legbZJ*B*L zLeCPzUtLcwUH*Maxk_yEa`P{xC!QP=Ei3KX=kj}_wf9Ty)qaAr?)1hNPw4A8+~mq9 zbaLX|fc-(Ij@*8?VLgY^36J-R$(xvYJM^PvCdOu_yqKZQ;8#4s?}Yol3EOOLz6l3) zC881<TXRa(uI)E<?td~hGrX+3@^+4(oZPJ#k)>%rPAUH?JRU3H)AeV|uI!erhwg0b z;VJwZscvLgB<|CzxkRl=>v4aS_ql5VE;dKqHotzJ(IM&R+!NuO7JBIWVU4h0^LsmP z&DB}hwNNS8y+Yk))1@14^8a}@Ec)Kb^Tgq??rQnP0s9su>t;!wXf)spSF@B-<C(kO z^da}+;AQ*uZe3q*vuJfj;<6>)YiBia|M_-|>Br4CGV_kb)X!cw>931W<b@fH`|ZNl zCs?wW#3lZSest59)AIER22d;t<xOb%p;r`s>gBpQF<wow-%N8Y^QOH>OnqBm8k;F| z=+cbW`Bx{-kM}RDuPi!sIc=f~Q^uS}`X5iJxUXzU=ei#!KjGS`9lMx$Id(}NO`8~( zEL%TQEF__k6|{vhd8_>kZi{_?dMl*LOTG0@E!a3=`Sy7CjkEk}I2CJtO6h-?UXl2H z;_iUb7XLuiX%XpnlN$XmoKkCG<qKD<47$)XFPssyS0!_yt3XE0*Xyr5*6v=*9IbBl zbC*xj^&QK;XaD;4;`Lp7*BaNXBa^K!NywJFS8}(dI$qR0^x?{9mC5Tfd-{qFh#xy= zpenfd@|5%5_a3O4KH}Z^Yh$<6d<9M^|G%wO{^nduw<o0pDX(*>Hay#V^5&*_=7FYK zS~s*WFDvlf`BP%8s0nC^l-b+7-P0ST`WH6(SbMb`5jsBgv`W(IlM9!yN(qE(RSLd6 z^i6%u<#qGTcW-}ZwD+bh>w2csOZ0k={#&<$`%CeOR_`Y>L{$&9hstZV2klw5Y|qv$ zelbOhu3zZrILdL;Cw7L-r^&Lywi{fnwze)?U-V)2=_QK08I)A!r94{v{>5=)jR`AV z6V@Ac?f1+-T$cu3qPjw{vtvf@nYs7oUAxO~Z#MCeNLBWkuiIZ9OS`DWa;9jP(A%S1 z)@w{Tcjl8}lxK#`*K*EwR<V|q8|4GIOtyX8{!^6Seq~eaC(*x=DvN_R?6-gaGOh4? zvzW#ber?P3t8HRD?(Usr6}@}Y6DOGvC#CX!`=HpVTkRD>_$HXxx-M+^yTkdzi&JTV zv7oup{x|muzbNOo&71D~l4D`eC#75G*ElZy{zE`<wS}9|M^nDvrypvuCD*!#PyJ&d z7U;1ic+LJm@oSow7+NnBigt2e-Zt%Zo^bze-@Ye|OPG(wE?u+QQ-Qy%m+?cm=Hqn} zmK!bkw%np+(XNC?S+}NoEm1t(!1bkg`!xCL7}-my%8G`*eDZ4HU)%0A?Y*(YgLUSQ z;#HTw=(W~-`+j(4q<gsKN`cG_LAKu3KTAJ3F6w{lB^i8To#EB^hteIw^A)ao_kVYj zj^E9nbTxV>&)&|u%3C>Xvp_9@?`QJX%`1=HxIv)m((Bh<dj*O%%<vCjmD>K}_h&n% zt;>3ZGMkP$|BI`9dv?P7n6tNLD^9*RVPdsOiV}wu`_I!~(io226&H=&cFf}6G>K+M zx5xiB&sTRySrGQn<U-G+=9=imZBktleSFVm8^2?d{ps9x=kvEysjFP3Za;hNN9W-_ znJ29-`ftx0wqBn6wXbk%wc7=D$)!R+9NiXAp8h8%+5XClEl2OmZ(Qy6rLFGGB{iEa z(Dq!pd-^^Ctt(8<ef)0!z2{t+y7!d}7ANADtqxmPQgr2cx<Jh1W&5S?)d}uYtWnLd zdGh}1%m_)34Td-F&sW|ZS@WUr3s+XwN!D*wN}sy+9=4FVdH1WaN={~K<CbHscTL*z zoqgt5d^$c=bdr{$#yc&`olQ<2CxWL1PwCK$3{?8%v1;wc32)#3-je(Bc;3R>cf&nW zSH>I&$~(F7QA@-$!GJWyBAqR7@4lJUu>1Y}+*HnYwb5Enryc0LXlA0N#P@);I(5=| z?Ry;+(%%-{TDaoZMTf6X?iXZSsZ#j*SYzW;z2g;|T6mtkp3vLU<Ffo?*ZzE$AEH0Y zIOOL3nCkxJnY8FuX0G17e|+V1nGT68+QeXZ^5S|MC1IZzmFXEW-^%-bm2En}#I5rq zN_b!BT&ADWN^e&PWr_wZcvbs<MR1AQwN9gXo7S$~o2m1y{MEV;HZS#zn8)kSw-#R7 z9&g_?Z%Uz4SkXgXjk9L54E+4Z3q0y{q-W1gE=m%=Bev**;Z^3}l|e@*x?PgE*r0N0 z!N!~Sb(Xzxl|7>$&LFw-QRtLi)nDfsNk;obc=de?kdrLk-ftzrkmEcn^7EOs!n5bh zaN-K?VCY@^uggOCWbh8@(wG~2LEFf)Qi3Kq)buX?cT2MH=_K*X5=&+8Dpq_cwtYKq zk&DwK&Lt<(i?#~b{QBs9@ocuwx9f)|`>rbq)UgigesfeM+-v5KO}kHVw6#y^T)o?; zQDno(ACt};zCHcyxsw}ZbIY<`dMnxc=`IZYbz*~qPzwhy*A4z|e$afto05#JW&W}D zSu0x7%NASiJ<c93%(YZ|`jN!k*q?{jMg`spy;WRQ{O)+#`Wc%Rco-QSKg`^<+cWEg zP0dYP)>4(6mB&1rzrA-W41al2GV4HH#vR$DxoeZ#v$I$Q=E`)-m*15xjM;hg$&>XD zA1|75jccd((_<_`%i`Wxy{i*W`X2JgO*UMvan}aEuHBxoH}Z1K);zB~adm1Y$B7j) zRN{j;^N)PYH<YdXmZtnVVNSCX7nf1s-5ndP8BX(`-lE;GWyT7tj9UeFWK|cvJ+*GW zsbcxu1sr7)(rXIhYK4QA{YVzJf5fv!ChjPY(-zMAf>9Z7zLvi_vvxbDS_){qz})P$ z*zvEXOoAsoU8l5(J)i2;S9kVhWp|d;^0ZGsSXSmd;E~yvm+<G(iHkb#(`I^=Na;_o zyD3{*&LXx|x6<T^TKw+mo^`$9diAz4zgb!@RJ?hA`}W3N#wICW&UQ`ZpPg&JLeS*z zp3+&(U&<Cw`rdE)aCO{+7PIMG1`7&%_MbGLzhUFXjT;{(YAepq;X9!bdz<TLk9Ry@ z(c{-MQyd>l)IU_&eDB$Y>T8`o_mbxLBt5xMeY#e$%l&Q2Co{I$pO2h>Cs8n|vGz=w zT5Hd#xwULZ_51(KcpPu6A-8f-Swz&rFCLF(Om3c&U4Ox(E-G&2lS9IGZek{B58fM{ z>Hix1+If=tTp4ZVrCCysw{Fkb@@?A0J*iU|9J31~_a^Y_X<s!^tzH^%kfX4YLyrH` zgw6Ulw#SE;Ew(IWG+M+xb^BRA&sx`sF6p(WL$(%~S{5?je()vi&Fdq1>sHC{e)*v3 z&s_(%6Pnh7o|mPyB<-0bH>#}_bvhc_>h|j0)tTEKr?pxLDt?sPEE(f_ydYcSoz}v< z3_pi=eHKNJW5kroJvA7M%2}?*ZrSeAceP_rM4j2}Yjv>;A4Fs&E%dtGd)91kc#h0e zu8*p-VrJRA;FM$yc5gC?PPn14nCZsp6%5~2c{sXqC>Av7hOJqkaFij4Yr<82yBiNu zO1P!x@GzxTPrkX0{ec&cBU{{r&RbHqf6OlVqJQe###@5oO_3YLA8B$ueQES^2P<1m z-|n>w0`@I4th&|vH1quIC6{IDXWEzTj`dA0F@5W4;IeQLTSTD0Q6+zG*g|oUj7jrS zKIJLSXuRYy`;b7x{?o=A@0s-d1MMz9efp}oWro{wr#X6WrZv~3U)8h{etjx$oB4!P zp}NaA-sDd`)wpismG~_gvFQr0Kj=I=Enm8CL1LUwu+rSjGmrJ<q&a`GMRQ87y<Idt zP%q}&v3H9~<F0k=&6e42Z~w%v`;X{v&eA70Th;q=>H|3UBy47zy3X!pqe{~Mni)Se zOTV6CTreTEeBukP3Ag9lAN$H3ys5=YMVMi}bp95LT}3A+``y#{#nF6B=O35s+Mv{v z)$CFNH*zyrZb-a&-=@BIT^$1xN7)gh30;2GD*MZ>3+^+WbnJzvsQ>P@4S#(U7y2EZ z7S7s#=iV$=mmWtJkF$#sFESduWBY3&v`A=CAgki7q)(hp*>4`{@7rkYyVvQ-)xxB5 z-e;m*OE+wqw~@PjhQ%dzskYn|;i}TP9t=0y<ah60b3&PYzTrXj7i%|OzrTF`U6vm= zbFSUB%5u#=cxIhRQFuPbS57NXMR-QPf5t?Iu%d;1%kSEG{E2W*dv-P9h~$yf6|&`% z?uG2$c(Ojp%S)+O$lVXLeYM8(rKHiqjaTol+<sTxA>?F2#+sBhi~Th_O0HRRC762l zf;LyMd^`MzSt?XON#B31%uNRtgQguzR{F2Ik#UaWQqm%$)r$^iRh>RG|NZ4D$Aaq@ zu6uJWYSzca0bY(B!Q$&za_{b(&@oxA+BWv4?~~Y1i*H7+(pA$<h_JZpdj8F|OP4s5 z9&yNAoyaD{5gs~CIiRBAM`hzB?r*FrI!RfewKifRe+pKIsf5P(+OGe=)w4gv%ph*< zX^S^+-n^OQpu1{Yl70)1u|^7LJn5FsqRSRX?|*TT$;!&|*sc;VOTBCTiE|%ve=jVQ zG2(y!j`h-VO^vlnQ-ivXaxY)0*!?$R`HNLC^QC?-Y?XHmUq2%z!C0@uA&6DwNX)Iv zd5;BHde{FG+WA}V=VSNH-+P3E69hBZG(XL>X6e6k=E^Krh7C?%c_y^KFgT$hx{K$9 z$q6m)T^5!sbLZS)&#-x%f8io;&$=^3zizI5rap1bqV9PYT#vnR-Ll^5+@1+jZGJvI znYMgE?#oGnrw?|``aMB*W0H8>hVa?mQH&zsZsXHQ>T~aOlvPG;-RJmtitES5tIN&` ziK_oIHs>vwb<a~Fe}coe3EK=gST8WP%nSEu5pwrqR}|S9<+{j2#A~79_4`^TDuUd- zxqo5{um2ERqx&Y~*JKx--lhL$Rb0-@%VT~Vy}|S$_tK9y?(gECZM*yNrs;u(`K30M zW$x(>f1bE(c>FH8(*m>trt{I`RsD;V=SP_d%dwi}J;?v;zHztA0h8{C44rS&54Way zoOs2nT;+VcQhPy;-VRg0myezZ78*&#>pWbST>^qOdq8j>%Z-c`JkD(N9V};)ycK zoo!_s{+Z3K_@-#^!Q1Qngt^BK?bxsS@0}^5vy+ZpHp{zj5slJSyNk9zf8Qvvf5O{$ z7xp)VGM3jqnQ<heSbEVT<uygy-TO{<z6=VCma!~+A~JX9*C$az9UXoLbpAuri~50U zRdGwan8Jha#0p7W|M8mX`a*{zyPrQl?R8<s%k|YE&5OTJkOeIrn|Z3!f0@R_4lCb} z<%ehbI7OsK$|#>a%xG55^2%q8#TvfwlU6o2&$4hwwl5d*tXpGLm-*!MPP2}V?Fj{G z_EnsPyFQ6|#zdUI)qR#tu<*_{hRF8S{;JnhGI{oI^XDp@G<#yId*nv8%dB;q%xa9f zGW7k!3YXrTo_fl7?y7>jYpeSEH5qo?E?&mNzQyOHd)Nv0RXzSip(ibZPENdQH=|C< zdfS(ZgF=d*rZn!h3(Qcse_8g)x(=aD{oF@SZ82z=@F1e<x9fLR?!u~|?3Z4udB*G3 zv;^!uz9#Ew)~t`)X1B8C%6&S+`d~uHQO?3y2Oq!GW7iZ`zgKspYgZN1%N@IO-6GCU z*RAWiGvkO?MEg47(BGSG?qq$pEIsRQg#9!@Ik_c18)|Rn-1zkB&P4fhN1Sd>xRbj` zyGZ<%n{50dzCWo>i(0<gEKZ8cG4qV@pVt3fynDA({{Ffzg$uiPA2r|Tw<jq}`Spea z!JUiJ0=bX+ovylPwJ<DL!Dzw-uVm@^gK=MWMXPWvl$}udqwtm9m5Ck!27iSckHytT zFZjv1s9ENl(!}!ZmskW{8CP9a71m}6U{8MRCp5=A^|Xq>T)V%zh08)g1DAbA>jJXh zeEt6N2%o&bg^FYMO^jCLx=#0vpRlWnD~-8>-Nfy;_t%u|UCI|%Jm@IRDEuxXH8FF| zBmLiUA-irl_x>$dZg}nfvh9&qCmW^AxxuYi^HS>nkKM-@*X#}yUuAx;;gXKmLc=TZ zPYTViPUT-MB^P(cv~Ugglw14Q5`Ly_=la$m(kJA;q+m*dL))K6deu5+9+7_eN(Zj3 z3R|~qo79=bcl9=0nR<P4$2{?@-XNzlQ_pt&yu5Vlv7q|(_kyF<jaoZmq?Z3Ut>U7( zB#Zmd)hT75duyV7>>O21^geArc3yj>t@ybsx8%j8y#MaCy6yXA0oTzvl`~z~dZmBd z{`2u+H~;R_@4m%&UX0N0J^0VhQ}+6gt!GY3daS-I_Izek{>pV7b4nY}UrEvuz0R|2 z&YvmD>3!@))06{5*UZ}$Ggm11w8Pwa6F|+Sy2>X`X3r=4+{<G~jIqp^^X{sFlkQ^B zfMrznoJab7yIs@sQYXxwGh@*VmP40+yjp*Cce~K5y#5R^&c{;xKeL_I`}XzodYYu@ zS7<qYH)YRcR*pDteI@f2`}F?Wf|-YB9drEm%i<%;rOW!F_GOQE>@HE8*0oy8VSypD z_q8>gWr@@0Pn)yo@0)v*mKk2Vzj>*N>6@Jkrq#3Tu2_-MKKI$HD@_ax4nho*#WN;Y zh#XyL;IDGAT%<wQH_^aRxAWQ>#(AciVQ~R_XA8AG^sX{%Pw)D<r|?K$;FhoRwsW5J zVK8x&soE=c`u^SRg5Ty_zpb(6x5zR-ySmDld9#-4vQ2+m3~G`uP6%4Q#D>-M=sfp{ zOVWa73SKtQJ+tUa$gK@i%)8n=L!WCuD7WLC9<}@Ho=I!{&-{7xOrh5H>6yf(4|dsY z+wIcW_08R^G4AaveJ_C+Rm;%dpB%2udD465(Jv37>#cX3XZ>ws-MlE+?11F%bw?cb ztl7M}a~Z?B-77=lra$H|`}})B0<TKBZQH#crp7PtsdO_eTrjb#FP2B@55GylW_yNh zR@PB#l%J+1JV@TooUpdTctLD_<7e53Euv`}7GVcB2r~)4`w*o+=gxNBV9mb8a$WhQ zk@9j5>y5%Kxi6f0nDKOX(PNXzzCtl-d9{o+53&k4_By}QRbUFaC{}(zRodl+poDXv za+`GX+)w%;w#ipFdGFGBcS!x7UCJ%D({U`nwZGll#P@?iYx~=`22;#lZMZkV_}T4N z>l#mYTj5I;Eq_DK2`}&Tj}58uV~qHbvyC$`_IyP5-MS-8yPlmF_qU&3^s>3<OX=lW zMXNbypT0YB#_R35=BwMfEhT?eaaT{8`sb8laLNqZb*^t0tj|zQ2rCLplK<%~a9{TA zN$qBvWY;-vFTBovetBtv5qtl;#@Lm?<{sCBcE+uEe}Xkeoy8&Gj{2c<hxD~B`pvex zb9Bbv>zBk*Co?emHvYETvVZlRQ%tYc@jNrCWt%5mHgoy}rIdLKMOP?l^<FGH<hA=s zy2q5<yS!gldh>O+)-F6eeZ7C5gv3clsY!L}H_RC>+^_KV*sasIZL;c%d9QxT9FSYH zVb9a@2eLxJ51&7&SS^&6bSQJj0(;Z4l3AVG&Rq+umdbgcxp`-_(@`zARXksRGNnpB zeX~h2;d@3j|NHi9dzrl-p1j)ca_B*b@wLxVE9!RM`0WziurzR;>uDwhk6GW6HqV)P z>hWoAp4YxEn;X|PG~ZqG^J?zd;^Ku0GCyQ0mTn3;UL4nWEXpkO(<MRkTf(h&#+9ZT zbFKB{jWe2WI@W)?ssDCjQaancqgq?S54`Sr+M545@xH!ejKl6FOW$s}XQ}4)<CPvm z-33FY>mPRNn5Lg=5H#?#+b&Z2WqM5Wt8XR&=a(M{(^H?a#xeJ~)bt}yEpF@*-K?&2 z&~1KZl%f&O=IvMA3q@YK%KYVA5cG7))bC*_O?|G<u1N=^wK-3je(-=K`{akW_r)AI z^&siKh1$b69P7<*RSEMOzu2|nTcS<oyKfsdM}C;8yJrV$Qh{1^wwJss%Wl2dQ*;)T z-79|6uCM%P$J<GVKVI3cu6Q^9@~yDVR+kj5AG3!%*0N;OxE56MTllZtkNuxsu3Kc6 zd~ErS-eSkes$aP!q%X1^J5pYv{qVi?4z}2Jc2nj0rwX00pU}bd|Mej+sYM?H=iV1u zaIRb9ecr)H215h=W9!#XZK!ymTC|6OBe%`V!B{}yU!vC^yS}F<gmjfA&AP)ptG_~d z_On%a%KNtF#^}u0ami;dL&K4qKWe%SM3@#V@>4t4Q}*E7frNjuRibU*7%P@+-7Z=F z;{P<+tS##G)jK;+dK{~1>EJmf`zWVz<&OQ5`Il!TEt&C7t?u064TsNn^@_Tkh|8Rx z(v|cz?)v<7Yi+N-6kcZ{7NU~1T0m~YKhOPj)0mv?!!l!{;&oqayX2ekLb&V3j5Svy zm5#M2xdhGYUvRX#M8YP8qat~&&bKO&q{aQUjw?<0ZeR6$tL5G9sO)p1Rml9@&d3Q& zc{ZxMrT*n6{W#?6u(y4MhWsS|{vQ`5zyDdacE5jU+9tlH`Ima9U$}9H_4e~1_6s~p zu{@bOd7f1)QTZ9b6|j!i_MR8ZX|@Tkch{&{by`oJ7Vfia+MI>G_p^Bdv#$xJcJ^Hn z>{eO%uGGLYuXq2ONl$+7wM;vGtAE$!nA-i`79TX_GkW(*O#axO+rgZj=487`?c8Y( zu1$OIHLXAHU=p(T`1&2EqbJ<=wcD!4{d?xsT?Z~q->O~xZrf8k?cDkx9e+i)pp}o! zk2Wp(&B7m%_P+T^@T#|&UY{=ZEsUDG>N2D6H7BJXqB~q<XCCj-`W3KK?(W&|v$RBV z|BJ?M%nvP}c`9DS_o~{Bpbdw@>J%Evf4xgiOk)50qn6uE*FBh(YweqDyY}8c8`I~j z?soX`r_S>~z5K7l{4GgIwK(6h(n`@dx8i0>^L@5N)?G}7-5z^QJgx}meezx~#o)t& zCts)CiC*|Q<VsuOx&>8>wHjZq`_S*Qv4B0>Yi5*zPH`}ITj8~0U8@{>=4?T6iD=<V z^Eo(u%se>bxli}cO}WVLVdDNY*;V%X_R!|FVx98oZ2L8L9{Zsc^zDqd9=qNAgKHip z|H|C8L+lWj<jIt`Vt1#WQ_no7Em|<?*mYz7M|-YJ(U{!(t8j-)#PZXVpW5eVTGqT; z{`<?5w+oLIOkOT!e>p;E`jg+PUWW4@ob}r7yw&jUb?N<!Iemp>Bvsm<Y%$1aydwYP zaH_goL_lwGSU_k0%G(pYjvf&^Z@Du%-?wh7!O^C9Pt^|VPVZ=5^0d;2D>kZ@zjRHF zsNDr8-sjH>Q)W0VRH(bqvdgJ$!LBt0SHDh_o6K_J|GlK51hu0dUX`$JRAkO``Tw_t zUHQ|2NcX$aA8iWt`u>`InaJ8Zv+>v6_EU@tuj;$Jxf#`ywe0Ze$4?7aN<WaX(wNHl zSNGj&We?uKX$qTJU;Nq^<N8B<{)s!cugU)Ne7SYQY~~n_vx_H~wEwF+!gIdK+JJ{U zx_ZLP0~_0NXP$2BOA+~5=`{27<Cy)5fu_smRdlLJ6k2rI@2l<QHr0#BW8XPp`=*BL zobwND%?z=6)R>g`N<q!5;9;_`hFJ7Th1VQ!1J&hPIqqf5TbQS9!6uL=?JSebe=WSw zw4X^){Smjz8i6{-LpRS>3u-00w^&t5&UJWR>vCP_v&y8kf0w(8?y-pceYEn<#FvYO z@3#h5P2HEw-yI#A_r%_JLFSryN@>mKbl>L8F==_9wpMJtZOEh$MmFCo;m*^3*8B*X zX88Za*IkQ4imn)^vmI2)*jabAj_<<K%rg&P-dbnNGGX@KIkw!ft-Hcs9%Rv*lIdLX zJwf(~Li$Ori@dj=e0*mwd|_53`>gM>zpA%uRy-EDzB>8G^qtA3^KO+io!XF+mZ~Sb zL6R|T;koZstG8Z%ZRt_`K6}!q-1EL1LWWO|d9L9~N|QOr<Qp+pK;Pm-$mB&&iy9*x zUM*b{o>%ZkHgUoJvfkxS=E{ClyQO|UL{3MmdD5Lv7dL5bpLx+m{p+OAUHP+In|~?1 z(L2N(ou^!TV3L&T|4bu`Gp;iQ)(0J^<a2uZA^&Ba-<9x(DGSWZrzTImeEA^ngsXEs z4xj(k;bZ;x?peKG!rrpWyHjP<x|g5+YO%0PEO*JI)S1_Mq>dzCYEfVH$l|kX#G#MJ zrFr$g%sP5i^02zy(Z!CgpE<Yfyt(^_39tUUXrUJdH)_8XKVbW}sI;><|0?snC;ygT zI>>U}JNI@&*q&FrFDO5-YTR&_tBCp9h6_`KYfY}Lvsj+Mz21y1-Tc#~Rf_XP+0~w1 zE6A09vF@SjjxVmu*=HnOa?z4Lb@E83(;v>lOJa|N1Kywi`O08=xr{^jlDr~^UsK#S z3n)J`YJQy>IEmX@X@<I!$NsLkjLy<mpANjJQT<{$^HBT>#vfYZ7mqu<xK(ygW1CP; z!))OX|E#ZU=!|7OeK>AG_6wni#leQXpCx#n-}uen*~i2BWA=MLqnZ5zTWltAKG<~P zM98-jGRLL=u6U&Ih*SCA@$Ch2YP0TVmQ;SvS=F^=+x;RT(L*;T9XlxSLP(z1YUK`I zfxni|8{@5`<{$XNT~l>)J@+<4DK-@;4x_ZCM#e2njAy0K7hb#GzG)iokJZop&;R); zxu;9t?OD*rV>>VA-<Yd6#j!J6tz36=*0irL<TGcq`)F)g9VcJ3ylH#-p)m29*oxDq zyYwAnBDS->nwTw6ea5y;g`G{EBhlp0iiP#xE4zaE4YV|u{AOrXl1i8`YxR~K({pDU zGgbO!&2MQrJV=YVv9$Az#x$iZbG;?npL@Iv*!1+-teTmA9fyw#+%NdF(Kf|u$Lh-o zI})`XIi;>IYtwkVtiXYR?W)oIe@yM?wdP*C`+wml-}qi*j$f<(-B&M}u6&8<LMUHX zm3O%7zsc)uSFB)Ief7f0>r97ag#M&mh%!?CDw4kcrG3w$(+?fqXxxy`{1*Aj)6=tP zpHIV|`?J$;b8@`ex$);jH8!dCW!*i&V!tig`U~dFY2B=LrZ4{8l83H|=iZqInca)K z^>0y{lCwO^tGAVf_wzFTEMK8>KJ7t%J{!lLJLhBB_O+i{5%Avd)QpNPLHxzszWWYZ z{NB%$$tA7v&ynew>4$*q+|`yBVg<HNu2YSAT$`WQ5nHn~tKRH$1IN-A?R8B_t?h^I z<f+w5MK`!BZ9Z+sb){sr>bqCFcz68f71?vZ^}iIOsnT?@pZQ@1dn(i?YvqQTlr>}} zaYt*eEm<!t(-V=W_cr8s1?wC3d#1DXn>ilNN>N<(rSeMq9fg;-x3xP5O-#5FFR6IJ zOmvBJ*G$prw=@e+F_c#Qy2ZkKarUI3Q^o&6I;Fjiek*40oF9~u+0N6_(|BOfwt}7s zZu=T$grBz9ZL**H&E)<aH$^$GUHoI)(Y3ZKh`IIG@h`W1KFoY3q-4aOaZCI8HHMW; zJq|}1ruunI_<ZHCiuk14Cw$BoSrtsa_BVK$%)*-uQrG4ecqq!+>~Bx`a(Qo#VRYuP z9eS~>Ru>Y|kL+5dedVd_yPiyj4wo<4r#?(MaC=_v*28<Pf32LyYG<75`14gfm+7&i zhONpgy^_^F8?<MgXiIl_`QYX53%j(QUHh#Qc1tF;PySwiu!wPd$33mTo%Y<S_BW>N zwO;1QcH`vgA0o3kKHd3xKrx`^X4$E{RbMW~>MJyD(^xk7`NgI0wBmReI8AK1o2%}h z>DIrlWYF}=Lv-2syNloNG+e|t??L*tX`IZ?&Qdm_5++TjG;RsDo!-fPWxmFQ`ZLNC zFSE}35;@UKWV5x)3+`6V4gnptKmpMO85&_36P3QaI{4<Jz^~-@-#_1<czvGlQLEkO zci1=V@%dzUUU1KzFTO#I#sZpKwO!ZEyE!FnuTtOw#XowiTU)oB4>j23zI={qVo`_d zx23J$>x#ub^M1P*kR!Q_a|zSrf|IK(W>4UFS(UQ<@OpzK^QWk8{={n+eEsm8pw?yI z7()u@@V38}u@UM!t-dnFfA*VqNli8BS~FE{|1n_JR_o6HoElihIZ@eWn}l+ug|wmC zlD`@*EBtnqB<7yKz9#U*ikERu4621nrYGNUUn$e_d+V8P3ejD^Sl+S8c0|f>?^`wR z$o<Qk6`y;AOYU2B*VK`ZarFeoF6-ZGW*#(-+2PjZ$vd~#uQ@rPxQSt<cv@HDVeb0| zJzDbxzhAxc+gtb*%h{wok9>44#@ucH)v@EkmD5M(yWRYf#r#;X_V%<}57~DG3T={n zwc^~X_iY>OHZ9HEbHP@}vHa8RrE)JLBIbX1^l4q!j{01w_}RNMmL5H|@k_+^n_KjM z&;LG!uZ_D&JMHSW^)}oO?!Nfbe!k54TR}#Dp$fCZ!gn`)vgXZZxWGQ`6pv?8WVXxI zl0cQD-`QIiq_{__o#879erPervqH#m{pU#+ID5DGebVV$!}apYZp}NrY*P}hy|Fe| zn|<Ba^-~bXoku?+XJ+^>(-JTZ=+f0P{jqGav|U?u_ad&e%1zzsPO`0gzPY%{Zaej> z%z{~3FQI*7Mgr@n_}o*Tv6|OsIA0AaNLjowwxO)<L8*pCl74cM{26Z%skGdi3uiV7 zlwEjLVX<RDb-$xfhyMbh_`ds!eMjG!EIm~y>7Kf~Jfi962jg{WA!40PD}RRB#crK_ zrehkfY|(DR+UNeatpgd~s|E7sTz9MEVzl}2`n&n&jB9;UH72ybyg#ercc1T^Dchaj z-A-8Fzsr5w|GE?&|2u~(PMp5|Us(0&HlK_p|JlEvUH5<TCC)$K*Q%3$s#0&4&hq+u z%Qa~hzo3rbmaa3E3tmiLvWnel%@vgdPYyT9*vpPuI}eqF81-g4=RdN%>!_<Bkm2@F zR_x_*-McqdF|6w_Z|`ZcU}6$bZ{PBGgOv5tIkRpXK1zw}U-8vw?}p6TP8F@s{4PHE zCa1q+$tmmJT{@wgW+p!qJ<gL+bXDxkt`8C`ln&KO_ErDkaEbEH{q-X{=xM~$+3%#6 zseRsf%B}Ch7jDza3G91)E#w?y{2B~TzMe39YNlRgQJKnD#-rVnVlG*1`+ZUFyuiP3 z-Rxx1ozCT)3shgmDz9AHc*9v)*f~P``^%3<Lc_1;tbb5q;8nRN@m^na=ZY;n=hRQw zJPnko_Emj5_kp@_$nL6336Ce<`E+^3)eUoBalHGla47i3>?ikwSn}S;zS(YX@J%z& z*RQ1IXlvQ7#tpkdGW2ZOKI}1h#NRhZgwL_6sBCq$z1M|_&n7J6)J(Z=XS3_r*~81D z!pdhq$oY7?^SDcu`L?T}vAb3X9&&x=^Wd9Dy!$No4yWatxT~-1JiVZrU5i`$N%*_} z!of@bR<*OQEG^DIn-`<q{nJ!0;os>Mi+3m-zTy`1$%tvj<*b4w3-<P$&DeS6zuxBV z6R(w>Pu|^<eXR4X2;Xu&E@{KNOHBCxgezHvuRPWrwRgd(FPcC0l<h1zxH{9>fT`X+ zaSFFu$NSi8^Vm0e%H^zoZe8@JAo%?X2JgCaeXn)hZk75kEI6wyWMQyU_%|~voBCh< zMu+_|b6aLgKm1v_Q24Uxe3hGybC*16^VogzufF$G;aTx-9_ju%W^gp{z%J1@6Z`{D z<ee{zdAnwQ{F7&OuZ$MHnan)<OvDw{<I}&joeR9Z)!fX__RX^Q@<uuH!wvb)eOwbU z*ZD&9Tb-30Pil8OSYpVs&Xqxpg>!la#|3TQW%u7@oXo6etl1!Q;E<97|9*)DhIwCQ zp8w*$X4R(r=C}7f$#W7Mc8zi0w%J{Jp4_pX{R(S930qz9hrGQrbG&am{-~(s^bKsw z+0xgPXqaQCWw!pk-gAZD!TVTRV-0Ragn9Q1s29zBl2Pa<wfX4QW`odo);6)08q@a{ zFV6q|>L`or{WH1EzqZ}qV76Fi*0p_!uS9E(S!lSr9<JKH_si@jotrBkFI4_p?0o0M z>>8h~2R5yb2)*58%B}deeB+M@)_*g%AN$yG>W$X4g)#jCQ(j8FcwZ8+@t{v@^3pvP z67xm(ZtV@<r}pAgiA|pBtqqIcdH%CmI@?3n|K*Xl+6pOAtIFG?KF?}9;8L{f#?A|G z8hMyy_!hoST)Ug=)69xfETTspqbBcbuafvN*E#M3Pmk^G4wYr@DXZ)6ojBvdBCP9N z?!&a))AmTwp48=ztNfj!{|7aE>2Tne4w)DDplyL|uJO@|y&~G{ny;H|ZZ@rD_Yr^B zkfv~<_RrGEHt!4C9g~E2{&+us!PdDaG-hf9mN}jNcYPCEV`h0mv`|dWQq$nlIaY<% zrE?jTR!uot=by4}rVr=S1PNP%%>`@DJ**9R!6R|ke6`38wgb#x`%V~#fBU&C^v}KR zHxp-it99Pjh)WL8V!L4R{c6!qEywHEeuvC`=66ZTWphjLHE!0oj}J~0pK{~jOsA6p zS5-Bqvn(=GOTDLUaI0_Ozm#{MD_`nd+;94)qfGeR8vE1?7WX|am0z!l$tiKw?VI&t zlDon8Y^TRfUzBEfDg_>%#&+Gs^wDare-h7MxNc`^y>M%B2tVhqB^Qh@{M*ia;=pR% z^kY~5$)uO~7T(_#az@WOV}i3=_M({jN&XQrB1^T6^YnB+TP7`+ik_Wtp2x<gk#Up0 zc7mPFp_Ac{M6=#xP4aq`e)#ny>pf1g8@Y4i7ynwCv|*`S8=r2x(n6C1-A@|hmpn7> z-n~b9&vQAgs<roSnWzW9jX%4_|KKjR!rM<1z3mlhyGou-&~5U07PKhaO2Ga2EaoX2 ziv{+-_{G1Lxvjd#Q~1X8Jy-7<RqUJ9Inl#DNRjat%axbCpa1`L*LnA+bN=e3%;!Z< zeXTDG5<UC(4F9|{MVH)|9F7~G+HU)wT{3OUpJ$0LI-l{0=^8K6ou|Y&!<a9A@}kOm zMz`rwA@3)4%5MJ9Z6<nEx#-aIwQ{qX%jyDFaTk?u?U(5>DM|?t-%xl#nf<=d3sa#7 zEvH$wBnO4fyR7NFDcxEyM=`bb<>U+Bcl?VsXHDO><HPswx6TXmO`FW{fo<A1)5T`p znRmGgS5Lj2up@5qQp1mLXUNCp{Oz|?oGkm3^NzpI{nh&SBhCk$jW#%1%I(i~yXu`| z4J(7#Ic)>C7jxXoj`pv)l`-XedeX$hK9}vJi#NK<CUQNJ@$6i2^yD!W2W~yDiOGxh zoT-!BTy`#H0^|8ZY%3;t_S{dsSh=jND*NzP^OkRFI-#1!x^qfICkvE(KYyHEC&ei$ zyy2ad*U#zATf;MJqZx~jMCNZ2+xp%;_N#I4igc6Ta<_svnR<Dw-}ve1ejTIj+ch@j zPc4aZJ$lM=-&>}o=YnrO2{H)ZliRUlA*0}Aj$bR@igTK6nA#Nad~$95ij<#DY^z_r zvSVW?`6&GFlAxCq*R4i}uC*0`Z(`!Zmb{wT8~10oR{R3?yT{ww10O!Q8x~!+d#-@n z+*+~6Uuvbct!n7E-IeFG;;?t%E5&{T-fznKlDk&fT}(VH@>XS^@QGt#`SV#-LoDY8 z{x16TxlrR_r+=<rk+?z6B0F0Rv(A<6U)#H07f+j>m@#`<aj&QK)vdWJTPEsy`8OA+ z=F71q<UQn`{-Loyi|NUt=M&%MX>6av|5QO^iTg*zT1SP}XOi~Etgg)aSvf7i>wT=k zhDweF?Fs7_@08Q7fBZ*a!?#&ZtxqQunkkC?oT<2C$F=ik;ue-2-lXz|WomUZ+wSdC z4@*6pz!y>Q^U`}~t@AyX8P>N-#fTlwQJ$ApsK+$xzG%<=iA#S(%zL<-{mEjkHMVcf zxK`+dd<x<YX?$l^mJnDOb+<^wx9NuC?_1mxD>M^%F06lkWy`mNuX(eAc0_)@6<xS> z=VE&qr5$D)l6RLC&N!Fv(;n!?x^=dYWaUO@%^<fmYCI}DipMvvWxC_*kZ?k#?r3uw zW61MNn@4Y-_vwkvNLs3R$i`Cf;EheUY97i3umAk)Pvg{X|6OgxMdAJWYq$auGS-)^ zV>xtI>HHZZMcak<X0+6brgV$fJ@_Z-lJVeukDzgEf$Iy)|DKU2j3g3rKkm1FrV)^Q z#FgRbGq(*7`X=%J@LZJ;&H4VLkIUDJlr{VH)+=rNoUmAA8QY@vjphuR%WntCZOq!3 z#Z^4Z;=JM7GUE=tt0Gd}Q=0QRyf%qfC#J36v~I27=5L8VSN`Xl+w*DunkSz8Q#U-h z#rT&yKWWj7goIs>3$g_EOgZ?u?X_-Xie<&W$MsovGs{wnf>|T%KD@u1^Y-?tW#2sK zWn_j<pSrR&eC=bQ%s<)l%=jkN$Db}-`}gPbgM}j5>-&>KW)z1+KjQLI2@^}17{0+G zZE>Ml@Zx}QUOgVyQ?5x{!louEr<(=wY0tEtdqKH<-^;z?lMBw3uU8fP<YB<FAct4y zZlUd|E3@AROtt+iKSN#Xn_K>q1Lc19msc^@#kRChY*o=}{bR4p`e%jH&-7ZMpVv6} zqcvv#Ocnl6s_~+s<%u%$u8^Azadw*(*3AoPpT;<OTE>hYGDqi>yb&t!mabp=!T#+7 z+4ETu-{)l+Y<icFI9<3)LbqC1<Lc)4j94>KuDf@fuh-vPE_C|T;vEH%I~WUFoO6<& zEU>9n59^p2dgfi7c(UD{mtP(olIL^r7QVSjIC@`^!nbF-|8rBOs+qsp@%e32;+4~V zr|!gGcQP_rbWdI~YCcDbUh&B<5=VEPb1FUIw$V(@Mbn9otG|=E>PYW`4}9mMU!`fP zEBvvYdh5=6)hSc=>_4u~X}Ym2gy&{i@k(!{Et@(lEN7^xm31%_-#b1hR9C4#_6yU> zYZ2!y&re92Y0Xr3dNGT4JXiMZ#n;Uwg4#E3aJ_Tn{i~@<R9NOO==}6!_1A|-kL9Eu zP71G$u-zefh1X4MTI}^>BCSVupFO4^e_coRaj3!qEA7|+pPhN6rNL<FF-3lZLZ9r0 zuu7j#Wn8nn%>v?QTsgnT<;~HY#lI9MY~So#t#+nMVtL3Lg#wRG;T_6TcK^DaT>awJ z^1!TRH{VNk@k{GPnNMt)D70K`fnw|YKiaC-`C_^J)RXuf1*3bcSe`TKG|X2#Y3L)h zFYkiSN!t#U-Di@OE<IiM&~3*K)4<-zssH~PRJ}OzX#d3aYm$>K%I5B^TKrAVA^6Oa z3%Q10tM)xIxcBdP8khXRWWy@0clFJ#(+_sBzf&;ax0Jef@B+ImyT*cV`-BwluJ<{o z@o{;M)ETXnGEKVABQJXH+tLuZB{6}Y@%GU~#mB5nZejNvc{Z~@sZL#_IW1l{$7t;r z!F?AtbRA|ssF|h_C}7W+WnJqjdu>9Ks;ARd-I(&_ozotx)%s*^?T$MtpA>j9d|$-j zOKYb6E<dtFrQB>^b->aX>zmEbMcN7*PG_Io&E_Y6pO52r+|Gp&b6R9lmMUMHQ1G{m z?~c~}&`MR7UN7a{n-*K1+u7nG_OY=2Ths4p6J=Tj{r@MpWiNZzD>>7!@}R6<=S4-E zlhuitngu0!B6BM@WnR7(GcoNg|C1Pz(!7m{?}Kc=@5*}C;@PGj?s=<Fp-1iV<$}7T zCG!_ZDd>ON>vu*+{#)6?11oE7Ot(!|dB~HU?9?;QVOigr{I#FwZ{Qc?{o%;Ed5?O| zXOGh?%jYc9blE&HS9<c|mclgom)k8jbcC3<PU4>s`{E4$CbvkDB@<uWG2!|Y{^YCM z)eUwTtJ-DH6ezB{ZX`eDa{Kk^awQk!zfHQ_H7D1N?ZXQPx%Sr&FZ?w(*?UIr;%h;x zKF{xOSst)X`R<$gaMOgD>c)yYBG<I-{dGKvyLPjGc=_rOeTjSAtJDmn)AnCE;C1Sc z=K9?ilV9!J^p;0vh5LiB`)7QZyw<OgKWC<D@+{@(+5eA)Gt;f5*H2#Wd3`@yi(}2V z<eSIz4nN+~xNOI*b<48uuU>O}+pLW*79ZiWRP=fE_vW$8nUQVIldrHpcwij5zH};w z@I_l=g?anbr+S^fm_A8y_lAe&65<TK2TSip-}xD$BjY8pP*CXPfn!@0_12v23$YO~ zZQwGLmNI`Y_^aXI-?stsviEBhf68rKVr_kQ?M9_IR*tfE<M@RZ8>^(&S?ed9eEQM( z{w(fi8+eM2B>HamvY8Ziz5Fm=7O!8qvQH?(s*f`)^3_}9!?%f5^?rz0xqI%5^X`_e zYeFAyEM75}<LAr5DsjuF=a%Q|pR#($EGe_Ry*Ec}cAc%SlEsUf=cn6Veag9N@kjBw ze(e-CU+$<}e_iR7O22nH{p(CGV}4ktWW0q}>&(O(@0U#Ru|CzWtq>R$b}izRR!)Le z%WPSW2lKj5&HNtB&bh^O!i(RGZ+LQVEu5iy??anJ#tJ!>$G`UTl-N746m=Zxf8L~- zD|3ZQScm)2(nS(Q%3GCBp8eu4CvE>&NI}8Rv{d0l=yp+&9_FGy$t41YiygX8mDw~* ztcjm(CRQyHyMdcOUTy!m#_yhY&P}_n@_I2x_KG=YzouE<;})4=xXH<x#iG$M_KelS z-LW0N_OCLSdfl^<=hfqrTc@90D<e^_9b0?;(1eTY{LdAa#rB*$+J650u83>BH;gYa zhktlHp<KMIdrh%Q-^?ccUpKzEG#p)E;I>RAbzjRBt9@w!KlF`!4fU^bOtHTZDARB| zJ(5jpX7P$bwrhvPF5VYnOqrEbsCE3+{yRri`Wrm$TK-O{(>!Umd%vgmzaJZ{mBW|0 ztVmqSl@~Q>^VMS^6Q3Tu#2LIsIs7RDn_27C17@WfopC(X`BjU~MbB&q2)8QS>euv0 zpXrn7i$%YiZW*lE&9Eb4vb<GP-mW4G)zv>=u`V^*z4ef@udtWH{+82|82@gU4g6Cf z{o&Z}PqJo4tP%nd>emueT*Z3C&3jfn+mWsJCOYYl|9Ttl>4lS$YEEw`yn6iWo=8cD z9_{s#FK?~y{xy+FLrd^^hrL;#;E$b6Yfd{?e-!2P*4uYD!P6u)PVL3jSodk7SI!m- zC-$>8GOX-5-+ffZYw27EYp&U4OX@D^9r&1VAyBgV;*p>eiw^zUb=t`_I-xXP>+H#` z@7UsHy=GjW@3U=nmi9q0RX*kewHr?Iq!qH9?eky$bCJ0G>W=M<yS*0bFJW9}$*`-a z-RNfc=SLEpj!n*cvkxeXv&U;&@bAnh(7aM$W$|ft-sOEh4}Bw5qi(4r&V0OWWkuM6 zj(dG`wf*x20?%x5ot$*>X=?Z*d6wKrLr&FY95Y3KRYlaF2+V9(xG%qQ72m>qp?$Na z$$spXXS&F@exd)0tD*LW46)y9_Gu^`c4d#a_9nTrIpUtnWbgYkn-80^Ivvnnw==0J z*?;f4qf1V!i)y?T`Ssw)AH9yH{xRHMqHG~L3tLJA%$50Ovpn4Kh$CT#n%ly|`#(h+ zb+_g@rf>3d%Xlf1IB6089J9b3LYtePo0;yud8UwMi<4OCqtXlw_lTKKUaq>u7(c15 zjW;>$@pYYt<%(CMQs%_#%}6Ubd2UhDy7Y(lO@;Qq-@P+Zr{}{Z$L=2tM<=)yi&akg z{A;dv%)wfNiKUyjZQr4EVwKFa>bQR8CDq+}hgyGbk^88qS+GNA^Y(95FOIuL_@{i| zsj#$deT4Q#p((%fLaUye8D<^O^-X=def4t33kpS!&IwL_cjVsYiJ2>fG88zis@`%# zvQg^))mZ*_oNL!BMqg@>oc?lRvfL-ZPgZa5l|6Z3y7u&Tu2nlErk$>SRBIbOZR$M5 z@TFP1R{s6^GS+tU_LQ=oL+3j4ooBW-=$~$J(EEMe$EMLUp#H@7C3=fq2QLdx3U)t! zH+I(c_FR?I=MKL4+H<~o>eXbglY9jWyUv|XFL}cn7xz#<=)20>f{d6?r{n&pJz7?; zx-z%dPr78n{!_;0mmVnOv`DEYEN?7XnRb8u#9Cp_pckLs9MY_?7iEpoowL+CyWZ>Y zqSbcnSu_5mI(afqj`4Z7>DGszrYHARD+V>sG+O&Z<)k3b>Q(EV1fCo;^xYpkL9<SM z*V~lvsSp3@DbAd^*<|~n{YrE9F?dybc-`<-7QXo}HGZDMvQO&%(=Olm;L(3l<}z#B zsg)M}N94BzF4J4O<(ILG_P_YLvc(B!Kj|%hvv+0k--W+=><djAj?}&r7Jeuyej!iM zSc&(j;Qhr%HYW&L%v;=RwtRu-R_kKVwSJ4e4^A!=YJdB(rQ`Sm<3wk{716JiW-n;4 z4KZ$iRL^4bi<NQLS@Ss+`<`fTif8(L@}5k$%fl~|St@pHTktZN^U|L85`khY)9ljU zx4Sp;sGFGmbPzhVd*2<Vb170c&c3~SbXK0gr{87EnUv?Jd@|6lRq@dm=$o`%^|;rx z($aSiMY}?#Jv@FOZApoTu=@orRWFuHz4GnTW-k#ty^w45tXye@;<RVENArT;?>>^{ zF)4ZDvfs1Q;`i=t($(ZDo|`b|6Vn>wxn?Ts#ikT}pMI9}L~DZh(N5b5e?OQi%PV$U z-A}!y*#70SvK>dJRBZ9r`Ae<~eRv;sf1cI$4|<tAhi&GHa64}hdz~lv-{t&Fh2&D9 zu3wk*0`-o$={CJP@o!q{+>mc8m*@*gwDZQF4{Hh9XRX?(GfT&l|CsOrmhRgc47|7h zZEcH^e#-vaTs`m6h9z>Rtku4Ki3+J{jIoyvSld%I{dTv_`%6Ca)On|u`aNY>{=T(p z<u|>}H`<qfS+shURmJ80bBesz5^nt2XI;s-h{K3E_Ya%9QoX~IfFmCm*xfH3x&7~2 zRrkEdH?kf~Kj|^y-0cf%5-uxn|G9Hl@o~@Tg$!>>9z6URRMgD0sWl@hpY>w@-Xm<r zN*|6^y_(NaZud-qW%sOAJ4Ks*y<Ny}DcEPs{qlO}(uJ!oy=}|=e*WP7GNIV4G}*A% zlFC|LHVg7nu5?;-F-`SLl-z$JW=>0O{I-Ytvy2`KoM$;Dk!$JE(G$KS`lsV%89`&c z+{!84X9c__ProRtw9=$0;dQ6PNuCdRvtDh|>&oi6$+%OQ>Es799wu(V&c&g10<J~R zE^w-R4~f5UkNL3BuB~r38?V_X9=4#H@uzFay)(-vnXl&zJ*`^x{Ms9~4UZ;@Rb07o zao41;4J;2BPIG-YpfPpNG_N&pevAC@-kY^#xAB{~X5SYbk^ggPji-i=SA9h1ic4>M zsxP=oT)kRckRELGp><*5Qt7QB$DS-wtjIJu{B?us+vUHn9uD5+J)_shQt+v!M3P<L zbkq6Dx9iKoBNI6pH*_@A+_ybw-k#MqF{|c<|3lu39<}S|`TZ7~w(RWNV6FSr9V^zp zs%-kzc<_Lmvv)L8RabXVHp6G$GY<}wS06hR(>iy9X3$2~)#pM=EzX`@y8L`~>Wa)2 z|D1VK&R=4-`cq=%@yKg#q(Nc;OM`f)`i*n}_c?j5W~h9(KQur6xW!bPixDkOdv~ts zefU`IU~P4%-Mx?-PrRF03~yY#w`RpXnTM;5*RbTWMzB;_7e2V1JoU%bEzgBNyGA_? z`pma-Mfp0Nh7<42UY0v*2|v&Y={hA*b8X2Xea6i-3(UOo6(?B4EB`uKqHJZT=i$v) z>~&1>jABmBj^_y*y7#b&wz0U%9M~!@`RaDMl?BuH+>56ZzRIO8TG%ZR*U-1e*n4^C zWFz*Z$IlzKEf<=0V#W-HL%b81H>&8pejl(T`pD7g7fa^EPwF+#46Qh$`aEv-WLM3Z zyH?#R_z@?~BH_MZVd5>jfar@yTbX7v>*puTlVQo<BYx$7qo77F>+Y65FZt5y6Z}Ct z_sx2+Oj0GuP445<nvQ)1P1^JJ#rd%vD|-E9*BZ6Yo5SU9%J-yd@Y>0(u{>t|@YUJJ zvZ^|V#iHhQGH&nV{w8!m>5=QAyx1V?l*1cVygC&5CDvQXb;+TPmFH%!{vNtB{kIfT z#!VGQ{%ebOPb(AUcymUkTJP%dlZE>>F}Qt<?Q?y*x2E7taa-O7^Zxs#Z|+N~T<8eh z-t(zEOaBnpnp;P+WM?*i@Y2xY`g=$E+mS<d_ka0_1v8#r#g@FL{`e0agBCwCt9e20 z9$9NE><Xq<+O2r{r0mRm3Ca9_fzP@#iWhui=X<v4(4~jW?29JxA4{}bR?}d#M`+h) z8#Bup{AV<T{!A-hGHq_TtZY{-PyDyXPu_a)i4}egUM6&Dw(^80+YT4|gf#NiZ}+w+ zG+AQsS8Z{Or`pLr9?{gp4wp_aU7iuz*goUmkKfsHlODhPzKHXOr^57<q_bDv-HhQC zDOsmCzso*L_E^zJX^)*Y3pQ8PowV6^o;N={W1hv2(<!kwGHb6ctb5<#@$2Eih5e=y zkE$M?=G(C>uuk7H#OA|2$>Z$ZfByWpc^qAtaA?=H$YtA0F13ZtSr;#nlxl5IaqvTb z!d>CB#n%+fGGr#GZ=4-d^)>QNH2a(v3Tzf<<5?v7eK)?Hm-@@j*^P5{l<RND^yPso zOgQ>_uNWPu43N3s5vRg+pF_fMdY0(Q0z=9DrUvp|5#~iqclVr~cIXb92FtzZg-$bS zuQ9N;v+dov@kENQBoFgO#=9$S&0aY5r*9kMw(znSsbW_mRv-S*)9N0`!KS|JcHp&D zWzTr3T2G1ZSh-}T$-JyPTP?HSTxrrf(9_P>niZmadf~kr`<P>eO|3tK&-E%-WtTj` zsn2(uNh5;GthBP{PmiTj$|HGUR{xWS^!<Ge`1Fs6zS&~IkY;q}S}*fa)-?<^mZ$aG zAKJ)lx7z&RU}sV4*Efd`I=wE-=r^pm5WPUi;`~>aCmO*l4le7T&paQSbJq0K@5|rJ zELIq?WNj-;^Jg^<TN6;6mV04}cyg;}o{QClgU3adBrR1D66>4s)IrrvFR!_GgZ9H| zid834=N4_y^X)yH%f5F?o(E%~d}oN3?8hKQhj*3IQ*$TR1vlGvaPlVfiLE&4Xu0I) zoxYv*lO!rHIsZ!6Ua^NceC?lCUB4$9UvUb2qWbTN2<M;Xxle^A{=ahA^X0y*FU{d^ z9PBo*Dq8Xc9#adNU3GNR%KjAjsKYx}E--c6$GM1gy;;m-zFBV)Hu63B616ep*Lr>z zHtUxc7IBCL?OwCgHuBc5eS21~n`N~l=iGVeumX9eE2W?9Pc99s?kX{{6k0W5-?1Z` zCaEXBI(g#Q@uC9-vbSz6`|Y(;@bF$^-<pkECfMyv^wfJhsq@MKZKcFUE4PdY{<Ukr zy>R)PdQKtibd=%Ja<}xmKb-#wHioY{Fj081p64=F{TWlf{ZiK2bnKALf~6ei;?61m z4duVFGNE#d$y6~VE7$nD`U{H#ZnQ00u%giX)nAsoQ?h4jOZUsLJYQNWH2H;1Y*^<< zwIz?kMXyy%Z25F(op8bF37&fzpBgfLP5AbAYV4%L)<R;(L^`Dyy^8{r8o%h5KXWj+ z^uG72mZ(r@@8pFcA3df_EahT2=4&pLzE4VjkMz9$pKeQEJ=VG?UhVzW_T%qlz9g$% zZxyl}t){Ynia(*~9kF(UgYNYUnE|(7yh~Z>_M+`kMv2kZKC94>>JM2B+BREf<c4Y0 zh;3NWR`zbox6gjnI;o|eohf^|6}wJ#S?uYUb>NNkrl4a7f46tNe!A?VY3tGt=}|?( z4A*3SF&4L*Wv~75N-gs6&v(8Tw_dCE_LOmSuw^#8|Br=bhof(!>yvv|869~9^DCr! zKNj9Pa5(pwMAIMc$<b#Lb=|N1db)2<^h^FHjDcY*J{&AQ{Ym3yr|XF*c|oRK%VcM) zn!KTMp0psRVa17s=MFhAxX$>c&Ua9%@@waZeW_n%XP>S3KJV?MRd3fRnliBP>AX^t z(1<ixz;67v>X`3Bv9<FHyPtWs{(mFuT7Br0yus=_+>z(brA&L&%(m)zC*R5VlLvIq z8i#sFtyD6$G`D>2SWsI~$JANZq;!3v?r$!h?%bHf%Jh0c1Lj#z>m?I^ieIyh&wH?8 z_wBp4`Pm|`w2NFVdG>4piwH~L`3n*rXO=v%4c;=rCHGG8QKfY=j67Le=2mwt&73ej zuxG=8g0Q-9#XnE4bi9j>(fZvNzq#zg-W}IXQ}WX0xLKGcep%C0duyZG*A_jMWZsqi zA!R9(?F-JZDsO%^y-~`3s^_-wn=BeluNKU$))0!bi70C+o*E<ZEbjls4d2yRa;_|F zj9mL7wB&oe<t2aV1CzzC{@c_1C9K<`J*?<((2G{x%Dn#L-ZPETtFyi{{Zu=2^2yF{ zHEVw1$cgjf?DkCcddN`NCbLj0V5iXY`(lAksSCM$nQObh&bN(uwKL%Lt22MfDqp&U zZ?tASyjtLSrslts?+<J>x#Dd$qt9dEf6*A;*;kZwg~GS3;k%yAaOFWg&&Tb~8@-l= zKIdngd*1TH%GY}t3QuY#zY=Viak}{8w3D$*YB$JFxcSm?(dEjUjMry#ZNIURF?L~~ zd%6F#NsQk+zZgGsQi;7c*Q6$iPcJxcVUU@BjMJNEtM})v)|}iqU4I^%yJ_rBDeavt z%lZF0Y*=Ua>+J>K)PK6tN&g(Lt@Pz)tqI!PSo}2JL)-ncu<PR3Fircc*$XFdEpoin z?qX43)YhDMK%{VIi-+LyyIj8FjLs!%eww9DRSUNHAIHDSae@e6)aKuNSnrob^qvyA zcK$!3VSsB!;xg{O#WS{Sy|CQ()K43pc?T@E<rvQ2TIhbZ+~cy+{-vU+1xeQ4+}CR@ zB%^jM6%YMldcae@@0PA%f@PF|rLC!a+9og4QfZGLOIQ@t-FE$9czxeFK-1~(((orS zo1S#;S$lNNw8(3jQ;uz%Zso}E^~(Gdxp%3mb0=}Fom!*5Ahzo9lY-KU1M;Hl4VOMx zleMa8#rGAxPMiKW9||;EIz4Z@>KRU-6WnL~w7-;Te3dA3RlHvuQ>uKWc-AVV#jPP{ zr*56y)9>wg#inN8=TGd?yCo`1&f3-Syu2`R_YR(pE!<i^Q?qpZ-m>Op{EJLdtC*O3 z;)CFf{vak{JND!IbdO}koxdh)bZ{B#xqo#+hFd~*EMU+GyB@mc$*I*VySs~1UhuKH z6i%<&W)ibWqNBO}u%Au=%YB`;S)xkymt5zkx-4ICpkaxt-Ha=<LmwHI)vTYnI$ZPT zr5_DtKbXxd8{SV|xH3sMWV*boM>&TKN5s+eo9`~nn3iyxEBFZyqgd>>U9vLWf9<xM zXiocl?Rao}iSF&ovhto%!Th2MdlMd|bEhm<Y!BM?F{t~w^vOkY7yhsEKNz}biPXdb z7w>|O&B70J-Cv%tOY+jOIj_q2Z0)%h>t?3)RBWEJdDgb@(yWOGcTZfZ+{(*YYW_{3 z<mJ8d3tug(?!Leo{A&K>4HAnF>CgPi{MACq<XrGh74A(RnTo62t~yMy+*W#|-%+Hr zGVSk_j{&Pf*;w{PY;N8nq2Ks8_hRTg4!e&rQnTE?Xs1ivDeYNMuPt7xaq7>e^m)%W z>D_rJ7V3E|de%XQ2XFEW>lC@1cYJ&FDLi|V{FKLA`Kx%9eo61~6jiUvY!_U$L;UHa zyBFWKMg8okWG&z09Qf_l<HKPk`=iBI#(M-DTP>ITWv!(_NBM%fJQIPV7cK@eJU<b- zBx1W?*!`I85lQ)G{5m{Wt5>-klrh{Fej{@-m%HOXKBL`&+dayavTn%;O6MvDznJU5 zxKB!9b0CvU_8k4z=W5qxykB<we$zSQn|XDoR=KifWjwCFzTm&!zlW-S8V@Lxi<P_% z5zm<Y=l+*H+|^4jocOQza3l9Y!$YhOmz^tHb70XH5!+{qKN9ZAzu>ZaYi_(;@uKY! zgR{$AZuvOeyUuHsRi0xXvuf(en@ROfjh1Y|Q+KAgn%J!NwHNOFl5<CUmcPx)g<Ko+ zSALYAwXWvF%w<it=U%wjk^CoF`@KSeMA@bv4L!?#y{dlsdl_@^#mn~#iZaDs<>j8R z`*C@BpPv7+b(c)HN-xl?l?(D>{k3p=LiFE4lUq9!1-|KiUchoaT;$CgkApv2p7=~z zuyOHqt2LFAZalY}{yuu?;;(MUKV$@X?%QUo=<)1~0Jlah8=rS!{i#Fx{vD;0Y^UwD z$oyz(ry1rJ&yd00{igH#MA1gxBX4S^vCrmRR&dq3<&)Z--{)8HX;u8H+Nfp`#A3YF zO<w=a&rdx6mObIzfBvJXsH%q`=dLe|)BT?CB_@7(ytz(FB6?o2!@nOpv<i=B&Q4qV z^7CQmi;*!eAEa!qopFx4{J-e?m8*D?-hY^Jf4=FwmrE|N*Ij3xp~hRwyw2&jij(NG z=9q;?P3qq7_9@w(5ZN$+@%#LOe!UyHTTU~WRHZ3>*rS?#aq7lj-kED3zOK8n+%x#V z-Iu0wrKDFqol#KQ&QkL!GVi-G!@6Bp6TIF%*J3GcE#x%(7?H7-rQqI)$$J~BSN>Qb zV>XZVjOgZN{wWID@4eOHgI=WW^0*Yrb2dBg&l0y=ivxD-tl@6F+WOh($E9DvPu{69 z-rqPw?)23D9pYCq_sSlc@6Y?;rq<k`wVZ9SF4JDD4=&r5{&Tjz?1i}R{H(J3q7EKg ze9q#_3EmDf>3&{Wmp!4=<Y#ny`Rm##{rbmsV8yYgPkIjJv~OT)UtzsoVVd*-hfP<% zos@RowQisLbGJ#G1jS^e`w9vjyjNA!hnz^NpR;|D#=1q_3u?oTFNommh!#EJUBCCy z!WzLPA4($%-OjbHQPH;7$<Md<Yc72Kg~_e_iNyQa#?z8ACz%`n>(FKY{vr5Va&cUT z^3`vjcmBTZ!M}w~NZ#5xVX{LTQ{1ftjuV}wm&Ftw=E}N$-})@hWRu(nc_pE1Dl*HL z9c^@MzP!2P>_PX-V)J{XC%sZwlFfO*aN@;Xg1re{?_6bk|Ib+Y%-gH3?c&D=C;kT< zF!hk&5c*oVYTxch`V&3;Ldz4HIexksmrgnvAievr4e#1utLqMt3nqJfC=!V}za!>d zr0dHAFS)fJ-TG}QYq6_QcDALIs)hB#(C~Xgj_3FSyE*pX`}gcvM#b6b<^dCbRtSj6 zpJfo8k?H$1#Zolmp@&7D;i1bjrA|sJo)NrkzKnm_(&dv>OPIp`G#2Hnrf5zOEM$lf zdpmW(8H-<c+pDHNw?8tmYR7>CZR+aBvaI7L$fZQKlrVfb=b2_V@BECigXIrzxV|V# zpD7c{E~E9iSdz=;Kv#)r-P9$Y4^3XEVsU--S@HHH`{Z>DR#SM|TD2E=c3qQXbNavC zb=&jLmsbB$HF&xpk>h;vBRk87-5<)Qspoz;d0(KjNTTxN_S5sKZCm(0SsU7msxsv? z@|!FYeV&`&(sEoNigEqpj<w3=*H!kMOp}UXsSEEgn6$T_VZE}C{e=5R`c)0zOk@0Y z^Wd(Ceo>X=Q(+(aR$VdK_c*+H<B4n0A8qAd+ihQ}q;ZC?Gu?fc_8rOPZ+o{{F7uk) zyXl|dEt@kui&DAW15}<y^?4l5Fh3%|@gr(UV8P^tXA}gZAN_XtvZJ1@V?&W?lJ2~w z>ragx9!X1o-=R2@HAy)&Afr@yv1qdMZ>C?zZGXSXkhOQ3XC1sXTtRYQ;TQH&wVAUm zWx4wzPkqY{sIOR-=aV6r%6ar0hl#pek;TM1yIyw5TD?8($?<B38&0+y=VCmja=<^3 zVZD!aw);KJmX`V(Z(ec>?f?J#-AWJsaM!}3PKLLaHP%~K#hr3&Q8vw(x%6Ab&LYE2 zE$m5?Cn~uG&Ajrcu=hXX(K~<gWW?1Eoe$>^bekbtBGE52J@P{zpY?5nl35vQi!w{L zpLcPp;O~<Ar?@#Y=B8cFLDPxKW&QW3Y*E^t`7b=%{Y!kRMbylz{=xmNpQjZ}cVlLj zw1{$)V0?XCYxR$;jww@5T^5vPi;iyH`c87SD?_JwpukQxQ-?=_-wdql)bukKPSIFd zT~eYbtru}A!}rdKH_T^k*H_GG=z5&~&x<$x$%N?1>}s1`&$@q`XD9M*B7bY*v`_<^ zY}3zL%F6|dEe|CA<J<XMwn@><(w8$Y`9y2@uQST4olEWQBsO)-Z2GU8A)vc#LqqYB z$QwHtPqvhHpS-y1g|%zR=~bK?Kgw@53Ay;4X<1ey8!OY>xS&K!%>!*7iZMG+eyP>+ zl={hch&3;b^T7<Q;F9pb0{egWSAD2gyt(Ac0-3_I=})XC>}hXU@j}v|J!4wJ2RY9( z{a@E;m{)lQ#j`s!TtAxq=!@Iuw==vPEly<Ky0%6&F#GTs<qFZg45#hX_GPcHsoXd9 zLS|CB$YIS_F?I7!C49bJKP%ROQRtm_+R8&0ZGtW5<s>(<*1ftc`L5&L58qEGHH)S9 zyjj3vX1nY5>?!{pBlQ3NQ=h>0c;(qdiS$bhJ0t&}X1L5Jz<%lYkGG=F4z)dTyZK@E ziW|H~LpUej>C+VKd&FwJX{waU&M3oV&O6tYe_px0@SD`P4Y&HIn%j6<JXC6|c+IHE zbyaA)THqV0iF22|G${#7df9#C(A<}Snsa`<S$^=GZf&jE9(|{3o97yBkNDr7Z&MAE zd8Jogd8~{39P=~@nXLJ5Cw(~){a@;O^4a;?LXu0LUH9_2mMpsTQOnOTNh@i~Rk8jY zUnd5OeD1rmQqPr@U)4PO`*#bM4HqUA?F`Djp&jySlDA;;r?k62KCCVKaq`QA59+Ju z?V0^mZqkC9<mQLvy!$1m*_)j7eY7IFqEPgpVd}bjvS~g|Dyv@EUcE6TL-}>p?20SB zUTa>TTJlN!!;BeOIlF>AyUw#oFoh)toT=i}{Mk3jU%;|?X<!f6{~FWHXD_oXvAM%< zd6P-ds<3s(&XX5!TU=gpC9IB*bMCB|4+XyB8;X*DbF;Q>`WrOmVRDvr{SW1fCvWst zo>F_T-s{_s&IQYbgO4*Ev3}Ox;~u%<v%#NzlQ!*U4B+TYdcC(u%9l-!r)_ykipP}e zO@T8G96ud)K6t7vQ`W9klBTnJ<)b;aH6Ne+JK*Y(T_--ySdsL^{@hA0&faHV5-(PN zVX%`B_Xx{(+b5`Bo7xgKO@LeVWAe`jO<8+Gn}z%S<(RVbFTH*8yR+?Dv6an{Efb|* zZqhT^ul(^YL&-t0u$P7@PwnS1h#BiF-j&{bWA7pL+4JNUX)e9x_*qq}<&0nO--EY4 zC;Z$0XzJIM<%Y9j=1ILcqt~PGbL)|Ui}O9Ko4?&-({j~r5@9<xXE)cc>B^!%C!VTd zur7Hxajxgv{$nTHjdKhHj<h>C@G{O=bTlt?+dI!aFW=ZQ9>4yhB`oZaS@ZvY0*}lk z=dF6W^6mNutdASTG!$0dXn()x@Xk%yCY3I4LYA6x^R%oq<u2)IyQ&c?mL$Dzo9(PB zhs>4N%OBl(^nTLQ|32HQ-nt*;4>EuIXwNo{J+D4i-FI(|R@%ewHt*Agd)oJvA~{~R zDzkBIHj>ZgPF<~=dhbKZu}!V!A8S}8ditKOX<L7A`teDwvl1@3ClvX)tyYUO`<p5r zYk5@dVca9`#E>mw=UX!T?)|#nnC`!L?J|b6x7?TI7Jg;h$GQJ#hJy6N)bp9C=GQpQ zKCHA5E3y>$ciD4)-;o6~Z+iy*iqn3ylmEPU=O+)bjHBw;4sOi|e^N4g?|!aTPjZiQ z@peVqu329qtIM_}?qFeF(w28#3Gv5|K5uHCSnhmrdfKG53P&u>lq@w;eICt9<yv#` z!}VO<zT5AE-geoS^6P1@mOE0i@s_%@eo@gQYlGLvFRWv6I9*Zlgoh{Ur}#&=>6{J^ z8{?PC^qC#MR_4FOKS7~tdFP4M&8zbg4*0o0HV-i2NK7*A`(c*)O5<6$uyV|og;mxp zhErCsU#NQCcC^jz?aI^QN1WmhzMHIcaw2zI_0g`7p1Us;HY_{!;)&+X)eRj%&Yo8- zZ2q;~nKf_KMb@II8@Zfvt}nN8x%L032%OgX;o;9stxK;gtEv0@-ZRO;d1cvhKK3ga zpVqwi)8zd2@`4+4-c_GbJ-ty|I!xgS+sm)6r~8cr|3-=2_t&g*IKAaSq)BMq`W4@g zPP~3O@~Gupl{sho=dN=W+qE<><%8$*KlAr4+b`UmfBf$e(Q1J;%9o<KuJh!dGn?Eg z8LyUQv_S3G)=8XP*=fAVjZ43*`B3`%o!QzfP3@OYI|Y_xL>yYOS!vfBOPdM?gJUNa z3#hZ*er1(w*BB?!9r@fuI#Z)sbHT}g(-%%X-4y)QX13SL+bh;@tLU(06X}`Lu&cDP z%Hwkz=T_eJ@yA5$8yNhKPTW%LYLve=LBToG!#%Be`l9Ttf6~Q8slDZo|6S=%HqLk^ z!(E-GUB5-vV$vFYvEqsU9yLiuMy2Wn?YWeZBDL*|i8AZ@5cTg<st?T4s5E_VX*pl} zCYwyv|CI%=Mfa~4K3Q2(Q@$Zl>cFz3V~KX1Uz9ItE;@6@rnJaCa_K$QDJQQRJAAws zJ!3-FzR#IIgRCwmoc^d4m7$)0<eGZ%p6lu<pQgvUU6Fjc@O<Ou_1AS*u;(PNomjg@ zdFl}#ht<WJhh&;~FK?MBQ$K4K<IJTNYb54;HZk%@lS}j6bid*DtdmpgVl~72HI=L{ zDoDS}T~^iqW7$5@U(-SsIDD9_W7F-o+^J((PfhM@?&HNBFZQN{=kUL2=SrKymb~E4 zwUaOYT}jVYwb{KiGfl$HBzl2N$!TTLOq=69DvOQ;HTtmXTKv%r%)PrY|E%k89rpRI zd+xYy-gQaL_;JB;iRQ0Mq)u>oMP7fHHaGSu%O^3msm@I%QLIYAuS)CoP4E3^)l#w8 zwk2g}x}ktRYcrdH)P^<cqJHWB9@#{1Iq)df?evWF89XZ{3Mm{dH!S__t=d-<xkG^^ zTVvG@wYzTYW%?HmF#l-Z(-^y?UnO~inRg*G*L}644bplm4yC=@6#q%%3`4@}*0T|l zGClKJ<6Rv@wg|H>wPSl6d)d+Py{_WbN9&%Pdd_n&&G^yp%gci{pMSk^YR+%=*Z(Hw zZ_z3{k=s>x<yxH0G>5u~eRofPsCyF5IZM8^WYhNz`#XB}1m0H{zihnwx9q(uTdl8r z^1Ls@YY}F^+j{+nn_Hu#%39tg7K`5R{cpDD&7PNI`L!gaaB-c=yY_V94Y@%RUpb$i zm1thC)Bb1Y+d!G;S1PZreO@r{wuxNQX9<QqGi`+mdp0c9XwJ7%o!qmq;7bojpV~c( zM`it0nZ`2G%`+eWDr5=BH`zFc<t4kz&Y4SkBcG*J{9m?5NigPC`7S#f!&%lJOBZqG zF9@07!W4aU^W1BpGQOwoK4%Oy6!ZHzTk2T&lC%b$j)14;8XMYXDHdEgm$oKk#}bZX zn-n~E+Fv<+vHB{P)MCZM%(7h^CyGz54h{^t_p&2pPR7wv6S4GIuV|k2$&cB^+NUve zyM|o<_vMn@g<oDr^28#I1vpbSzn`D2RqRpNRX0=g$*K^A-W?aNu|HNYb0|EZGSOA* z`HB|xDeJ^Kf4{JBmry&oUP7(m=aG(Fl{q3zU-MU7p0i-~mg2|>%=_0QF;D%j8R?^G zFz>ZXTjRO27bLb%TwLIkt}LZ1aKy`>?Q6U6n^n$dZuU<su5;LL-0&pFZ9~K_pWPxk zGxoesy8QE7Q|Q@xoh^mAvf=Cx*t}kD5%UhMo+0*ur_}hxp1b-pvc>l<?OC_m#QfmY zjQ=SMB&+-Umghabb|QI^KtkCex9zF3js$(p4A}4VH0#TI^|C)FDoWM-r|LcV=J~{L z#qy~KJU{yE?BB3rJD=QAzkKJ1_mwpdyxnx!QbXa{)qA(wW*YtNIsf5RPW@}2!})=F z|6Z)P@%-jd8$SNiMwi?5!=1y!cn|QaTsd2Fc(v#re;p%neuG20I#RbHt8d@(xZo1F z^T9zqmNurM6Z87oM6y*kze&mb7yTxJZ_nQu3-cU1GM;YQ_iR&@sq}583CG^2PhKp< zx@v9D1sNfhPX8pO!#0}!|5S<{&GzjxNS~GY`H;cK`yJ1frapdRrlGpg+548AzqqDP zir(*J%h>Cy?7|-DIj>diUcBl8^O9CkpI3ag*IfB}rCXXmIST8tblJ2A{0KT5$~7hW z!_0Ip^TG`ix;?8L&u<iVEap+#(bBV5L+JdX@*}gtPP82Hw`g6z)ni+Cb>s@oR=+Gp z#^Z|re8U<XrWx-$_EtFM%m1Sj?kgKbEIR*%>*Njpg-U^`UP+ec1Gi4&v|nDj-Aw&o zo1YHD?mEj%YkU0!2AQ;rbJrU5E)#ysWnFQ7<&uir-jpR@d{VaLCr`2zkiF`awz>CR z=AnOz|JxZ73f^^?9lZaUKT*~(Nu_LQU~d5Tu`N+r-+Ma#1}P|gQEU-o2~%0T^;W?= zuS7N<nIF3s-Q2L(>mcW)nlEkY(lQlmm@n;l()U!}dh#!c$wkU0&F?H*+cd)#=?e7N z3a5Ot<J5k!ztP0K<zm!>1*@(;j_Ub+^j=-Tp(EQ*vc$VrMg8qgp7`{L+b;PFt`&Jd zg!G$Lw3dl#WgBs`wP;4ZH#<;RwxfEEr{2$#lQh4aP;e|#pD2}d&a~z3_dU97XEq#p zIbEdj=`PP=9!H)sv(UP=R{1s?Rn~k<Q<{|Oy|v}krI|l&<kWK~TZO&aUVfQL;q6RC zZ`nyV)VS||vz*V8TXS1w_c4|O`Z+5z)zlSC8TXoXu`0ZL$F!z1<>^kfC-1iRFk1BP zOZ9wq=Z(nsr@kL$X3kr~BeF)r|HB2&ANhMeM?BfSYW<If>lQuM6LW9yY1LkzoXq@s zn(}ddH!mSw%Ox#SEVmkd-+s_Fw@zmM6hEH0v#mEQdkzE$grquTDD0_O<?Sr3nZ*)% zc#qJo_DJ5?nvQ+X_*g=$t?X49<@$F-sLkKKW=?zMPa($UxUAJ0%O)<{Bh8n!fL)YT z+N|%_?Gz8Shn8Dc>Rjahl4anQlK*O&w!zG07p4a82rygYk=PiY8DkQ0{)+9a$Ste? z&o(*~zs*AKz<Zau&U(d-U)W~*8#hMs@`f43S*a=Q3VrD}YhQqo;@>IOM{Mg#S2n(w z9%LJ?E7)*ielKUh?+^3uXML+Iepc}z|43C;l}W(TIf1H^kGGuquQb=<^UuV_)M+!^ z9t%k<^7ga~2oU#RJukE0;N<+kn%Ywtg(AI^+9zCcd7BxU|KB)?sjOmlqp<wa^`Ukr z<v31zRpei_+fbd~%Kj(kXRG^^x&1HaX1u>#>LOZoZ1s~Pp3ivCD2YB-`Rb>V7`g1z z@@$7UoRwLIb~|4l=)0ZsfjhtJ(y|52e`=CH<rFZtJ3Pt^unytSj%uFu_;BUY8J4VB z$Fkd=^j++q-Y!0`@~JSh#Kg0Ir6<qewDXhv^l^Rl)XSXv-HvCbubTh!<o+q@f`YSM z%zjPp{Ies`Uq*8M@kd2d7S_e-1<$;G>;0PN3+`|RmE2|Avi{x1*MWBTA~vx&6^cKY zYGi!KXW0)i#vOTjQ*?cH71#wnl*u`_z$(G^_CC$*o3XhY)ZA>g{FMKdX8QWV{x|B2 zrmQP(`J2&~_is-{;qh4k%h#+~U%HXs)o~?z)~2~z9-E%K^7J8B?6SpSnstjO2N^w> z@iS<L+NaP<L9v_I${3n#&s5$vsypO-D!|KV?o4aP^s3gYwU;N=Dy(ja<Z$z;3ZA?y zRbbYui0>9M>Z|u&7ip95|Nf}-1?P^PlCzHeIcFLCoI##JbB5Kzed}e+lQwQYy?0LX zlaxh_nj4B{#?QHsApA;MeoJ@>|K~k#G}<q?PVTb$yJh9Il8y%ooO9+Mz1rKq`1%|P zeY1we5A$MXxH=YUON#Jr{W$qf-9zW8v!oXMRXC+_dc_ol3Pld7qetpu8Gaw?%{A%P z>-3i1#1YhP`AANe#qIWs^n4SK>v5O+w;nbWbN$3)d*s{hX#YTqgshb}@*YoRWZ$X4 z7Q5g}#kwGtuB_<Z$vVONcLy?mSY(!MY38tc^4y~e{HasTCl^Ugl)Y;xwOXU#{^ghl zC8F0Bt<BDPqiv%Qul}HM^P|KV4(*RQUb{AUdMTgSE|!*ktbFF|YYz`<8?%2Gxa)Ac zIbKOx=F}hC)eF;_c^;NV-cE8CzSgr*^KPByp5r>^?!WpbA8VB_@cL<YQRHKe;8M*; z8HdmQ;1kVf+b12e-$A2)1GCr<gG0PAi>AmX%!u4lY43BzT4aNf#<a<+Hm`kiYR9AE zj`nRDvv!6^y*T|!<bcJh^Ihv(rie556jc4mW|?&I>)nZ0*1Tsp=Y755se}b*vt07V z_eQ6!*q;b5N_4)GDd#s|P|NL0pGtASw)p$TY_HTq#U^W5=rzw2-@a<rw>HCbGr#*U zUE$$fWSx5;#&bU3n}6Pysy)~2e^H<H!$7L*q0QcD*Z!R7cAu>B>HY219-DQKlw_3E zF1UUyrO^JE-hR>UTW%BAY<``1;Y5?F+NFCwCKpf5Go1J*(^S7oEkAtP)t;zb6O_)n z?D@T9<4&HwhsupDB`S{bk94LV61<pwB~VnIe`CkeSCQrSIGkBGf1dm)UOP?kUe@=Q zmy`;g8U9$dW9#4i3r%rSNzY~+QM(*4@6{nM!>X%GH~yTlB!lmTf{B^Hd%*>(cUEm? zF4gT%?73`byz`aTImTJ*J%Tt@n?f><Oy6{0<?ziukD`iHUY_u-{U#xIUuC%;{eCFB z@OgLUlP6y<&vz+aQa&Zp#Ojgg3!NEj->!L*@qfwt6GvlouH3a*z4@H9kPOF<*(-Mx z{5P+d?X7V5ZQb3lIrGiG+}`&3(Ok(_jz>bBr#408@f_Wy`Q~$+t%hV(`nzHihbD&$ zr)RJEb<#sd>Ew~g$<Ds6+8ft?O?&s`l95bfsGj!P(vG0&3{OkO9SqwZ9NnOpc~Hu^ z`qkk~ZttrzI65bvo!f5ybKQkQfg+{7AJ#nD5GnEM1pleiH}<Tz$!wA+W%AVvdG4d5 zk@Dz};b-BTZwldTk#Cqk&n?%OVev(vge%lk<{Gb2V#3{6Wu|41G}ZRH&tg#C@S%f2 z;a{EfUi)uO$7l3;%xl&-btKT&li#6c=Yb36Jwo%^!%zGSEpqu5%*h&}|87gzl9*`+ z8Tt<fJusd4@Qr_SYj2IxiF1aRi??s!zAgO5ziaUcx&KGE9psR-HE@{we`aZ}s9TEb z84FduCE>~CMv4zT6Ql)>hrZbF{f&#==JL|WQ);G>@h0rIeP7&mmp!y=u4UPS=&omn zPMoi=TEh25#VC2dhI@*U_FCH;Pn}ew_CH?W=)o_$KDe8I&a+p1mkn$LWFM6Aw;vRI zXRuCU$qR?Mllc8jADtBM_&@E(9i4fMU;dSGI?lQKdi4V{ALAP*JiCu~@XZza_B^3K z<djqCx!F$hq>tU4SX8XHoA;IJzhc`PW;^C5?vHTUe){I&h<eGEx!hA{<@-FmulQDS z-jUm?!oL-D+^XlS{*>+XdD7&z_Aa++VQ)6wyQ@8?#>0L3`x!rO$4LqbnR<U~=a5-+ z#Dnh^>pr2|x7KgfwD0=U!y9(P@@mu~qf1kdC#$^ZKeotYm-&q4GZs}|-ubot*zJ^0 zJ-X-Khl*aTnfmUBgq--adtvkZH^o0TKj+bTBd~uP<J=ov>vfNm=WmM@+IGgtF*5zP zms=t0X@z@sbACP&yK(65+9whgD)S<)e(DNyJ9_ZmUK4MT4Kos4TVHIAW|G~uKG88N zETz5t+m;EB?H-h!$jxhATX8&j@`@?5Zds*<e!CFenAxyZILx<oL)*dYn-aA{8H~c; z)$0{XiWD{TvfQ8NX3uuBrjGaigSqUFi?mA^#Wa5Gyjm0AzGKDQ6L(h#wVjwCsl`+6 zHT8Z&Y>2voz=xw>1=k6@?6#{)yA-paVR?9fb<}|pm$^^x>Kn`|I8b?-@9DN9xh4nA z4qfjTTg`f?G%VOZ^pQt+q+~U}qvd6*oFpeHbCcEYU**oytm>;#o^>XL&mdbXG3xOv zgW!+nH%l$P-#58@ciH5<Y5yK9S(B>z{ne7q^14d7TaFdptXud?Oi89d>%*EF<&JA1 z_vT$(qpkeO`}tu34dLk}la9B??oz(cz<2Q6>_28-U2lf?Oq?Ue9P`mWDyP8m5|?6! zT&3mrmIr%yUVolg!mIc0&x9qtrQe#vpZC5O_mR;*_bYUAy3D5xqx*`xLN=Z0UGb7l z&~sh=@&zU<p3eRj!~EoubfrM_EYoZKVeJ1ue*d-qqayRPcQc~Qz3w%6#kt;laMvXG zVWswp^taw}4f|&W#w^L~to*YvKdtJ^%IcnXlQ{RJnmnzryYs$KFtbEaMLgCyDPWFG zfMwDF&P!=oLWi1smaN#r{F2v*d7Xz|#Mk@GkGJ=jUj04)kz~u&wUHaX7p~?%I?G+Q zLq}q7Luq<VRJBBx&SWtk_q8S+iW7G)K6pmy*s3;D&VoN0f*yuumzq95s+j07C21w& zdvDXv3;!q>8L}`QXL|LZ{=m-p-ix(3l?6VkyT1F=^}y$DKx=N7-QBny(-P9OZ$EG? z_AWXyM?R+2{l0^Vl(gJQ<zAO^>wU%fUoTd1eA{sMwcx%@9e<WRlr1q?)Z%DW!pb)N zys@}N&8w=1Q>q1+xxO6vdZUrwL_On}<;AaQ%KrAg1vgj^|J~W2^tCH{_SHSI0W6^c zsu?Uf8_sh06<s+zZ%?Y{%hN13zDl)Jsa!w*U}Ny(Eh@SfCWdMTd0l5xX}_^;P3DoH z&sWYq+ZX%t)tMDBYdt0%Pqa}j6;Af4kh`Rj81>=2?ySa>HRpPMMug^E+L0u!bak1? zuDegB%-_3nY58S_$zpYCUEEqf(kk^Isb|)%y0O<GFk7>JPEYF64I96_T+~r;X=f&D zFXvwCFtKyTW!jxj_~hCcE$`ee-5cor>J`I9$D&LHLxJV(6Y?C7Oy8!GDW1XlHAl<B zSl(Ck#1uvW{*L8o`mgH~8-!nPyq4kZA9tUx<Fy6rfku(C>B|ybE?nbZc6~O3+Jf8- zpDyozykceVoO;iVhM|qSj(RG|?-#3S*_RmYzC}Fcpu@R^OW%Dt%4E4yHhR<I7g10D zoxk&?P9<*o3Zs<=3LW?u<?n5IbNO9$2$Os`*RtGaf}NYR-psncaf^Psqb7H&yb!m^ zO@+Omj>=yVnfGLGSAUnT%AM(PMI5~~?yIxjy!y7fb+d)0SwVhPjOO9w{kxKTx0=lt zuVwzm6)P+fet%_g^oQj5x0yE<YvgEqUHpE*aL(_gk2W~73T#bS=<;!c!961rR;Ax2 z8{bqlZ&`PEe&yCfzr+0nOmqJ~XPYZo;Zah*U07pE^9+5)YHp!?P1D>5%TDLduX-Kp zt8{SR&y%%T(nqJQo2tKR#TBFP33rs_J{+8JD{G=&@w(?bQ&tr^PH_49rBC?fZe^7- zu0A>}aXUgav!9tb+5S!~{l+T#_vW;Oc8{Z<lvimmZt7+Ak~k+2r1Q|hL^`-?w^lFb z3a@?<DT|16q1E}XI7I4HliokNyCYU)=eCf;LDg5DuFPBbzHXyKFW+6wb>53!SIjrf zKUUmeTd1Bni<jX~un5ESiA}%4-$ft(aFaJbN_z78hv83sPHae(cq#PgvqGO=$eWY1 zTQ(`^F{_F^SU2^7;dV87U0co>OtZM8RD`wvzEo%MZ@;ytX;LA7(rm^<nsOFRKQ~NR zbvra@K8xlG^RBsTI^$h#L}*+(So13IiV%C?9m#DX;#qQ`Uo}LUv_(&!h?;J)Nz>Qi zLZ6=P{py$h9(AAUo3|tL!}~J@B8L{b|D1Y6%X7V(idL1&PGLr$*om7}*prO6JUv&S zcKycJXrag==6CIEMVtOPWV9M&s<5f}tdla6tK*41zkS8=*1!b|j&5VE`H&*&bx7gI zCI=qvTei=ho>{FhcgnlO`TK-IN|KXrE3^oIpMO$tc8#mK#Qw_58!uF9UH!1<VO`?V zwHE6d9~-4k+ROUx#?c*@UfHnN{^l?<FZFu2D$=bx`Z7nn7IV@er-Z%JD|R&O<mwdp zzV_YLtuvN>uj&-JJXdV>1hs`F%1U#rBcpaMy7TpUnXgE_0%z~@CH~V(Z7&A)zmj`# zBvs9oPkQBybMJ3xUu(_aKC)Y9i{qs~ms-}Z$h2VlwB~ZKLPp_5V|(d<#!5!ztNz+Q z$}Su-$n2QJ=~tKJ?fiE#r-Ih2q+0H|Tp`m-S3g^QO<ht^eoo`t9cqsls?(C>8TY;A z3C>bI>BuaoE5$Zz-RiLDhX<Khzoj^Yw&fka-NJq1<}uxDE}^c|Q?gw5uFWkj%$b%x zS;<*dHGaXvJBmNWwjW=yXu>X0lg+OmF4X=}r`6-ToqgeZcG>m)(@Zl>u6#e<kQzHB z@9pC$DklV{dG3*(zWUL=J@wNs`xbNLv8Zok<MV$VlNjprp=0x;<W!U3xds!0ZdBaP zTz6oDf#`%Ad!nbLXfwMx{VB?~nYmGZ$&2}W-#*OM@85h>n=9hYHh~hGm2)0c$*VK| z(23jg;c4ZDlymbq?|l!Ey87_O494t}F)gQA%9inXo4n!SxjN-wX_s#Kn#ti4H}u{) z=;HmlYr77=<a+Pfubu^c`Qh~0Lu1<W#c75uOH_BVnD#UL>{@*J>NUgVj9*gD2KKSU zE1g*(I_*rIQiL$`nTt24F4=V8Zg_~-L8}FY9&fJ%YfQTLqI~sD_vqYA$+Hvd|2t}w zuDm8FzWXV|%p;BMA6pk^Jm%5Y4qo_~z2<vKL7CiHlhUh6%r^~$U#()gHJ|O>d`HK} zXHUB9J>{#JP$2X;yux#`{YM^_ow7%hGPg}Ree;^q(O0WK1nI6W;yHOp^uuCDnKyO8 zQrlmI9p;*9Jn!M+MVT5~-pe?Z#fQFD-ZOpCm5JBv>=M3dZ}{-^hQnRe^G_zNaFr>) zAftcbnD=V8xQ^w54(|VT>%&%r#0Ni8-&;@@u`@M)lk8Hd{Wm^N<qEZZvc<WnV5{}< zv<(xYHtEguF>OD{VbSw|an6U<F1>?EXN(km`7L%V*ImQwXR=axMM$jr5C5~Q`|LDo z_4>DLFEY(_+Ro~@J967w&lUU?+mBiIiu_G^t`V9a-+%a|3!}}OvTXOgo3j@?D##c+ zmHOTMxH^VEK>4&px7m#}-G3IsjxF{Q(eGY8+Prz?l=YuxozW0_DH(oyr=o4fO*!^U z(cw?@gt`2T-gl{JF~(k*?;`!@<?@XeI6Ds3K3KcsPyYh8n+mx(`Mn>^oy{ii$^Q{k zYs<lvvG(cA1}SE<BT}0SW<E&v&{_X7y>%la$1{ly+<I;$Wdf_RE;ucSPvy8=+_>4# z>&EuRRQ{O_+PB;mirQ=rO5kJMwkSm@tz+N)hX+!wHgMeWEID-c*)oe|cIza>cWX7x zcMCHA^I0+|v#=^B{K;bNJ<3m4%#g`bU~ivTc4aX~=DX4uSIY~j<um8#x9~1AEU3Q5 z)Aashi_oTN->Zse2YI~bx0;re!x1I-Y;pc<_6reBbBvPCsvD&l70+2>aejFp&*x)O z@~iyIUWK*>=PNwwI<RJEMabfd;&<xKZ+UuBZO3fSEq8Sr*Rbp9Y%p|)SoF}h<;Jna zw=J{yZzsNPy!Y#pQ}OaSyJSATKBDmIj805y-T!xT*EGDZ`7hjY;C<bJOPegLrpTUF zPiOwT*{tfrqLvkLPt$(fNOfCMyHJd8Vcu?;!0w;6dO|;H7gR5^iS~Z8g<Wap#Iy;1 ze=C!(%lH@W(dNE(>{@X4fyK4oJ}pY!YY<YdYWd~b+FqXn0-6?Q>rY$WnB-vQIkh<= z*0OJzVSvSR+XXJ{_Gh;(5aUq1c`N(C>@5canBL^O@}8TRaP?Q+-~Y=TcV9dE@mHe8 zricv@-q9YfTy`&dbMhKvt*XyNhV+}0=KU}_FmKYE?&yQ|dM^&VV5^yO==RkK?44gF z-TN;KbUX~t6N#KYn`7~YA|-B*%i-6*$xN_6V!q=oXZZF?h5cFeMztAjHx4Xc#=TCn zmr?oX(MX#W;Sc$jRfkx9yvh0CPJ~);?(6>vCYLXsxH_HpR8`H((;kQazMW(9_mZe% zo$w6x{4x>dtF=z*eT|F1B?{&3-F0I_LZ-k2Cx^xE8#?%2+8S<sES21AxayTgTS;F7 zzvd^YaI@pe(b9%<OLhnUdo8(^J$p&A)|IIj{bkD9rsoT%`x<YYULkk;x7e<`0-V?D zradS*wk17NeO6rdiG|DNbKPvO(KyR2s3!Y=fj~hG>qYeo7mDVbn)269F{fq0<wuid zc;-ocTB;eRqi_9v<FgMln(NrRbiQuiA2)6N+H$AOek-2qteu*ZviIJpeX8ssGXj|& z7#+K&<=myXs5E)|f<yWZ$Lc&~8JT~ajJl!5yP@AH!0lMtgoPeA%0)LfvdbH^o2~v) z@?qCz0r%B^f9Cq@2d@pve9?LQ?1kg2+l8Oq+1bsmAH&<x-zzAqH2ZYmuT!sfx?FC2 zbK1!CcYZ<XqlOj#q_Vg9>3qERVa~VamOIzI*A#AM`M-WqT!4WW=iE5!5)O$8A{!dE zOqUm%bwcCEnd4Q~imn;_;h7>G>en_Etv<dd<&+I)kNyiL{!N*&a|JoXif_vr3B8R; zoMo_S<)$N60U{qc*u6y$D1YYbKD6YWT;_u+qorGxl}uT3dk)JD(O6f<4Od!k8&peZ ztFo=OOj~JdEUe<Xqd3k*a`)_tbw@OurDG#kYp+?k)%#%ZevZ022LsD9*BX~`o>Kpp zV6X1@{cDRShm7zdX``=RdL3qbXS6uj<Wyhsx~g%WyLjdCq;!4LqdO8bg={)Pu1PaR z3QA;ss<>Plu`qAikH_a%Th58Q@+97FqC>*3v?b4ump*j~{ClLe%ED;IYc|O>XYJj# z8~LAlw07^t&5gz8lc&tNXu7HNKi>rL@TdNjR_wARLf*>)SPbo_`w59e*>2QeRWc2! zSnIu{t<je~eE!c4)y5SUq#Gjkecp3bWbPK<?;9g_CS2=RI;Ez`DD^Yd)NzBH?JE5j zF;_B<@wBY$UMq6kZ`quKZ~o{1<kf25eE8oH<KJpC*#6JVlGrvw<M9v9Nef>d(EhdO z%JTIGe<<uq3UHk@X`TO)9p^glTQx3yx{5td?fxp?+ZX->+AV7=6~E1SI4o(>ML$6! zsV8r|7bh(4eWI{g<$dk$Bd2F?k$ZXJ(B<+dwwl1Rx`AC6qzslsta)-t{*=3c&(=pL zHd-@RJ&8ETns>x~@q%RuH&<`fEc$V4Xa2L0Z3$iW7v`1-OwWt^btd}A<XJN=t`a*c zsw5M(GvwRKl={nN`7dmX&F-y<WH6qj(erhC*4~KKYzoQ8tOd;77JEM4VcdQ0C*MB% zf@81O9AIh{JL7h@>D-gUNAGOeef)sV<!$fP*b~(Y7S8Bi)GR;k80Vp`+Nl4(a-Yo1 z;<Zte>N0;`Y~6ZC?czjPVXLan%c6dEJ1-q|`5CXdqt9-xklDe5;fB>`%BEgOVGg`L zsqMJKS%aN|rq_y%8#8Mkopd^OV{++=tp?lQoeEi;m41B2LT8!j0bhC-U7FtG=*RW* z;Mpx{$Ji#MoN9jTv)X@Yv}wl$9;<~<&V6HO_dd3xsqysocL@iNBuIs>p3hRe$H3yk zX>OtNj}{l-G==Tq)fS6BrJ!lp*P?Qe`*Np#$lfm&8!vTTX+JCMv}l*2o;O?kY!SV) zNqbEzT2enq@y+I(=$|3$(fmf9QT#=UXG>i0$_c?Y7XJ`?@jXaJO2Wy**C0WV$?$}u z&iTGY+Oi&*OFmtEyN{{8WPRJSk`j@ddmcut?7sfwEZ5c8zQqg=b?$#>UuPri-@1KX z$CT1P4FB{w=AUozDX6U2`MBbt=m85^#?^Paing>ry76<P<u--*UPsT$ysjI3vJDfG z4j=A}y31)=ocz1w*uBL%`tx31Nt$<YMd-e*7gtoAlv9@fmXr7S&W7+?-B}Y>8)XZe zNr+SB6|Vm&Ew`7MbyLaFd#x*9b7ihrC!BM6(~`gzs~myVdeO{xW<H6Y6_zqVNv&I7 zCC7NF|2(Ci+r^gzgwE|MiZ{6HP^0(mhP;LQqS?E2j79fN(R5XQ$)j$1asSbTfLqU5 zy>9<)dC{yEk*lZCvgh!Y+%2z4w*5N!&VET><f0~NhTh8+@(g{hUxRN7IQ*4un{w9s z{`b@~yB^(HxK8)u{h&2PpIV+Q=6PoNFm3w$#h<?UrAV(8mHF~PDaGGmS%aI?E4dpB zra4AzUSg4XQ=@FR!gqoC*srk$9{moTq4IUVPA<#3y}3o*H0!Ewo`>f9r7XvdrJjd& z=55*`<f*picKdbiw=HM4wf{HJjnL6jo@ab}|GJtS?MJV5T9&>PHsL9Y6n(M&`0=p* zsii8lyQka!oyrt+=Bm48iM8m-d6#6@b-#(Yn9u9w*u<1{VBWEVkNC`9UfwhD`sFoc zen-_O?KHf#T)3%#eP5Y%(hM<uhxwK4?B&jXLUfj&+$LrEFLM8@Z^4Rfv&&@;i3#Yq zIxOspKE~w~^*CnPp?xdT=1x0#_?bwy&n^+Qcb=aj-d^yR?Rvb}K=P17>h0$9ypi3S z-FhJtYnAtjDxBQORyOg30{h;aD5v0?l3)67r$tRJI{58~iu0cHr}k~wmDBMs;D#B8 z@(JPoYPBgYKZ5VS^-GHpUd?36cq3{3K9w1A8u4sqwv(O<Y>*XZy0QM)6t#y>r>0m* zvGE+WHEWT{fAv{>{=&0I#BEnOJE`({_86_Se6aLRm%-_C+a_A}s2wdZowWXiEo*|E z1A|TSp(RW+1%fRWXgmrlW92?u^>w<keP(>#%n$GO&zov7BcQ$8CMWTU`<&&`k=3?r z;>M>~y3EXeD^6U$u!j9!;e#;ttVf$_{>x3<aWM3q;4a>hhwAGD(|h)*J}|f%;Wtkq zt7lS6z<keL4<>G?S@y5=Kqa&M!rAhvx*-+QZ>x#d?9f?xc>mQtw#`1FJqavf3^~u= z8|Jex*=>*y?%g5$(_-erZOu$Cq%>4ZCM0*?<(Mq|taJbTZCT&;rR!_|d$_gN;_jI+ z-uG7yWbSFVI&nwMv%|;7<Zf~mOYh9Lw%sq!trpJB2{&<cFp7(@^H$eWJQ-P2J!SIY zh8*sMfSec6Ir0;uVqKm`#jjbJWuVHT+@Nj6V=?u{^4E%1Ou~^nnZ6vZvs$={xpw!7 z-E-fwMfzl&n(Cx}Q0A-NL#r!4r<+_1Q8wr~e}=QW;^Zf`)%!Kq2ng_}Cb}d$HD)B$ zI4V!z3B7F*_SDxyoP}Al=&r-j+xw?9hzVSpBtALyaNWfoSG7-*G+AbRDEuOJ!SC#@ zh{C#+o}ppQJ`bNYY)lTm<Dt2wx9z!x`_%4Q?@<2D+-t5{c=<(Hwa$FnV_UWJi1$*q zQxbX4ckb#oUzPf7USZi+cV)%ZcZ-fM@ktgw+xH?U*4*V**jxTnLPsWM%=Nvi`*6qg z#Xo#zv+{T)+O=LfvXZMK^01y!?T^24m-ii*zj)%zd(M9D|6hHt@;-QFMZ}-1iJqcg zyo!Hq%=;qd^2_U@%T@Q5=d!GO4=TsjGjWJ$#r<sy+w`>F(Ri0!Tvl$)!AF<fH=FO} zPT}ZWwml>1Ns0B8W6xiUE3V$;xKXfUF`vRAyZisYm@;rawG>FtD9q?>JH$5WcWoh$ za4N^~7f+Ow7^UP_XsDbFJ74X1+QN&gZ_ffhb7dvt9glN;gCaP?BbnyyEo|8S;DhUj zzq6M*Gf6J%i4SU9{Lo7O=|2|c4TXPFmk0X>?ONV;WAeY&d3`gcIH|r)b>kD5x|pfk z=TZF~_J{1R*)5L7Kib_fC0H)dt4Y>s!m{L_#+~anPS_u!{%F3ETZy=myTNjsMN^JU zJEs3{llh__uY^;s|2Psa5MKL0G0?T|)<VC>3NB{vN<ssz4Hj&?eE)lwS?;YZfl4dY z_x!9mTxEWmRhcv7kb3Bzr61Kk{R$Q2KBF~r{@Jd=>^mkU%dUmX7pg2<;w@yd?&I_m zu|kq97Gl<`Tt0zrBbF)mmRVewzrUtQ?1IFb_id~F@7;A?9GYvqyL;O<<zB%k7nwzk zuY~tMQ>ZoFwbZ*vYWI)s-X~8}7wcbq61B9GcdpUjyM^j6op)=wPWLswalz@^1gXV) z3=*GYU+&z^nNspTkIAy-gCEn+quDx39^_x$JpIj+9bbP>vX`*7{V0B<x=JQ?qqvf{ znChjt<Td*P<M%Fmw8Z`w%SO(Ez&BB9YcqYmhF6%a_PaPaXp(A#nAGyRpRYgUD)(Nz zDxZCNNtkKFm8&zg?{yqFaNJ{6uwwn(ds3dsC8@K7I(;2AW-o5DxD2|b-2air!6!Mp zt~4CeoS`P3$MDhN@rwOQedQc_m6uNT#x5}FejsK4_ig3vugT&KOS#P69yNNpS$I`w zir?$z8QWF#%hofT`dn4@srS{7d24t3ocBBP$-eol2&ZzmRK`E!DLmU27Cq^kf7e%f z!Gw_V^=~9TRBrsuYW-v#r)ZgvlUAKnd3)TOSpg1;PYW^@?y7!Tn!LSl_nGfA_PQ3m z`L>N=>W9<s*6#MnQ0FsreC*CPEj{UPM%fbKDz$5^>DKF(JUVuO+l<lv9oyZC$H(PO zCcOWcSe86fO5HTL+}t4R=Y~eMgcS+>7tPkIYx-)mESL}y9=l-0I-v=B7o|uBUHg13 z%j@*?vxgXdZMvP^#lfj;UZ%ZpSM{I5)345oUB18f=ojTzOKlk+d5W5>TeGfgw{OC= zJz3vMrXLm-@d~-Mz|z+8$*cdnE}c2Wxy167;Ht;{;=32_xcO98|J^44W2u&|oiCKH zwjK+spTAD4^8b%pdz18AZuF-FU*XeTS~y|9i+UM%e+ZLH=ZD19rPtlx80eoBd3rTZ z*D6Bq%R^@_WoFQQW{-9p<Gy(`wnm3ZWG4d)>+DkxHBuZNo2+M*E}yh6Zl~eN>X}pY z4tO~A-3SkP*?cUle!1V9ZH4MD&#V{r|C7EVNN}mK>esK@{TZjKl6D<g#C;)ZSCL-t z#ZWt~$Z4J~re_6L?tH8*?Z9!9w<jUI_dp|a`ipD!aZ=Y4;&wh+AJuQeyxH;FN3l;K z4BBdnGKHFE8-5&6Y5AJ8J?!@5zc=5qxlHM>W7=qUAhh)B$@`Df%_n(yyxDy5PSvGe zg{yZ>OczbKH|0v<wFQ?Z?{Alyl;NGcWxt<3DE~gWKI6^$cJpx2>(lwRoL;gld(N*) zi;LN(eBUaBu%ABCCv{Q!6X&7@h5h^AcdA*eIm}U|(f_4TgpW<)#KHWd*M7ZNdAX#A zJ-yt%Ci2NK6}c9Hef%cVeq|r>o~|}MrsTTBvG@h*sTw;kyn1)JF#1M+YPM~Dx0b?D zwl(urUR>C*J<)#JO3}N^{MPIIceV-aTFTfv_urx<`{s^{{{HWrODrGFI2KU<|FP`M z-Me@1UMN^*?Bvv-Ra?Qu64}Ykd&2oyK!fw^!<%PpHobLW&N>%sL3TlHi)jUSVzYjC zUM>0Fs#JRY$mMsstJfbk*d}*s|LY>2<-0ScUyokD(!6tmz@sCnr(d0r_luLM-eld; zp{s3>B)jTIXT@gg9_es4S8da{BUVhU$tz#>ewy64J7Hgh@7x{SkB)8hYdBC;I{oD_ zY5y|iowr3=PMj{>Tx2orT#BS&U77KQoR7sUT*rlCZwG4$=hg)qoqDFOx;Wxo!-UW| zD~r?4&eRh;BGAaBG(mw$S1_ZIiP3~n?2j$Oa;Dj`>K-?Y`lYLXEM}}c-fsKnV8fcS zU@lgkdKHD`E>pOdIXqsr|NU&ub>C!TXMRhXtrj%H>BaP8rvHBJ;jR8G8k>=>F^%!R zkwE-onR@9H{i&|P#ZvZvbqf=x>7~!^-BrCjg=<yI?V=wVmK(*L_{3f>7N2YOVf*3T z)~tqZok~IJ@<Bp}HG2#H#AX@ul}fJBO5gh6Lgd{`s$y4#SbF&Qj^0fZWa&Npuc)G& zd8hQ(<f*cmJr@@3J(f~$J?F+<d##N<e9taBF5M;^=#eQVD4yW-``1)=m-JHa=^a^& z2`qb;zmZK%Kf)tv;^*@5d|<uI+n+zR3b_~~_H{g3G4<Ys_Hz5EiIW;*N?v{W4$7Z0 zYh049niWj?ACoYDXK9k~CYf^f{cfF4a`_Ar(*AhVS)4RBd17R-dAlXseAbhOtG+Ds zek@<#Ja6Tk7f-)8T)n$|cUs`oV^Q_?dvcc;?&`{moIHEZjHFXWD?5x1yvm=Z<Gvtg zLDCE-m)+`o=~=R^&5Udr*%=dqm%H3Mz;!|GjqEzDU9uStF*jt@|7M95X1;lpzwzXG zN%4Dc&;5QlZ?E=~qSUX<CoaF^Rfx!_`TG5Iwb!e)GosiIh1Bhc?_P21Bi{iYruPND zo}MnHRV-O2udg{Mm+hsZ6m^*Ur%wN*$L}`p-X3|8B~Zgdb<Za2w{JNG-1Od<@ooLk zef_fGG*cfjJ}L79HPX|jZ}v+mx>M`=+Br5(O|XzPA}~Mu&lv}8!*d=|%9}0LNtZ8R zEL0I-Keu2)=bRgVr@DVp<6?W)BK%2!E608l!_;#opBMXGoP9TV6N|#VroVR-E51CN zES>3*DJFW+Qn||c|Mja{rM-ny65MMp{CKI~F2DF4*QuBDmF6b7&NbzGX)5=-+ug}d z`ydZjdTGArzs*)W4IVG&hw>i1zhu7Kmn&@yZ>M<VNiqMlespT##V)P~99Mepn%xjA z=-v8H@2KTH2~#Bl0|Nu*g+&(A?g?8wY>~_IP>N||`{XKOZyXSlEK)zUF2?%ynp=&{ z$D-?7-4EF)z3+}GpZ%am;-Ku!Ck%068U4l!ENxBsIHkR(a9Czs+`yoHFD0NR`tgeM z=NGgsQhA*_asO{0&#;^Qcazi0(_$=kTj!ZIE8UEkEUfZmqhP@He~dy43nkjcD;Xvh zlzl(^af*=9C-uO>z0HhY%--mhDRzB3Y{1REaQ&;@&!=XCW4SX{zD(Hm4Cg{C!R{TG zERMDb@80{)Z(&%S%kRL7Bddb4Tok_5O?KRPEYN=2v55M`^X4>J1;5svsA@lv|EA!P zM3$&O4L_<5UFbNPCbc}fVPBH#+?#7nRb!K8Hz|huSJi&yjGBG=X6!~&?Y#@_yvn@3 zhW}7uRliqeKYJ+yZ?E_dZyTwj<<D9+EcRL=qSxcxU+ylYyye9W&FfcgzDwpTU3=Lf zIAFt@yz`TN7JU)txFVJOH+NN*^+s{0A4=A-yE*p06b|WeI4-UqZZ`W><jD;Gud5bR zm_AW`Z>*ce{&)hTw9S%Z2ED;1A?NJ2SPBLzI?BAdnh=*;D9+1aQjzMqy<^?V$Xe5| z%A%vYtz$oB-}zF0I9kLh-o17G++zv#_3KP_&+b2cWrmXr;}?%rmeyQLo_Ol}&)$0J z+a3)Qx8JW9zfa%n9{-xdUqEhdW=!gjHUE}Ne@Ndfxy4QJh*eC*v6egkemq{s-OB5^ zd4+F(x$ptmwiqenze{&*kY<k$<UA}q*+D1DF<hD}M^9QhdiJA>?{qhCEIJ^-%p>_` zT`Z60iV272a`9C(FdN-qej~SmRjrNLK(gWP*2@ZuMRFSNZk_xo?PwQ|Xh$L|*NP3U zj4{*s_GrXb=#{+OG23A}-$6au@{h-ACIlYTHP|h+ZQHgBTOMxRkgCqa8lAGP?5^%% zSO4|}QW9C^TO+wj8Y(9T9!!4e@R7|}tKv}t1J~hLC6~S;&y~#IJ||C^D=6;Oz-Y+4 zb)|gRmF_t4GU@iPsl09%X8YG==}N9N%8|ajDYPZHYM)6_QqSg>lQx7}7bz^<c=Nv6 zt%<t>{PiX_sVF%lv;F%e^YZcOcWbO%!_|JCj#}wIfu*<kkLoJLcE^*E>PD8U%OqA6 z$=tKO2P$rr$~fBp8J??3WaKGva^~$l{7>(Gng89^RTr6N9!snLd~=O?{wAU8Q(czb zQC*}xMe}H%ROaQhf&ix8=s&jowGR|On!Im`d3;<>hok@26`u&nOOxZ=7p;Bkk=!CC z+8(jyo!ZuS-~6@TTsm<7+3YCq%CrxhtFreTxc|jx{d#Mbex(kF&X)ZF7mjRWJi?`x zYxLw5-$9->w~AiI|3y1)*&Car{dygA*S2c!jYl2~GUe*t8w?|CQx#ZD;vVRKJfdM| zYP$7b;$_p4$Tz3EcfZk3&M<ky*z(op<dawYHtBQEtXW{#y<a}8W0&=#lal_wk5{mN z-5wub`$bl^m3cX@*u|xVH{)06@0|4J_`4Om3%w+b*HkDgX}>-xTCKRxr_t!hk?Dcu zuV0xNNLd;l>%Xi0%_*Q#@N919T-mu_Eze9}!R-F(Wv$~zne{igKRy%LqRTC?a<|&L zn2&BQGbf1$Ke5~*cT#=2$l|VTb!!c@U6$;vO*lC*rSOJi;I^wzyj22gTutg!j%OUV z`sn5oCa9UMy`sb6lZj96(Rpm{9*eg4wFdmNitTJ`tF$($%Fg-v{pBge@ThrSI+NSD z9~eGeRwm%baE$HP9<f&<b2}ZD75;hRr7|)0u~_|i`Q5p9JcE=<r)oX!uPKylEt>OW z^Ca&F92tU>n)m$rcGZ8~+-#Z0`g5L~FHODKT`)<!?6l)8&Yj*<ALUBSc{1H_QtJO} zoxbyuGA}K1VUlXPut#9$m2Ey*C4N&l<io<MoNHCCTO5sFzkGeR62q6zoLaB1=-lsM zKHe+xq}=QAmi@1$dg~o2{Ij+3WA6FcS`S!)X3T%X@o)mO$twB1^Yo51ybzw!a4e|a zHzq^5H*n6E<Bm$K+t%E(bF7fs{Uh2&=tQ^Krzeb?xA|x-3-9v~-1+<6$q5(dxg1{N zEpL+dK>xFss{K~GJ)*&<9enpZc=L{3ebLTihW`$E*3EpJSNNeQ>42x4s9@@czz6>% zEOj<4=#BbxRK%g~O&??Wh312Nr{YqIwRRSsXubQkebK(nMvKg!lqQ*XZBMYDwa{zr ztuOa79v^z>ul(IFS*-r%9QD5YdO6?T75qqFRwQxEbl%79{{8RYadEAGu`2V=BmL^s z@>_SWo$Wmqn{w-7>Lj+_qyNehg3{C5kJlAkdU^MP|GvfM^JTv85jJsKSTf~gsLI6& z7LCgmZl7r4wZzSI!9vICM_uMh^X6=9>sU}YVZV=g(%c>0?`E!4Y&URr7W-Gz8F{K@ z#|B@c?3r7ZZS3A}5x`{6sluVsz;b#?(yg$=>^_oP*Pqp!+~&*HdTFZg={mD+yNsUi zb!Qh;EECF%ka2h{($BI-=+~J8|0cfEmAKx1*ZE!CLLp^$rguUO?r--nD+<4NI3YJ9 zi%oG|isEwF(0?vjpZ6@Q%lV}Ead|ttmHU+~)1J&T>t;PZsdxTeP}k>n!V1~OU($uD zx1yDfM>U+2YENGI_Jo#EUd+d&p83J5&n|^19Iu$DcX-BWjTtr-Yz7UC4JTJHTnk9> zo!i5;fJa2?L&*ZRx5dTL4h(;cqz<;;wZ8GC&*7^p<1Tl`HrqQX(<B;<d&L#%eoS>Y zIml9cg|jMdvD6Lcm(L8|vCUoi`E_M&iPpF6M?1xy=uPwwnD};fRMme0k&?^Ky?+H9 zAG7^4`}?Qj4(p|8wZAW}9KHXj-}l_Q8{8k4+*-Io=s>M|=Y~ttuawIop0&@PW#U%n zrLxH{{!gm=iX%)jrUp25@3s$};Nn|ySmldS*Zi|e3#Z!H+Nxgi@@cqowKMC_pDPnQ z7L^&iHgR=U&0jFdHYfAUWBmztIyKjo8Mm$jCC#4Q-#>2VS-JMFVo<?9)x;+Y+Rpde zH|g2Tbke!VB;s^F@6)9Dx%p;0TNf-?u;7wQ_p_N#)u-Fc+-YI?d*a)VN#@Mu(=(5| z@aJ<#RXl8o^ZYKC@b-Y&pNdC%L2OnIkCp3}&U=&gNzwYz`i(vN)yux`_^sv3VW#%! zd#&NokJs;7dc+^RRuyN^EBWJfajL}4yK05L&bof8@w+#6Jmzm`vDjG6Yq*8$_JM+r ziQh%8Ts5y@U$*Y!_4}3{k>#&NYCWDE*Y`-<v-`S$38>cn!l%^KGAGoDGcLHMsl&x# zBVT^=ov)li3kz?>Z{?m?&cl)x!NTTKXudsjvrkrN>$>@yomI?1t$6|KTkfw{IZeCV zncUP=pY`v`8o`)8g+<i`lhQX?%ug|D{d?2*abCjzyCxet#6NL7RhYP6KiDJmxle9F z4fnUu3B1zwI!YePlj=7vn&QEl@#e9<oRI(eDYa)EiyU4b-}Q>Qf9}NDA2K@AH~d;{ zUZQ#{eCd*hvz%^xdc!8LY6FA)9qr5axa9VVI<LMmp?A-Hhl@pzE@*UWUpQ(z`E~l{ zj?`?Mui;$lS@sBJDD0o5@<eHx#tDb$=xDdB!tXiGi@BVRJP~e5VW0W$*W8VT=AO-E zdT*UVI6am-{_Fa2iiul$!+}FH#ddW4UEFXiu6};rm4(VIYj=C+No}~)DtTGrX#7I) z?eC2K&S;EcQhLIxl)|rVGVho6H{-RY2U~=V1Oy-ZI@VS`kqvE@Ntt5e7QgVqgp>2$ z%va$#q;Xn(dW>gT>5t1EZO2^x?UNK)A9CsQwalI;>#xNMpJ^%B)|T+`?yU5e(?1qx zsOWe-%&L<9Hd)ifF?s#|@bb0i&YTKTs?6+pl7Dsf>a}g%yCYoApWc=!^L6@qk7I^e zPt)fvlFpaOW|tBOuRG~*qve>>zo1wPn~QEzi{(!)`NBWzSV(>AdA}5nDA|ffAFk^N zas7=uA>4fZ?xU$r^AZ{s9+`D4t$y`Aq1F?iDw%E8%a32R`(K<{BRq4?jZoFtEPjV4 zdjs9C%@1Av!hNU79xK;;zre|x^LUEx^r{3UaUN-y{Kx3{gRKE4Q|)K%?JYEK75Vg2 zZO)U4_8})UVtFh)_i(0MdDn8#d8YKA*q(-C5rOh$xBs4So4_m08|CO|()UtNl*i!R zKbK{bqAoE=&Hj5f|8Sg$Pht7t+Xl-7Ciz`97CvGBZ(hQonH(ErEg!a|O=OT-{p0k! zzy1QRtQuwP)XUoMJ}r1Mf#Xh1k6#84bBCX=+sh5_j(Og%6Ro}{Cf&e(Vd}dcRdKWK zo|03K-%Ict_4Cj2X<)zCyGyF#&eO)Fozu@e?w%{df97%CWF^;YOB1Ibv-me{M^V7b zSHjAkemO;3Z@<agw_&&MBuy3P<kkEA?e8`O6m7|Swd2_Oqv{;u4^}?Ed$zRv)$u7u z3QQNae>x`ZzkGp_z(l{**OC?7dzTpg>dJ{$Tc~Ja_IvjFpbaHo&+2POJ{DG4a#_Cq zuKeLT%bjb!^Br8QK06`s)8^fgB{I%)uS82{_PhyLdQ7aP@@{Qa(aa;iOsD;_ex!Tj z<HjSq+!{_EkF`;mXH%?Z<Mi6(&HGPx_a3+syF#n-r}4^2bC-LYUHBDD@_wCW4e)V$ zy3R$2_cnjr2K8m$oDRj0tP0zrMdr^GIx}TL_8-X;9iIzyVz(xmIR7_M+su4qX5@P_ zOXc#*624ahPCFde_lVtlSFz%YY4f8CFFclms^WP!=O%y1GrTd!=UUPR%lXM0Eb~*c zXWrR<H^kj!xq{M;RR`Af9DG*u?^A2;Yge=7ee;F?_!=<EZT<Xm7E5houlw7WXk)ec z2b3D;vj}-isM&O~|E}S?XA(8DleeB!i<q(}@_=WZXYvP+btwzol0W2LnPgt}dPXv% z`b|~uWrpU{?>{}o)haYiqF|E!vlx-}GxjWN30UaSwNb4}rja*Q&pqMz3Bel`SI&vw z-(_;3h4G20>Jz=tzJuojKE)d@^f;CDM3-j)+YG&~aGy+FK_QJvtCpPb(YtEc!Le?4 zs2<C=Uh@?Vxe1Cb&qSWA<5(x*scXshUaa}-Pr1$8W#>GpKJ`MbLcu*j+OcT6=P^MU zZ{sr)l#F+VxUbV<Pe~~Lx9356-+_GxzdrdnPp0uKli#GCxstqAyZ%VJKjU~~scO${ z;ko^w^#oC7zrKU<9or60n(S{D`02QZ)r8~^k(Nx-{kJraKbgTQR>bqKMWWoFok_ZN z&(|fl`p+IqC{8*lc*Ehzr;HPZKg_aDss~Q^vZqk$^o5$Bwwdzwo?8rCl`?7`>0g?f z8DD$jrsDxs?aKcF)-e};9Jzl?Ol`%&HnDkg=gGXg{FmK?an~|d6T?;pi+aI^21TZO z;Z9tPQT5Z`HJ3g3TK>w8<HE1qCG+{V{Hxo+-^JkQxK`ETHN(HM9sb|rFR;9j68+G{ zP;PCouHcEn`^$F^T0PCbzkGLpGfVkGtBB<9%#5qA1+k~q&;D-s`}QBfmInTRdhF^9 zhwd-<T~ckZh*>cDgWCy@Cgl_n#;AIGg$KvuzuN@|$bPBa;eRz=DBh^6Vdegy$SoPQ z4h$2&+r`x{@0j4wFi-kItw6)pY409?E$=!JE5y<8QT&A+ufhA^C+kI+q%w9nJb7O& zzkd1e5`(YeUa#K?-8%T|p&iE#=Y!mC7uch`zyJJreQ*EuV0PX=x%b%LE;tdt^0&f+ z_Uief^^NZ?pWVvJs42m-?4Q$J_VQU*G=FWmdgUyW)v4Gm>ll9?e#ewkAzaefah2h) zz`I4I8SICJW`%!>N_A$Q)W##>sGd|>d?0{j3*XxY%`=lqt}|X{IIEI4L$Ew2RqI3s zd#28Z*U{PnziJzn_et~oP;n@B<7IlYrl=*mO(nwc^1->5Qd?fWb$!6dUSf74`u-iA z1IO-Ld&U<}coViq(2<Q%``<pnM3vr&yrx<E-mTggY#ejoeqYnQyLV+D<TKXY+aWHn zmW|_~9ygQQ4{II8_?sKTer7gYn!u>|q9e?l{Yq!S_wNi$Gv=QAdv?*5W82kAK5ze- zxSes+1_rM~28uT>@b6xEr*~5^_n+CvnD+16%Czu4d&R3A2OIpEOwz9?95|=tz9Y?} zNz_>O&dkM*v+u>R$Q3xGH}CoCyW*MotsX1i|DW!47)&~L;@I3J{QI9N+*EwP-}L73 z`x%A;3m6U4&dk_v2=hR%wWoaDS;tMz4p-v$En4Ha)LJig*P9Qoof`H#%9pJd<dXT= zZnEP`;`Z6s-yddC(eT*zFra}i%O-%)XV->m28W!Sn1h;38={LJH%W`%*%5H%<;?w_ zf(#2ePf0ISPvSq>bH4qq^q&uoiVV5e&OKoHR8YVqscYQGJJ;*OcOI^?IzIb1dd*I5 z)(^B#NrmkCe6jqCqLe_$sf35K4~0)LT~YFU@%tBtWiuS6ygYEOV~v$-O3eesj|(46 zlx3><^VZslc~OhTzTJF3x;C**t@*N0b25W9*cZE{XMep|{^cQ`iNdKEM{9?71*ep? zijz0XN+@PFFikpPw%~NbnO+U11}oS8ZL`X<auS<Z=3H=Ew`{)aTlPK2?EkTyv@kq+ zd((XP1^4PqyRS(7{~=xSq@O!5Mw0PX>~iCsuL3+W#UwZ05pFj>`A%)`(jNwHovZJ< zE~&ax>-pnhTqGms!K)_CZ`QSIPZjYk=(s%NrT*318_MO^%A4f<`n|9B1#j$1mn@N% zRbSHs*@U=M7aaPvE3J3dcI}NFNt;(1ecQ8Y*HJeq<-Ml6w44{otX|HuBq`w<OZCQ+ zQ(ZrO`SfXlN!zdPnoF;9wqN0}=9rVgwlSMMO=-e`{%#J=WdYNU8T@0~n_2UjQ%cBO z<71rK%2mu!-sZ1%>{^)L9i6;-e{g>8n#jv)T@R$1W^4lm^VgHTcRjcCwW`coXxOvg z(f-$2&M6FQo<E-*lsV^-qpjuE64B7?t?%?s&NX0Nx>ck!{7B&qHi1)DnH58%WF!}c zGW`9ue5zA&UsckSx#~ID97(5^WuCAIS@yAie?!WpcdK&GD(Uww|99<2r3+V+@m8KA zNqPbGzrSvdG`X@M^M&u;?eoHKByT(OgC#Tb%%k-e{;RgP845eH2nl2wC#y+IJ~uO{ zDmq!+K5yQ<dlxv?H|ADc;toA^sp7T%<xY2nuq08HP;Y68`%P;VKZq?iGkJF??Y-FV z?rWEB@+!12Wqd476_P#fT4Js@`PP;;<#rB}X&=9@y>Z@XiJA7^h5CD!Y_S(G@%vbQ zc=}x(JA=ETN{Wj+S59ucU}E-r_kq|)=b3pFJ8zk!Jy?H!ra{BHHBs~D+H`7d<z?%A z<sx6<(IcsBqx6WqjzzY5LZF%8rW^e!alt-{)0z~@XXfoGOftVQD_Cx#xPED`^pDef zF7#cyyVUfwO49b3O-;q#g5Q=see1n}SA3rRE)BDr3`rJ^0^xkU1~a~Dzs=j+k(%7n z!Vu2$K`eqfTCeDFpGCdN1V<Mc%TLMXQ38U|VWG!#R~vcXGuvBe)VFZMqHQzwx$NF( zeRgf;isP|Qr!GIcOXF2wrqR<AX$#+d>(7|FOi|Y_)_-^5lpo0snN{)~MOz}pk3P>+ zQn_?X$EoK4=RzYVcU5POsZ-`V2Hf$@TKM+J1ns2F>J#n-$lQFZ+U4OYCAq{Sps}Zk zbIEA~rmGwW7?^e_I!GSiVrWum*dEf$D9`aLb>pW7*_^773y&GJd-XhgYnt30E`MIQ zyu5t5x{cB!jZ}*tU44)1(iD^;x)hHYZ@(84Jm*tKkkHYE(-?FrwS9XsWuE9?yslxb z{6xY3%{%!!u04lmYp#>JC~YP7dt#5~mFp45<Cjfb{9^j*W-tHhd+Ysz4a-0EJ1$-A ze?&uJaqJPD1&k)UOcazmITgQi9qd^k&-`@C-<;cBdV;Ra%B%eX<!4Gw>Nv{RQdr^s zcEv)rEgiCbjJH30-CPZ7r%&HA+ci^a^AGJW@2>6o{c!CJDGmi5Gqc~b@3*na?fhlb z`a<e3gVrnqhvYT;)64Dmyli9ZN;*Gb<}ufQUUT2ZO@Ajf`R~^cUpo&^;q6JkWvfvA zH!U>&oJ7)^UEYr(#5H6atv_w;pYfU{EI7jNjKh)b$9{Ot*3MnGrBa!pPMKj}QbSMK z&ms=iM^6-`p70ueOuHnmTN9d>b1nPT={&`dcqv6ECfA^u+RJupiIh*CXAyIE>#h%{ zr!SiuvZCch+_M-T2L=|_&*B~h1_CV;Rd|?Pi{wQb^nJth-App4USn9cjD=4uWn=15 z@r*q?ey=S#5w>x&>bXR9jYkqEauffZK6f?lF2meM&gYyH%t{mIId4|=%$(!8Ve<`6 zl^KpspLA?^j)?^ve<}IluSB3pvl+Yl+&euEQ`?K&DvcDpSsDxX?q9M^@qE#{87?2$ z*gZ0$zC8IPeY#OCnMdjKrmyQhO)EAm_z~!5Ugh`tqJ&_z@v%GI6HaEYol<MTf8C(y zcV<230ha}{HG28CE}Hz~L(xVt#>o{6jHBG|=lt9?Va<m3kF9*W(%<AK>}LJcy8Q6z z$cqy`o);*3qkL-Sp0{E;oyJ*LuBA%~D+V2i*|OsEg3JFkSZa>cT{Tg9uvmNHWNky~ zd#lem>c<CM3bg6<)o^S5!u7$O(c|TvI?LHX%j`5C^dDK5u=v@*@UPJq?sQ!b{^n&o zU6`p`b%EOXIhVxe>|A;NcYk-#i9^vxcfV4pzOhg3g?yrx#;!wKr3{SrG$=?Vo%#5J z?_s~gF1Kg(@0DK_8Xj>n2{&$(PE5FZs40F;uE#`APp19Kb_^?fk1tur_VmK?5=Vy8 z9iPwZYp`WMeWa5cQo-uM;&8^k%3HeTN?-fGs|*hm&&R1RytOWQy>f@6&6b95{49l{ z_oQ}3?fb{lzft$vkq*<gMeK%6my*59KFA+DGeh-S_q*zE{Oh9jMX^razj#tqC>yh( zWQLLZy_NAQ6L)va*)46ce#y#>_CFR?GA-TnDDUWej_hjr`VLnY_U?nai%m{M7c5RW z&v|Bj^yHKW607{3*iSuMbAH(?9q!G`Ejuz?YL~S>^^+)y>+&`*im~k|)O>bi%R6=N z+24D<zxbm0G;em?%<l=cmqKHrcD&P_*<|u9{#-}ciQrFm60N(pUwF3cWrB{0$(r*2 zc5CJc&klRc$*Xnzshvh{^jZIm2uoG7wa298vR?;Ws|Yqy`z>@;{bfaNT~Cm5VMY2$ zrtTZ@2IjNGOI|n`&naJ)KlO*t|G>?co|k+t=!)1TnHcLX@lI-;<?PnN+5A_VLwo;< z&p9lAa^;c((+?|mIZH3OJ@1IbQEk_~RlCxU%yFtybm`7H^?cI@<?cVL*2r33GFh$~ z&Hcn+bAXe{+tVRM8?Fd$7M0<zW^Vs+(Bo9nhS`VS9dRpYn{_eXV!JXM=Y^j3XLGUy z<X`d?E?ROT{ciiO)4H?ELe^Y~|FPNY={BcB9?O2G-FE)dD#ZI?ZTcT`<*63p$)Psl zI!k6ulrpoQ@GORDmE^)y<Jb)ovfMI$PU3g=*53Ga!fVf%S#z`d_RDTcXEEB}bZ8Za zL_5!dk1zG*(}eCGdc&Y&CAQ{@*qld|Ul*tB+s)2&vh2vb=rydjSx(xlkT=S-yrbxH zfGZ**q@yq5e<#n45Wc1MH#({||9EBErBZtQd9maL!&>dKgz5ZNA6q}Z_5K!ju)@Lb z`>Fp^%a=TnpCP}tKeEKB;Hd(C^A)x`-jXxgjkdCI1oro2Xm}mlZqsKL#AlG4bmp7u z(&?7x19T;sn<mLcNGvR6wcxyR?C7I9N%ht@HVGNOrG6FgOZB;gO}4O`yz1>Sk3MnB z3AQCq*0s*lH#f{<o$!$Nb(!gr4d(SnuP)kcobQnQVcVUVYfs)h@c8TnWBIMC{?20) znl;_8<9mbuou7NpJbrAjCveHdlalkM3cUzpyjtJW)TQ(Gu*5Tl`(IBMJA7WEvEyjo zjT_hEtC<<{E*CNc8$0aUHsN4giVEj@g&)?FJI{3<I9sQDdB%<fhd0meUwH82rh^9# z8J(ZCE@t_L^;Zjmmp@a!*Yz>Ms41oX+y=4NbH3l;@ts_~aTiC(I#HIq6L)9MyVqsA zu8GYhiAzVEjj?^(tiluPR|da(I^mYI{l*o&#jkAFJnViN?W-wgf5*E0#u<Kr(@R=- zqk2CY#a+=l6U`OUxGw%ld)%sQbJ6hgB>`$btRvlicZc4*y)RVv;|q-?;<XXJD^pgf z^yzo4cDwiMM%c6OtZWC&<(F9=5^+7l^Q-Gn@FLHb2Sivi)>LjecenkhP~>9!KE2wg z>E8+!-u^yV)^Pjh<lfxfvXe{KdAmvbuc_DluDhZ2${Fj1_YVS%-YsOT&FYP+@Z~TH ztI=+J^ma|x+?6YKe|KHT`ev&6(+$eeoWZ-LSHuOLoc8gnV5sJ^hpp}<yRLqFRrzRx z)Rs19wba6e-`%Y*=f3cIZ`$$1$s$^KGTVxil~KHlS94rsd-=v?qUKA#2jQuIK6PGb z=xDva;kJ|JkM*+io*m^r(CwM0=X;`hhTOHh3sP5iGt3OVBxcAtk#XJDjJ;9KD@{aY zqEBrPWDNh#&2uA0jb~1nuT$ZMoVO;@RVGuUcLw%%7_2jIDPF|$U-yS+tVeZN)TzJ- ziB0cyH(Zp^3QPNCb!zUd>EfSqE*_d~bh`FhxU0j13nFW`X{?>=@So=nyO!Kej~@qK z2po!?q|#$`(<<tW#?D9a##K^>UY4@WKk|3ggHtyaY1gstOEO()va7H0uj)&0VN1qt zUwyGq+x)%v9gngy`Ta7Gy>i4ne*J|1{U@g}e=w{Qew%Cd^3cTH{(%$97N6cUFZ}sh zE44|Id;I*g7O-%~op{t0cKhdpqiYxN%iLl~nRYoM^10Kg-Qk<+4fm`+P}FvAuh6fT zBIoC2@VtNjtnOAs=~{6Hjx9GnKbiYqSy*VtyJRno7am_G@AWpGvbyzi!-?$|{>~99 z4|HN$!tXInve$`S$zZ2vQ^JD8%}*n?>^Zf}p@dKCJzvSbBlGf26Qr&d<aq_J-E~S; zUB~)n&beQ^<$t*>{B!5Qbf=ajdi<&SdIDu)ufELe_;>VIM{3f<^<j6JmQ^3vz*@uT z<H*4m|BkiAZ(Za4H=V0b*5&0a<GRp#crGXF$;n^K?|z*xdOG>KXA=95rbLnREF!(z znd3GXN~@<V3|Qg1YJ-LPEz#vCs=8J^J=z-cHQT>|wf(Oet8t<v*L4|-;9U#$|160T z)?ML!{uEzuNwK9`_0}|dVb<4IjrV)C7@ld1-1Xg#g>B&t5%2JIKRZf{?L2%d&K~$4 zWgBjxJ2BwSk(I0JJ~aLFV*Au{Q;xNQNnd!itB|;9K@sPh6h+}f)2F|_IjQ$~8e0*Y z^2>q=iFd7pkDlZ_(sJDH=7kHM_p`b?<PO&GhMh1hx#pLy{cuss$<@o|ZP;m-svGI8 zS=HpV>C)1QC9PA;FKX`Td$w-Lj%mG*kHt^?w$)_Y6=7eGwy-@#?pJ*sqZfbO79{m& z%eRC`=BrT*e8(TWY_;FCu+((Z^*PfQYW#WBJt=Qv?0WZQS?O!aXIX^?wf?=Ra_{PW z;Wvkh&)Lprw{zED8drZh*!af!xp)5LIEzi5BkVhapTjdWQPO9EVXcm>aXHIHUO|JS zb}G*zpXl*~^h{xM{l;uzBr-8aUo1cSN!IT$HQkN7z84)jAl5UlD>it;DRt}Hae{B2 z?U;J!*RJA^(>~09DEY_W&3&N>;W|mJ7w%@(bGB5T6Fd6yrddovIAcq~%ZL{rxi-0{ z@~0Z6rGAl1`LODA_v@I%8PD$|%3GEdE<U$y@;Tnsf@ir7lw_5Oh%PATsyKA^@vcp5 z9m;I`!+0)e)#pfDUVMI6!;8H=TjF$16~1*i5_YGcadqkU2F+y~@@l@XzW-Bxf#dtd zGR~)SC#7$yF8a7nGs4@XW1{kcns}wTyIW_A?9owPe3DtZ?rPrTOpZXobe9QD>I=Bv zm|c+H^?29e#WQwoUMR(NvnR)XXY#k#b>0t*Q+xmSX>3r|eIWPo{(<U8DR)J~<XzTX zUH$EJ`Z>XraDkZ>OpBeiUNS!<T*;Ls`iRS|+_dAs+wR9Z)GW6Kn0^uto3S>rvrn!2 zbw%sN1xK9HxmwRks7WT*%#i2%X7%{o>N~Z^Zm#QM{I|8$b_+{r$zF$5LS}`RMY7yp zINhuNo?%pMQO=rj{v;>UwX{vF>=lYi6H}LoYaeau)!>v=y11%s&Qiw~Mea+xma5j> zXw?ll@2yn1<al%P|5tW*)Shj9^^(DH!I3pxi~n4TvOn?LvQlEpGv3`T;`sr36V$wK z%2YgF7~r+_O0@UYddIsb<2NL#?BAJOR8W<!9OhExQSf7FRF|mC6`hdT!faX=le$DK za>D!j{srvky1FLed0-FQY+YvW_6KHNE9*~1%qVjz@8Eh~Fg-WqW8>m|*QPYB-)(U^ zbdlJ^(Cx>k95Bp}PrWLAYnM0^Z=Y60b3~k7aGS=b#x1APIb=MYPmB3ju~pm(;hFTz z?$z189_|mGRiC+c*mya&yqF$+!M<ZdwTGI*fA8;(4l1wb2-JP8ZT>ee&G7b?&es=9 zW@@$E)m?XO`-~p(`GrQBT)XWUYC`j0tuc^&9>!o&oU14Q>uBxww6D8FI28XWulD*B zJYQhf%!!M|{wsd>cym;Lqrr@K+B#dgJmQ}}x)$5yd^5r>)AMFu?Yif&m0`~LFTb6- zHq|Kj!S{#9=Y_FOTxnw+KZ}v2Ux&}RqQ7zPq6P*1SJf6)9M|TE)=H?k=e@|4du><Y zJbzN6or&Bsc30=P_vfFmZmaawi%n);xM<J3xi^lgFV9KWF){eS@Ubt%YKe7;^rK?u z{!Fjv%ih^0EvNU29ysSNbokkJ221I?uMcgoTAUO7jVo?@<+6@>>4E!BxSf&l6p=e& zz4XWHt3_vH=Uo4>K4W%PlhlEm)1;5NM+QI0e>?m4m4ntzZY`Z|2W1#?Uno9&^@@A< z$@jauPwtI-SJb|p{n62swVF4kKQ>5<;y7fqxX9SptL8$=;?;e-=j!a-@YKyf)_<R% z-?PH(r5_G_kvY5V@P@*j2W}{{&9P)|W8GXY$tB{Ln{l4S!t-UaVzwD)IE&doj$`KW z%haA0PC9-<S7&YESyfem-kt7o*Y&*36z+095V$E);BNSD?j*}Cc6YfonmPCO|6Xit zTx%y@8_>P6EMD^S%fHbQl3JbrQ=PP?U1{DOGW9~#$47<k9p}#<?VqLE*023tY+=>* z>a8o=l?)$F4mrO*uiNrKa)0vzgSOfhMz^YKz1KIWwBP*v$lQ1<&*!s?d?Sn!e6{Qk zM>sD%@L_sc-*qEZ`Mimq3pyUU#4~IZI{Kp`@`99Pi)PEZJ4RDf>@pkX)kfZ#6XN$M z%+hf}V?@*DX*VpRAGWfI3fb};d-k$WM)39DR$k3x+}F2jd=LukUod<3{o5~=tY$8j zjIR9p@>H}E$COAbTh1pZV!Z1=_J`_zVh>-(HAl)}j*bPdzw?)8Pn`sRgz01^bSW&z zKOvNUQ;J>V499k^#W~*EEB1c~w4PZN;S~Sa*iXB;VV^|Z{SS>_`xIUsxF(*U?#j=! zMSTB-p!2WP^7-xhF3o8$mR!y_m&fCyhlkrX0f(4_7Bj9-vwU1<@K~!QW%FC3^vS6X zc_;szn0Itv&DO{Ix3U;JMH8y8+?wpU`dE?LStq_E$&{McmK$eAZ<=USA^tw{&k>dd z{9)@eKG(-dt$wF?hxee_Kl|u=YYZc<oqAoIw5o6Q)YbDZRW>f)v+8fE!L!iU-mLsH zmRZ=B-+8@r!LL^DPuE2E-&_#6VMgq+U-x^qIyYLqiBg}>$36GTmWQ{$cz$@jaN4w4 zmQKl%Jldu+^EO+!XMgQasd@Lo?C6?<RX-P6Dzp52(Y<1coRZH%!7kC-jeD-Io#XUz zVRp%W&)mef_cdqmMyVVNtov=c_sh#G5^gCieSPgLv$JAX_uOK<Z+7p9IkWUJhE;7! zYx<8(w6D}-+f%b`_L&JQ{pBKf*I)T0uVA>CXDyS^W9cn!`wV0Kd2jt&_A6{#{vDrP zAxr{?*2?5{@+5B*xxcVV|Mux8sST|g_I_9p{JWvQ(4MKeEx<PL*e2auJWsX1b#@$B z^YxPYMb-w+&u&bUjG9DOGcDEmKZ`*x)U1=Oa>m*%y3#>bt-^W_?rk}_)6Q}`gO+RS zzip~4ldnZB`Rb+WGbw*<(}ldLRc*VU71>wah%pw7JMJmATa07E198i5Li$!|%o*bO z>sCDNlF<95@OO7k`P(f%dz!D^jXkwGbn7W;g+((Ryxz>I)!+D8BGEJd)BIaJb=x-n z(TUJ!db2m^>rVcX#Sz^G6aRF$ifD$nl+06EF*)(dL0_hl^|Q0C%uNm7J?ZvTsg-*a z?%v+FIC;m%qec&UC)zA<*x}Rn=+MPoC6R&bscn82nWnP3XiWTg@}l2X&xik)bj7@G z*3eX{;yU&(NFu|nT=_QBoFe_58QDH1(Y|job#|T4KH8je^Y@3(g__~vh1Ymjxvre} zt6&BDe%638&I$Joy98pMsoQv&&wal_??Cb7xgxLrp8hkfr1XK5U9hSSV@ABnDJ#3l zS%3D2F_~@FkT=>J;jgf0g@dT#RDtAcm$RHYr8trno9=YDn-j8t=hpwMsA4IWF6Eib zm*Nr^Pm11rY5K(*&!Q!k%gWm`z1Inpd9vkRZr=5_E8$$?*Pku@!8ldv2k*Y7uL7>O zH{95_LolX9V`rVj!Mfv5+D}9(sDHKlAIb0S``SXtQ0V#T&^xpDU-^0W{mTAtc3pE$ zTq!HyOrDUL^lGP5_~kNv2F4A#0aaQx`68zzZaiDYd2KT5+ylx^e;beWPIBLulwlCA zy5~Z-<+YoC!UH}Yw&VKm*r7IcY2S6RCFh?1vA<E+?=qG1dc~T_OIw%|#Zx)f%52*H zJ76-0(l(8@nBc;;_e%RO%>7`l@b@zR)L+i?Hu4+|Wm%o}HdG?U?aRhn?+!7lOmUm( zxA|@9>#~J!dqRG?wC_^%JG9lQe1f>|jvpzCB`Y32-}ij#_5ugnIlJea^Ei6Qq~pN! z{#C|RD%%t;-rsXGn6E@eTi^5yd(_LP8Vz>WPMkd|C;O!6sr7B+n0sbRJ$F}XtX-}c z@4Ql9W7dPJ)e#z1;`})a*J({>c8hbpdr>=QvDNRjdu}QvO6~i=`uOPjjPSIT_Lt|j z%Iq~2Q138boN#oJ-qT<6b=i+hKE6CO-El>J!_D5a4sF|dC$Rs@d3~2PE9R}r)$Z)9 zC7EX?a&*RgJ5*ur*;4hrzi>m=v}cA(J(lO|mKLwSRi*o$C+^F!4TYaAV!U`KA9Z=# zu4<pA8TtE*iT$m}+QO3j$01VA-+~^Wy^yu*-jyRJ|4bixpKL2n<qpvZ4*hcE+|-Bv zdy{`EL~$|uE#i#y68YpF)3?p8CU@ftFSU=hE<UN*?N3_w|4^=SaC(+!n6=bHt-s#Z zmf`K(kI#+mOHayMh?egN|MOMz!ym4lySBz<DBf3-+Ofb#!P7T<%HonW|DM0DJvb%b zT~&jzVe_`Riw*lf3EG{y)#UZteFb~1jBfY3_vtgP8<vGcbA7o|x4t^scg@dcJu|JQ z)z05I`534A8?SuFC);ik;CoA5^F&DdW@*!D1uRvC=gPTs608c{e^&<fXBF(7Il-Kh zBl_kyE}ImWJG%Bq=ZY&Up15D!{6j>(eYJ<9Y$D^Q?diMvMdx!bk`LahIbHpmbyH|a z*GdixJx1=54xuW!<5zF{uJe&!;2|Eq?4tA*$>`*#J)HTw4kVp=m78Y0HsF8we^Wjo z?)%nvtv;{iS;4O6&T#Eh4lDot>=l|iB43(T?R{x1D|>Vei=*V0(>K?+)Vro#*R?t> zHj9ISssHG~b1XCD7VO*JBJa6uDbJ@iR~w%6y#je(v<*6H8^tR;r!CW!={vP{dSZay z0^3mISJ_i*BRt}qlBcR%t`oxa>))w}g4HLcmEIUCgO^qD=k-lp%?t$*wryi+(e zL*lQq-kbbFQPp7gm2j^9{3x3O#farnd^24lUrek&@Q9<ey@PY6__xC1h}D;>->i$< zJZEc`_l8Si?w)P8F7GY-X70whKY+jAWvh_9aU09!Tl)9ob#?D>dkFLQg)Zc2D_-vJ z|Np;k#i!G+lr#Uvyxl1%#3YdK-E`>q#GSfqOb#2qFFmo*YQ_4^)7BPVn|Jryv;|3l zyEkN(T$rIb%|j?)>y@%8LIstP>{~NS59ID@6Aw1MGMUwH9;;FHnZL_BoRqd&zbbaO z*nId^^N~l2EVKL1Ye*kFx8p=6qkBVc)ck{5))FjxXJkj!w9V$@$aKC?FIu<g=<x&P zY7dT0SsSh?)qm;C;mmVq_Nl18>uml~G$;1?Jz=R6i+`@=dTUV}Ex~J(qnowu>*o`~ zo>Cms{PomIvTrcBpAZV?+L6rtv9_Y+dc={J7u?@{lIu7b>v5yx#N*1BQip83SQjik zqp2OCv@&nPlRdJlogAi1tdGs=QCz#e`R3}!u0?Y=3KnEFhVFjiEKwAFFxqySm)?`` zfXNHP59gXNly_`W3ER5z)xC<R!2y$l_cfV032vB}UuZ2|c1?b|NWJZeolD;)#Yk)P zt@z{gAbF>aLciIaRehbZYdF`gpJg-o*$3sTbCdJu%vTkxk8N-0TfJ?=tEG>+%%-t? z+QPnLaa5&M#i_$*nzrrsuITsjX?l9+?u5_X4pWc0KFKgWw;)?yv+|UoiObufGn-2< zeBb0>d|=Mg`TN{n96HSL?@R2h#tZFMiqmzI?p@q8&wRrX{iF!hGu}0MZE`Po^Zw;7 z+BUOdZNi=uH(jG>b+=hF!zWg6mq^Q5aOYahR0o%mgN1C);<J+e>hT?py%olKdD#Z` zCNHO>t3pb4DfwndR;92Toh^vpy88Za>(s6y>q~Rrezw`M@N}uy^G50NmpZIoAHM%z zk`T^0bIG*B&$5!leAnAdTCTJ#wB_ZCtq0kzEc*3wqxz$Jiot)lBBD=aZ8bbH<ui+$ zy3<>CtF}7{Mqz6YO3!-Kdbo&zr$hMK*-dHNW-jd%-eH$}KBtS-@9w;BKW&`43oP!R zo?t)a*|Uz@m7EW*y)EBzYTer-?~6KBWY1hYBendgM;hB+1vYgX*UHR^JiObr|KB>Z zVE5Nqp&Ny##&;$h=uRk1h)+7pvggFyndzKU`1#lTGAd7AcTxCg|CO3KGgxh>oLyhU z5&a{v;!=YD?pa+91y3wux1Im;+eJ^s|L+pJ%NbKw6fQHEDz2}ZpsCaRvPN^`L$^-{ zj<O|e^{{`I<sZ2<!~VC9-E4y=yj&_D54<|}d)@r=w={3~YIHR7w!PK<{P9fTgGS!h z65SJSB~R|W^50Rjl>Po!^;Jv$ub%nK{(}56aUIJWdSY)&lmfU5=fr6~U#@SqGt%l; zO>ja+USq9cu_IH8)-{=QC5@vx<%~<DihS-%pA^*0WoHgDWVFl=*rR>qy0_uHw+pXu zF7;Yt@a*(lv-#QLQ_hzqE!F)R@56JnrKE&Q_lWTHJpTLM_X4c#!ec!mvQ{}3XWH)G zEM&1l^WsIPU-8D94&K$=_tWU2(Z3Ae3;k*;wx9Q>9@x+!YV!40cCKjCH{s*CdxI{2 zyPTBR{IlTP^`mwc&F1@GJX-(NhJ*1<&#h7^y)ecXbIYC?sN|QwUGMuTru!cki;B+H z1tmLX^YqF-)0!z_VQx5c&5xO1Yn>*4pRDLKrG7W_4Ua^wg@@QW6bf$C&9l4lQE7kk zF`>yvt0H#$9{6G^J*U?5l4;(B+;7`g&zG8f+q2x|@%u^T$DgS!XWxA9wC}Pze9F6> z!>Tr)n8I$cZOWC;tdkt>Gl;maGW_H%){xC|K0EeF$s7G^f0`$Sti9w?@y(-CnVVbZ zl2e0+!?Fs^J?B`pS+&mnlb9Gh`(lfry5<`;Be{qZksHrty*!wn<NZGBL-fjphj<^a z_PJzs|8CI4Jz9_cT(S7@*2=mfpHWyjqb==3(<kK}e|!aIxnE&xRdKpvdg$+t8A3dl z_W3?GHVwU|u^{W#Qtb#cd$HrQYt?M_Zdk_d+7kNRdc%YQ$A!Q4o1XHzCNm}4!8Ezy z`P^)So24fu+%Emtnws&mX-h|FL~hRNmRFyye~GwszT}%$Q>AG3sskT7o*M1_ncy-{ zZ$t2F?GO(o3+89;Ek#|X2Me8an4_vzCf>QRW%I|LKjxehF0R@T;E_3-@lDd?hS~-3 zP76v;d7Uy^8PGTH)6NZTs}6c@d&%D)>R0_*VtrwZ%<q+cmS$6~Mpy)FcrK9V-pF`g zK<iu59M#)1R$r}RwJ4j*B@y%LQ?|H+FRui1fW*}IUmYu_JZU_+_13%wr#ruv1*~ge zI<-JQ>~1;BBKF={dE#rf=ico&xNz}9S-<8Nid)Y<JUicIsfOo;cl-JFO)$SVKjP{k zee?Q;JE@KKntylwV&1;#*DvpO^%$-E#ftHT3iEIFgqX~|5I1-Cfi;^qp8Egn<oR<Q zaaoaVQ@50FDn8@9$nv$y?!|6Bm7=C+%EGdiEABn*WTx;+v*TmCq2$|pEB_1mYMu}@ zx~!NlUw>5J;QZC<7ZI8M=a(M3GKFdTjzzC@B&y>*8&saEJM*sp;v=f`X7lpIIn(5y zRo}7f74%=RY0f?!pWH~xYghLEJY%Cj<)G%C^KJcemQQgokFMyq*Q!&UzO%Z)Z`b`( zTrZP5ubdOe+i&%FmQA^Hh}+E0T@^R}%t^jbW4iOq%Uu<Qs?vPT^Bfj0DhYS_6L9%p zzlu|sRF{Rzjw;@Rt7AN4_gu;jDnGH0_uTYVikqyGkAKLzzSzXKcb36*o0kHA7uHYq zc)Mf&VuLLgWwNd__H9`CKRsyLq2DXc{89b6r*DVPg5W?78__2<hJTsoY+i1DW>;Wz zaYc~Pk(kzP+hrSDJ^PuWnxZ>u-n>ui?Yx}uNzLGWtI@9;7v0X@zwP!;FsQz1;c2Bn z*XNxA_L>*xD5p2-J567un6RYyvk_m8C|e6dn(N2kJp1l<-#q$i@5KwVemxa=zlC}2 z=J;9DyU#d$oyMeYaG1kN^UJb}1%LluvepZfe891PQgNfphsou#f970^OuG~(tfD{T zv9q{`_AiCXGp7&TV4AZ&Si$zW<t&NB3#a}}ovp38@nZAwb;9f?tbe%|KJS%aUa&mc z-RSq`VzmsngGNv8dG9m-;hJ;7`j6~-&Em{c8XUQI?#sDyMywFXoEyj{r2kmTV2Pmp zMTx4ARYjN2+GrnqdF=@MO-DZEw(TZ-G7i7ye-hXr@wvf4;c3oXZ@c@;4n4D5Hnoyp z_19%l7AvQn%U)gl{NZPQhSHxk=Qch_aanL-nakBV4-Jg=a{1@`1i0OJIP*iF>?FQN ziOWmoczoR{w)_2@f*E#!+g|6~7XA0qF<g*8dikxqmf{zaXRNyV{`BcN(;VX~mZo3k z%ey9HCN8@@c-_v(_0tbZ>CK!aY#88lSK6T2;N*=PHyK1HJ9?WMP7w2sdK3OfJNs8z z6Z6N{n{J8RJg}{N!RLreCw1Qih&x}cz5J-~>SBh+A?`odw=Po2Y?$#k@Y>Otg)1$L zf1i|C<MsKwz>$BJ3z|AZ&7MDZn<T<Kr$p?A%QNT0TB{b`d?h%0;(6Z`QQdZD=4G=g zFY@NH#GQY6+1+DVp=W53;F8X{i*DJOmi_Gecam|*-|cB#os%AWOqJAC_-)}b@riNN zGP9Rze-sY?@GRK7W8X2R8PgmNx{Ez+*_F<?O=Fw9lWe-uOfBhCN2}$h${qg3&B{<> zDW!OMkr7LWV~FlW=jgB%Qx$DCT)*m9u>9e&813!5I&)_DxR-vh4&+w9Ki%!h6TQX@ ze|qj|dfQyrR(qD0`RQo%k+2u3+gT5C?q5{C*qdR;r_D!Hel6Uf7cs&0O`Y$XuHU~m zJ~~j<Ht(5U(&zj`=P%w>?3&2>l~HQdRDB=jSD(GaR>*EYWO0N$%Rv5`(3xf4Gj2)L zZGG~)`=mnKq^5$cN@sLeo$}K3oTvYgyEtEO0^cUl^v3OSg?jI&PRY3QsBh^PS;vXb zzE5Usd_6zb>&1V)Md?qOua~Q}mFrHpuEj0I5Mv(Clguux(D@}_+9;1{L6eT^GyWMX z>!#-axnFH9mUK<wAG76e{ghLjUo*D6;7YIL2-tAX)aY!@a-qqZhn{Io^mi&*Qp@AS zus(ds?-v|X)OYc$UU|f$O=;?lZ~c?M$nN-JZ~3No>h7e2W=6+rqS+39nWq_*ynFAq zDe31wyA(Qodwuhjm((%0z-Qw3`Mkp|{MQ}d|5t27c-K79id)Y&zOi`SIq_57{$q-b z35=~*BHqfJ;PaTsT+qZAIJxEJ^was*Pl^6Cu=d-x`}NOtbxSo08Kh=Rb8wI3`<i$) z-CdK-B$(0Fh;vQTll@J?2PTNzxK^>DI8!CmGADxPdDF%dr~YU8Rosm!nx-{3Qz*#I zP3XYhilqAdq(d@496v~S&f(MUX{-3fxofiDwcOubha9GS*{jxV#&h(8B%kZ%v#Lr@ znl|g|-RIf6@$d4qL&-0B!=EX#$!It6-#)u(QFoA2=#|1Fr>5r>^S=nHExC2IAvWIG z%vOtkH{;7ijY*&9JTAD^ew^uW(wTGGT$QQ^!Xj66WHWx8cly)<o7O#dX1tD6w0XJn z<HfxGwM*xCKgei5?v}*v@Gx3w)$=I<FRHmeo(r*A{_ei=W=)49j6&z5A6XoE{iePu zWWDpI$J4^rPWq>LdVl+~$aBn}uhnQg=|6RB&hi=;hX84r&3147R&ER1xIAu=Dc`EP z%1^tcPqAOEP{>i~-l8Yo^EvYO-W$T_wA3<-9t5u9{V~<F(#S?sl!>dSTx;TOr;^SE z%D48;KB<snI>-LSAIazY0=C9KIUjTRCC~QtzhAv|ZYZ^zcggt^+iLD#ryIhzEq^rC zr#QN~EY4~2e9KRKk2bC4%Q5-p@-_e4&y2X-ThSBbSW*~w+Nz&=G|MPkgptuf*F)xu z(cR@zcFz7u?vX33jrg1%GkQJ~eBI^g<@9!Et-|tMfxBh@)aR<Q#0pE;b*^}5tr7gG z_t2M1iO*(iX+QJr<o8sM3*Wb#TeQ7#)0uffS1jkID*P|xW36=wnqY6~{Mz-o?&t81 zinTH4yHd~WJ?6o?bbaR60PPFKIvY%?{?6`Cbh^K*GGvPFlU)M7Ws!PP31?a7%=(}k zr@NRhIiK~D<%HAEwmhG{{#WX!7NcUONqeMJZEtt^&22qX!>G3<L*eJ_r&;yxFHYM^ zp04}3>r#{APt~+8*M*@w4xgQEkY8mG!7%xVTMp9;rV4X4m2k&Wzo0LxkMO-%X=h{l zo8?%`lR!DMp6O@us#Kacmgq6h{Bzm<*3|kNl9IKb4Ju5VZ|L4SY*yqx&v};k<y;BF zMm|GN#mE1yt*&@*anFU~oOe$(^!w{3wmwZ*et5gZw56Oa^VV=XwN%gUVw{xsUDlcJ z^fjl@bv6h2Qk$H=Cr@9|{jp{_D}%j(Z?=TMUBz=%8OIL(Qcx)Qdno4Rldp&Vh<9ZE z)ttSkZJoet&EWgL%qAXW{r2$ooOsDS2j57&o>*M5_8!ak2LkI|tk1|?U8nK)lEG^` z`Hvs3E`GIap=Z?HIZqXyJY${8ezdC9-FWGQ8+q%#J>TE+_`s2k`l)GV9IBsQaA{?y z2X8sv+p*xwEup&Wm)BnXb@^Pb`J(?vH+J1%s1VWH(fzrTalOvw2kluMzdNl~e^hhi z5#p9<D$|%TXM(A)!HGPB6N_7}u4uARoo~v=;}^a>e(Bk>u4gqKE<0S7;t;b{hdW(7 zdHafxpocnQj9QtiHc#2vwDU}H{QGClFM8kJ4+s?bVj7t3X8p(3=S8@!r0Nv)7dvk| z<b5nVD)IQF*wlFwm$ZE?U!Bh}JB8`yv*I(>pI7hpJ2_QUq+W1JALrttJ-_?aiuUY& z|7^y}bi?WFPww14Yb|%<pzTuT*P`v-6TIhjSx#Q@An%t%9?NalJEi=E`%(&vC1<%` z>AJ%{FI!yIZ?@-@irA<bOQ&QcbN#h=*KH`eaNUt8wUg7GCJQJs96XrDzNqEf41*ZE z<cp5G%MYKQz@2gGhETz;y}icMWo7>rJYDTG^Q_7m&3@~XiJ_}q_>$F6FK@YWL_=z~ zd%X7UUj>3+r}gB_IBmoGd=I~Glg$2!%RfKnZAcCjUZI}B%8|~xO0Zx-!JLMWSC-Zm z7p6SqFS_)8ky6<!O~=-W3#H6vC`pPn>xi6xy5hyvO=^o;dN`Tyxaf)9&6^*u>Dc}B z^pisG7s_|EJGCUW7}BgvxaKq@7O5Zo7s)IwCYjr_zIy&rrOAx>wyQKvS!xb3oR#u0 z2|JLn$#_X+4wrlri;+72f$pCw`))nDcrQ$V@!3kw<ftx<MIOKMZB&^>dtyF0mrwm7 z7xX~lN-u|!#vP`8s|){pe_1l$;noXjaZi1l(`(aihH)F;xUy>Rr1r^w%<h-Gzk9&s zRPCXjh*dkCRIAqp$;s_24Cm1-6B0kWJZa_T@=H=3j_K^Ytx^}Pwa$oaFj#Sd^`e(^ zM3Du@uGSmBji$cjy}dRvpHEwQ&*?CR_Nyuz-sT(RHEHXvE-~;<eWj=NaDJ3=FQaIc zbK;(VJw=@Lr)(ZIUD7t<E_%$mGc9+0fFa*59q;4s7K=G8JO7OTn9LFH6Z>3v1!_F! zw_6)ekuou8`m(^q*F?$j&V=5VAB-i%mx*qY3t;*8cCq7<-(AP*_a?oaa>r+~>IKzD zW+_jE)>ru~vw3*=mrnMPMmhf<OtW{VCP-xL5K(-0NPE|_d))gQ*;w9|u0A!bq<P=` zmYehceKnAO#hnoFl6$Who8YUx7rD+aN_@TlIPb;U9m2ZZKR=l+wRqNGyz+^kfW~vN ztln#JciNB5vj}k6y53ynOWj0?->F?EZk>Flr}yIGhC`oTJQG`(e&l3krEqzH5}Vt@ zc|RJSv~qMOZn2Bavu@FSE%Pqq`y5B31i{A)nSwq?Emkb+^)Wrb{7lyMO~Go}hV85D zz8uizGwLq5@4R^C$*sRs45Gz$9GelQw@kNHC#=e*jZv82Y+d0&LAEav(vkkcS~Jag znmEtxa#1*-og<O+!a~)4?JDVXj|FG{79?uT>hqTqR*KH4KfAU<weCZFxbwRd?vrIQ z8i&5b2$swhob~M7i!$X>i-=XxIX@oRO|c4_DJ8ye{yG0nGamPCzD*w{w6=aLIupql z9kJlmK{<~4k_z#&y{9UK&$XS}vGo5_=C;`Og^sGi-cuLWNk3ZW#hUgf;drol>bq=@ z8LA==H)ej?x#8f8{ja4wEBA%4hE3%8>*;p9x8Lq^(=T=P{jMdgvkkM4%-J<t_tfq% zX2%tLipTnXCJD(Y^zO|_zANEaHeX%)|AC1tJ^!X$sc>h{PYMlv{j5Z!BYL9GeMdft zO1%S|NqiqGE6=#9N?j>s>|oK<J{Xl(n)Ulb5ZepW+Y66P%dnZ`p?7b|Ux9l$t)_bg z{UlwTO=J)M(f%C#C3i=OO9cN{LABS)9+r>Qn_qN1(%Z+|dw7zqx{3wsiFnpjxjUQY zoSfWp-GL*-?3>~S7qNXVM{-`AuwG@ebHnQw2bcZcv6N3^xr0;F?CeTs$Mj&X=POT( z8%XIGM+j}6_*zKx)?DTAua7^P^VEE-4JrtE!pNZbg^Qi()D=Dlwi%qEt+ON+@0n2R zl=a_#&mmu4wHBj3UAJJ_gH<aJS0*1mw3DCf+^o)&z)dz6J3mgF^r={Qzn`wsyo)QU z4*t+TcIoon`nic!w>Y|=YBe;39lgW!W$Uds3zp7YB&KD=JNZ}*-=Ui+H_mU?yY<R{ z+tI*<FYX-XC@P!!yl^^`U((#u|JCPcn1pZ4`tU0C@<WaLP9{|*1}Bu5qk}mnJ$cZ7 zeAfR-$(I?V>o`~f|7~wJzt&oPYhQ+-X;6duA-&{+14>JDPoB<yo>`N<^w62A_E$~M zr!qzEJRluh{UUee_n(&nD;@@H3M!sGbIu>Z<Fd9^#;$SMGrvq1O$xI;X#MhT&9|<k zP0g#9y!{|wUuD;k<@2xZ#+647hrTuRFdLb-AN1UFzrE+@v&!HSRuz-I6+Gt`UuHkR zBPp)oW9rk+eE4hG<>gMDSIhsGewSJD%*Ok6u<C;8=T?iZ{Cn|PSaDnLLxIV$;>w)s zeZB??e^S`>?R);5ubcW$Sr+FmJ8@NvhppOt!xjIU3H&lM9!rO{I?eG_c@>wy_2ubY zy<P9v^CezLZVfJQ{eR%K4cEMt&L^I(aa7!Vf64sm=61gp*ZT-+`gA&$OSUH;JEHa= zR$9g-Jp9}4zJ+In8GR~b*hKl4%ydpJ{x<u{m5vr^8J6<ft*cK7@W$v^?unD$wbsCL z!tBxs+?!6DJ@Q`h_loaIHT9Rl1^lf26S_Gh1g$&{oEBKrB4z$$K~1pCj8}3K9z_?) z_1eY?UCHP?v2g1NZ<jeW-;2x4PoL1{-k-EU;BvXx;k}7ZPdseldS<4WaWH4~qUN81 zuamZYQZap>9j158;uO!9Lbcmo8z$%-k-0VDwgu<;<XcDL&-*RYmUGsge30!*bZ&=k z&-s+y;fZ(J+O{Pqa&~zncwc#%etpG`1#O-ED(o|~%$Bl7T+&-z-L_nv)4gBw<b!90 z6-)=GY~0`Rdk?2f#$J|LhcjO0&bFD%(yk-T(tcHzmBW)Kz~zUMZLK|{)1d>?WVv4b zR(ZOlE>`T~rNi-U4<5gk-sQ3(vF5z#<xlbpnx2PEbFB8b&O80kt>4d=s?Loo3_Vh~ zuj<P+<3|;q7vx`EeOgrZ&cTT{u)5(S_o{yz*L19qbt|fP{LiVquc@P7V%l?eHl5<C zXM7V}b{d?2KX2Z`kLzNpVx~BpUGObjq^8F}!Q{AEp~~ko8IH3YjwE?Lx*jZRetvSg zH;0EHTkK<IPu;5MuR))EALWW1H#(g(V{XgI{ZGEVm0Na0VMFtinJx0#>rYSJxU8@I zB=>~cFJ||beN0?nJ$J2$^Zs7JpX?oqAG)*WURM41K27LIz_t5Z^O^QY_IsZ_a7=Jz z!0M#wJY3szRnq22&X+q7ruA|8;%BBVPL1E{y48N}=%2qZ=hC^Wn{#*YeOD`JoR;!` z$pg=KT+MuslAcYy5UG9a#9huEGv-WVez$P<Z|8y|`rj0JEbqo^Y^h%nHAVSIfP&qM zBMmIGB4>Ut>)3AdtYVt7WoCBy9R?}y=<SS#Dj(wR9$HZJ{Nz((AD_GL`Zk$%w=NI$ zIF|UjrRk+?P&vo5OMKRCQ8vO#5mJx0WXw@6NxszJxb>AMw_$@Y@22e8?5;;&cxoKj zTJCF-=yFhU>WlSjV%xP6Q!15Lrn*XaN6c6kaA%&(oVAADI*Csk&T*XmeDXS@pJ?*p zsK9C_(Qf7c{;^B1>+HOud5BetgW)>&+SyE19Q^C{9lX(C_nn>V=hMSeoQrqnPlysO zlw`PL9AkGbNx}O{mBga-?GqN?$vHCR#FyVOyX{W@X8+-(sk$-z)!lEeU!4&bl)J{e z?>Ebj3+tj&ud&|qE=((|lUI5kdO_z#(Sfke7LC&lN^vXCdX}G^?$nr_aa@7n=cF|^ z7#{HbTDq=V#G^T(YR9yvxl%8dwB;OMf1ta?dg=<sXL~=dI<+eK-q-ghpRL-Er?usS z?3W4i1UpK@w9ZUhU%-_5iO=V7=l{p<H*D^$5uP0N^^y0YTDvU={@-$589rm*j_cpb zC(OE3zkS85bvIgS-$onVo2+%t;_`C7RctRVm25rd6wY$vOZ`>>c{i?5cJ^v#!Nl&8 z&*6CutFA_Ueas=xaBp+2b4=rr>^o6>6E<i>`kjB{cI%B?d7Es_$<!w;*Md9CEqxCr za&)EjvS`K%dY6YRTFZU@N>y3GPNyibYf^1ixA*>glOi_9aF*1sPX%VSpA{N(<N32b zoMAX8v(R>9*oGO?)_rAqsl4Lq|5zKQH$v6VKG#O*dek00zUs(6FHuJOwb%9HV!s@d z{@+y`JXL-<qn2QfZ2rC@EcLJN?W&SUPyBQ}I(c9H>DJ>n{PHg)ODR}h7yK0WMp60i zlr!n)%KNw`r`H|K{5@gP+B_u%6;-Cp)=4q{x9D^S?)+%Gp^B5+;MTb-JUrX~9sYWf zdCi%PLfSK`xt_LdHr!_ycWUMZ7bVZ{W_^*NXQ%&`cVBj+E+gbdL91iwl%wtvPxfq@ zb4SN}M>vzypNu*agW!v9yJdoo$tZ1aHT;|_8sY7E?enXR+IPx-Zjn0h?b`X1MgNye zWw;iEHke)fG<#kBI-cjw=NYce>7OHiy2)di$(LA`-K^7iPDHQU{iwNWo>HdRo6=*G zdNjFgb97ceJ9I>TT6avss~x_o50BTRos6hkrLt$Hy-NgVjLxnop0zipN$R_VJ7&j< zFHLiNsIYde!~DHhw2v3Psy&|@QLFx-#8l_z4I6<~NBcKrh^onJFm{M1Uq4mEchcue zvA^x#%RW2fm7ENJobBDX+lVFTpVWce{RY>AUj6ybvB=qd#+@ztLAgIxwoDH(;9PP2 zp1sM-cI}{Jg*D<&WdgjJSwt8>Al-RQl;kC2$)%GS7(kexfs-MzG$k`XpeR3CFE1}A zuQ)V>m4W&26vYgUt@?i#Fn2IAoSnlmFXe=xw68$GXN_J)2hSI)V};MC_-6fAmcHuE zBIwdEC7_8-&_&?&haYUAlN<J=idAwy)2)z=YoEEzpF`2*(vyk_3TnRhq?|6PReSGz zdawHZUHug@hom~B<jNK>wrz~M*{8hWgdo5C*Apibp43=;XJ$`2$Y`i{LRY3x_|a_v zX^SInN6aDxBWxAl1Qt6o^Q5$%?Ah_GiA~~wS66JEqjS5yUbOC~jqH*k0iO;e9**#4 zyV1-eW7aTr*0qEdUV{xA7G~UeG<))+?&wc@T|aK^4XDZ1v-_c@_|aAA@oer#-NKKm zr5|N;3;vY4+3~~b=PfsbeWnxJ^mBb4Wrr-TtC^hjL#flOrr%9<pQT`nexA<bYT^7J zw~ndqGqtNd<g%!1$%TMRH&`-tnwCrze);5xNXrr7ZI)>{$wy>NCH#9XtXH`;bqTX3 z+oT;ODyJ-@W<EKlY$?d9XVW4*KQH;R?~z2|^c!yKTPLpk*1pa4^CHnu#sIy`Q^Mv1 z$cq&%bmlSsu%YpA+h(zeVXJ<y^2(mjHVf*?HQ`?~&*9|`x1g0@MK;$ItJD-K)#NMI z<SN%>tJY+yxmfSB)Z1sVsr&ue?)AK9r<*uWT4u5GmBT8-9+i8RLa%>xygPZ(>YU}l ziJm>mv+ro}-*>UyHm4(1TI70)g^1VVq^&2{cI1cT1m!FaY<?&u7{z~i)r1IE2h*g* zGM1|1Z0)S(&!#<f>)JRmDra#>Zp*5P2^mksZdp9-IwbLwkzaa7&BOy%S`GCf4EsYE z_J%O9uVml~V&qxkbaDw($5N+Em)1$0TxvX`Z-hl19Ly%XpU87Rh9_Qz=l*Qo_-Nky zQoQj|FAj@y_PoD1^N6{)-N(Ii6Kit4YqCu?pE@MD&rN%YLnrfuDE{kz4vVsW*2wNL zNfL{xILNkPQgS=5wZdx6xSh^CvQ`-!*-InVG&=L>HB9aKskS%jqwAwb)gedC%a4jr zKl**yk?U+nr>Aj0%1*ibC_4Gk?2y?HyF(5?tPWv*G<)?;@$ktHt5@s(yp`o&lf6}H z-<DbY@r!Tq$48xgID5+x@yzb`fkOA^@W$Way?>iwm2kZDneA;yr<*lgzRuCA)zGzM z1((9H!{(ly`j>?6E356>skYBTZ{K#kecKH7naq}mSDr0?|CYr4CAX&N2lbx%zT%nI z{g|_1`*zHF`{Q2LzQ@_CEl+O`GnR{wI@?&&o3&AYp9%LTU#TbEDNg&`HEN1AYYMe$ z^0jMnz4w{Q?%Q&MZ<+WyjVl^oJ$QQh4_T-m+hMp*v#?-S=Et=srybp9WU907=n0ku zVhUwPO_uViUzy$0KgB}h>6|&ij}}bPPM#ygzVyyaeM{rGBLCQ;ggMcV7i&MiA#wfK zb~TwfCKl!y1%-<W@)r~Y9eet5qTKUu65F57mioN1*jRk-?B8d0?({y#d)i$3(?!MS z0+Q2DnCnJ!{NGsnVY+Y2-W-KD$I~3PZT&Ej`+1y%c=N;A+*i|PtT?I>xY%)#TC&UA zmTbG4FNZA^j<J61W$oqQn>9gti;`J}bJm5T8Q+e$2h8bCnj><5ip7@=6NTEf%vJXJ zZZy1^wzTYssg|3U7xN1C4oOA_RR^8U-YHX3Oc^%n%2{j)D8F%nxm|3=o&^h;cOKEt zc;WEy$_uIMC)B+xIu>x~99YoU&YjX4D3f-uReZ+-rwHvGDZ2aIlf>@F>^LfJ&h&Bb z!jD@oYTTbyadf)d@)T1BCCU5B7f+g(9~U=&_F?Zuo%q?amziZ`xG$2p+;{5AF@trT znHiRaJ(-qkrH&T$o;x_vqhN9W?Tp9Ej;9@WdwNA<_ACuo;lmd)=0vbE?7g+%NE5qQ zjKON3BS9go3PB%jg;xJ~=A^T4hw;Ac>haR8pVoGU)nuk+OqIF%XpUHnz~fDKQht;z zIlOJL#yZ(j%Z2k|te75Gt9YI4jIJquC@LcnFEcax#L@51QYL@edeO*q*0JqsehWL} zYcjXZGMl@#<4dB{&BJa|HX;w1Q(8N9YWmOpcy>f~-;Toe_q~rkZoO!}Z>yB3;i>N# zUmndCuKn?-;>SHVy?xu{_L)v>*Uz2v>5%#MCP8kw2!lgS+|>yg4_WmtWOdc?ICJY4 zFs@m`<P-d9L!&cKLdU{pc0R)`3D<6{JZ{c;TD-IG{Y07jF|zS<Wba4I#ov~>FC`am zvCmXDK1wEDIcg2lgPO-m`#imCCeHXFXZrE1<;SC;ANNLn+#36FZQ@7KN72%cyp09T zpU=H5b^n~s?UTp0X<t8NzfPm2?v#}E_c^(9j=D$9xt$~<e*aw56J@)kBEHT-&zj&z z3tYI9q(tOh3;ntZgKLbHRx@r8lGfBb_=<B`Qpo263t6LO!wSzbw~HO{I>vLC?`BeG zhFn_5L3V>J39D1x{~Y2=yKs<ARjQrWu3=&8rejHs3~v+IWHl;hD6+}EIlykPg`vTV z;U@cgkl@<|7j(Zol2(l7nV6fFGhq!|0^>4}LRI+#7ns(j`b_AZ(z79v!^^H=AuGs8 z5TiAM)q&Y3_!A?KZp&1b(g#hGcw~}3JV<1doe}ER{^QaFkx<3}#eF6k1~I`-+)kT| zoNP;rt|{$GQI<@*z!;ghr7b_NMZd4*eebjnTW5Y)JJ-Q6`U3y9K&f?|4MhqCig_U| zxh}q}T%`;uoKlfWVT=x?Kc2<?cogyD-U{yc+g$ghW*)kp!OAB+r6<LdA(=zE@&N-A zuas4fLz`sKk82@oZaj=C4Kxls{4hG%(b{qIL+{nPek;~%oQra~_rWykAalE95<_D< zuhoXDf*aZ;lOAkv)NJF`J9D6E?eZC*%(h`k*^#a4W<ie@h^%H1m^dR;P0Ns5E`sAA zm(`93Ox!80C*A%u9O3smk;UL3XSUiW?GPxW`3y9+9$;F#>`e;~E4SVfhWsB4ZDJ(~ z!HMz*E;R8?iAqRZvoxobF@TdH%rcBoAvsaZX2XLf9xvS;2bzMob*7kxP1<mYjr+=! zDMzxr_4av&@AHV>=N_|fr(V2_{C#PqcxmbQIlOAezNa<!e%yL9!FQjj^qOA%vtdWC zpJ4NT60N=ahuYOOKa{SX`yqFAUQMy7MtscKo=<zP`dIGUHp}w(_Auj@KQ3jZ$;L0f z_4DBLw78GHMvuB%j($I3^Jup5%$mZ7lJ}!u9=*<{{5ZP!^HYIoON36DujJzF*PnE4 zqQd>fHai{mS=w4S?Au{$enbAgwBl^ec<D2zOf_zENb8&yPj9Z=cyL8czS#|N>6}y7 z)0!JM9$ZqBZ8k$n`c0qyS+S$no@A$JhiE^H*4}*Ol=}T8v!>jVh+iT#GfMjY;#tAH zz4`0Ho}Cg8H@^PkUe>bNvu-}kUOh8EOKp1o+O$I}XGZVaGD~uK+PjaYt0Wgq{IGOp z@T4O@)Oa169(B7s)#!OIIPs3~{W*~==~f?)wx;DNO5KlMc{FU^$E~d^mwsG(@Y;oh zvYO1NViC3rO&({vtp3`<Yqa`}&BN?1hxpSJwAVa-JbTMw@yyQm7sc-1nXK=}w9i~8 ze)jj{+uaU@x9Pv@d!O6B{_W?-(Vqi;T-v7;oZ)58*`I%j%e>P~XyOu0&!kBl6P~DL zYQGG))V0Ll@6vSTm$xThQT2K?af`~y=KM(l@k=!JxhK{X2k+a#{^Q=4AGh{6?K2hK zXI4|_S(6`ClPfzV|E%&u@8C(S?!mh}^b{&hCv+(UI!ZN9VVcx_<Y{#DLnXyeOV<`m zj8JY)7V^(1rS6Xs6NNj&>J9cm`d`yto(@$Ax%M=cM0U-mRx`bo6&L+{-`A5Hji zZ_|%kyVUQ`DnB&+o8nHV;9c%gHIsP)_I-3UdIV}oTz}GS-0(iPwff7k>(@$481ye* zWa%rD(Y1V3r1Ij$@xaN86@IAg{OIb{z*f`0=f^W41EzQxrkZ@64_j{@*j{#MdfMls zvLE-xe%xA`R+HUXlj*b1ZHt!KtV?HA6WA?HEo07g<-cQ){%}-QA)P_!Nz{bj6Bax4 zx%YXl^Ue!+$2_TcQujggmA(2wOhyl?pA>#PtLnhAZ^z8{xvcxlB!67<`f;tM(6uH% zv?h1%<ovf2^v`;5o6fp)bZ<j+^XZ3sv@Q4^tSL}Eu(E-<aW%s__H~@+xcdb3PH@?O z@cnh;hf?B!?=M>N^;q`pu-LbqWyOK(Va)fXnD&Y6Gl{<=aR0VQeDw7v(ThJVRsCqX z=w-vQl6;#FzQ5MhOzf-axBKzTYQeGZWembUZpA%_{_Pa;pseBC@v|r2o_>4gt#Hw9 zNA~+mlz%8GK49ACu2xgb$<V0(uJ^qzqvnUL7Y}T|cJYJhr=zM1l0WTD{J6C=y(T+e zDn4rJdcj`SS(mmdCN$@=Nl)T!$$!Tn_u=Tqk9+4HNMn$=5pg5yM%|5hHxAsGmlG(? zvCq@(gX=8SpK?<_oK<|lyl;o)K691@2d<kj-@nCkUp0P?;QiU6@zLSOwtrjracSUB zsf$GqvbQ)*y0rG|0dr4|eI9HMP45Fa?%%n7RQx(y>-w{-x>;sf4p{+NiCF;|1qTkA zujJV0v03$p+{6!OYm;gUi@+^1!w+juemMKETlrD-;z!x9PyD#HPc#0O$fQf5pG>0| zJzrj`c`)0AC4Py@4>`#N!VhQv-t*(pwIBC_e%y-raV_r0rCrkdb|~!IuGh8Rw<lj$ z>#W2r&lBb+r5|;NJp3Won^#j@SW}oX<^9>)kF&cUM{oYLbm7ONk-GcLmw(tg)4C?R z?9_C(ve0$POS`SvALcxGC4J`xoBN@I69X(PcJTAPTYYG5pv7#~bP<bS_H$kjJ@jJk z9xDB*_Va`5rzJn+N+b7K_MZ~Je(~ep)StI(ocC>Y*k@5wIA895bo+_x-&jAbUHW0^ zL4(P`yUf?#?!7%Rdam@$=<?F;;>X3Wi?a)77Ymo%-qpHe<qp%kJ8C9Z{7|a&sp;Qk zz0Z>Wl=$_XANSt;xV5sOCcB{~{l}wyI`^Z?Ph9_2`SY63k4teG2Q_1(<)rzfC$Bxc z$@1o^oT8lCoVpytoRXa8oES5~ZKB)Mx9Q$rqOi|HPUQaLbA9=Kr^K%x`#$aXb+;4S zza9VHrvL8r<LcKuKX2JN?At1|&qO>T&uja&mn)yHP+Xa~a_Y*+tIw|dxni|`R(I6g zDEAfDLO<JGu@N)X*qYa$e~CX{MSY)pd`)piO<_(=enn00JcawWrswm<-&y{&`Zd?j zTl?(yZ57;Svc6Sx_NApq7wBG`bYatlGZ(I0cyVD*hEj%pM#L6XDfuYHTLMucw|MR^ zk=y6tThqVm$FoH>h2i_QTkkW|pOAmHMV~ibM((~efBfy~`MeYL-*&7QJj)QuC@&hn zSTxJ)ddIVqAAB!8>|S!@yGM8ayB__#-uG+!)}Q_TX>IR^)`#7jA6H*~nEm>3wDA*f z<)Yq<pbUc_YJvyFJvsNeZ_aDUf5-i}yZLc-@WbrxhtbUsy<Zpnc+~Ra-jW};b{X#5 z>VInbHP4??7xx+MbJM;sWuNXo&!toJC*^*!<(m8B**^V!I|4f2uU+yeo4fzVwNvW* zOg=R0_jSBKd-A&2k?m~9r;C01V7f?f!8tpS{+Pv#SC}PQ@`E~`c89$EA=g`4Q(RtC zxMb@4T%RY|%C0}I9kbiFCAe4rT-(RJl5OkHiad(moKusjq7biqOMbDaRmKN}!)@Xw zD;^}WOIIj3*M8dS`19JL3qDs@P0r5DDQjE%>(;8Q)U5KF?9i^XHn(4w0#<~4<>4x2 zSSTC6STtpVqcgjB%!dYUos1U?mK@?v5@kr>oNg)>{djiC)9xeBG|o+wxxd(QpQY8l z9aj6y*F>f|_;~lP&+X68Jv}{*t^Any_b1iOpSHUGymmohftf<sR3~4DGpD96b7Q#5 zV7P8ZIHML*Qe{kV&_mYsnhpo9XRwOK34~qxrN;Q6<WaZD)9R40pU*bc6edo8|F-5) z_T|UX+&_O@^3&M2#kgy|;M<m81^w$Ua+!)-Y`EaaED-U4u}!k>!P6!B$_JnP$ml6n zm3Vyb2V3!`wLFibexyVR$=pA6BkkB$<7EdQ-FV^Ad6?s|!w<1T+k#uNYkiu3Zk#CS z+j)5Ql8dK2Jr~bevhkFMr}CU76HmE&mih(lEz(<g%yU(CkN2vQNvovpPg*r+Nmgof z5U2XeJw>`Jr%Vo6XQ;b!&g76)8v`%xJmQ%uv*gk#PmgrPC7=5zPB`c6@qBv!1V0y# z<<pBjmMbo~++XOSBClf9pYO3mUM1+XnaU;Z&LfYdgl@8To-yJ*8KoGhA+1;_c```$ zrn<W9N=vKcC5u-n8{1hK)ym8?pJQ!QDKpco$J(e~W~OP4wNbUqOp_dIqi*HN1#eGi z_1PTSqP*Q=6Nj{HYlPjWt~t&Yn(4cr<cKOieKT#&(npKue4Vs8c+TlaJxlGQJMLIc zwLbe}n)T%;=Zv;J^;>o4<&&s)Q%=oI@i~2UeazkD#W7QguX2ZfwctF?KK;1I4!t?k z`U_GxWK5sP^szK9ZtXeT+flGs;eNqm#XAxw%w!^4mFLGCo)}{BsVCFIdWX5$p{W@? zhrM=9%$rjp{Ziqso0;3L-r~Nz$5Z?BM77IT=grxD)oMp{Zt|VAYl?SI&8yixwIpZz zrruoh`W1Km9M|0yx|rAKv-_{%uH2h1t{pM|q}_Sv@e=JNCT^Ru`*ft_Sx@R(rZXL! zY1va<X#H?@?2Vj5W(KA@>u&0sR39~&J#`}QDYtDGwk_Js)oRu7`J|_5|3o(%yG1Q? zq!}gCkC|`k&3!C&T}%41mzmir!@{>LPgH{XC+1A)_mMfbv!(D_SAKfV>e{T()7zuo zt_ro;c6HVKsIx_D!+u_QC3`t5daI7~)h#iXop(Q0xpl%-`mIE#*}H|k5q66%x|mp< zu#~cOS=`jKxOTxshkX|{l%!Zwq;qv#r2p@5lV-noO#gC5TSlDOqTHEgLS9mTEjW3D zB$Yj7Eq`WcxgXnUvP13hlRGzlxE(J`jh=f;>iP*wlc3(rWEqAKrk<=EmDP+KpKoY{ zF&1bQx+;E4<u^E^(99+pQ_#q&DzIn4nvEZt^@Eh|D+^4_e<$*|n|bSxdmcY-IjP;3 zsyH%zTNC#IFEvn(6K|N>R+`hWszIRN@`yl_xJAwcM>byFBNrGsymTBE@-6uD$ye)9 z_mQU>-R}iC@6VAuEFLcOac`?yP9kUgZO$cZmmftlPrTruywBu@aQvLT#oEC??wym~ zX57C1EZ3@qAJ$H_NSn&|bdvsBmq*zy!Vablsfml3udv=wNo$<MEoGJQf#GnQtj5L% zrmT@1hZ9%~e=u+#igHy;a}0N#+`WF{T9L=Iw;UDEJoVk<l=<{y;_b)2PkWlZ`*HN< z$KHoOnXbzG=o|E?d&<%88lCy)Tpo2VUOS;_L)Z<j{R<W{ch0grVLF3*^Qi>QfC)?j zD<&{)I&{j;bK1m`BE_kh6Jj2p=(6OR{lshioSjVNWe*RjnBRW#OnO^U*V(?6t!`PV z!cu&*N{ya~ol{KKp3AV(((Ol^*t-oACj~LBV)oM90`i5TSx}IZLvBOvi30~2LK){h zoL%zmqwA+h?*loGnwNk2c(m20CjZ*QZ0?CauFW|-ovrD^QPGckr+(bp>Qs}x?4dVz z{ST>&Numt*9%gT8Vv}h2z$_N`AdyX>;KqU!rf?A_{rgcrQo|x|95*sxj7&5VOl#!a zV|B`*VJZtRv)~#97NrVDo`leEGoRh$U{O<G<PKUeg^9nWfmKtXF)~@K<Ne$A^=E&5 zSUdN_(nd9r0QrRSJ2`jqe%!0Nk#eKp$1R<Hsoq&<A4V%XZZ^$OtjT=PQLN2)m2m-w zQpSUXhE)u^pHy$Qk_u1_sQa*YD!4P;`C;i)^|%L(&24<LX&H{5t>PM$9gXZ#7DpNy zZZW(%dfjZ(hqV{=_iYhXTBKq)VRDzkMB|<#C0`D^yA`SMK7YYCr}vn_Ik)~hIa}KE z&Ag7JE%wdX+_|xEW3OS+owOfrlW%AU+&&>=x~VPOJj2L`J2^$#!It4{lJYEGhN%o! z+B3~AcKW7lTI>3@CHpZ?RBlLO6t7QicXkO+uiNe!ZpDGl4{vEWGhAgz?h-YrNI2Nc z<K>#L@G!TRR>eV%fECOFcYjE&RbbjMNttv1f`!d9PN?y1TI=H5lD(L7^QmKk%QROi zFl{=N!+Brj_d#*aiSGqD;+3W4?k~}aU*fXQy=+4MyEgrGZSU94d6+HyFxuGh$0aY9 zeQsNI_IVm;@AF6(xW8CppQZS|9b)^;_2Or*eH?xGp?CA$52lMmKlvIx=?>xlDK}HV z!PG(ZiTC8k*(sZgYbN_i@ALR}`umC2{CBPTd~NT0C#+vPA%CsO6Ys^3vO}6fKl*-p z*j@7PgRR%Y*})IHe?P9?TvC&3T9a*-F~NgtiU^aZz$Do~_L*W_n+_)2Si=#`n!?R~ zlPOYHTBq~uvyHlixvzUO-L@$li?rJ}*C>a1;uiM4Oi$w+=UWe@E(!6jY|Hehtyx?s z^5}$|)uy_>El)yrOndBjdaZ%(^e17zKK8b)ZO>iWE5*ll)btLY)Vh>JsdqWM=El@? zCt7d3p|-f}@vf;>dAfN|1*31KMkjqvG<Lqxal`Khlj%m@)@;>`tw9qqO^c75O?h}* zF`DzlHlx6|I*(>$Ho0lD&ve`DQLwGI@cE3}JCB+Nx19}1l%A%u?%<9COJ<~n1Unts zz9h)==izNCH>O_h$oAZz8|>rF<#;1)nS;=w!zN26_2)0=yPXi&cTO>#|8if`jbq2Q zif_5YdAUeC$3k(NVj(XZZ~Gw=nFUePv)eMv^)Kf|n3}JPv^AOCn>=f++OC6fKiGb4 zXw=T(j=$n0x=#0M%9WxEX%`Z#;({BF8Z4@u(a7o=%CLa<{$j~}J0<q*klSZ2wa-j) zpQ*$?lMAP=XRxX&tMYl3)l3ffp|tdaZP3Hn)epOqA692S%zpjIdvoPS(@i@+tgZd% zyQ=Y{>nhHVwyQKhp1pcNb>9xD6Gy&>F)#jcD{F!9{iwH{`fJ&oKANub{dwuo54FyZ zu1v>&$Tj-z+iAUThwZ-YMyIbYdm8P$*;RElBSYa0AE#|oD`J=z9p5HuQ*dL!k%KH= zIu!|uEb3R9vuY;i2*xil-RE9WQyjH#hYdIt%H6+p{HXcLC7)c2KH2_yJbQC(LQSC= z_tEcZ+G~H@%1N!s4y?&6sVOw;dat|WaklotAJ?2L_ig#qwO;V7SVL69`IFaAls(Np z{mFLM<Jrt_e>^&5vCrK5*!8fmk82~<_L*4jbJLd4WLzM`(6ZP`TJ+8ehIfGnr2-eS zEMofM)@szjmBuaVrKmMSFGQn#MZ&%f?gw<^l|>I&Xk1~OQW;|LX!emO-7MLUs!I-; zw;vLpe(3wNL)XRDeOPPxaqCqFr+r(cB2G*XW9I!Sbv5G0rE_}wJPlO$dBoN9pHtqq z)1XDa@8tJyN3WMDeO%kBRFi3-w$Ck*J6t3|BPLogjFCYusWFIaijzawj339Ys~!8e zwl}^eQ%NR%v8WS6*d(`)+)`FO@&%9NA1}M(A-6AZqv1whuiVb;FI;QpIn3YS;K`z$ z;K-3>>+7=5+<4-8-fsuDKRbTf<!#uBt+zX8bKH;SoUItm5igzN@-~2%?a+3$j$`fL zj!#cx(^|!3@O#Iigt}4>$H?Rj^83sc_H9$xXF5Y5UWzY%v1r_d3yzuX+2*q9SK1r$ z+-mY|a$IY2ZL?fzvJK=-ZV1FjRXkqfng~*wv$b{yhpg3x3r&+Qv2jaiYVKUH(3zdr z`oRXrlMJDZ3;3jeGPH@=EqKz%JUKUjNmN4eBB;8XAgUI<iCxknC!p!7u#=XYBadAI zld8ZP1~z#GZJ%X_6(SgU>=?p?jvdm^c)^gJ2ujTBG!BH!ShmISBy&4&YVXX3H;sqc zR3#D;5?Q=7JQz9b62c<Wg|#%2H?d2a9eCi#+`e&|+L>M92UvNXUMz5A<JCHo^5H<U zxY-JWW=1ytGg=pz*oDt@97ts5k<Kt!e6=UbnBS%%(YalTL6J=$!Xa%fPveS35*&+U z*48KZ{A{WG*J}87@{4%Bepd(c{|ymKgdfF8Ne6UQNB7Ot2)xt%IHL2@5nGGCi5$^? zgdYa-Tsdg8E{^N3@?*n}h4p@Ntt)=Ar(S&ZGG6Jro+{rv15TEsj{0it4KaNGHvC|I zQ?#MmRY75HeY;LkYZ0HH;Zo!03q&qk>G4_3Y!NE@8Gho5`;2B!9ivu%_Rbl3omLV@ zws6n7ZrAtp<i}IT7mISdxX;;dy5x^=^2g?m`eYlW#*gbyDoX|)->;#zf6mVK6Qy1= zs@r0cI|A8P?x+(CmQhKWbYgOIxQ{c3)r!<lEk7PE5S(nfMusIxtNDndyjp#QrN)UQ zfeq&lI*RRfk!0UL!=!jZccz#<duc_;#b@%o-NhMyZWrZfUOcOHc-yW#H%Ya$3ulen zwk8%8_ncj>X@2m=l*5mgiOqIf(LXyp$7rHjo}1wt0o{_zorzJ&Q)hd`^xfE%dBniL z$Gq`|%Hk(!{Ik<q?i@)h=8D}Fd01_t;JLHndfVshIC)5}SMQXs@V9L#cVr&=pS$So zQpR}vw9~g09hE<i%X91h-Emv!@l&~Kw-vgx{w|%y>u_?>Oy0O_h1*-MEsAV^wp6Cx z{*>YR_`a_dsmZ^OJpOv>o6odxBfGg9_L%)t5q>OhV41q-b6?wOlPO1c%bSHyD7g3a z!_BW>^IDvhMUL7^>G3A+<j?sQ`T1ODqWz?}Lm&Az#qImJT#ZrxuEh2)n|k-M?MZpe z8?Y%utzmk&9fN9jnqbAwV=5~Sc$?-iPM;q3&raq+>Qv1SP18f(Rjm0?bV_!zSe)0+ z*OMNnO4T=C+T-$=|HReP8uflFbZ5mrP@NKg;Pa=G^O@r275W|bHvT5_VN;JK!(ngr z@-U$a&ts|vRX0;ackPjR%zxy~Qi*T|HvPjpUKch`Pq<@R`0%NCdEB(Ps*mm-uTsV9 z9areix*1pWk!y<1ti%UT#Xc`M=dJrs?1NEvzec19_d#!+cXk(|OsWr@)_w<aw|zzC z(YaDl-D$!Vkw?`Qq+IOzHmNKA!PBQA^@=NWXDL2dDiYl=$#t{Do}NeN*w?yl{w>sH zt-eL<tjV65NAI|IJYFhXpS~>4?4x?itwlHAstVr+spi=e^N4qf&aA=*ONGumoLnTy z9H(X|q}rXPRMB}vmLsY=O`zgr3V*<+47Qf(?sqmW+?wImINhy=$xG~PmVUPc+Z3Hy zb04S*mg{8b&RYB6sX)1DhVHDB50(m)+h^#`diWq!pxnRggHVUHflb`M58EFu<u4E1 zB6hYwzxs#XPSF&8zbVmnYCDhEY>!<RZG5L_=jEWn-Kp=}dT!f(>nquD_3=8t3Ab&& zoj6jk`sur_le%)%g)8o)-F)oaa@+ddub!P-AFn^!6RlHU+*c5NeC`6{?InM58+Xi2 z)^F*Ew)_@yWoPf>^`0Et3+_CPEc|^;j!!c0_Kw3sMW>I=3%>rOtk>2uc51Zgw_hcx zd8_{%-B9TLwEvt$srJ+U)!c=%kIn1oJDWRYzq<2|)}rO@$KFa-d+d_kp7thDb7kCL zi({hqDxQAwV0~VC`m0yY=UK<EX1xwQ{q*XN=c4_mO{3RG*!`bS9ryajAF1*^>o%G? zKMw6ZD{UONHs{uak5Q?c*?K>ErA}t+yskO>NJqG4wTtM-S;xc}`6^u>3#@Ld=-uSJ zrJ{Ay+Cz`No}6~7>$>r_U^mhAX*o-CDs>-U=2`jl>dAD;z}KZcujQ&9i*}w>&3Zib zWLjU<qpuyci)K}rCe4;e+#|N}sL{R()uigyFQ2TAsEbSpSLDmooi#BwuK7oe(B`<t z9nUyh_x$_Nq5kCatHV<QCS8}4WBgn3H1SeT#go$ZlV%J1!e!;IcNE4=kGa_sv)(dh zlVbaxM;}hMJgM6IP<X<NCs*4%ZSCW-Z@68QT5po`XoYHA`VCb#@%2VIYbV6SCI7G~ zelFU!dRoTwudUj0g0HW(W>1TFzP0uBT!r;IHffD6ap4wM9#xqpYGu6M+LF1zcD;%Z zW2;A;d&Qr_U$hQA4zGA(+TyN%;e<9vd-TGI*ZJlC{;-&NB4wtWy5P)`M}?mzcp6#z zNg3T#5wf&A@kFZdgr}q0RSC<;6DqR{UZyDYXB|*dXS6({EN1C>;)$r_lPB`?z1H~E zS+a3izI?iYZ>z?cuDo>{Jx(&7ann{`xkDxJ#S@8W={CQ-je84UsE9|)wD>h`Tr2ou ziA1zyr(cz!gp*8(QI9U~rdLnI=SNR_mB`(!<l>^f`^K}5qD@_=`NRF93L}|LpVZd# z4Y2Gyx|Ab}-9UQtoHLI;9hqESR#W%$<GVh8m6AN(o%;k^@9-IMnuguA`WVh}JbU_a zErs=3HupH|_bmI6q5kD#*CFMw>#sR4JF5Td{gCnWsJ*D%>~(*l6ARmVs!L=JJL)o~ zJ-vF?u21$>@rE{cLBn@%3*Q`*c)m<(dQ8TG*<o$5wL3ghCBi#q2eo}v^uObiETQCR zeo^9B+j(D&!^ght{FxFVtXg!r=d9M^IkWxrc8l!vJhp7As`>1kd6`AQJ-!<1=7(?e zEGqikeb(~Isk3@!uP12c6@C19@WfeNv-L7NXC76XCTG6!hRvtP%S7AL|J+%UBq8bb z;+e?dI~R6p9(_7}<5^z~y^R%jYmy?SE}ZSlbJ|((ZcNe*xuPS!s%?V5cFjCuplxeD zljrlM=g$NW@4H}c-Yv1LO{K87BeB)Z+`M(i8KEa>0<(iA-1(DuS@Wc?yxD1+ohJ`3 zo2_A9dZWd8>7AHOlI=Q$#_fr1MR)cj7IVlJU2gN$vdX)(A@a#tUrD{K0qbTP@^Cvd z-fc;|Ar*AQSG<k)Q^Dp|Ya9K%bsOB5d@eL@wKY_~<C4gvva|BgyxBVD-Z!j8o~d!x zYoECz@=%|BtE;i<9hQfBf>+M+|Er4F>+>X^vuk?$o2v~UFHP91WFIHA$=vOvx8t{a z9+i?O<fc!X-tb0o(YB0dP1EDwm0XB2S$)u3zue1i&zofamRZwd|IG-9HgT>xnF=a% zdu!wJcGg^eTCwtY+*H03r)|E?a#GX(_@jFB)2Fi4ohx)_Nj_LA>)$ZR)mStxY3J>Q z&C^Tn6dwFo)MxLQ9e3>Gb(Z7l4>q^ID3!V~FXOX}MTlUj>fscFy}`*FT&I>t@RXhW zwC-ergzozj5+a|U%1Sqf#QbaWbxM5KX|UtinZ1H+A8Rt+Ej8F-%2uU*Bz4~E@@T%m z4VA~A8XUc{+nkNNHst*S2@{utduAsI2hJ!D$x*xX>0OuadOiEbvrb=5dnbIpF!lQZ zHTkH(8N3ftCX0e<56(x=rX<Fybj?oX@pf5#=S$M$a~99jM5o8`-AzfFA*W#8d83Ev z=`+6B`7ior8_UdknRh27F~4i(Y{frs7jDZCYna|Vhe@?NE%u7H-M<>2%9*L_yK6q{ zb^5Q{^)yy^_py%0v3${n3LWKcGG>e)-!*%$H+f&OBPVF@p6E@59S!evZ?4)=_5Fx} zLTuM<=WjV7BHQE4x&lw+%13LixbD(-RdaEipyjgAWtFy1X2^X!`&#b0X;s|jm{o$G zs*XROWV603CdzT=^26WG&v<f=FWM^Tdxb<;@~b%h!#Nk0zdv9gv1s1)1ELq^s2|** z>YB%V+kElF<p;#h^{hUS&a3vm;KsMiPog(|NgkHr)T_SnQ;?_GNol8GO_JdkzgBNO zL;WUi`6YeA2h}GEh968-@Oq?Qpy_dBx8ydLV=-*g?N3NgZ}gYzxc9N(WO~S_yw2R| zle0H`wfdabk*MFZM>(m$by9gKTf9tVdg=t%$>p&;T7{p^bstm>yw_^@!sV2;A=~_# z;CsD>98W)gdUxu<mFrJqr#PA@FD%c9$(vXqe&X7R8(;h;cq`1myxWoOeox9h!6HeP zW4m3<;(Juz)o!p|^elFov*46-);4X1ji1l;9~Sc!Z%ow4U_HRoIy=`U=+frTaf;KY ze9>7__`d(RM)r$%S!?cNdoBBJ7JSU_Pu9L5v!;l3^0Ng~vn2#iJ8DgCU%_X$(Sr5a z1Ho?h19t4K6+Vv79`IaN4_e<QbKCjyozIC9y|()svlR+oYwzHCRK>lnd0Gt%AM??j zLOkA|9>((AR`9+zcf-@oPjw1*K00hIsQ0%g<{r~@of&()A5Qk3zWYFGxAXg~9d8!t z-fP@&(&<S110jK#PvmOS7DirgObqgnd;j1v$GqbQHfSoyu|z9Ax*oZ~wegvSK@QuM z^@)ZZduAKWSaiZ#t66K_p5I9mIH#5;@VNclwx`(0;@RU*>v|3@`}q3q<kLD1?-#^m z)h4`aG+2>#WUs(B*O)!pDVtj_TRZEu?x`q0v8;RJ8EY54_A8akk8e=RzW27Ug6Vav z^x-)kdyA7N2yAsV=H2t;p<KYGjBAb4t#w$u#Ln7%2x_0`aB|U2jyS!Y+=9<j`RZd= z=+0t&sLEHKo1r^v>Vv1e<r*2fvmzfX<=qV`07UkzdAN>st*f!vo+S^@v8{F8EV*aS zLq3kRuEtBJ8{KhSxHaS3q0<uY4qb>cVXofO@^C%7e*6w+=j@9*-!HWmI-Fb-`Jz<% zg!~+(?G1lIQ}<dwDqvsvd}^P+zR~&DGV|+>sI4#Zc_uh>&oRT5s(O{J$wK`ro_y^+ zsUyEWFK1!jo^6FEOdntCwN+Ez9{z3B3f)<v53ik?D<9RJ=CNaLk$J`uU8!pAh&y&S zPa8hj*0a|r<aHeH;T1LM?<N|o_-cDsJJI00`!iXE=Dd9?sx^4t{k*s5^<z*fF03j0 zS@ipOdPvW0{o6Y3d2`=HTJBU$&hMLgTdlgTr@;Ey-0;h5CF=E#NpDa5qxw*+$J%L& z*jYD|?eTv~1EWo%53LoimiM?*w(<C)v%2E-0bY0VHi|Dkx>l^(JGS?>&bLV=HhCLw zoW3Nub8pglpW`LjN9WCYcQRMBx@4F3_K-J~rSW;oe+XZkxO3{G_eVV*n|0Z*d3Q!v zq~6<qyZ@h$fuHqSyfu?M%FT1yL-gL~+%Wrb=l8>?73Xag*0ue)!~Lkr<IS$+ho%Z# z-YdGT$W7&Y#Eo-`nRl`uUOqOtS}$k+o;%eKFCCp;Z4k3h;^3X`heB+oh5l{cPA>Nh zH<lJZ(YtZ%<7d5IZ|nOL%7fSfd*a@o*|Eo%ud4jm(|PvK8@+9s<d~*c-Pv>5=nY5h zXTAPJpTc{kmL(r|Rvth8kjwD>Dv583r>(Wk{`OdM@>oB7s<t7sBTP6=;hLwe*zW-D zq_bWY=9?YXL`ptalM_x$xNMoQk#lXr<ux_M#{H>^8JuQkz0A$G>M%Qc3#X~hla4fV zel50PjoZBN14hzI=LH`ylG!rLfcb#0xgLY6?~F$CykmtPu4_4sHyo3H5OC_K;DgCW zyVVb*cPocC_-pyZH=NhfdB-qcD@2CLF7QIup`MtJ96x*<SEr};*2na{6j&;ACebLs z<Anm>WSs++?9+Af0<~u!<!k!LIc3V(Bbw}vGelgTCP++fUM2G<VbX+-W)+`zCyF+l zzUs2$>3qe@a>;yM2DzUe&XfNA`sKIg<dS7^+?Lx|pP5cb4v3Fqwfq)RRVn*q2FDZA z?vutzasMsCLfa}?pG1gUUM)H~&qZZ@gwH<7w|n9WJKg4dwmN#1xBt49+|3s2xRn)q zsy^*H@<cB1+-i~QW-1fI)$C?>glt!jIUOLgy)NgK#>qRA3UYj}uH_6jUUW5=^SW_b zp0kD8@t0*S$>FhaoR(>AXRS5bSQlFHw7q4RD#OCZxV2LJsDWbW|Hed{HRld)kSo6D zS=ixxHre1z`yA$j4|$`4XWU#>ec)T-vv~rCBLbF}JMsi?d}Mqh#4(#|Gylomrfjh> zmCKJl5Z8XcN#aY>)4O8L2{ZOQP6{|NGg_eU?9T=7<{GScF8ul2=?7QCpWU7AXyN<* zpG1!H(>;@uX9!(7x!cli?*!X>7e7`$*<2NVJdxwlaciCVK8vqdi|ftZQ}O+f;>?dn ztcADv{n(@Zuz-W(i7Zchv4i~k2#Xr!C$f`eA7B2wuGLw=T90ME>k<3!MK_jN6y~>` zc6u_Y+^!~1vb~0LTdtQ3o1XCA=!cv5XO=tgcsu5D8Si?kvB9$Ann>E>&T^xi*){F= z6boml9JdzQmfW_-Iq~!Yv(}qCp55h}9=yUgF>Lpq;H3K?q3O@&aW=<TzjL&l=3-M= z(CMjI&S$ofL!+cfpj(qe;OIf^_QWIF?8|+mgm%k(DGFsQlXZFYk;UU#0#A3~ESW1w zzZ`iA4O%^A!`NTXn8nF0%age2=*(uHWl=7|?8j&D2+3J#9A46+&3<ymxerAFothJz z|DURmVRHIuepFG3`^CMfw$IP+HQgqo%g#LW6T^9#n&gdLvziNi&bNfKKcC@bDch15 zc<PFy__CB0eA^64Ct0mHw1x9ek-!93<ppz^>vi5S78jfj;h5U&<C9opx#GBq$Bd^6 z(=vMvPB~gHQ(h)>#z<F?&8p-0l1@kV&of*U^DJ*17iG10mY~?}>7>KHdB!D!;tRd5 zTG@Q33~QO@^Ih7Q!Ft3|L~Xi*Fnhek9;RuQI}SY((PV!=W6}z%6Nk3&OmD7>39gZC z`E=sYvHg0-%M^a4A5#{zelc%KFY7!Dj^m1A%bR1C1<c}mXILuq>Sz1WEBq2q?@P9K z9=oIb<d(BavHyhCg4HkNr}e6D`RLzu+(i3dtHDf{oL%N8ws!cItUvvY%lXgihZ8+p zKIV6LY9`F7_tCj^@Z<VUPd&?jmWDS|U)~p<9GCT{`H^7njOxaiN`+n5k9~XmY+C1j z4UfG38_~>9uXXITipcA}@tdjG`^f!{b1%y}>?M+WqZR+XDe>R#_~&KAF4jlu&u5hW zK0JTns%ZIt9eZ}ZedzDS{(M^de%+|;)-hha-rKEmW@mKVR&Dp&Wx3t*PpxBN_u+*? zX4}nUf-V+jAAUG-<!vdw`69cPCVn_P<55|gvr@u@Xi2`gZmVuf@HIEs=OtRS7{}e+ zS8!tS;a#N<`+H{H7F*|^ofo;osWW`LcFbZIv+Y_j7k%z7D=1wwjbZbp*J{&K-Iv`H z-B=wk`_LJ$+UB#(*F@5`oY||`wst|~yPF1Uy!xumYkn;-Yn9wt!ry*g{)*UHvk#kE zYe7R>>~Whu2xvr_FdsZE>IZ7cs#L5zG?&9tKlsOIr`(G@hrLDKUAquvVtnwl@VlT3 zQ6}C8y@lV!T!=CWK6qN_UEGBzlk9`uLhte}M42o;cv|pX(}gIL(+9l;-z~ZjW%Bso zX@Pe~KvjI{9+rptUAGp^<d3T>nBI16QKb8Gvx)l+Q|3pz*s-_T=5bf%D68#MO%dX| znk!uI>~lwNqx#{aYlW(<a_*>YT)yPEu26N<E~V{0-_CV3<pth2r%|kZXro2y<<--Z z7tId0U6<vyCfrbOv5U?6#F(!Fm8_2q&ri6nFSqs2o+?ArE6=xfXD(D-A7jJCHFZzk zM+py}PpghT5uSftL+@@+?D~M1Z4W>HI<j10)9b69=N+zyopsSz@9UG-Y5q@l=AER! zf0~nnr`r9iv^=JAe!tna8Fv5g-3Y!?mB#&daq|7h&+ktzl2TajnR789+C;i}kJd)t z;^(HP<8JIcyGd-zECcP$ew%&TCfDo9NHIP9)14^T9d+Kt_`A?fFUR5!C$D%<Z&vfE zSFox$^rXk&>_Lso`eA%tGj&p4BuGux+rzi$<Bq1N^M?fgudDD;dZEbCZ@%L1lruTA zt9`Z!MOB3v{S|(4W4hq~cQ=}%{#<@Mqf6-jhZ`+ne=ZmCuy)o*ep3+re!-%w>F9o& zzNHJUZN7BGR`0l5!0VZB6qLjRFKrGwVsBlhkmWmLYVEwuIUH-}wQBzh{m9W4b>2be z|C)#;j87Mc1`A%9WuV->htY27g;$b4p38Ien>eg)_R|UL3}U~!qsz1KLyx;o;?!ny zpX3jg7Dtr1vQmu$UMKK(H?J^FOgrSefpf~V$~#9Jl-ar_&3ELg`lfN?=i&vN?=(2} z-3k-j^vE%LqIE`tW9DH2n**zSu01-@+8_5M$wHl(U1;M(p}fvQ<2;j^)rp&1bC#q? zH}NE{6`PprJmKN$khH~SERtumCf_Z{<#4IVd^lr~7Q@B~5*Gt}CuA#g%<||EGn*SA z-&Wbkmp0+y8rR3X`xh)c%pYxg=|UsB<gutegEh?jMm1Br{P&q@+`lCmKTFakU03Mp zk6UYMvLmnc#O8(8Bqwi<+<4Y4ReA9ZrU%*C!P4um99em*HoG!!k<9%qH{1-jy)1BT zTvga>mb8%Zz;v~+sitjBnYt@^@898ypTl*3HfMY^*Zo_Z@lvjg&24HrI~17L%v0dn z$QjbmD8!?C!r)ran`9={ORf3qCg`7=@V?jPNjCH2Xl~`Unnq=2N%aQllZUp8ZR?EN zI%mhzs5}Ns5x)~hJtX#71_}7J=;s~jl_^=RSd*`!DBXGD`n9EDjz6v)JEFy~N?dB@ ziRoecXM~2?|Bzbi5GEmc*gX7@xci~&ZpXHV&F--&U|{6YJ;AVA{Y1fmSDX*{_~cSv zIE2m6X}7GHu#h?8B-4int-P`sx<{SOf~p*y+oSv^b;Mt|@bH9hN4|Xy^CPK~E_{WG zAfZH$x9!<U6E_`mQ83u@p^0zHEXAqu7cMmRu3=M{(sWh$keQhiXH7%NWd&v);|9|_ zRmuA*60-3s`up6K#P7%aI4Yk0w0d#KJ~N4F`sdi1KkdDlkWrIs7BMCNEZgeG-kUFK z-H(bqX3p88A2jv-M5+5SQ}xfC0<EpR{5ab9^AD-3NA&iYZ0^n%5{_S@zt7#brudmq ze2m1?YVEl-x&Hf1Z^*<)-F%Ym!acpFH)Db1eHHb16{CIbbv4DcHHESJ%r)bqrS3;f zS-+O;Y4qy3AD3P|^+W3Fj~|x~sO<Cf+2;{n)4%G+vt>UXZToR=&5v6veq3Af<I=pE z-i)-VjrLPcNeM5!@oI+j{Uv7mJSuAXH`Rcat(Dc}*VW|K)@0YzWEyJ4-<k5>_tf=i z$3UyzzNuY%n!SZ7GV!5E@r?x`tG}vr&p6Dcs;sKeadnn)4a>R0bAJ-<bRIVMKC#WH zJ^NZ;<_0~pAgyejDUYI~6}K?0R&NQkapu`NZxNef&W8g|?7R*g57(&o=of%?bRCo1 zR<-716OX+_w&ZVR7V(IHUyj`NKN=%b7fxNj_SE)e$EJ&|(_F=55&7d*)Q@W`euPyf z1}Dz$mg2gebR+0S!j-Tq>n<$0;HRyAWqxqYwM7N1o*mn2(7Tpzy$|=n2=7;X(ryBV zmfsQrw0|d@ckep>W5;W&-8)WOecZ9wxOD%9^b<~ZSfxtBKc=UB`Fw-FKGIOG_|dxw zy~i)zFjizv>}S86YVgLe^K0SptC2q=Q~6(ADSH0wWm_Wug!#9->~*gkOZu_f@LwfQ z$9|hDr<3FVFm`#~)K=t9)b}{kzgsu!y#Bj489y^q{ZGAASE{bObjR?G;G^RBkL{`# zlD+?JS<$Ol7~XL@IpWXXg=W`|#c?b4i*EXU_(*cqowo-+37?Xa(zkzkBl+?d;R&`j zS7x>EUf=h+?$P;E94`!I|9!Zi+n@UJyQ{lR*)jP=*8Mv_mb08p)qZ2z`F5lE<5TA~ zssqy%s>@ew<*IJHb9g>yR(G1VP1qsXz6joOrwrX$j1M<zzB_gy%0&B6a@e~iNew=r zu5(C1B&T-cBv)gxn6SflJM8Ue|7l&gErYFje(bxD8*SDSO?fK+PW5#1?M{|H)@Pw} zx^3Yj1Knt|kaLOEF-g7ge7B3X27i}XcAU-d#Rk2}<-cT(9bY1PC9%$@i=$-5)YS_P zU$NNp`S}K|$y>zEzPgkcZnrQ*wteGA$)m3}nCmTnG3)Sy9c!dYB4pYl+xaFx-mv;Y zVu{Qv!KpPYiCk_upA1`G&IoLA7k|vrZ*)w8Z4s-awRwHS6Q^AD<7pgOyRG$v1G9y` zu}#d;n{C9?b)<0SWM3^w@!7uf^ok!(>X9tHDR_L@8Pn;eg>U#IpKowz^POm2SGQs3 zGnd56o(tw1@^HK4`gHD>RiCEna8hE5tx#Ix`U!<UoE>v7>M%{8yMaw3(j@pmvPR6} zB|Po6dRN5GR(z0{yjyd*OnKn}yZ*+H(~rsfG~bxs`#|}e<C#9ofK3@@b+*?IhjqVr z{(Q<wi$uBCkMsq$E?2CM+-UhNuA;-*!CS98k)LbM@sJ;@6{9zOSDki5zJJ~6^H$&1 z9kA{1{b=4C-LhK()Fl^ae`?5?aU?NL?sq`6$!cr9>|}3kpB`@&h0eogBut!E{rGwK z*|f<gmx)PEbv8biYAf@3k=T)0tx0-|lP7w<c)ZD4Z03v#z17JxIihA6Fu#sr=3DJx z{k*~1^ugTf0}p2j?Qb|Qt}>5tzMh{9vt9CxA8a*;R@mNSoFA^$Uw>e^sKI&X2N!r} zlnPqxIaNF-Rqc%J{>Wuc=X0kmWN@ruWm~;1ZgWrT*&^8+-WKzitJ!`o<#_q@p5Wn* z39;`)4Rbg$p3D<$x3sf<A0?q;l(#oJad*qRisOg2P3SMz$`Pz|;JJ37YWh*Oplg-w zNB=o8H``>)Z9FI)e9uwRt!YmYe@~*`vUgKA=zc8GZ+Y8(@yu@LbsY=tRo-l3Na<ET zW!C+#V)`*N!PqMERBMfsyS1j9ds)5nOQ@XtP@tmH^pT$5*(A{mQ5ScxZ3)w<*G$;M zwTW+yq;zZL*N5+Xdmo8hh&nlgZA+N>yNrP7pEFpa-b$8xUx_k**VB-f_kG9aqG#78 z>^2J25C7pD{Hnk`G;O2clsz_&RiaL-usL1!Hh<?8BKD7AZIVL2+7a#U&Ut!iAAc-7 z;i`XG(@pP};nJojkEXwzx?cOhCTTM^$;!?nvKdp)9+8mGov~@g$BAt#y93)!iawrn zQe@Gr?mVAID|X#DwuJZMD<$d4<}MOqcUL6rIusNx!BYF~pc&WNrxMjpUP}L_gvfkb zc4$iQo5pJ*Y4TTXt=N()ih0iM*rk4a0dK$&TSK<TR~RoHzt;Nw&^FeYPvf``zv!~M za%`{MwwNi$_sVZ;d(m5Mlf!sX^ZSM!Hby5Na$7m~Pd_Zy{iNu8OQMyB?)MOhY00N; z#oKhJn)xXiy*cfYIN9@U694OC2QB*cW_<kEs>wC+)uYKLB{cP>73wxNwTKucPwCf- znSEqsce>9ZgTjj^LtQ$%Q(~N3c32!!Q4&&c6BIu<!>8qml6-fZ)3WXevE3aZdY1}! zh_LOnIIc9M@W@g9b@EeUY*GY$GM*$&KdNh`=M<^(=&Pc|l*dn0IqU1z?OBq@e{@oJ zfY0GSKRgaC5}th|&#-8(bK>cgBfCY|o?fU}y6OA!M<3mrqRu;6iu+fve0s8oBiQ6o zSHH23Z;zo*u)$7=qai$7ubrCDt1j+7!)@aaj>BJCriVNKTlQjy$I%jY!I-$fV&xr6 z7JcQ|<`<{mWUseH>EKiOsNfl;@%~Nr23y+VmsQQUx3VyDan#1;sk1iRWI5(&(^qSd zE_0^H$EByaT!z`Pb5HeSBR1Vn>w3K<eeWGCT)BAm<D!!@p34bGt6X_4$2;4|V@0(Q z&tWIOyQ>o=rrUm>cSCFEC%=Ag)hCxlgI?X0JG|{g#qp=X9&<nT^`BP^fB)ykK8e-u z4sM7w+_Y2tu-LJg<sC7?f!QY(^!uONYsFSw5y6%ja(8obz_BZPt=SSA6yK#vZpmtX z+{>r^{gA}9rYJ)*#*;obln*9HPHUX>bWKg6aYtgw1eKLue;A@xi&s}^@l<E7I<VxS z(9=cJR*FpNj$D?xLiNCzHN}zBlbf%_gd`ieFLXa(q_kyPd(3JtmvxzE^z|7n+fuVC zR~|PsOH~Zl(te(zba;=CvHoV6&qk~F+<7c9tLUhwv)=J3T7GgyK7O5#QWU2r9I#Ml zwye<#o}-d-L%L`}qTdpQ>4~%A_<x=b+WKeZiqLOdTPtRsDCL?`9Ng}_;?jiGQ!EcH zog8`S*(6JIPm7*kyY{R}>~CRx;^!@@k>#yj9mscAYU5WSy~-0uk|aMLKd5oMVTJ>r zlciWnPU0+gpHGFYn$x7^{bxMlXpC91>4(aj!opLJCQUf*s@d&zL`_Wa>yC<}TRLWU zclby#exBI+a-O32>xd&xYdJT+KNp&?p<_Yt0VDae>%j?<J?GB{CJ1y(z7XBebG*G& zSVD&B)elaYK7|s_2sM}2CZ|1SG}|$rZkX|tLnesv%gj`(Z+q5k+OBeBH~+R&K3T?T zh8MXGrHW()&nRuY*ZSf4mPd0rnj<yuO*F`GKfhau@9`AdKZ*;lx&17AV%BG^;%oN5 zCMIszhVn<Vi)Np=8+`JpoWSj;dfgq<1Ha8{36`tdsG<5OK`z?+n4|7-r;aGOUxrgB zKUI{!Y@srxJe7x=@$qBc%c4zwhdxF&)hQ%DUZikY+O$(O;l<asz3TGft7k|t7G3Pp zoZMBe%yaK&;rkY6372=Z1rf|5Meo}VOM1U=Jk0OSzE)=P#m5O!$7_CAbR63#Z^IW? zxaRnz<}jJnlb$4q9$yw9^S$N<8&{EkyR)S0yWWC~=363Z>=nE`j9$Srt}6U*^f%lx z%{cqXGtSxZ4yyX?KeU5pePqq}dS**uKwq+6m`qBt_@%-Rr#4Dj@~tb>VY}?8*XNp8 zG@&aw%tw`dxrJNmo{t5mOWo(RSNj+VZnR-Z;%YzVtT)+z#aA7XtpYnU4kd{Rwj9>| zcK)o?vQV)LzM^8gZ>*gyFQYdzGVWJlDyHuWb5KbtzeMrN|n+C6WIbb4PtO_e+T zU`A|QmgTo&cRo!zz0vI1jOi^hhm(sccRrmV9en1rV_)jb#5k^^H+`JXQWcJ$G1C8U z@vJ>cI8E)ke8Nm_yYhxq!wl2Jv~QKnIn#S~x*vTwS+@V6BB$~D2P^?btv8>SNK3FV zM*ZaC>(;1rKlbeU_mb!ncV`B*?l%d&GA*Kp#Yq454&%Vb4`hx<1-zcw`B*{bc!Eyt znZ#Aje;#ja@pzIXAFTN-+;VfUoY<$1<9d}IC#D4GelD2c?hqo!Wt7>r=d;0tlV)XS z4%@F&S=1h{cQdDw<HTdB(~lR*&u{Q>;Qu}2N^+;olVs_uj}oW*%Gk&i-Bi_D&>p=l zY@Xb!!mC!F4f?g^vY#!SmK}E4*<5b#s%wYM__i;xiAlbsdCJ*T?c|Nhh}22E*POK` zhnLFv&5UurRH>1ADXVhEvBk5j`7c_W30^Db_A?|X%(kWI&1$yFA5S*$^|U+Goa*@a zq5Zt1k=(Bjp3NbjHnjWe2FQIfxY4raV?evV?EOjY-fWt4+AVpUoz~83*Uu@OQ)zK{ zo7;qTL!Pz;PcJ)5+HJpP(~>y5`RrcZGC}k2J8pR2yYo89xUu+r_g$59d-b<Xw)}qJ zM(B$>+{w`^i~W0QSzq6iknWyU9g(A0c6P7MH-YL>|8CzUFXJZrn<u=}{J67Cq&UCR z-z@Q+>c`5~C-+3#>yF(?ezduF>#p`Ad`VC5SSJN9T$;lcCfk!Van;$gx~J^|vd^8G z?5`7a$#~<L{f_H=o_1Fk$*fL%B+uC&>SXpmV8$v&c3Yl@g2yM<>&nPoDxT1L)Jc<X zTcHNqk<a`ST^%d=)@}6A&?){fAyZ^sbDPa1iNXyXo^oY;pEmq(KjSF9%;%7dNb;^D zn?G&r+HDhS7qqecu=kh3PJ1gQJFP<V7r`G5JMH!IPr9pobE&DYGrAf5QMcCS(fgBA z``zxmTp492wsCs%8F!^`6BhimI3hRARKE3ww(|32f$A{1dHoJ=&VKw+^C$6qzxdO| z{N-_S3;S*V?ELXzV*7q8WxIC;?k}Q02Da~Cmw)WIYPGzbwS4i7<d1KEbR3EoS%2!d z@VAtTc)M8}mLHz{%j3{IvHo-Jg5R#ynCgjrN`G;9m&XbDiT%fqI{sU7MM<3X=WVA` zJ0(sm4f$InI3ZWq;?X1dc0ZxmFGVYswC#LxTy?7cg`;+S{T(|`9DgdTAikTY?#G{) zCq*7CJ%xp*7Y3^q1@=2z%)fTjhOaWjKz!?;i8G5X_9hpth{<_;V~)1?b&F+FpDvO* z{v~H;#M2vMle$CtW_lJCp4w>at+%X@r|YDvNuR6fPKl=xQzvx?_1RAK({r*6v)lRO z$&6W(P9HVyE1VjkH>*&`e_D5{O_YxKT$`|)+TtfGmTXGY>nn^+-XFvBILlRT7ss)u zqM0uqiBHe=Sl=D$^GNY;%#tJ{?W0Fk%`)HYSaC#c;o~Hs>LZt2Rkn3lh|iQsdb*?H z2;ax&iv(|L#fx{}2>Q6o<49T8{BGx*rB|HATYs1&zep08pPIF>+o8rP)JeSlhf4Fa zMf}%oMb90T(_6eq?^D6m<kc~E9vb#9EedRRU#liQ-G|q3=ZwQ!E?jjLv70@iQE#5X z&gCbL3T?~ii7`o>eeAfa;5W{!MB~p(MEZ^2xmr$Je5LSwU$Tdb*#E8>AxvI>H*fMt zdo^FG+b`n(r5X1WzvTBGmnr+_IWukP&+^oboagMdE}JD>u@@FQdf{jL5fSf@kLypV zOqy`iUQzCFL*qZyj~U8qKKGxz!q@TaK6`iaA@l!zGd2}|KHu?j>h$LLb=q5Go;<E< zy2W&cqu}#to&_q5X-j9#j_dmyxvTxDL~vhqm5g=JqaESL4V53vt~b}YrrCL?x+tgn z#-oKClf7@<VNTiA7IprR#s6hKcLQEaa(rFia?~K<+CjDM#%+9;K3FInv)7WF>mv0( zWyX=9dq0jJH<WwYUmxFR8d-d~Ke=rmUznlgqw|i&YMu;MJC3Gw3aQWC@g(U{ityoe zI^C0fcy6yM_0u!#Ilawd<&h0~YbJa0Jl<R?)S1|EXHJSykMHEk?mWWErI$Jqlb894 z8LoMG)>El%>&l{{j<Z@deq4q+6Q@pgj44|g?#Hw-^XSo&@@D7nSiC&^Z1T~<P3^ub zx}K`=&ki*5d$&O|{g|h$+4Be~_31g6HWnMTpS7y>d-UPw!ILMY&DI;t-I93u#N^4Q zIZ2L1liJQIi=H|uX*TnKq<X4_jdQ6{o45YfS1LS*Pt39SdC0(A?5L->-u^4L>d_Kq z8b>_E^fq=_>^!tVYxbihT!*);nlo9G=X7%D9GAoy^DNc<CAPVr_Y`guT$STD&EQPe z6f4g|Pdil9-6fu}zFNX@c%RE$o<xZmX6lX-%hXPt<TqPfFjviJ&4ekzE_$1<XfAKh z@ro?WI-xdGYI$ppRiyOtmYiuTL;TopntXl~GQrne>{zD4?EIXbU<b2@7cBoK8~eSr z`fGG1AhhUJYO$AT(W~RnChU5$rQcWU>j_Qy*)Cd>FYC>Ab&y;h!*@2sWO=mS)+;8< zt9iJMABFTMX6tlbw$a;u#b$X_8}Fwja~>78KYwL%T8@|h%uCs7mosy2Z8T4PC31S+ z4725>J`GmOOWy3fSZsCtp4g&b%Wr&tEqfp5yDkj2`1j<7W$k1A_Re7Qf8Tak<UZEB z=>B|4?|wNmzx97~H4Aqg(>r1rn!Mg^Ua)qx>$y2$Hy1mVdZp&~%)YF-yrEPp_5Im- zmrcIy*yXZ3<Bj5{oH=eklOIZ2wH7U3yu0|<6Tg$?kG}Nm*46hrdxQDmR}0<8=Z}{^ zzH(AtSa^BLn#PjiTTedq&bw^*t*63&dD5HEl~%mT>tCMLl#o7f?xlEr{+h|b`rmf# z;a?v6Ms-)=siVRcCA*H^?bCZ5(!E<%zU#8iyB!BCjz03A(0^I`U)=+Xt&i59?7XaX zud)5<lrDSIYQN<Y?4?>q=S{U=9=NB`|AMB7{DX5Zg(o+>zS0@}pwS}t(fj81$5T2r zA3QJVw3d^d7p(ejMoqQf<Q=a+9kp<Mlz*W=SgqcHVXoZA=SQ3ivySLjMEZ6Ah~8Z4 zb!6v?%ZlIDF<6Nv@zpf@HSgH`ieoNQA?Gf?`UqD2BP;pq752z1w~b+3A1wE-szJ-I zd`I@C(p87&ic2o{{>Q;+dG?{c$AVy)xP#t>RflaZ6lER0AKHCc%8skh>+oIn^_L~z z9cX_M(q?TMyYRAv8RPt5iMmGhM_*d^3*5W3k~{jr>n*L;^4flpJBlYgFKOMa@8K8v z!&>->NvpkLlV9KuHZ4E@istQ4ue78W6h<BLQ1bKK!7TW=q{Y@D+wwQp+^&M-$1Y@Y z%x}0>bnUApYobJt#oq^gt$qEScdowpSL5>J9eYoI`yJbtA1_X@Ht@6)`}AGqiLzpO z;N1oN%{AdQB2VNt{FFH1RPj-8!bFE`OJ@6;KacfV)E_^dzF%+t!v45-w@TXWeigPi z9XoDU#@N|kb7$*^vYIzV>5pH3o_JbTV8hYly5#|O^77wza36lMSgt<6+3s0kyV@Cd z-Ny%#KMMBOI&Awn<Ei3-9~H+v4L)7$vlhJ9(;x9~Pfd&6wZiSo(tdgzkL{9@f4-wQ zac#|%$M0H?KTeh@H{WG<s8F1x<m1QQ-5U4%`}6K}W`5e(yI-UKguB_hOBG3WaX+O$ zKYlDVzgerJKkLoS4Sw=#W9~=j%dfWCrnuEEXr{{gnmI<Cq4Vv$ZZ<#8t@U}#-}n59 zvQ&Mj*pcHJ^8=ghoNlJAw70XnsiD==pPuu|;?u>RwIY@;k|ig{n4NW3zrI#e{^X9! zk3MZYd9T+{{`d{+q9=<b%1hVSwQY1~JLhijZe3T+pCo<0>+bsBe%SoHaWqz*|AM>T zzo?21yS$I)>?t1uyR9ALyZR&l<R1OWaWpRU+Hv)7Pby;N=iYeD{4`m(yso6?$V2`v z_ot6}s}1*A%Lo6Eb~?B7$J6)Tkx!MRkH44_rWa=!cD!rnjVD#C&lX99o2o4Aj){r7 zDJ#DH$DhTI7m3Y}_ZHv$<I5&TJ*SPf%ugN(M;lK)>Z)#*e??S$_Khup=VOjM3=A+W z{@8ZhVabASO`p80ru=(rj3hr#=ykV$GNnCS?Q~5jf4K$A#Ak`T(UL0fLJK8aPuZ&L zIjZb*KekX@*LHK+yV{$vD@@bpUOOxuc3^J3ozM0|YwC4;b{<gsUuU6X^7tXg<j{S8 zlpp<aD%sV3{OgGc)lq$!f<^kLH|nHLs4kZ|9K^J9`tgn0X0PL>{ylnc=kwI+jTP?# zZzkki-)mPUb-L8QxA$1y-OZa8znNEEm!om|`MoK+sjPRCldo@VeD`tV%P9))_HO*Y z$AaT%ib$64jETB>jPtd7<}lhVzp;b!_(PH2+4(Zd7G7(SeDg#kc%6FWwZ*SJrv1I~ zi&f|L!RPMNSU(oeNp;OIO?=j_k`r{PC6eoVhXvCVlf<x7mTWrGj;lF0`=8uv#5Vm| z4fC|A3qJEilr@D3r?LJx!@J;0OJwA8sSP@;*Yc;Wz44hdVw+30<>x6iN0Rhi=Jtob zS^1-=X3L}XD_=eqsrK=aU%KOP&}YLg+vSe(Gk<hHe7cyo+S^Y)`-b<VXUe?Qk?ZWv zZAec$=Pvo}N=9u@V!z+~euFoam9;ew{aeIeEatA)cc{sE$lra$UF_eqh?<y({pZ~u zFXpOmJyoNV=+8O3U-`}4lb;P+_FC21HEsC*_3Ovx{etrrba(za$WbUb(cW5BPwvz5 z#YbJ8|NW|o5&v$%6ZBX~?z+0P_~w{}c`<t)OSL{;BpYsGddyWPTz%7-qgLCDQ(~4p zj!HXr)N);#R&RGv%z1~M62~{12I?IueB*WMsCnN}&8;z8igNfPUn~-zo|Sd&sGi;Q z8#3Y>e|-7;{E_J7$`k9m!+f%CDvB?^;S_2rzSQE$CMP|&jkT+^^o%w}9zNwNtu}vE z%$mecJe|*#cqiv;P3Sg`S){mG@07vIWv7oy$lWa1$#M9x=*({Yn7cQYb?e34zQQja zy`#igZP$rIsnYV|;Xg!Ds$)VP8eiz{R>{%Zxx?a6TA!S_^9?nYSeC@@rEI%?9Q<~w zy}h%>$=2n`gh@}=s8v;*cs{wcD&vHyzw4KR{`1DEa*qo4CNH%)^JzxtN1Hv51GG;$ zTg$z7sO)%p@!aJ0Qkx{rN{{0u0h8K`eOMJMHIAE1NUf4ME;8Y=vxVIMfar5tGu!iR z>H?$Bd1&yj^)U;S{#wxLEtny<sh~1xP7#0CX#s`Hw%UBZd!pXi7Oqq}YO84$7$Ew6 z#SI_F_jj_BB1DY&{dcH1e!bAPmUnf#UQYgmVE)V-mwvR|S&|&UGP7MHrmmrC#-UWs zsIn;IOD`94O;<Z0^nH$umtyXn?nlM!y7sD@^Uv(nWV?AG$c%g8KS86XLTXkKhg5hC z+1(>tn9_buKbpjA@SShxp@NV8oyQFoCfD1@2nl8XX-+ii&Uk#EXL8gb$^SVOEn1a- zUO%2ON$&q2i)~AEKE3Zxj`33d&tQ4Y^|idTwNK@fc!lG8B5eQPxskl)`hJVDsegZ| zAK$jA<=@$jZ!>-_Ke~ul=>N8g5TmE^;@t`<e_lV@$g|_=eDQAcLqh-eRm3<wy)V>l zVE5<n!;2jA>P>xiAJF<A7txdW^gds=k(0pxpbDEq&(fwRcRGpwUu2WUxc=Y5jXTs_ zKI(TI*H)NaZzYp(`OKMJI^7J$pU<V%$vB6cpYy2T#Fvs;haU(=l|^~`9cX_h(s58I zaJgenV@=+_Lc<+T?u$>i%;>I<%XvG&^2fpDa{B*&{W$XI)A@dXtEhjKKc`%NdVl(P ztN4F6KV~j}d0%#Z<&i&!9}DwOt8cG4B>9<tqW@Z*|3W`|il53)J8z!Ax9CIV@;1wg zL+N2Z9xmW+uU~c9QPl2x$=}Dx=?_1zKYi`ebNT6ekDlMJJKK9+ebl$f*6hAF+&A$} z+c5LnAMF(Po4?#oOgr>7e}e7OEuY`_=RP@eP=s%FLnq(1f*(h}7IeGoTgZiNe4+g2 z(M0zh&lSb`V-894uZ?&kShVqk@}5V9Ts`gHI{P^0R{RP4YS`&6v2b#`y^fu2<%`2g zAv2yUiuOm{u#tHj=<e|~u=BY1LWzFcFF7ZxI*u=%vU=%<bBC?v7CUgp+pI~x=z8N= z^5hGrovp;SI*IZ>jPPlEv{0&B-N}xhz0yQjXwwYl*Pc6$ebHX>QJ~jV_MvpQx2Bv^ zq|3wS3nlygA4+yx9I}v`+v2}0?x9S#_7N-j=`*${CD~p{{^Z4RRH^gA$weI#dX5UH z$eBgT2!7g-k@VzaK*#F|7mpoQSZ8%emw&m=J;CQTHA$B`HJ&F5PWGK4r&PG~(<8;n z+P$*;?K`*xKLxaD3ybqR?>IN(V?c{`-_myFn8twY?G`VNhr0DP$F1{rop-?E$MLOf zea$5?wNET8j(lbBZ7z)|X0hyey6ebEN9%Rbbuv?me1#rAn6jEzl6_@NzCa<9^^c<) zRM`*iNLqMp^XCMK`BT1}$tk+fnVc|3Cg)Ma40ZOI7HVv#4yyL`Y8Fj6X{a8|Cs%kz z^OU1<pJ||F#F33g%ceBD$o#I_kuv$`XM^^)EH4!KcK0l3H+^H=EO&0hd@kFT#Px<> zKD5?`%2mBMloqU1b>mQ8aFwl3qWMe(xkVcuPU)1Jx8d##MY&lU9?y`Mo3`P8PX))J zbHR@il&bwbUnnR>pY3dJ%2}r;o*Hq)d2LSHp`)sN463Qd3^65-f`iPocl|iRuwY3} z*&$aow}r>tg_oHfu~`=OXi9g5&&nfO;_qjKMaK3NS*VrlI&pN7;);Gpn<j><H6o8T zoIH0_LTv3J8S&5v5u<rOZX7N>!tr!5|8>KMmyYv`wRgnn)OE({eCpiQacrTOocPii zLI(>kw;$G@cJ8d)vane5${9g(3nG`E``CWyRAISF*G&J@r^WvXO?CLAsyY7$dwg8| zj@iLWC0MVXG+wZEU!nQo;*YnETP76me%f~`{n@t()<&w_^}$PpiYuyq9+T}<Td(`4 zbLK~@qj$Taju{^K$aQ>fkI<2|Qu8z3EV!)`t!t3Sd{fg)*sOetS<doPYSqrCR!+}~ zd6=_TCu!4ay_r3qBP%Np#VgqApS<xoaNS1rrE4CoTRpE}dT8m5#jAYpTwIy>CiSZ6 zH=e7u@0e;+^6Y;YC$Il=_D9E^te>ZU&AP)^RQ{s+lTfSudZBh(VTH-l-QVmzQS~yV z|M<1j+SNW@+V6H~ag~>^SZn>pF;xBC9h1FX8`GD^-DD2geuwebzB^4HmOqs)mOdmu zjsL{kDf^}7PrPmQZ%Y<$b;c{P>WWoUY#rxaeJxuaA3r19>ED_QKf3ND{XG0xbLZbr z#}~^yeE<6Nr=m7{7w<T(kL4}5jC%IU#pthmW2(9M#_YhPo3Dd%Z*;HncoQ3{_wC({ zin*J_SET(k{@7Tl`=p<XPs{0m>Gqg+OKMW{1b0dYZ~LJBG`I5P;ki?0O^^0rQPE$x zh9&B>YFpzqp}yUMS6lZRC``Yu@a{o~*1M1p<9A6{EZ!xA=+~#75>}u3BP6)aV&@Sv z9${&=n@5%jT<X-7{?zH|kRmcYBFE3GaI3S^M#m{Z>dPzEI3Im7S4(ZJhqj#X)C9jc zL(N4x#X{Z3?NsMZj*R&~=gC8_lX86TCs_N$&HeLGxa+E?qTO7bxiXK6UZn`!wo>oh zv1U`TpIG6H)T?t$9&I@N&a(2zbK%p^Q-s>9vpCz!0w(Cj=-suLc{p{VqI&L)9>>Qi zywhDA<kT}QbUvoeosxKgW9K0=&d%dYCDhcn=HzMi&bg8tyh^92sQ;{qtzX&AJ<fSI zU7UYyT-o|%)5?}V$;O<VC)9*2r!yAu2=sMl`xHm4nq1D)?0n7ejn7q2tG1&X<JK$J zG;N4quT;~vA!@y1P1lCPI7f+V%h!DTb<n{6>CD?ka`&eMZcni}r8z%uuFWCMwRy*P zoYP#HH#_F>l&rkNJ1%WZih0Vh>+d6>KGw%UeQzHX^?iM0<frjOiK{z&kqu9>Aa7^t z49VR`(j0FYuIV}Z+3V1Aj@?Jo6;B_1t~mRsy3*>S%N47Ss>pXAT{7Qpd#F!Uq_*1c z8=Bj~rgiB~?M+&J%xmM?P5wE*HU#8++Tfe>Z-ZaXw+TfPTV9Iv_FMSGdsN;yk|b4l z`l!INVkbwr+LB6+6Cv4M2j!Lr$;?`z=ePI=3)4{rp;wQ-biJM1_2^31d1;g5nWE8- zi?6;Eju!LsTe;(iWA1X(Bj4DsXbMKlt6bf$*H+3npKnt^hU<BIXR|4G{GTgqg#T^a zq0IB7u>Hi-h0`_XY4iV{v5#|aM2=ut<r1c+3&pwvue1e<g|-ySP5XG_iT{bG3#S}i zW6*U}=%L{0HXD;iw^H7GoY0{?agBkS+`EF-MUNACt}FQRaTQ)Q`epIsu;|H(Cky$m zYpBT8ZTLFFqT|Fpr$T`VcaJ_wkiQ+!-CXm=S)Z@y=Km9inHMa{Q9JZ=$6=Mr{oTPn z{Wak~VmP-2yqKYXaOqT2^Kyx{R6R+y)Mo}fy`6_QXqcJjON6m^iBE1jZS;(1cETxX z^VAz_wEFM#BnJDQED>(=)%x7(yV~f4uejM(F9q}H8&`t=?KpWzO|HANxb>{fw-aYY z%yvhZY`Yls>%;MoGR=z-%v+}U%CJOvTYlSfMM92o?bHrIhwQycVhbu-H}PhyE%`Hd zMZ=%ajhQi<i|>eTti2OD@sm)CwYpCG9*Ion+?mHD7i=wgB$1)}{Kn%+Pea>W8Ja3} zAKmN?*NHpWd0pdl!?md6@tsm9bT4Er_1Ro<hnMm8onM9Ks$Rj8hvh}LJ{7Oe@zP9o zxNzF)+rBpzD~=gTnea_3>}j=pvS4zu%NYgslNM@6REjp7+^Do{LUXc=bdr?ii=!LW zpG|Czm1%Bz@<8nPl7L#t(1<-&MS*>pq5`j!WZEMgR(2Q4lqD8lJhg=X<Eux~la&;@ z>s|;Lo^aJ&=FwK8lC;Vt<yXX!D_t`lD|205y0Im*`(wMOXyTRQB67|>I(AZpr3)WD z77Q2DwlmtfZ9$lsaBIvOBi~7n)dafZbmYs!-%Q>ulQ8wR`MZuO)^~iTM#Z$K=+EE7 z5_MYg##7M_Ys=cWzY(3+CUyBcEu9%IEO$3VO>ME3M0NEk>2E;|0dZ0r*$-Fk)K+=A zl%u|WpRRuD4QWQRO35Q}-9kNk<)2QmRaX@$uhyDuYo;=DvLR2k)1iWyi`H5EJe1C7 zbk<W$Z(>cHdZEObpyWAo5@(31t8dOJ(#)K5Cb@Xkm%>S>)51<ZR*|1w_F&GNWZ~{V zn`WvW(G{E@ten^V!^iniSckus;CAO4ud_Q@AN^8&Zq~6n{AJ1O!^-m~oq5aOANzEA zw49prl(@X=87zsV6)q{|6*eiwGuCYKR$KY%&OL+7_H(*?lOs}R-IkJjIVB@6w_;9k z+}(2pN42isxm2(=IeEvL<kTHYlH+g4>+sC)%;BC~zlM8qd|B(;(8{ONTHi{|K9nY~ z`jDDH^`W}Nz#Dowi*5^TOVC*qExaw>=T6^;%+N>ETFz@(Y*&i;y`$3g$t}_6r4v@q zH(wvWuI5-yYvtL;f&Q0Q%l5}4#XYUK6MTDy+N!W+?JIOI&-fE?eumO2Upa2xRZ%ul zt3qvrRt5Mha#RZKpD|~Zlh3l1wmzp;TKZgCY2tHjrM}NO&4qEH6+Md&E6Oe3{y@NM z_U;Fh`)6bqoNzf^p746X);Tl%^!LlWPM%cx@~MRU=9j8+$9LVEb0sN4Z0At}E$h-r z-HE|ruTsPhFIh8tavaZY=emtIU78Aiy0mSyOnbRRVs`EcL$jL`e9hLsnKC&gXPsu} zoRUp9L-{NtkEw-gK3O6;JG$I&-o~BnHJdcsS~hXE_H2@Db4mWpdHJM?+1!Q_KQBuc zXTO~`&CgV(CT5<JP+!fnIrxx8=F>|)nvb7I9sV%I+AmI0ZN;3zpk8kc8}*wKVk@o` zZt6{J$hqce-u8E6t>3<nnTt}ABr|j$-(a5nsI)^i;g=<|&f<q(S#K!)dN7BDt^7|V zlg??zN0uBin=bCMJd!BAM%{7?)5JY2eAN<GEIP(c*<3!$QZC!7EDO1^Y{HQ(UAIK0 zM7&{=+qwP7L!m|0DLihKeryZ5=Kf^6Yn;5Hr~Fug(AsK$wwe3vzAxa3`?>3#tK^zb zxp$qD3r>B19w*ftZKK&7X1A_7m~CZE^}9gHDW4>Fg{OY-ykKj}Hg})H_iGX=p9OcN zr(WnOPrcCJU7f@8jWP00cJc=9DPAS-dk>l<bXOPfeERv~PIvML_NmozF-DCV?`jP@ zRG-F)O?S(fRvi{&v@rJjvKuW6OV@Ym3RgV3C(&GbY+ZFg&in?Wcczkmn2+r^e&~TX z$6@X@iX2NmuA6Ys;XzNe84nZF<U5ZaU2y+enBVPhaP`<;ZM(@=<i9WZ!NZ)l^ZAj7 zLiw$SC7kaG7I`QiuT3xz>^qzwAh=eBCyQ~t3<uv#I~)F{gUb1J%<ZZ3VopBfj|!d< zYMy>DeZHA`<By)Coqk4^SCYTZad|X>f9I1=tHb8XZM^%@bFP?SMboP(C%3g<(G-f7 zi@%a7)U0B+B3PBpJ;(OUqmABE+k<sBZTu8)I%&2(|I&yv!B>BD2Y>ysS?l&5wW6m& zMZ1s5_o-~J`16wS;T^7`_KrP?laEY)cBR8nIL)vAhTc`9<#suxEy4T#nckf9vDeVz zxp4AlXWx(dJ^nHw$L$TawY-{EA8Ggefu+YGx1cOpnMC!4sT{|Yy4Cn6N7x7(RjxR) zXr{m!XRYokm$mJgK79w3)xK0!JPq{P^Z7!rr@_PPhvnp!ulTA{7SNMC<x7rF(TC2} zQ`OlQ?kI9BGU&<{Rb^kgBQCK>pd)*l;E96@>%2}m@Mqpg3zCsZ`5ahiFyXHIt3{HN z8F$P$#<XBbj+j}jOv**?n8c40emq;GIN80d=1StmjvLRClqS1AixE4q(IG-_(}o{z zIf;gT*ImWs_IK#(99md>b6Rqfm*Kav0PWeWo_e=7{zyHw-dWMIk9juR+NC1pHD1== zww>4!nzUY_<aEmIqZf3AZtJ@16-7Qt`v2p$;M2R3hg~`%Y>sg()f4)3!%62!VOw`| zteH}9OQL@=Z?Nct>*)m^?i_jxd3HMMGNwJak{ZvqcgGINLmMo0@|p^6raUr|Si<}) zPT+7)gxa<)fs4FH7RxQKZqZyMZ2!N)MCIR4&D2SKKfX@xIcXUyGh69ZcX_m3b5HKQ z(woN)6zR7nn%f9&Q#rPxyfeqS!Xn4LMd4m!p+<(w=Xa;WTqGXFO0+j;@aSqizAJy* z#`GTNrn`$i^7X!*ck9vIDd(5Uy<d^TZ(EuEbW;1&>ev`H%TMo4sq(74zqVt`!zZ%R z)2(;hySTA3>AbbhY}HjaWF|iSByhK?{rIv@L!b9MEUr9!_Drtbr!X&1(#Gj6LmJDD zlTTSr?EHB=fz!sE@g~#BU79Dp9iKb9Ij1(jy1|LfH^7>~d7Jf{J1Qw3j&ziMo{-CL zWiEL0(~4r_iH<^7_!7d_^8HRIVA;z2V^i#+GKS59=X~{}Wv8C7)wP>_K>GbY2^*KN z%I_&NI47O5Hg22xq3wo<i`h>1BWVhn@8|GH3v#FMcbsXnHCR4*uSng#jm#R6^WX4l zl~f;@);(?i0|CxypAVed_*D9hY{>hV8?zQnW7y0TbL=T=z$6A^;nzm`$2o59eAA!Y znzLYXVa=osb$+KlRyrLMe=@CizqI`2T)Fy6JGJdCcO(}valA_9t5$VT*Pr=j=Z4Rl zdiE-)N>>M*(tfvXPF(Pg&B2v7Ca>~;vvp<io2M&t-UzOWdH1iOgKzcY3Fjl8ZP34N zZ=gP1Q>*9ji63Ver5_h@sy*Grq0@U%r<iYc(NEUyO_I${mOQ6a1%=Kgrt@58;!d93 zGVSNf!%HPT9rIT(Tkauk-+W_=QuZH_B;&J@N1n+}I(A;s?BWFbI=PLVrAixDKJiI1 zKE3itnvkUE^_7LEQiEeI_k`<BeiUUIwUehyd+N+*n-uys8lI0)J7Q>(a@}96t#WQK z&+kLOG>;lsrqnqVa;BX-uimzIp`ZQi9X!sLex5vRV6o)tdD*t9idX+!Nt_|M>D+ml zwxf!?f3G|$X7PNXE;e7$PrL1_<!T8rrYfD5<K~GAjyqJe80YO2+<8uN=bg5gmm79+ z?reGdOz%n1x!KVZPFsFG$9p1uVb^oMC!YhZ{XM>1@#BTJsh9dYUOY>_<ZV*&-SB4n zq#e6+R=()0?q4hQeB;Z*6*>PnO0sXhNwmLHo+Bo9q&Ic@iq1UiZ(W5KVvm<`FRbp< z71uPEnI9~AO7?jAEUBk;kAqLWOndxG^-<j8iRUlprWzfY8QtqCpqZ!D-|^#4klN~9 z?=&ZeG`=%Y+vwy}KIORO;_1J8w6EQGT357|`MKHSNq$$0CvUXxk$-2X_J7Ha!#Cmr zMYc=aHvN}m+#y<6zA^rWz;^N5M;FfSemmXk>9t3jv(EG$-FjZ)*}O-$OuKeY-tp;Y zf%wNAtdH(JoVfao?qL(bnY&wGDjJ(}O_ulh<MU*rK<E4x8$PX%ll$GB9$h<ct+v!< z-TY!7zs~BqU4}E+E}U1NT)L-jm7%8l1%Cs%#}jn*x;MRSJySSSa(1YZq1ne1dv2sS z#l^NA-LTwx`c}gpzrO`Dr)r8WI=#vw#%QJ9?}s;}i(hS0?AuvbEGcyS$ANzm(^N|% z6sP;A^dA<unc^(>)AG<WIiX|z!e)mjMA=75q_vBD5pGLtIwxi4y`{3J)n0O=^S81S zWjAgwdfxXa-;1YTw{W@Yu~hykI<q7nESI$hZFlDFzgf`UaxG%=Ug324Y6F|JBX_5+ zIezU&@}g}SW)1TTdKkQ{+V=}9%s)QokK+R)k#h{H-Dx{-x1@qLIy1?6CrYVyr%icf z==3kHAd;_K-K@ZTBVUr^oy3J(Gt8QfzuM6(RJX41yPDbIxf9nNKlEer0e{1PAT!*} zInFmsa^1|=?^)>Hc5Ts3PPyNYmFC2}3%d|ya`?d66jd*=vo22kM-6X=zO}jbbn=CT z)*bfR>yOv`xU5{A=+8BMe#o~KNd|w;zW6jlygu&Aytq4eE%|S57OsADZbIMj7dMIv zpKZK;%;nDCnIC6JRx7WVx^lzwmZ;?$)L)!U*8X#`Lvqvg#mz-C`Kn{T-3j;;xNuv> zw?oM;cRUwv&A8Th{GCLb>Ytp)e0@_7zxff8{KCM-thJ?$@A0Py_mIK}`TkA^2m9?7 zTY?K^o*i30dDi0%mUi<S+V~C^?PxEtTGNy0pWv$(_`;H>*H>tRdb{cgQTyr}Pn4dh z3(k&QE;zgFoT}NxfSo#BtEXg^-V{2%Va3e(fiZoGtLv-`&veFoE<U-z_{oC#ku^;m z?6%(yE}mm}dhQ8RzT9H|BFW`hcjN5@1BK0}uNBoT%)c2H>QX*!?V*yK-B&cXOV1Bc zp1Hes_4JNM>poSS$nd$<Sv<Wka{05Jb8FO$BxXCfxqKIkHt^jp9B!{J-0q*UaDI4A z*M%baqN&PayZ+92l5A^M+0&M1xh?L9!1t-g*KN4K`*dR8j2C%EKSNjFiL8lg?R+OK z_x;7smB&@2oZ15|k0d{tD?QzK#oJdmSQ3w`E?QA-%yYWv7}vbht9T9<70;ZKm~ks+ z+OZ89Mz4)b+O94PoNl{Q=kudY#%2d!>{!#|YwA6H;>JwZC!5q}N4e=qCkOjaJbmcK znZu7Z>6`7|v13j5S^X=gw%v$Py8OtX%PDr+(WSzf&o^neon4r&xB1bgljl=&bgF*t zdUHxieEsQb7Jrn2le1jacJZ9r(q&U3DbXE!A?DZ<3DGO5ZZearcCG11&RnI({76D( z<>?NKYi(y!{bddpm(CPEzUfNLu_K1o1$x1cX2^d&o9Zd^I(gg9GwsQ-MSRRD5~6bs zvq-A3N~heIE7>l%>88qK181}2KP=u%IBRCZ=biGo%jc7D%UM04ljjA@cFw5l+OSjf zu{!7Mq;o=M3+Jfk*eQDc@p&k~>-#yl^|bMm+4Hq|yqz!p$$5C`%+EsxHo^9>J6e<& z|4vDiQ22EIyky&7%gi4pjE|P{&VSB3U!BEv+u{4Ny8W3SpSRz-G1*o`_F8iOAJK^$ zH*9aYbz_>fow`)D|8j-uxWxDUwMU|UY<A4On8V)xv0!=&M}KZ1`_$)#7XPM*RPs!; zRlIU4>PENIQzN-=hjM<-Ja+Gj<%xN6|355WbYAypycnBJ+97kke$m3|sT}=*h2O6{ ztn}%%SBc#&R3DzCo|FA>qx8GJGan*E%hUeYxE+gMwE8&9PvL{f6*4Uv)9-FbZ=9cM z({$V4KJ6guJE3g{ukAe&KUIRS+%-dY*4GCcmEWzp5M?s?p`rG>Id5#ro}Tx(n%w+n zD`;Oy)A21bhck~USv;;t`~C8%Xvkgfe_jO-(jJL{wpvu_wC`5jB6hav!wu1LUxn+* zseb}9ZI2y`pTr(B?T|#r(~tZbmGcTY&griPl`2bqw`4nbzWy*>N$rr^6rEW+Kg{4M z_t_$Lw&jC_NO}5%4>zXlUNvKSzr)EzGnw^-A99~a*<oDRX2@~Q)~_*r$709qi$2WT z1vfjKTy(QGr)9TlRCk(Pg-?gI_7<_THa22MV<n@y)7&e1PRWX{b=}MsV|K{XP=^_` zT}C{{>~Jh+)Oqdl;-0!ZNmkPxnLB0+DnHa?U+cPA#>VZitYB1knzWqsqrOwul9T@U z9$j_E_oPP!=M<e;TOSxnz4It(sO$Tv{w(FOAKzA2<2$m43_*vGv6b_d8_lzMc1V3z z)eA$lvMven+14vMjxWktp49n<_h8XX?&}}U`|HWwofE0H_?*+XZymndx$6tv49rEt z*WKW*w@9(Mc0_iOgjBUA(=3}6Doi{l4)X}4zVTsTU~q0=V-S`OQRz-RW4TnuUZlZr ziH}>SOLL=z3ZJWzCVSJA$0BEM=rT-c^gcX2FMfK>T80k8vwkLlR>`jzYkkE|Z%%LH zUb|O&*?Emd?=)q(ee%m@^&YI?j>r`GEUnsp{@8?*K{JI;<mJ`KM+euNOjI>|*J7!a zEGd&VL*&>7UoZ1R>tuai$XmLaPS{?%cFp078(+SRFL7#q8MrUuuQJE<Jl82NdH<X~ z=o_&6^r?T@CYq0?i3>gYZg}?Q%G;;9*>{9pl3i!OIzN40XPi~Tt^H!YrtvRQ%n$Ng ze=nM<&#V}CIrIY~dveXRU3EI5+}@L#Pu9w5_RC+sv9|G=rS%-IN`ZUUWea$e9(<W1 zH>>;A#|6!ge}swaMov5O=U?yf+GowZE*r8L4pvGC?kv3g-zv?hT<2+3>A6myXWuRJ z9mKzxd+wR+Y}e7=R;B2~oZK8Zn~ghu?XkQlTZ3)0R%I4(Tzg|L=zOtl@quZ64QeV^ z8&0+!ozs!pu<&>2l%qV?c)BME7MwrAkQ$~~z4qSsQ{HV}`AsYHeR)JDIy^t#`D-gz zgTgkA2-P!zO@-l!?`B9CxI}2`9$S67Uu&06%*?8V))Ky|%msg}tQPBCn#b8Z&!ouf zp^%1>)|v+8zcY7sHtf_r`lp%mSA_7_!_RiROYwYvAShB6w#dM{&3pD9R~{?5UBNFt z*cesGEmd~#^Ax%5as0QK=S?<d(=NrS!cBVR``HeB*{In6Q&y?q^*%mEw%6a^f3#ft zs5|OmtLL#sj*12E<F0M&y!mK`R2M7fdx_f%r@Rio_`q(@8EzdL_C-(aLoWSpX_6M2 zovz}p@7WjMFSCFB&Z^UoFQ2MWnc6DyE{CT$NZ`^Lhc@=54DPy_J^v~Ny$T*yue{Ot z`^z*T7KVbedk(*pj=T~fD8Z9nrWaT)@s>$&)mrQBDOWDYgs}SDVXVEpob@I9_DBD? zH-tabDOvkwS;U6J`|V0D&NXs4Y0+@Vm%+QG=St|#5V=!F6qbH*S>ZI#itECDndCR- zQ%%p$I_|XV|B({QAFrP4?T-I-@7~6Jp{s9w{Bq1+)7L6U{M~)S={YTT3mabq{>*Wz zzSY+9wp%Z8`sOslsLcnMI~AWka@i$or19T0Xxf3~n7h?VJ6Wd}s%Y{iIsMXIe9uK@ ztBC8Z$K7@12?<rv8CEqXemwl4Za9UV>+6q0f;*kGzqGPPA8w9otKL-i>-L93lGj95 z1TKGG*vU4Jp-unZ=B7;B)3-Dvuj#VeemIb&D)0J7y!S!mor590Pq-KVns&YJLD7;u zc499p{O&qD@~~dg_c5%tiEGc3`7$-#4L_&IM%6A=bU3{zI7r+=$&~xpnnae(5({@L z)&45)R>{k-u<rWyvxDcOQU2n*I9}^W(NqVnzrlG%f5fe?G;l2aF5GlVIKN2k-;0Pp z>ESJBYt_o0od4<da$>Z7@*+;x_m{r>JI_{U?ZG4eL3-U=HjdnFi{iJL9sKIMHTPMT z@R@LVi~G;xV+$R2KW(_D`&WI+zk~Bvt9+=>^AbB1Zg^s<a)tFu=5m!KPAj>~{%tuw z_5Bi6dAB+D-R0Gq8Z?(k%<!16@bQyu&e5IzLeT}^Oyw6Ya=Lo)?}e*NgA5bJTQoz2 zx5VThd4B!wUBQqtqlb5|zh0+!Z&`|&d!B7p-=fCX@_h?C8CKSLUcQ&1Z=U;Aowa*S zzU$IJz6alUJlHyylu1sG*tlQrY(tB~y!5Ku(|6cg{)v!ylXt$bPvyymtF{di7ejYg z6}PBvTYWaxx6dKA?$aT+%*dkWy4G{;f?pZ^-}HsE<6gL3%8Jncn_BnpXtqBflfV7w zgEhC*=Z3fC?T_BgTbrG8Kx}H%A+hi0Gr5xl*Cf=;$!LAqvgBiC?Xo1Jq~$x`WXKBC zI#0Zw#@EnuhSPk)g{|jBt3TW+i?EMeQlem3q2y)xXz7jUO@~gJDeG`Z{V=|HLu5wi zoIR=zQztK*oV`0s&uB|z)e`pBs#6JfOrJf{`qVg)Y1Qm7*MsK;H=lX9)$(s_omlj$ zlbcu<ueCN_s@i?vgLMn@wAhlQH1{u2CvCiUJ!nk+a59Ivbj|5$8;b9zr*!z;^krXP zEgtPHptxGVvO41O+D&)FuCCa0JuiCIEXU7mpD$iLykyB8m366Hm1{PfR&El?vCq3G zXqfxs*?#M9e4n>-=kuiKE-K+UHLqr(i|MpaKTfCDoqT%w>#LnM3ZLZ;hs@a6w^?HU z=E6B~iI!IvmCwvj@;YBwva`Bi)ycAV;!;o63a)g>ENNTFQUAkZ;tDDA;N*6%n$v=T znHPDEt3^fYU6BgA5xkm_r?TPd<xh9|9o+ZN{uTW}My&Rnb*auIyU42A?rq(>ZYfqh zxSKF<{>~Q<yRBuHpWgjOO{?igf3g3jT^#SXs&P*F!Ze9<#g6Dro=^OEWfQXkl!VG( zs!!j;RMy+Zu&-W9X!1pVmxl{Q3bH@>_g$L(QuwZ+|B}z0p0Z!U)V03qs{dK|*rQj| zb;f&*UJ0o@>l10I=@VD&j|!=9I3&BxuWln}NJQrMiUq6P&+GoOX7trGn>;_oyVHr= zTHgKJMzx75>Mh$V_!1AN8cw<?CAV+y^rI&G_4x9q2A}`AE%Q~h{AqzZs!!4xousDc zeUW@T^TW4PgVSeglh1AFR;V@0cTHcq<fNVc>Gg_BE;t@;KWADc>sPR8ve6=q{L5vB zTsafcpU3>KlV3mCv{NhKaDl?l6BF2aV@!_NU;5?4A>lB^ukyl$DlM~z_R7LMZcdWx zwiO+^`c=V!o6+Ylt8T84%E^h}ymVJi{~$e0?Z=^Y6$Y1MTR*>k`km{<`_^gKd4FvU z@ZH{hD5l5c+58QH^-4a;|1OtXbJkCD_sspf@%zNIz+>f?+&_tLZZK6}(_OmzlJ|vK z2C>}kZyaks?EEFFe&JA0{tt$Yy?g%OP51xx*i_y_@G|T9M+;B1+*uT~Yw_7?^I1z~ zfB3pZf7SiBEo@T+UN#6N$fV9@TfFm`@ap!yrw2v7j<0B*71%wo=!QbZ#@W61A8M6t z40sz6_+sydkShN5LEF7tOG|(6y==2yy=^L+S?tj_Q?2u!_qOf)@B6YvXEw)QwZpQf z9FN#B3%_3BvE5>gw`IG^pL<*~Kji`oWEbAr^{TXC_6&Aa)>*fUdp2u_K3#kz+<Lyj zE%xv|J*g8WE!Z7+rf);x!dKOYw%v31U463Z8ROoGcXn_F#z^Gc*%TwCx@K?l+9SL2 zwypH2TpjSG@QdWarn|}!*8<r}#olQgd8v6Qq>Nwe=&SqoS9Ker-d%`iES9JdZ@0Yj z<F)t3*=_UWg=PgzxcNim8SBdVe31)1Wu0DB);Cn}EJ|*0OZ=?O{_nLg>tC758=o$Q zt*o2-+}Nrh;ppV(GOrym_ob)JDctL|a$=%}nb4wC1NQyz#J1UY?wL2=@6EwKKBaoT zM|p2g4mfc$MQP0fleVc(MYSHBF%6#T+~mocuxWAsGVXFcsp=X3YMvk8eodg`*)D_S zb!{uRJNf^2-1t&r-oJ%%8ErS#JyzH+66W{r-DW=C&8FAXqTG(i&fs->UZHkk9qZY@ zoHL&PX~}*Q%j+;PZU1lfS@I7$zAr9G=ZQ3sxPI#HCDS>-{_JYIK9kptFP7uy!FwA& zzBqIuUd6l1(WQM}n9VKQ-33~nI?vx--1_b{&xH+qJ(o-O9ZhxX>Rr3;Vd~4x^&K|e zWqum_cWKxE_`oRG?egiom$!77-i(OuP!1J=T!}m9H+p<MC=$5whti~9^LZPmeK~f0 zLd<*pe_m&d;!7`Cwfo#XdHTnx`-KKI<v&XVeAT7oE=Hb6lqiy5KHPc!@akn|GdS1J z`q}fnYZd3#)syO9bn*tjus_qGQd{(C?c%4wBB$0QJe1?x{AyKFl*28(5SCqrELr*M zxt~s6=zeHn{eue*{Hm!tBLiMD-8;E1(Li2KwJtAzioJ=7ZqVaMmg*jZ#-)V<U#2do zXg?qtd(1jYS|Ha_Shp)wBSiG&3J(MEN`Ax4fVqL|%9J!-XZNq(DEDh;zTwUz`(GYQ z>D?`U@c&Fnqm9=)H9Ka^eznLrh%Nee%;Eg{osVo!{S*BstR{Cx|Ll|(BB$mA$3A4e zZT{Cnd(*_kqub8swnjJGE@NL{ZNt35D|mM4-wWv>P4f;0-rMs0@Ev~1FS>7vrKVax z{Qc~wey!uqrxD>zkpjnVx2<NKmVd#IH|WnQ=ZO=ms;f9wgfRcsP>t5ry1UlTFn3bD znL)-*sl+m`Zo&9Y0lWQuswdu`N(goe=SbWc7$Ro<=w#j5@E@mtl)15K<rURE{K#JW zVp#!$qu3JlIX|6F9{c*psNL(L<b-N>m5Z~Amb<GhSZeKDE_!^edi3PSjOR2DZ1}-r zQ}j@N@BjI0xbMeZ>YNw5;lhgNlB)S$n|nVte_8IcPEgz7{)0_H!rR}}cLa6lmQ83n z<xqWkx`3Qpc9gSii16x2U$qcjt_Q3;O8>A`c**%nOJ0vZ8do{<#j%UpNrC+rzcsf+ zNw9oBP{za6cUie=gUa@=M!B2(=T6!X^h0;aYTa;VMysX}`@5@3){8HibVzfm@9SsQ z0!O%J%d3UgJU;N9`<-=b@VxA%Tt4rs(#dnJa#qdN3uajK@uO48`<Yfkg8Q$tPHCy= zs{1pGmHqna)u+VIOcYUbo!)U!KSkiv=1q$amv1{B7Pb0d^z%dB{}QUwm2#Q_#5!yZ zHk~`g*r!qQ#CbW#%=4_(c`N=LO^v*@A-kmSt>3XdZ__JFjl|egzx(&3{JWy>U^(Yh z%jc!FXIYlL+x$jHP5qWzYO-bC@81$~CLL9=oh@s&_5bDSSK0fkS1ET3^Q31C&1vNh zlS+78H053}9t=&)dK~PSxc~XoiCb0uJ$FCydH&_*=aVxZ$Q0Y%{Ik*S)43SdI=hwy zcDg#3=j$=YT;ch_^lh{8ip(kMoIx{J^v~KLVkRlSxbhO~BDO7C-SynA?_mFvn|Al| zp<21ai|u~jH(%7cqsq$hT;i$8k84;=cxx1zy!x5-cGSH5y#L~S!G}A)6&^5(x*OKK z`rA8(wCgjvSzkP?xql%2;{#i}nPMHhFW*c)f7hforDsRV&)l2SO1B(fb}0*SesRW@ zT{gylLr*^ApCt<<BERX{oPHG~E*<qfQn*Yy#(Yg-ta1HXS%dZZY<fob4(~TS?#62x zfAnWn(!cm+IWK<RxM`*9_rdSV;Xh`vo43DXTddT3_m!uPbcXOxD}9su585t@bLZW# z-KH?7_?L`~RzO<m(lnkKRqvnc8421<lsn`Xw!>;g%yA{7bF&oW+m+LseDgkue0tOs zb#MD~=2;1oU-NEHnb39m+A~|-B&(+R`qeuw>7Myod2!-FmBX7P?g|zfT{8dJeCOFB zb(O{(&E>2bC-_d^Wcu`*Jx`tEssQu474mmFlM{pupGg}qNzMN#7JutN#EPJ+3>L0N z&Uy?Vp4RnV2~L^ZuW8V5$UEGr<>2(d&32!p9)A+y+Rkx5xaI#|=enzWTORxI-?_{p zf9{o1_JrpzVz!-H_4MqC^_K*MYcs8<)JwbWEZ?MQZ>-ar>v!<uwk4gGQE!(quT?kG zQCn$|$#da%qI|@+=wCiA6+Qcc^9x?{GI6NAoAgrY#pZ=y6X)F!<?6oe`oH$?D!zur zUYAO8)!ZlVRw#etdsVZY=e>Ai4llP}p=92L;Jk<PX3lC{b;ZB<#|v*C{SSF&JDarr zMJ+O@x;Ot<w$rVmr0G+BYWd!%e0F4!&A*QKH|IvquG-kRDN^{>_AB2fKj?XKYF+h) zf4x4PZmb9FW*DFU5EIW9%)3FYW0&Z@jw5d!gL641KF=_<{E&8j7Mt$ooreSzi&fvR zz4~C`cIl3<&%N#A4Pp=9++-GZ_K;H6p#uzo=f77!2#(cySU73L**#*{#44*w=7}~f zEZ1$nn<2?)wz;Y8>*bT|e|Yw<6u!M-WxTapC+pm0zN;oKzjQlG`ggzhjo7%<jZe26 z<dRz{wY0#gBFE|Tjvk$qc|nKLaz%C?uT=lGQ}Fidz17dM+h_f7d!2Bfx7<6+qD9JU z;dX-uef3Hy-=jAOZ4kSy6tu3uwLo*(^`^cM#wz8u>!B~w-WDI&zE1yxe7p3lLpLvT z)c=!GI2-)(U%iQQZ`k$c3-&CozsH-Zd#ftOX2XrJ`i~7CLhSZDWlvuGE&g#~P{54n zORF{J`D^Aq+wh`$bJcu}V=Gs5T&&^U_~xz%&(fF5-ANpgS0}x%dM;mi_+R5$MbRBA zGMNSPmZwVAK3nG*^KP;mXQ3C%ZT0VhD??7yOnG=qTwi*J*utHYnv4Tu*BMz&I3T~n zKEJMurQUnKy6n<Vo69@2{vKpkZ;9rM*PiW}7bVB){lj(TG(U;Xxz9GQSva+(Z~LEi zZiUX6<cg~+ybb$z81R($aoQI%G=2{7)14Y3xm01_-Hn%d^>-$%d;Fr@@O#ZBo&rU+ zd56>|&t_WwT0Npo&hy)XV5`*HX(t79PfRg5?E9Q|!R5s5CuV7Tbua5*ZOD+o`q(_u zd~eN%rF{R`@>?x_?B6(D`^D^rC3m=IO#kEU*M4rv9Q(XuFNHea^{m#u`(x>^PdzRF zqd&9@-(O|ED5Fuo@4}~h4>TMM`DaPG-Lck<TN3-fCRSUaw{~K$(I#W(wL7yzdf4+e zT8k?N{h0b)p1t<eQDe3OUe!(Irylhz^0w*_3^uvD)$wP<+6Q?J+Lr}$4SO?8E=%2# zN?S0oV$147vnTEnIjJ&9U`e>5;gmS5mzN#~Eo%$q?KZp8w6s@?U1r%^Mp16AZ8O$b zUtM!YQJ8U}f`nHDSH#X^TRP;;-K5nInXHevxc;Qb-~T&SuiNsgD|8K)s@6$|eP=yQ zZkxzoymO$yd?n}3_#Zb`K0C;9O_DV}=%e0S#jPriZ+=Vvt^Ts(%BPE`{`~g*xVtIe z>NDGGTem0nQY?2Y8mgQh^qQ5u2|BZ;_|N&G(EMY3W{0@noDp-m)V(ZCef55YuMM(y zj0`vG_3ZW$+!2yCD@(E0m;0-);7;yS?x)m@{&<{!x>4Ru>8_8&vpd#&r{`Px))<={ zyz;v%xk5a#^i%_binG6hqN9PH6K_kE<r6MD<1dfmw>;@iyS4wsB-ZKr&#Tv!U*st5 zdMcx3#dv$wlpn&MYE%1{CLCGvE`vqI;zF#0Vu^k3+}Cef&Mh|kal>%(;*TAS^XEp( zv7{yU)@tM)*D~fy=b!p;r^%c`2^NjT*QXkVG;F){b~?kwB9Y$_hHtFSn6OHpsBqY7 z&cs&C<9x=D)p)|jhS%n7F_$^MZDi`7)9(;?(;znZ;|HC`4tt;VYd+p=`HW?L&^22( z;TdL6E%W9msm|Z+^z>$mWqm}Jm$ll{?HVF_t6qHRtc+`WRs2cgz(E_6Zya6QtJ(R~ zSL8^CSqa;$U8``Ib>^f=HP2fY&3_}LcJiYJw{T_u#7RGNTndBVF<$9hF)!=nwHnDa z&nl<(UJt%`==HMu%quSMY3XMVDvP_hQNKSzV0~uQ<2VbG|23w{U#2Q&UVJw3nRJ+I z&%TK^`m0{(RY*8b^x1Uchh;%9pLh0LXX)uD3umv?IN@fR8Ff;)dD;Y(PIXn`tNwKt zgr{Ee4!@*(XtU^lewO{y)I_hH5V&Ud%Kubzm0-<8+4uA3tHn;s+Rm|B{?^7{CBYJp z0!2l)@&EsEpvK?o&EEBW`R+l9L3iAFi}IIleWPo6!nu3qthk1YyV;$r4yKtFyk$~3 zp}2aY!nHkrH($6a;8UR3%Bhr6IlH~m^!#Vl|DT*bEj+*B`MRc;({D5ebnSGR7kB=k zWU7(nOy8tOyCk>!1>c@xeZ6>&h1tQX;(1J`KAmmeAS3@EJFi;iiQS}-xjnnC-icmv zPI*JE5v!Ts%x+urgeZ@2u5TGV$pS2uGrm<7^hEURUo!iiqw9kMa@`N*niP*ZAL(~m zw*9ceC54;m>zBmt+8$iCLrienHQifNwad(^d&&-o)y>e9J>gZzU;MJ|*yU@g;s(wh z8C_3nb>3XN_+<;fkry-jMLyP8z4zCDu5&!7@cT>5>6y&?Sn^`nTG{61JNmaJatX)0 z3a{Q!I=3lZ@aF792hIr}K8w6LC~;hY<I@DMPkQ<Hx8DC=_%d@7yJ%k3zBI$w3*X-_ zx@~b(^3f3+r+M1z_N2$n_lrL1UG&T+Crs#euEu0*X{C!bPl_5R2rz}MzWHL;Oo@j< z?}Ah6=Is{fm@hZ=hRs*KJMoT>xi6P#xnJ!JI~(Kt{bg!<#&MhZUf+EpUfJJW5oq-` z;a<o+`L8W6ZLYGZimu$WLNM`d@BPlTiE7dp<{B;Ed*#KK^L2*<Dz~Pwb4(3AW30UN z%6#v050(q47wGq1`>7!3oMgK-HG9+aUUj(~@#J1U*Ew^a-eES^`L2_1Z0g|5cw}$> zrTcncSDk%WbEz=ErQyH+-^p{Uh5M`?o;mr~^p*df8Di20eJ?7RzAaLT(|csF!e>&f zfL*}dW~;kGTBen4+8IkHtJKD<WU0Ndwc`}iW6PS34XR2H+N+OW_%6qGf2!N8hA&QP zhqHH9)*YK!{`PyEtugDl+EzV5HnvyJ+xNIVQ9U+?u~PV}kjKXfGdBF;>f9GHuktc4 zL$|^^EsYB|-O{v*U)cm`x4qb;7P&n}<Lgfrz0Q8E7Olg7g>5u%Eek98R=s8Y?q;j& z3gYL2H~v?B9L=rFU@80~@V)xgo!`Q5oKWsvae`BG(#@j<Lem~bMi;(0+%74Wdo!)^ z)5;mYg4ZWp+HrZwfA6xmALRz?=Sjc*Q1SaTON+{?b1l1#{xmGzHzgyrE8ei_-0EGP zM*2Ulmfl=lbTmmv`l@|b@>b2qN^Z=K^Uf~VuBOFsL@a*Gmtrot1q+|4J8B-_*i>&) zyCbI8S*%-#Z*FqV1vY-Qy1%X#(`!`tf|~zFGnumIaizKXegEBW-nC0=Pg>}kxij4M z8T9m~8t02{7d5*V&KDSR>Cn3ENm4gD_qE%v`*A2k*mOhSZXQz=rel$ZR5T|BB_3nj zZ5G<LQZ+Q<?5Y)Om_qMH@7;68_Dtsze*fqCQMZ3I`FVCVZ~o?xq4a?<aba)T=}Di? zTrK$aDWk1)nkBz^jpRp>SOfl~Y1;QvzplCPWZ}ajeeb7C=eW;y_1mFaZ+<EXMpVCe z$6%eWP+6bi;IF{@a-kU4(S1xY4!atgvfo`!EN}NcA^uNCg*UwY+1vovLs80tceIQB zjvad0u+2Hb(o)}@Pa@TL#v?WM;2Qq-ZDumFIQWl8FEmUR6?~#|=u(oNiHzRu&^4`w zdmk@-Db72|R<k+qVzKRe{$0krs~OGq2u<;iR+^DI-|f7y|8~jybI-R2y>_}${3Pk* z48gWiKb@qe$;)Lkg32byBt6{cX=1&Ac~^X~tWB?D;T?mGd{sQNLe_ul&#aKyeE-Z0 zm;3(Ho}WK*Ht|})@uJ7enSbow@>BbW;=Z$uNf)oq@v&GD@>smi&2Hl1-q%*$;b&ew z$YZ_`sd~#}nGO3dc~kL&5|jOpDX-4D6MuNul>(dE;|+iM0}VvY&Rn}1u<wy~+Pc0C z6Wz0>>@XLzaeX{pM#3|}GAgD>iM@696W3y8OPL-=^~R@9GXAOEK6X{)$c3-d(z14F z)!i(<aQ@A{j7w67O<(<dc3HZ;?CkEX&E->ygHu0rbGC69zC9G}C+iT&r&jR1hEcZF z&sy22{+L40kC`7*?p}&{o_{PNE#=n{FZOuuiMpW+I1b*?;d-3^)-a}Q|Hig2eJpz? zaIcC8P&t^85^3)}ty}N&nVToWZEnSHIOpl)Y`Un=F*rtj=e1p1a+g2XnegL8PjVOE zk>s3~pI?vNT{FdJW9H{D#XCX_?TLY3ySJ{bZ(w0tw{nmD0`DI|zim{`tXsk!W-6jm zTes@g2iH_dfisHy2}O;QbS54C#JBN&Y=-uhF1gReDNWt>n_CZ^f0FTY%FKUij(N`_ z)~!?U3)wZvepA2i-Ehv$KOAh6q|O~TxX-jFByO7h1P7O&n+k8%oR`aT;9mIP?-$;- zyhm5scU9S+wZFFh_k7(t_l{l-)s4S&+e2U7RO@Kqo@v27_2Akafy?=07N2;q)LS~f z|M#YJ<BY|#xj&nqeEePi<XfW~r9Y+%6o@a^(d$@X$S>ozJm}%o)Bvv5sO2jQ_CD0p zmJMH-b+yzn(P@oMXi;uOr%$N=wgXK4p`MqLy!a*y8wG4CkdDxFNDDm4yZG0qW!G0c zTw?s-#0%M7^^6B!r2l`F%~$?y)>g6BgdKhD9ETF83N7TVlC)}W%lpN!cG1R#S6kCh zu;@0cxV_WnWZzPwzGc-ro^wLABAca?ZcbX(bo$i7jW7Ebt`5CptdefK(;~T6VuyLp z;jen!+nL#7-J+)N+{yjE{9gUP^KJ3H^Lh3M*%m&2m&?Cp=TAqS)TEd>FB>>-Jq-Ko z!Vt6eN7{v&oVD7fBA&X9a$b&KHC3;qJxdQix#Hidj{gUavi?}H_SL}^ALlcyF8Cz+ zcYasoEdP@AnN}T*Vuh?#O}#f_VijM=?tGZVGtcvH=u5V(lV#=jR=k@Y`0pFfp%vBL zSC`0JG&-nFJ)wTU(ed)@wg~TIeRoa!_CD^sp|5Ci{*eEbjkoT8Y5A7CId0>^kSqos z;o2!u*#|^iq%SAE&2rN+@BgH>dhM%IJ@-o1s2||hl`3v7+p=TBtF@}BRx9&VnEDSK z`kPU@dDFsLBj;HuW?ftMAK5&qz3lo_!T)R5y!mg$zWBEIb<TYcR2o%(vn;*i^v0%# zQDN!xx>+wCJnDKE^U<Vy(oy5sd)Cz#v+t~C-gRc%jH~5u^L=ZY{8A37WjS%rny}mR z#PJ*V1@<5LxKu((=(U0CD&36G^uKwt8D{=iV7+kC{-cjX7H=u%4li6Bv2TiR-Kri} zp&UWIyB9r`ru-{7qJ8(F_n!q*=h;=P-r%JDDa>=zLF;34*{yTd>1>$OsTYwTrFU!X zh3cEDvTMYDdP&S*<1FG6VYKVuirzi{#bl#?v?n$_{!tvNJT<R5Qjk${_4df~j9i)( ztI9>qPA&*AHChx<;Co-)ZWb4}^RJaczK`R$>ayjgwlQuG+5czr_X``|S$JJ|sa|L% zu={)p*8w@9?*aCnKC1f<ce(W3otk7Oy=BQ4ZK2!}6+OXepLMJ%PApbv`tG>R;o_l( zMI2Ml-<lm>u={Ah5!I4MyyD#orqL;4>#m2-*(3g8?YWr|+6QtoT<54fKe~3$MXlH! zB^fK(CQT?2Yks|}mdjP=gW{U%0Gam&*G;1J&+N~eXnp<nhg(YJ>%KqS>Y8WY^jq*q zknQ2kn*DQw+Pw1RqM!7L?y1i^xmBy;|DDN}Wo!qsnWoz0^l*zz4AyWwDxGw{@z5u+ zy?)2PoLo~r|4f+WzUez$-?lAJv$>tho3*FH%v(C-=f|80`_?@AzFV<+4Ufb7ry(EC zZs1T;@><=}uiTQU-}RsM)TFr2b7#Kcv1wY`IJe`-tk;QKj#+rJ-alaVlVR6|ncBBa z*M4d3`04EM^s#9`_fo45?u#DYS(dy|(P`_437N8=T+((oOfiiWv7I=jl;b9sPmKEc zVAj)TmRt&H<}Z`f*&-42F}QzON3inrsH<G|iWOY`C;8WgvUC<VZn2SaOkWak^^Cg7 zQZ{d$s4|h?pK|6NbB^CU(cR-HPgfLkfT853<0Ucq3PQop54Lpfuue63@>ndfIxhQk zl7UF+U56{XnpV7QTXap-#n)V5>$}#Dpkv<Ub2O3`uXj^74q|XE+t@nKcgLzzm#mm2 zIG0X}zu?=aFs*dHw%Wb-p*y_%SGz?V@@)6coGEy4(fhWQ!SgwGcyI35^UXzYqF36@ z^OH++8JQMbVS7AXZdc;w7n8L1%>On=qkZqGFaMkzZs-@!xqNd$`?DnsGamd^o3nIl z+q=k~37$Vx!d5J;VdH+X#70n<D`;LLYpQ4eftGn&(i5EmCM`G2*O1BdpKQiAulLBD z0<X&H3wAtw>}F|s-(F@4Qxe;@6K-uTsV#PszjD+rjrexlp<w#djrVufpOxu;FT`A@ z&@WT@<b>uYoeBLD!b|>5P+zuxn}J|1=ZjO`(x<ar?nucT`L#0o#ln-j<~aYISpV_g z)QkHLbuCN2zb$&%^ncZ%pMCia?_IdD>C>(q3S!(Zi@$5VjJ_vz`o67>9MhkJ&51wQ z9w*1#JNkcDSKsUp=l`&Otbg_E{99Hxo#+ouFXlDoocW;`m#r)4D0K4M^82<C*}TV1 z3+q{As@aZ8%zTr2f@M`gkABb;Uw)C=H@EUDrWqbEd+=ZMc8$l?vR#Ui+MOvb|LO|Z zOY^N>9JA|RoagH@H=z1!_N5hP`<V0?6L#;kH7{n^ndKRn8{oe~W98a^-6k*cLyZof zVLEKH{HtSUvgb*;hnEcJEuWgp&pqXvfQz>F<0mSN3x2lpID6mceR4>7(~;0`l5=Gm zKZSJ2@A$ZCi{caa5CaX7FWdYcFkUtHinD#Sf7k030;$bsPg}hzy=(bxpX){UIpN-t zH_ZILo$Wu^r*`sz@4s*7DjmFAA6P4NCo$~vTB-auS=p;oY|GgrdtQF4IXK1o;e`AP zT%RQR&3?7}T`A}duDo@Gp*=i)O;PO>+1oK%iJuR!J)ULCHP2+XK7WdOVdRVBm(}wF z-^{U0<Pg)^=XCM-He2sSzSkGe|E<W_U{ht5aGj@ce)}82^_`m>SMd3K^GokCnz-qo z$gw?=bMxmuGkfs%WTRWu)IE*6W_}PoX67azvd!R5UCh>X0RkV6R~*`F9Cl$@oMO;f z-`l!ZH*z@{zu~%;<sf*G!|3LnZO4;auY7)RTVU^!s71V2az5%ve^9GBQP=tGlfA@- zM|-L{x)$i~__%x3^?mAZxzxXJZ~WA@O07a5Luk$oFTZ1ZR&BEg34U^X!?!7pxv5SU zdG7k$31MP!(^&OIS1%ySKXJq57LEgJYKyWgQ-oytdK|M9*;`m|m@9H~H)};b)(>8{ zk)u_A%Ms1bRaY+d$EW(Knscw2E-d8V`bpAl?mtB#5AU{XhKVapyjF#<uaXFyT2Q?& z@cT9u&N+v7oVilBrQ+Y=_Vue<&ggA_#alb^MyJzOhGn}HoSrcJ3IEYuYB6D-)sc)R zu@VpSXYDzBnE6keJp;!thr~(WSyion&#T!q*`H6ne&+hc(oZ~B9#&bX*SVuW#`liV zG?g&<d}-~D*6GjQ&0Vz8;!4yK?k=VbM?v=^t{*d|Wi8p}*b-phy=aGWq1SPl2jTzf znKds<UN~}mHRGbBK2wj~wljXN-~VRzW-&wl)JNZrEI78#|Je2T9U^m>-|TIA{d`Yy z*{<0fnK}FX_9{>3p7U^Ky6}s%+eP<|pQsjilkj<R)FbKH^Fw$Wr|K0qTW%AGs^4;B zD{s?bnJFUk?6Q_j-PBP%(J@YYM_0P>sV3>=7oS`_Empen_`5TXoCcyi4_@Ehlk@U# z!zpF~wx!$tOMg3TSl78=-!HA8see3+qdn{cyqQ@<7#J8h7}A~BL|LC$S8JEd$RM$a zje(zmlOeG*B{M&uC_h;*CABQCI5dQnf%)(h#f+Z0>@#Ft%iilM3hHtE6WXx*-h<TZ zmiOPSdbjWTLtB3#>$D}3X>Kg)mWk5Ud%s1$|GYUq<Q(VIImPEJ8;Z_xvYhhp;Ammt z^5EEOb#?pWkkIqr6@Sh7Y<*XB*Xmy(-)HWdntN+oY{9PBhg;3-|2*^m_qg?x`M<ws z_g6k{?b`oqc74U;?Qtu9Ot1fYe*V6n-~WHuEdFNC(RhvDAN%7cyxPC_!)5b-Z+qwe z`z>An+xmX?&tm)U#s1IaR{#1a{r}G~|GKvMHuJJWpKZzSKi)dAg>P=$;e4Bk*|E>A zlw`4Z6nR#)$!N!&Z=Rf-y4AJsUh+=&Q%BC-KlM~j_w)C^>(4)#r?dLym4(|jX~p0D zC|c4{()~qT`L5btrAv}8x0d|4<=0!X=D*X@@~joBj;!yxbb95ieoh~zhxbKx#Dsm$ zoRngEdxCQ4<cY~EXRTY2w)4i%*^6d9{kN~)V@Jpt`3rV)Z|(26{=#6>o_N7!Tw3n~ zAG$OB{JZUd=%zi(JtjT1)#Qr|42%D7<sN-eDE>{1+q?VSFV|na_V~H--Z?+?kCZ&R z-}i;<(f$6HNA?T9oO&d_<K>b4zf1JgzrO0bGO^yrcfq_3D>;|WE4cM!-ij5A)Fyp< zz3`itE32H~-VnuEe|WPd-G6j{xl&f#s&_X6LY<H2D7gI6-tc0@QocWXmlyR<*4eG+ zU#q+{e%ayRT&egs5uMW(y0vZ<+PZoPU!HECGn4G|?MK#4-PByHa+0sENoJ+we(hD^ znq?JnR~BCSuCMexB(?PSx2tnkTb~m8zba(~FOPlZ?Ci(VO|SmiP7PmG-uR@=DsIK5 z5AGq0zWh)Pbg1^9cj);Njq}$wH*MFSaOK_2JH}TZRjpi;r=h)m<tv}w-`!Juzli?} z`{GsVp7<u_s^I$W$-#FGWkjbg)M9t_U2)3rW6+9Iih-i5=3LqJyf}BYwOQEJ@{a2Z ziiNeWKI9F2opp27ei8PU7ZxsZo_crZnopuvx~E<3YVP{>Ct|{_T^q9AI){e6eHt(| z^tHsQO<7kLYOM|vTgA81o-1_vm7>6zQIRwEFT7-J+O_ZC{2xl8Pv#$exxm<c-+zsD z(_Sq3+idZ@G<E6^<3fjxyl3jw=e&Bg`p;^v{TgNKvsX;oZQjMbeci%c$*!rPtS{#t z2@Scvav58_-|jt8@jq9URJ)4A-M`@RXf>0`mCK1M&7)hSLf>3J66#`md*`>QtA71@ zbxP~c${QOmzTa0bwD!~L&)1WdAKP#)zw6bWsArx(+k^BxezG6>e^u+&=kj?cpL%^R zxI5ea;FfD^i*-N!X#26hb$-3c^-VhcS+^oG96zs_>dwA%>xIC)+$*Pao#);u+M~0b z@72?5uFZF<GN#;SvO1Y7xc)+7?iQ7+=N^ad-1=eGom&;Ld6NHJ@+|*_<jMY-d1u#; z;+<bVh!;gWr0>*yr2ldQPydCs#iF?~Thw0c;b{*M@4s~Hu>9p^4bwA%U3h)JJaT#Z zF<kZJq4>+TtxIhFX$t86u@K1pQ><Y0M@7K$pQ?cDpKOI)Kk^%2JY3!wabQ1dlAyiz z)a=vQ!CsYrzB_FB@V+tQaXpLD;d&OO<MnKdn*U37==}><sQPigG2*~~&Lc|y@>j;R z?br2;;ftCi^C#A`=;L?QlZWl6EMdB*<LUb6@#GSR!lzF+u1-~mzH#@HW})%aA~|=Z zqlYefY%J6XlIU~iI^O9~SYQ+svqxG}?q2s4CO=m%!T0T3H*QpCS(I(xA;P^}`RK8k z9*GvxnljIojvoB!QCO%LWE0afg~_gGijrRI*2Ejqr(}LR-8}d&psY|~imeRKQzpLF zBEk0POR6!w@#33n1-?J{-#JlMWA5p~zZ1<Aw_I52>Z0qo{>X~nb%t5ozY=?lO1cBp zUhrC8i#xns{`6Lz^NXvwZ$-Vh_^43uW5;B>3*tIFpID`vYa0_g^b7Vp<>qVq)zqE1 z??K`Yn|ZzSicVkf&a<pKy|&rT#Bgf&!LuIa7sGGaewlom>DTF8LFT9@E-9go%r!0w zQ4%+uN*0TJo*{BdOs#!Wujaxt2BuRim$hzce!1{XlAA{L3`vpH<PZg;9zK^o!^Rg0 zISRWZ#5?4Uu_rMKGi~hXtdrboa!2p-QiW7*`HNehovysoA;N90eDv7!iHAP8E-ARv zCBnX4`RLKP9v=(LbuJ&98j!X_>XeLp`=;jXg>N2B4*2#VQ$xJKc1rh;HL9#fl#-6* zcdTB$M{2*8+N@7}qGt}}PkLzjXOYEP(aJN6E=MOOn#JmiF1qMlbMR15{DzHZ#3iQv zW;)IlU$Ehlc+8qlto*BM7dDvg3pf%L+0<)!N#R*<>Ar--z4|w9JmBV$u5C=7tZz|q zTRdjZV{SRK-%gCtH48h|ZenTMdFjBX8_&4Kw*7AEmECt>!)0-cvY$=OclSL=>esKh z@tT|U&F5C7Z>NPUo_R8#f83xSVex|7jPEDY;l%g{7LU03`hGQaC+~akaEHyj=AR}% zw2nO3>`}ha;g+q=l-o>VCvye6qXR15>5F`-P>fLha89K8PuY@7Qjf|5qJl%Fn092# zsz+@(Ybz>s<Z7e-OD`Q=<AP1D5A>&VRPLE=5>cU_xuI~?(aTA)52TN<l)atkuy*Og zlJ%)RTMO*h-TI-m&d6rL%I%A|_J3%Jcp1`Z@ba(NzLdw!lR`Q#Z`!l!(wt6XJAvXS zHz%b$p7ZABDy})-Yn!jdE-2Kya_pB>%$HiNj|(|?<=3zH^tdqQ(^=Vqo8qrN1ahwm zF|F(L>$5nq-J{l1&++If&i=snSNB}m7qdV=BWpTW>6=YqAr+q5$3KN#`Mku;<l42X zos+`1EP8d})#*cP-I;D4dwVG{h5hk4<wtyi8H<|Tw|(nZ{&J}>Y}=>T3f48D+V$x{ zr#4zSiCuF!p>_Ng$IS?F#oaQmm5*#tbXp@Lt*mk^bxFrYNzJ61Z9>L90WP(gHtQx7 zpIsj~{pzzowe4c3o?dfny<-#-bbeo({H155f2MV;^x|&3FD_W3YCAh4a^`VSDb}fC z>n?Gw5-Gm&d|$#t?)VLbr^O}mels1HjV~y;E*?|!l3VWGPbbFjwF^7er*N9tO=B~3 zxBbA%9sj$jw|(D%3rm-ZSnS%iWUj=zlXETYCPuT*zqDYTNb|39&+@|9TedZ7+ga~S zxUH0TX=`L;SY+h<<FUIWmgGD<9k8uXe~RS0cBA9r3*S6&)Y$#w*F^P<wYuvrwf6^@ z9^JH+oAu+=t3Og#g_g?ID{L2&Q$L?|arWNmpOaecR8P9ypLFEHdX>U2`ks7jTP7Xf z@Ui8N(I3UBp}M=4OcjwS`OGSQxAvejf4s(m<@zEQyY)6FyzS>)do(mybN#G%MfSq0 zIn(An+H_ONd!1<M-RMa=Z?rwlzD-wYV^-~aoZwkhaKgT$ckR9d8_tSbZ2R5REW7VP z(sKQZ8&A1e-+XIT`gTJ3TKK-c!<W8fiL#xVSIc`}eD*iFoLeFXSeJf%e&t&Kv#5}6 z)rA(f7u`O-Rcv#{o1aW-T=#-Z{#YjoOqJ7KAbqw^)s<(7cYn(nr|%tsCw^ug$@$VD z!8&KYOV7geBN})1$Qbs;2|jMRTeBo~o$n(~7PYNnjV&{to&FFTuW|hQk*8t7(H|$x zXz#mVtWbGwo@j7byPs(<?^XS-RLiREB5Qd|W=ZWA6Rz!!>RImA9USc{&HXkr`LXLQ zgFhW+eDjv~c0YHq^*(QMq5V~4kPENfBC{!S1q<?ztcbVkpV3<<xVY}8P7=>wWw*bd z3XfQ@x%B*c>U2bgr)P%pjjJ6yB}5FvH*|lo>5KaBTe$RcbNe^bt?M5to|P`GEU9eS zCbsL6$68_Wa{KrV7w(Ja@O)rxYutCh@PxPw&o@?a=bD3uqxS_I2#D86nxS*h%{)`! z@~wjS4F-qAb9%n8wgv7xV0cShrspH8cyjH*!xr%x348QK3>~K(xDYGl^1}3@xQ@>! zR_V#Ljfq|Q1wLO{*)P`|JX#X}!Qiks*Nm6ku4g8x7JQk&CRla$8!LBl&B0?a@d-1Y zbIW|LJ$R5QzF^}8aT}dU$BQon=f!@R6wSZ)>9z*DqG*@+oy{F~YTN6~zL&&CE|w6L zJ*~Z3%GIFps7Zj=wn>>Mm6Hks!yR8QKB()xs8vy9vbSNs;liB69F5x&;-`2XJI_pZ z(y*Q(aY|3EbyNGvg)s-F1n4DY1+Yrzv!)gPTi&{<_2t5xWH$}tGd!t%kqh4>lxggq zA$&^iSz8g~XQ!PVKOIFS+St+x8+ABRxw)Nh9$OTU79n#=#@p%U!Ak*U8+E4G>hM2h z3Tr76)Na-);Nd>=h$~9;lvr5%re4#9XEvBkvAov0srl=|H%ZPK)i)$Wo+eZ%?2-`d zkaJ^8VqDC$vE$-D#T1$CQ{Fue+P0x5O*H;oa_y3c8v>eUW^P=Emw7y_unv;hBdn=s z=HAt=J2B|MH4n|C=m=@))6NR(7QUUBl<2<X#tlhLp502V$>mEdZU}4YeRJz-ubmil z;Gc))BZmm-Hc>@^>6Z_BY%I_S%8}_4X;YqfsL&)xMy6Xt{J8Sb!<`<Q4^ozhe9ViG z?whJ85Pq$CV$#EqB{wSUgCy)aMEWjIeDuI)$qox?O}TTesa6r9r}V<wH?^BCj5%;C zKrd<ToY_(uIx;TW6Lz(oy44qI;ibrHn|D0new6F>?}2NNp4F=O(5JZeNU!Y$7TLSA zY@S{&-{Z<SN1xlMZC>sEo<%dvUQ0_EPT|vQG0Mr(RP&ycmDQAb^S18Mz@;bSFYUd2 zsa)^)vq>S1x?8+dJFn$Mdq4BaSscBl=7IkAJ8?|18y2fvO;qpISM2oaU0ShNvH$+! z-q!z4($fE@n(@@T%&rn~vFr^kIbzdxaniAH9iHXircSX@J7U5uoII=7)37(O$mj74 zq02Hpy_tH;j+*daPL5(UPKpvVUfgw4LQv6AA^oTc_vYkTy_SZ(iFrPcXNX^xIn$r1 zCw9bypF25<u{$YBuzPWrVNcHliP_#svs#x<R6f4KqtL)AD5j@Vgg;q%X+z<}pd&vX z+}LVTD%YJU)_2*+=E5fn;c(qKy{pa69Sv>!TsZZyQ%q@r;ksQv4Axcc5DDkrArs#H zLnF*xT0JRX&SCAs&^0BGS4B)a7P|34&+4SRlHo@`8L#WQnjRzCePM^zwMB)YI-bd; zDHf8=k4oy~!jImvUe_gB5hMEg!w#*m$AzJ5PCi~0G4punM!WviNmJ#*k4D*sx%ZVP z2lyP|f3zxM@A1%$ZT+j0RPS3Y>pGepBkFx)hnCo;!cd*`$EzZ)91GpJWvS(sB~}&s zVQZAH-8PJO*V*-WRYc|S(2alkRwwbwg&%dYS+`3<BHWgzYc(rR-)dK$&Z`%Xu8feq zYjdyb=Pt3fW1-TAA88%_aATs)9(ikf)*6A!T^73S1?_(8IeC?jT>3Qe*sm;~g@;Rp z8n^7Xv+`fPEc~?2lPA}XO)fh9ValDNEfb^J{ZFs$PJeQZ`N_71ZAo$Br$3iDEoEQy zb9qs}`JSJf&87Z-j=z84vE!l+pC}DgKATT2>q<*=ckalMKl@Z}Y7l$jhDkRXj!)LV z7tMJoAUHNKw0T<N4aP51Of;4~mbO0}xJ!Q8$!Nbwx&L0$r|TzcJzMf$ZM#^V)^@Xh zzIl>$YTIT0$L7iY*?ni%5BZ|k5AC09YxqCqw$eX!*=xrZFX_sBQh#!7>wn$tX8-!{ z82yRg`SeG9|K)3r;#D(T*EjC|)zqH1FTkNZUSkovEvx9>e-gPCa?5+0)itgkKmT>X z^(QM9e_!DA{*TeWrnvcmuiVo&c07ObPD#DWPffmbnm=dV^q3`DVn14sKgmw{ndCLc z^k>qlH68c9Ol!N>*_B$a&=qQDt222~gnIj?2(9)_5xVW0A`IF$MHshliZE;66k*xE zDZ-|GQ-po{rU)nY&<%z*2DiU(=}ho`e_)A^{z|5q_kviB_AcW}sqz;+@nw<LgqOad z3YS-}a#&U#x>U=+hs&k!WaEnjFNIw*q&no5u_iHIX5RQ>f6V0fwx4H6o)SyjTA@|( zRD0TuiAvLMPE?w9YogM$+Y^<h-I=H~?e0XSY4;{7O}jraXu^6^FE2swL$_{3NHJZ! zep>11p-&zgH!1~5gt>7YU*%D_!73<bO{WNdw(`=3(uqMwer+)0k#?GyoUCDeL*$g+ zJ-$@__bo+?vz>Q#=<2@sw7$Yt-`&r)SH*t0_bXnLkVTEEo3&ScOqqSd`sG^Pd8ciK zPoJ6G_kL?nj80DX&VWz-C6=Pa8#mfMbK-Qo^z`ox?!$dExK%klr}Mv<yXefyML%}F zNiA7+HoL;>O!3nni;q8f{d%rR_LS%;N7V1foxYy)<y+LgG;Q(e6Kc-7<*$F5ZB|vS z8^8JD?bm<zJ@~c$`ir+;9V;!Q{V%sYl)P2YVAgl$nzpLYDXwc>J3C4Y#s4mSkvTKm zqgZh1+9|s;)U6`YBz_fN%%A9OApTeG>b=8?vzkwFTj*?emEpW6@x0JPKv!mQvDQ(W zzV=P6!V7aAr)U`Okv=8!zI9V`@WMBb{sw&e;21Ea_j2Qj0yP24b8L&6iyL1&s!^!g zA<)4Z!*fK*?#t;FOE=bQPSK57#(jVK=N}qDIx(Fh(&5Uj4<CCx+##mPXV)nrKHsgY z{dM9@Gdu3oHtB_D{!Uj4D*I5WvHOS86x%yoPnq)Civ-IvY673F*dwJ;zh;W9R;gFc zXJhxJzl>r<u2xKY#JFqOY0rAede_<4Kg`*&O#6kY=w9Xh+V|O`D&k_MeCdkW=dmdK z$tPE#y-L&dC%q8;8NTnpUvUqRgab%|6)Z8~g=l4XyvCy0HQZT`rI&O|uHVg)wC(jn zZnLUiOwRi84_>_G=KJ-dse9kP2Mg!xi(I%b-X)>Ho$y2LrP366jYbKU=9+`Y65<mi z9&yX$|7>brxbH#I4E+j;cigN!A6k{%PBB^RbKTW(*xge>>BGf+3CTVB20dR{`wI6x zNZ6ylW5xq+Iki)VE$jB>=CqVAFmL(C@jtstS+1v`|4wYp?0YTl%73<>RF!zR-~aig z!zxuj;sOt(?9@&2I-Op8e#*Uhm+z=`|7_p3)2z|gWy0L-k7-X_!@IxyI)0*iQhn=n zd)K%r|FrjNm>O@m`t0N19a%@?pI)xMD1RihP2Np4;MWF?hw~Q;X{-&L=D@kB@$C0s z;-@Zu)m?O=#%FWFQ4{GhpX7v<R~lF9US7$3`O!+|%dYorV}qJzAIYrx7`*9ZNt2{! zbL&jc=HAGUQy2tmj)V%<9SId|I1(z@v}H-L4A0N-7e$@CKmAG~LmpcDPnpF1?6R`b z%$N-eR6>&$+9b?~*>HmW5#P2QDmNpP^iQwi()n83{Oz>+g{4oLp6>Hk4ZF~5;-eSw za<+BCRQ)T7rQTMtj{ml;NmHGBMWKE7=l)Z#w2w||S=aL7(E5ebLLTo{>RT@S+4k18 zJuUGIHV1mSzVO!8U3r1;Z-%XS#?u$~RYVgVbVL&qG;T|TSoO^BGT1SdgM~@g;<&Xp zd-o^7wk?ZZomx}$GVtkj?|J?|r)*d<B{{>!HZh`QO%YH3a`hALF{(>tlpnw3TD z)ZcdZeaAq=qaXbJHLfhTzAOuR_GG(yqn2mBtajY7`xl;>{!yCCGPCAG(s8d!p-&Tv zo42^CFPnPCr{bmI%$Xb7o@pljQ7tUeG)&Z;o9g8g@toW2+V3U~rCLXq3HuZdxyK7^ zT&&-5;G($8l^5JfDN|T){7AiYbZ+8mmPh9{oE3L5dCskr_L;SBZjpRj{6gO2>n|NU zxW02^px348%U3L(;pHhF{PKR6p-S<$Os&$BcaN^`7S`JQ{qM>z<tJ2Ucy(W?;NP9{ z&zW)e(`_BLCNf?>c^<#)(t2v_!;va2>>R0+<`lUm%_&kR-6?WS`jhDLLLtMoqM?cs zFV_b}uRbE;B0lf`a+XVeC$CMt`h)k-)uc}!i+PVM2|g9OVENL0F8*G%o%1IB60%kN zs#<0B%KJ-7h@6X$)V>27E{I!*{cLJJy6-_!r+!7mD{fYu&#g++E|*F_vtE<;w}$WI z{+bD2@4NH|HQCo6*mpqvM>5CD;t6uoTj~WHV;h6hXWoyyyK&;bh(zAK+o#WbzdrEe zBeM;M&ZlhHV030#glJmu8ote%*II6hWFOAyDor#yTJ>MS%<Ko#VYm1NR}?e@q9%xF zsCsb4O*j#FKrCJ(X|>PXikY95{9VFjule|@R*t@E=$~a48~@EOI1%=5VaVa?_wpUT zr~L`$Te(-ySofY@YLt$DdeoZSzi;n+UTObbqxVDT+Wk|j&Ax`qf12<<;9Tg3<?@#{ zE&LiBxae5iuCG(4BwU&tdERl`v3(~LW7<yoyiiC@u74t+cPOQ-WWx4Bg(8mW2}a3Q z9``IHEI%B0$h0@xGp%4v_TRgA%xC}E=(+N*cIx#d|DU<YWqD^8u9&`R)vHynn!B@X z_x{mbTK8swxbw!Ie&?4x{HIps1$K+BZJqx=UF*|^_7&32d-{~Gv`i8*aeP+Cep1$5 zxqX9ls$Io|hlM&t9J@aTJ#jesVWIPl-s#HhUK99b59LkCJm7ff_{kHE|5V(pVmf6s zCfb!Ou%9%MFFaMv!y?W6blik`J>{>xCB1G{?r(H0S?+F`uhwLc`Xsr_^~sb1mv=Az zxAvCUT!>op{?E(=bKZtsHtSBZ2y@TBAloS9ZTFRx`+DucX+IAdZG6(E-_bf<zaw<I zeuwIG{f@8G^gE7D)9;u%P3PdT*i3=%OZ96VbvkQs;FL|R5gUK(G)OvnNq26<qv!P< z(SiQ)8!ny}m#F&9<Srjy;B`_u>Exs3S2|R?=LacI)2Z|7jo8GaqdM(}q-oOGN9k8O zOuOd?Dqqv7bL)-R3>GvxBR(fc4aA#il62N+)07CKRZ}92c1?*eS~exZXxo$sBfot! zrq(mIuI;)r>7#G4x0=gNRbl3dMmaAWRGt}HPSN$@OqC9Ho|zb>QS8H?%5Lm*^XQp? zZwA^^xM#?oay`Sj%3-Ft>gF9R&ssNeY;lgfW9l4v$HF=Cj<s{-9Xsd9JC4pbxr3CR zC|^unsFSzUQB*^EL5kS*6Q=^UHI+Xx+P>sVU(B;<Q|?VXeP_}o>!O*_oAjo{uHjGR zmv-9O(7Q0^$g%}oQ?dh%y1QS@4cNB9a!PIvXKLHpg=d;gxMxOhvYit9h9i~Vx_Obz z8!i{|-OLvc&vVd8$X5{Cc;4Pcm-XRq=bMKb4b~p$dhQ?b@eil=Jp06>pVik?{A&?C zKYzocpTc1_^@pI6*3*m>*DcgYb&7EbJk_$JYta<WLP=Lm;YU_oL25@@MV9(>XuphE zQeh#l$!fpwe0bLmiEC{S4-0gh_FNYKtLy1=-(OvC)fIkm9iHm(u+D11dW$;0h3j|h z5YwDDf8k4QR{N=!!@G9KU2FUOvuod+<Gmgm3ygzu?4Mo?m)O@K(ic7P(Sx!jJ9Y?b z&YNGWBYOP2-Ly@w)8)Nye%{=_H0A?{IKq7D-}==&PAzYh^0I{gIWFI$`?q7A$IO~d z`qOHZHkYM-I@uVuVE>mX^<Op1Z@4xV&1fu|Fm1s`dzP>HrCau_eJwI&m-4i!MJq(l z&Odm+-Rr%rNK8=BsfPxdPqSyGPWIH~*L-U?ZOWykPh$Gksjg(Yo8c>|vb02hruN0= zRl=%Ezbv`ov8wK;QFf%-$}d`;r7wI7^PFw}`K>WLY#*iTnyTv}-&Vg%tN(+#(ljCN z{I0n#Qnl`K_PM+iQ1a^v(p?i_)v`xkrayB^g+S()F8fO_Qmd9+%zo{$Sp3LDvFelB z@A)2j$5qyUi2vku_Sj60&X2Wb%OWkrFXznZ&*TwLk~)5L#<K^9XFPip<ny_}a+&C& zIoy@kcL;^{Jj`l267b(*S>z5e%b+UP6#+%AD+01yR|F)vt_X;7U7<2rOQT!q(j-rj zM@NoM);Kb=TRpHNSloY+z;nHME{c9}Hl0p$_EreBTGW12;VS&MuWNOy%dHlR=J?~U zW}KNN@Z4aYsiI$!O}5jVqZQX$EP6k#;VhJU94Y+B<=AGmBQxKKhVONm*J3gKqYY=F z(eGoE_$FlvJt{eNS>?#jp5>k$H?8NXDL#8&F{@?9!N-|GNqq7`;dfn<T4o%7+$or( z*01i?vD0Rr#6d$Pwxcz6M(d&^M8k7?`d0HKKhipWW5=t6n3z&SyLDAF1j4yzNQ8IK znBoySQ>NMP-uW{eA>6@_SM_EVPED+ec{)QdT=q=QYQ40hq5PX4XE7E($`ULVUa9pt z>B8CMx|ppS6xQ8}kO-F)Qw<6gJHCZ!+L0|v(=IiwF^t+SH?26I-M%z+rcKt4UAM1A zoq5%}#m3rewc-D5+uLgvt(j)`Xx@jEm&r_%{;$09l$~|Szx4i7Yo_kcpIq%!7wsVR zKgQzfo}br6^Z)!fZdChpr$X&g-MyR>`QLpk(V5(8_wmxcO^dwh<}9vFjh*^ume=o7 zzqD+$THCaqyx_Ndv77g8OMvsaFKbq`EmJ<#w{oH3{X^n2{*<}%#_rXvJ$O)g--AaR zmkUQ4ewiy7`1aepKU=swS#30HvRAHn-qP9P_Vdu%k7wogH_ku(G-~g!Xa4sOywTI$ zH#0jtF8<m=W7{vn<qo&5JSmLL++MKw==P%XW?P>Z`t$1C31_b2UCF}3f2HAZcIwic zJ0Z6u?ZR(a?hCjjdnf2t?47V%ws!(=aqn2YMf~H^Q};Cc+r6y+-ki8d*{bv0yOnHT zE(LMslms%j<z+e4CoNw!WyZm&Wo?XJ*6#I7?>gEqog24w)f>5A8gE&@gx%_XF?GZA z{ZanXg{k#ZU%WYx_psp6D(<(HdzQ0_`K@g1{eHFKA+zZNi?wT}^eTH<9}ZkP_wk%d zdSP8&yCY1r-X{HBv88+Il1qAFQ?+*Q*mPdw?U(C^yZRPr|L&5yAiqG1@$cOgLKp70 zX)*rYxMEe%m-HuE)`u&X&VAf;>D_}xm)<>cUV8Vi>e9Upr@g8L<pXyu-ZFiE+;OYh zGroqLdBAme)AG6rzt@@k$e(;VTl%TtBF*&Dw)WPK{(lX=+fH_ud!M#5!eY`>r7+h> zJ)ZZeI}7HV%$U%7F!zj{lGND`4<u$he3;<S*52(YY5LW`^zh<_my&X>XD(E@Bo`OA zwYSR7%IojF&KjvFCYHAIM#Pk-hc;N8ZcWZ~YtDId_CrF%q=yGLDjhz2@C^^Yc(IX< z&6%?wG!o+4+yy@e%k^$8?d(?Re<~>_rnY3)-cEP9$(v(zd`=b#a?jEcZ<`sZC&O+m zm6Dv=#(sDe4}YJjq#WP1w2B=PlOH}zjA?UcOg6UZnz7<zM_Qw^q@0q$rIP9#F^lO> zlOEPg>+a2s)Z=-*ImW^)&E&+fi#+^o$&zw1$Bk`d=A8LZP^fVD@Zps_{Y-WzK4?68 z6MX-Ct@RfH?%PLHCmsKLO)c+X-fQuq`xigku-RmlZ1}MBCx_X)nX(f5jI0Z649uK# zqU1~q3!j@8^&ND3m(VeBcg5)wwmjyanA#*O1)ZnbNIi|${;e+cICjc&1G7WFXUQHp zb@@%g;?*}BA1?f)a@?)VJXtySj-lD4g}V#u`{ud5TQGg%?iaaFl325!uzoTBr1Z;H zs^zDsss2;z=Ns*NelI<EXy1~?ME^?%Hd^OxIAU0)$}3i;#>;biGFxA%|JJj%Po<@f zc16jgWS>e(^geaygWst`AIlCoO}#l~Q^bu4n+)doMOxhB*S@iD&0^JQ_Q|)8@bnpc z$^N|e&h{GT(%(_51>|ij&(t~j>)xsRBVJ^`esSfQnnMk`XN(f1g?(ov_AE?$R8=42 zFZoVV<9ID|>4&L}yDAbE$nBDRr6Z=trX{9(>RrZ~b-x0?cH5syUc(tJvHZ}}hxayz zs2d$fnz<+|H1osLjW-;0bpqvfxwy9E91>eLYeTQI+L?kI0mjnayi*eQG#2R?1+Xjg zUOB44{MF!=1NV%C1xib5|LoqGua@E4eyi=+D!$U*^~nbw-#=NqSTo1}zTUh4KeiX2 zKR$EDxg%5E^^f;!PtXY1XI^hp!4d2<^=j&rdsRW1+Y4TOe6TY3&^-6|>QfFl$(wE{ z^sLorJDqjl<3uTo9gEhi?X~r?KAg8Sc1_KcGIr@HW#aMLZ{@0N7H$&15S*#MC_K2@ za&GHt-+ul5dwxb<?o+6i`#ihMjFG$h%7J4^rU?;?*klf0J>Y1cs-1HuU|Z9}WtYx< z+<EEU1Ma1FkD8?JlzXP}mi0{Nt?n7$kDPx#&->QvBQIB;PVQ)nsPJ|?_0-PU^vIzN zYEQ3E3zYhL;dn?{;IZVFeAB<#gsp6Botl-9+$v?D6VBY1n)M)I<)&?BZ5nTRnx~fa zIeP7GZuHvSebH-o`&O@N#_TEIl)}unPQM%(v1m*5jC)&@Ps}^s>^}9tq3c-*j~7ZA zlmt#cSm?dCz4_j$TV00VSDZTcVdf>hWnoM2I`TjL7+Vs4%l1yF-13IoUe$u-a=!hO zqP!ABA1^<joRze2ebbcFwZAeuTL1OeDqlDA`y>78XUYDsQjzaxR`o^vN)c9gH-ky1 z{?kvHM-KOD^q0(5%-gedu9exd!a!%8nhzPT*2zq;S!cV<QOwTId}B*a%+)DJK5T3& zh`B7lK0l+QyM2~a#f|lftUQ%5zFj|5YLi^PU%&qNRq{%M75iQt?OJ8@v;NEZrFv?a zddC}Lw5F)+?rBO{V{9<pYPp@>@dawXO-;Dgp1Ge;F!}G2y)w=rzeD$Gd|zE9n7{Jd z>Z*-0&c!RUUtC>fRQOSAjaZxZ8Z$SIH9X3f|9;8}{kb}{vM1=4Zco^)+@8Q&lE<{( z%EV4BV~<~!UvlZ)qj{I!J*@jRO*84rB@M6mNY$i=PE{*dRQlJKoH$f__G8YR>jG`X zQilq|oMq-*6cAr-dgQPwujYenUd>02RU(}`y?Pc^_aD^{cy?;3Tc)MgPNq)LRIccX z4=x`LxV3(MG1a(`dvEU@H+kjs7uio_4)@$S*mUHBPoZ@D?5Oh}F0@$gn!)$(!Me<R z@w-0N)i3f6v3@als8l6Yu-ry$)`kd8iK?k`Q`ealacB43ITody9+Cb;=C$ut@z;{V zVymTupG9OH;+r;eL-$j)H;MZ=#W!4P>5f=>Xz6jbBprYAMDE9-d!-)Pq)F^-o#~dB zJZ+-!8MC53OSg9k>sD5psm(Ar>>~VZM#>>Rwb>iGHPzlEuHqCoINQ=Kk$xaa=e%(u z_xzP<9|WFnym~_Sjd_tYd(WJQ3nmuJ1f8A4xP9Z<7Rhb14UY2(mu<M*68pv|k$<=E zjs{z`m?QVpA6?Xac*A+0bN^BKPptv#jQN&H?)B1Ky=K+Bh_=K2FCr}amT>EAyye%M zYB8ZtC~3pyR)6`6@1px^f7PBoy6?KY=XK2rjmYN3zOSS=_(d#Fc>1X`m3LZZq`Hp3 z&1|7HoA&IQsI+jqkpCHu3zN+a^Vel;YG)A(Q){}&@noZ?v(BEiie~pN3bdV-I<(Q% zS>{b}_^o4FrU?;i*<`Y>95@(dTCg#M*Je$4Gn3g0M?vYS4rj9ES2nh4pR!xH^Uboh zh#xV|IW-FvdA6IhJl@u3v19SNEfF(UH%81@-54=_bz{V|)s5Z$R}UO6HPv{qNlK(} zv8KlK<fmJtA}sE0@mD$VxTh_`Vzr{#xlE43mAnskEKuarTcw@*xJAlfP6%^f`PBml ztFs<Fnj%$E5zou@!-2OeVq(QC0S3b`yO4K^$4;rH&c6`x^8b=q5fTd(&D^p$4r}l} zlvu0CcT8p8`qm@I6igE&7O=@MUp;Uz!L-28h1X`!QZ`ne&}OAJTgm!k3XL_!3T~$( zWH%Z3H6OY8W}UOxyXUhO742WMzQkkABh!vcX(cBP9aamMwlx)3{hD2*JoSLn{Ugfz zIG$W|b=JA#X>*~YqgS@`c)y@v;QY3NoXZ02(Zc<e&Pj{=cbW3+z1pyNx|GF>m27%d zD;gu7u6AU+du2h#IxmHDPsCE0k5=AU^G0|U^R<kQ)~ixVhq!qYBUUQPXqy~4SX8>y zb;A-ip8VB}$JI;=HU#pzh%9DP>I!UTy0+3$Fne}Wk!9Glm5r^uzQ0|XZ2B@gS{F+t zB`<F?khvzX@zW&%cJ`}Nw|(3vWiTg*xlgn6dZePjcki!qdiO$^`PHv3Xb{c{I8vx8 zko>eks^jXqP5v2ce69#^Cz~EQCc&F1u~tz=+2qJUlhUQRZ-SZI_GTT}=*}ziCX9Kb zSQs<E`;`R^E3*QQEIHz>&-o<U)-WpV^@LVSDV1~2Vq`ZN_&1*VyXo2M39YSCDyO2B zIE#td&x)E778-J8>eX=bee;eB>25agKAm&sicy<n)G@=@(=t7mjKm*rIdk}j`(u5H zj+5!4!k5HzUjEJG-L=GdztGE;b-tdKd!yDmn)AMFsqXw9+jL^N;$(SW+nN>cejE=K z?L9ba|MAIQcLEOCUS8VzK4<xoXwiL}V<+rmT2#L6v2gzEB#)MAtCZv%D<-b^=X%!! z+A^gM8HPH`^jtAHc{Js$ot#>x2cxj*k`B&J@xVy|@%@!L^FF&hdr_LlTV=a)`P>WE zvem!ytFte-^I9!@KY8WxzRvFlOeON3%r)3|a_YnV8tV?)@V;#M>CaZbOK`#u>nBnh z4>qYCJr-+~m^iul_xqXI2I0<Di5p+dc&PnCO2_b%U&J!2qO0AD&bUfl;w--OSFUFT z<IClfdwF}81U*h)UM{qziS4$I=>`Mi*hZlf$xUq$FP1Bs`7ISUvD;|q9BX&D#Pq?8 zWo&%QRyB5OW<5yUBqd_t$=fw!Mw~p?g?-E39DUVSq5o0pp8FTe`TbMNxZi8NHS^PY z%cDM}tnV>bb?)zP7Rz3Iiux6Bc120d3ubQRs|Sv8m?lW9W0N^7#HnH@usOs%a`WPy z%cgtQrsg`!xtkn0^zciPr9$PK3yR#gOHUj#^9?lP%j7uh$otS@fg<0x?2c|;sYi+H z+e9{aJ9pifnSJZ=`fJmxYE~z04}ZP#`1XvBh}~Mh{3hAhT~_42UV7r#@>z?HbOk#f znH21NWLB{AkwwAIF>fvl@NYL=(lA>p=tytOtF+%)p))!1>;q@&#stqiy2&p!ZO1Bu zZh;?Nr*a-DPrZ38b?VHAhrb+A_+0ODR)1AvD|c2xa?r2N4t@!{fcFOrqj+WJ1Tu@K zUp;WxQdMAYNgS_EOc1m5{MC$y#j_3+dh^QM31k*8zk1;C^q1N?XLd(T;rnuE&+68f zGt5ryp0&_fY}cy^z515~vt~@%>JZG?_EYMRp}(`tnX3Zg#U@7%=kRJKOluP{G?wN3 ztr<DrUg}S6cxk)e`it??Yi0i(ou0pO!(!h{Ny*ix4sFm)eR$)R+78~|)BJkpzOwFm zT>q`(RNlk3OL>o%T{`z+=cRKWS!>@LY>B?IWVQcNAF*v)JZI+IsW3_vT5R_AXrXaW zi`TLRvD@VWnYg!KJ#b9cG-1bTHktV<x83TGd=K1|`z5@leA3os`!D{sxoP(6^uF7f z`WH)2Zi`I3{Z3U|gn|3})dR=EvkrW4;N9_m?kSENi*B4gx$Wkn1JNg=ZZBG)e@U${ zcZqLOo!7Rre7C<DL@s2AcyY;#Gp8gJ)ag5Laqhk=l8kCyduQZG3$xzyxi)K`X|YP> zy%`!$+-`M0F58r=lM~&a(#n+ZFlN(5#tnr&yb^t@8IN~9seHWXM)t{Vg@F&!Pev8* z`ZGEA@uCT@Gxhs+pWOCwL$3N{QLcsv_Z5t_4dI*;ek&P||310Ra?y=>C$+4mOq^tP z^M}z*Gk1|9{oF@8=I6{7-uAW`Y|9p@8y1V%c+#&n?8}|AqThSE_a;TXx!Y6LzASd< zzogdYf62P*;hdb=(%atFY;s~0aGWZ2W5)`%FTBaB4|Yt+neBc(WmDHYjSr8voIG|o z<+e@WiK|=0-KQ@XdRmouTTfkEgh6n^s>WXJEQPL6=Dz;Px!N5`yH!_52(ZR^&OWkb zs?1c@z!Oimh`Vo3xy_Y$dmT88<5w~MW$6;S@P45N<6jG}MRu-%Inz@_yB8hs&Yax) z?&LPnmybNE3%_`5TPv|G*K3m#;|7CJ-W;D`=C+qv2Mn9*yfv5>U5W1W=ze#{Hdsu0 zZ;)8qJx%W8M_rbP-rbtME$F81nV?&_XTokts%gBHDHcvYz0Bja<0G3JBAKxsbJ%Aq zt^J%Se0b*;PZMp!<{N=aO!Y4wRoq|@&->zg&Xk6_`)-^szUK*jU3j@v|E8H$eEQ_A z%Y?t>C9!RM*)!L(x^U0*oamBsV(Qu=bGN8wB_ywuGSCTT?t6N2Ti}vX^P6T}Tm00s zeJ5U9nQ~j>lGTiFLgr^vZZGn9y*8zFk;m%kQa4_#W#g&6(y%yxQ?5wnUB7L;N^5_f zj0#@z)e$5x*KfAPIhEUDu2Fv{iFPTi{g;)H+#qGZ6T;l*2(sd9Dp-~8wOLAQi!+4} z?@8egSW;?lXniYiiK#{8LXAsOi`dMnRxut9Fnu5~El1il>iOiY%RI8fHeCY+K(*l+ zkLp4jpKZEbTiVpMMO>qluN*k$VY(nbJD92OBFKtTqsZ*8Ez49vzG%<XZ(EtE-?uJT z=&9SpYcoKe$X+9LLt;4_&*Q5N$$lW$#Cm~Ta~0%?Qp>kT4Xod)ius+~<~lL#zsm(d zCT{-2`ft=4de>idSmSZE;j#9w&XpViOTGqzT$Bse%bO{Dc-NNB#o?QB1DBNAgS@e8 zIa|`sg={?RFT(fFV%oUI3lvb=Aop!G0J(47vb_>vOZQsX`SfkqxU_5XnoN<*yJ5^5 z_k=UI%{%F>(6yz?R;Yi%i*IF`g2or`zJ07dSxowRkl4mmo{>l98VhE+mT$Y)nI3qt zcWwWryv6G;oilLAeEwp3$4^PusQGH=m=}9wXFjqq=-RSw`Cf@>OZHl<Q#mKrt+ZCJ zQ;)B)RW3_`sn9gx#WJ>}Utp(Q?A$H2*drV4soFW;k|HL4dlWHKB2}kr%QrPpIM2R% z;FxvRfe+iH3Ua)*_39nvJ{fg+tA$POCPSUvq#HAGq+|Q$tDKK6vCZ=d)7Yh8zM`@B zYnFnVp6P>#NuYSFodk+UUr?y=dR80icvN>f=vCfZ<dN;W$tiHj)+pYbH9^d6Q?m|i zR05?#HWg3|-bq=jdFj>sZ%H#Ie|sb`DJNPaQ`bLCqif5wm5m+$t~MmDl`4?&1O@Is zPz0K)MrQwv+`eV{jt`gPWb#!(DY1PrC?z^)B|Kgr)v<e)RKXj6korK7`mJ`L$hfx5 zH|ggTv%(&5y85OH@^AE&1IOe{6K<?!li58fcd<uy04S~K`hn8Q#7zCR@=I|tiznrF zE3KV-lFN1CH9pe~8)JDTwyk75ehrj&q-L!#b&WCxC*Fix-HBDVyjhA)RvL9}Ndu=_ z;j0IZv6u=7ZjvhK@dmj^5ab?HOOSisE!it!=T+T#S_vFJIiT<{b>q$H31i;4DUi9Z zvD2eFk8!@5lI|{M9>2((JySOs)J*NzlJV>Q+>R}aZq~afId!T1f9SP)$3(5SU#zEV z?VhnuD7`y)$yW7?FXt$&o!YsfD|pFPtK6g;6U+)@{9`(8y0)~b?6P24^!v&IaEp2+ zn@soQ+{GTRHy<%Qrg_O~8pu2Dm(|)1X9^$h1(gZefgpEn4d8t--DGM*;y$T@GhmO* z2Bq>+4Uk9pmia#VHp#58W*#Ww&iaBP?%9gQUeBxp2Hw0DX==CK4!`M6xt%d5*XP<S zwp;JEbS8%1I&#t4u=zvAnzJ5Z8d5ozuO2vNVwx~x6`M>jI3j$C_5?23stYpz+p@h9 z+m`J;@?<v1{JUOjw44>h+B!vxIdXKum^Us6V(we|W%nh)1s>T+poEv}35ve6nUi-U zFZY$143553aP$?KZrJF=>(a7@O=dONmKsoWL$dew%W7@4FJ&#&zy;fCaB?!uQgE_1 zT@ddP%+&W4<Y7~*NY}0{-<JED@h$P?nSEKU&lyyPTx$cDIg6!k+*rb<WDw5$?*FZ7 z7MGRELNl#RZf#S4cg*BQ*%BQd>tM0Ihn?1CCYQ{%huu6{x_-;;8>_Z-KM2fx{;51- z@vI99($g{odpGXwymd+7_Vi5#Z~P-I+SH;%de>)m&T=`NJ>`<${(=>oF8j-_dh=y= z`^Q-qE?CXU5bRy^xbxPf16C6^8NBg~JaS9_;-ZX@UhgX^QX!A0mI<<IzvU60T4ork z8s)<LDr1qW$-HZ7S>A_VMx1JYSaIr5q0+@g89KgxS5&0Df~S;82Tv^%^wE4Pb6Hq- z5v%uqm%ABzH|bqeidwhEGg88D**Brh=O=#@TD<jCkBjf3rKfzB-aV$XbZ+9dOXm#L zFDgYX`{H?}H%#;WavifU)hL(jDRVXD`$Rj%T(zg{?40GoJ*CoXckfRx>%&Y-=O!n< zR$!j<=F@ERrSHS9KH2EUuRAAK_77`7@QmZ4%v%etI^@nV3~0+{JN2=%(dtLYeFf>~ zUnV@<BUco2!I@qB>xHB3RWBY+k`pV4<nR9B!EODtFqU7pX4zZ&UyE<WvSlT1Z@hIQ zc>zx~@08^E`%anVy-+;tYb&BHW?S;1Rc_agrR{QguM-*FzijB3>znwJJ0!{E-F0W~ z$?}<(>J`sCPPu%KcV%li@0DZKY^MrNIauB^4`7#nA+2(4PSuG54}MF&*9)6vt6n@> zAy;LwtesWn`e7x%jD-@t%fDW5vd{g0F~jDfm%r{DlK|=WQY$(>^GtahqMbX{wPt-g z5Bt}EBY&!1JlG(&%VJTxT;Hoi#^YZ$bUa?$WPfDJhPX!wlSHq--?3cO#^UXljXleo z?M+s!tlhY)sqWCug~gV(de--Ye0Anr7LZOiZF$(!Rxl?|^3PkhIVWoV^OtzI7+9q| z{u?HxlE!p5PUiheLED($4z&(dC(5GQk6c}Cz2F7U-8h-_s~z*2-v-N1nAbk{f}-^C z(vF97*6H*mzuNm^;?+ReO&cQGbLNCkckSQQ_u`w-<t0)Vi@s0bi?mqbob=@Ngc<%N z9!LDLT(YnDm!3FODl7D`fzPmJowJPj)ef;~^IuMA^)ESbjP<MMLeuA_cjIK_R|~FX zTmNc8tF~3jV`bT-9c!FrreEopRv>=$?V_!B+O1L^JKtrLG<*H+(T)}Oy068mm!3FO zBfDv1P^_5l$!D*=J-V@IPJHIKsKtt6Vc8wMOQjBN2ynI#)6`bq+^POzLaVBk%Cse? z`X)pkJ6%#;s1vUIs#DY|C2=WVXVwdW!&#-gE6uO15Ip-h`zrgA%9^=+HzU?NC*57+ zEF*oj<Jm`FtCWYivYS5k@pU>ba+b-CUb#-{RnOW}uRDJw&2GDKW1S+8wMk3z@<{>q zZ!QUJ47(z*(eH}DMz<>heY{>y+czDQN=n?*c0*#hB2ThuOLEVo3$Zy{+9D*DLWVOq zcpplvQz>DVUZyD4metX_-J~V4qpg4^NN88N?-c>=$tFjRrSK-sSfnTuJhde+`m)K9 zLnXW$4V|4O(y};?>!>cYzLvwg(ZJm~XH9Tl?ylIgm){)znAzQMrL@8|FI#(c{KCL` ztr|WVbtRj8;hL42Te>&h&z)@N=N#%A>bkh~YvS5TM~<=aCf-<~C^P%e)@483zyH0V zrQM(WaJ{kFQn9dBdzTdpDtq|tU#>kM#x{FHucO)-g9`!1f|)J*C2mYHT4NAUINLOU z{WQ;&qdv@E4GueSTVyP7^$93*{}DZn+2@8+y=Y$@XYne|=oyP%y}smS-G7ozD^23* zLhlft^J+N@*K^*sSiXya`OJpUtBX~09xrS(Dly&CwYa;{YDcQYrtZCLuO8Mi>n7}I z6f?B_qk5*{nB63MjX$bqSf<Qxm6rH-C$+Oxe%kRWR;}sx`;N0eT+cmi!XKG3Iq@lF z+~SAz`&HgN;#<ikc5Fps@5ZbH27bI2ZL1oaGf&;>GM#)$Z(6_C?ioL(ma#8BG(WHF zcI=t3U)3`fZxJ^L&(u$f1CNFad+l!3^|fw#Q@Lj`n^IaBv-IpM4tMgdG(7H+vandY zW^M0QFYCirOXns}zw|C)`K5PB?U&vq?!FXvz-;N>j<XNNXFFHFoNf@F-O<X-6{Pq5 z=6b~>t-K(++931(-4EAuAA2ii$GiXA)D6!!M)T^t31XJEzS@wuTdE)@n3?_cl&xC} zqchWgIAuQn5tCV75S&?F=$!fe!^}%@2Mm|)?XdNI`?N%Co5ou)GxN6`o1TAMClz6_ zj?L`bD#pWsrVlI@vGMU~XJ<doT{<^$@+G~nM_#*|dA)Xbul3r!<E!Rd);U49x-0bm zObW=*|10$Df!bsBAF5|AKQy1L6r}gva=Bs>PiuUUuHgT+D%(Fv`3ZV|g`Um(usTpt zWBUG<oz{QUvN=!8et)*);KT4x{p^lb?}y=`!7BaLRw)m?&we~|*3VhuTvo@7)22rb zit-j-G_E~$$bWg+<q1stF3GIz`2AA&alO^n@E?kY56JvhJ@fsN@QVMOA$w-?sS3wi z9NqY(cl8&^V4aLj@y>sy8$36^>3{3Ap^@xOH9O-j!H51KDg~Beb`y8CJ<(6p3y9E- zso%5m>M?b$)fVSp)=ivmb^7t1OL-5Im)<=VxODErj!WkZoifWW*vguD?(W|0RnH&4 zti9%k>o(z++S-4VZlC;cJ4<uYad)efhnt>Nm|e@}IBYF-Xrr^UOw4rw@$DuqUz*xP zJ}hh#DOCOQCdwm2q*macUuf-@vTa*r)}Bm0dYLUJvFt}tSnKB*iBH7Rq;~d3x}7t) zcf#`7j8*x`O`OI)CW(EXYHt!YaqgaxbVzR5tOCZ%J`p;CyfgOk<{uJ!CRsH#Na>i= z&Q>|Myv55W8h<e=>btk>)$JMihj_SsW+X4<w2nwQq$f6eL%XV4%z+?by`-63)8)83 zHr_hkqWdNEYgWhSiqj`_c}$C>5BJPT+%d5`x@F}rvtKg}oa=?3NhBQNW1F?1+fnV! z!rh$W1~*!|C6W##t?4sK<X+6P*DLv}qqOk$4_hbRuE==86WjB&xWqK{{;>?<G>POx zGIxD$9K37B_U4hd@VA2VE!;cu54qM{RjX)uuFg2wa>o4671lK}_oqZvEDtTnU=_`- z65h7qc+1gKms%vh%``Y(t@h@@!iBMBVG<gL#h9Po$XdX+O>#x|Teeq;?Tum^E<1GJ zSh_svMH#0s_v|3<*y!xtli1!}|21z};qCidw)ZlE3Y`{9Hc73##j_iYO3VZL<k(&< zSl+nnMd|{%D(MWyTAnQ(`?#chE>!ZE1#mly#7bVWS*PKb+@Tv|nkX$l+u*RN@UtIB zT4ZZh?WkbRd93TaTCz<_<G2BHslgqG*dBubeskVZy$72b#Xe4)7j$S}W7H3y`%_IK z_M0SffA_g@tX4Sf$Eg-eISH-2$8C*9F-8G>=XtLj6lc>CThI3D;kHJxg5wU|J8r*f zy;FYbb^Ncu=i<`wukUQ!w(q0+*U-1xS#hVz*R8DGx=K!Z{xz$TRjY;hv`hKIUUJO- z!uL-w%vSgQrl~TMj;;?is^4GAB_^^f?&P1pW-3}&0&Z*GeRj2q{Xa+kYni4;*I&r~ zQQq@;OU(imnN`+iW$z-Ar-tvHas1@+r@~W~Y%OlKP%G0a+wfss68q`<iPMwVrQd6o zZTKr&re40{`|1*toz3}Q%Pkh%ICzM?OV%s&*7_R<kNpO-R^MoRI3<bw+4~LZ8=akd zL$7W$>VMlQ>lIetF5D%nlN0<VAnS19Q9iS68f6+=AI?Z(Z(G|X>y>LU>CVD?>o4^^ z;oEoh{=*4L?9#JEt*5LiiP+f85e8;`c&T2r_Eh}()5*?z^&@A*PRh~I^yGQ%C3Jk& zq$df<o<$p1s#I1i-{<?TP_a~Rb>^AmwA>|;64IA*db%@t9w$p3Z<+BdA<3uMP;*)3 zjF|2_lb1}rUiK;?aO&}8yIKw&`nBZXu~|zR6YDM=*r=LWut7hwV54s4hYefb?Yv_= zabdChDLI>uMZ(I5FD`Qvx1J?sn^W=g<ekMSA_uRo+U2m#KzT~84|i(Y%Y|nQji<<- z;Yt<X?0oa^oL%=%{mpXyEp8niQkt`7m3XRoncCJ}+lnJyT|=FnpNGfQY+SU>dG3rS z1&?3GpW8d3=-rh7`HHY<rqep5|IAIRh}?0TM_)SL>~QzPFylQI>umpe?|eUdZ%)m$ zw?_(WZ+AYvoTJWezIkp;&Ahiy9(Ly}XR<q4ruE3oR<py}G-OKY7OU_nS35ZtbEV(z zs?HCNiH!d!^lR(yymzyGBWpvQLzRDR50=}$Z}J5xDZ>SGXDpcYsBM)LPl)`shwfM3 zN*j9YjbFUtTmtW^HOB;GR~=QCy0VZlf2;7tu;42z{l3JAwyl!NXqUadeeR;iIr|^C zt&Yl=Zk5;aYlq|U-mt6Jr^%M@U;Al8-SMvh@7-mu2RFMM|9Wv@6i;1PugmeTVWo3q zOJejxnImr$-VK#K{-^KtvR4*iuXe0^^_Wfey6aUDHS2fNRy~eb`FLO6>O1{b?;6=U z`+j@1XBqlkE%Yh7vv*rpU$W!z+M8h)9puXH#9j?}T6g?z;MNJ#t=??|xxqHXwDokK z^m;4#Jy!}Jhsd5wJ>Gj&;bLKO$qKQ>p|ZzQkM{<}7TUbnF>$5$sw>G`jy|^v`z*9C z>-*t5-yf~1{Sy}Hs8@aKuh%>Fs_EbKFX_xa{_fe1$QxJQg%{hODLMW4ZQ9Ssiv5vw zX7_TZ$sc~0_OozK&b0Z>!e>7f7w(Cylj}1*y}n`b*-zR@;l+Nld*`0DoHO_MpR}Km zGp_5{OBc_6dfZ@nWSz|B*-zCEolg6?bH)W7dw%0tPs0y<N&6{kxYlxcZ=!oK-|<f~ zZt2)_XU`7SIsWb04$B+YWX#2*XFq<H=v{n=OXB`E$voFRm-Qqg)$Xpc4l|z?p6t6V zSofDrcG0VVYZpAu7u@=r^iKSX-`1%~qB{&OA3t=;M_yXH$F6j)miDQQcU}a?|IDhj zoVNA1<GbBa^1J4Kt^0PpX#a|@9lA%RZTs!EF89tvIoqcjzgxE6Ja{-=G)+7<<euEF zRcBaBf@gNW_;=@f@%_G;+S;p9IWF#8w(Zf<RbD*)t&)n%?-$SepTkwA^ExIx`o2c~ z*4gteA2{`@uj2R4CwFa|uGnUmY}vPJjnI3W={$K(uh=zb&-b2pYSp*7QmdY5d3rgW zNOoS<-}?2u>iXnXe>vmLbB{#X-#&S4NsfBk$(w#M!kg#H_?#^(GSt0&^6-?L<xI~~ ztwjy(Kd-qFF}+fuFg$L;+myt_ZIgRlZ~F0cZ=P#0Eydb0V%FOyNs-$ow?Dn<$GYZ3 znO0Kjv&h7V*_8@~mt&{CO-U?G%aoBeu9fjQ|E18-{POX`bA0AArKSH8F?_xA$)Uxy zwUO<s&V`DK&eeXUz3SEL?AIGNtUc%Y=X0#s7T<dbvv!$;l-F!r#`QUv`@o5fwKHe@ ze>QVNYijOf^H!B}$*U(u-$;65W+rWU*xK#f$88g>Z=^iYGn3lc&g&L;AVgU|Y0Jv$ z+&89g^_Hy<bjwRzGV!)V;uD_3J#&&*Oth{zeZ{8DR_)Bj+byzhj1tAIeQzA*6V^-E z%_+WdU#`)i?t;UwWlyh`{`_L@!^Fgbw)S2}NjV;7V;c*$Exvx1oc*VM-QrWKdpG}O z^1}GrPv)%oa5A}S+vL{Fn|@};Qo>HU-S?4ivzuur<7`wbbLQliLPP7z#}BUo`9JNK zh~eVgqS#wko=@F0>y?+!#d}&U_g8QII<?a0T+v1Y&C^GZ9nleQ<BZgkIlVbXrsr%? zq2ao>=bz8(-Wd`;<?7R?LCKD<43j!dLb_Y;ZoYrY_BKoC^NYc}I$tWnLV5mLzMTH{ z%8^5{yc-MMoh9<JIgab{7Jg`L+flJVQO+&%R`3B2Ud^PIUBx*i;qR65q7IuLIb^`Q z(J;hW;@%|z!!@Qy9QAn%4LzJ~c&<!fV$1Ll{Cjoo;S0WdyE$rqXLhvid(~W663WaT zd*#5<!)0C%9%h?rJlH2CQs~c__4x;rSHeTK5ZxvA9JcFawtW#_C~k7(m@BW~#_ms6 z%k8=T25jzcJ}vd=kuvYc0#|3Q9jg>w=VY&bBO|^2>Re^Fv!-7U9$E3z#W|;Dl_Jk| z)0W4^yoCi$&M`6<1o+uamozv_1szE&eQt0ksJu_Y)cp#(@Zx7PekI84y>j5-@vH|) z6MqGL-w?se6|soT^^O1DicgLIKNKuXV%zZKZ1%)Q&r*MyuRq$gFz@2o>`tkOh*dgm zd2z`mM-D~sZZwQ@mN=)`rYP9QIjdI2=Zb*%WRoL@Q+PEKy4pkxeeYVDO<P$mc(`C! zTf~foie_n99EWvyAI?~-s3ZiMpE5mi*!|UHg|>&Oyqb?DJ$khD#WPd?*dB>C`BnUC zZ4X_)9<&Hs;osOiHS0mrRH=%HwQQ_w0-KeVX*KSsoEvzCQS9y>KW#NBrb?Ns0^Gk% zj~o-1I`m;@Tfv<x0_^4{M~<rUe%#<ydF;irQV-`YixoE0A3gipxJ$w?i*E(Ln%h}B z-i;f>oh8h&IgYbSJ$kUEZHL8DMY(&ICouJ8cnES|nS1!dzFoyfR?J*vH$%d7@>TZ7 z7EAZp$?jRs2A`s?%?dd3F=SmQ|DV~{Z<h30mn@nuSiV8i_6mmu)1Ku_>logzYEVCL z^2;CR1s5WFmM2RcDhMex_?2;w)3l%<rgV+c)FKaO3BFZ)Zt};h7qRh`Y4y3<i{&KG zZ!>rkD&zCd?*pT0!G`!!oo=t2OBH$AL)jPmFL)Qo%)UGH_@<RpR!bc!a4j|Xwc)v` zsldaTuOfQ9eg-&8_^sq~ySY<-CFAi_(}DueP>$t~&S!BPmb`lK#q4?FR~jDgk+QH@ zu61PLBl9ed!)#X%zSulhenn&R_p1vUx>q^HXw~g)GguQU+q3WH&RAZVHvym-eXfry zew3TEJj}g%@I~|7=&J_~ikWI8Y+fZ`xHm%L&zxB|Bo-+q)vi*Mah@L>(xA3Fch#(m zDOaQSx-%+=w2G`e6~9tS`T6$WQilrsoh|l-T<uBQ_i)v$i)X%1lM?yh5XkX&)$-P8 zDJ3RNUctsGi;_Mqw|l>;vDrE+;DGunC!JL{N_hpf3wRTEtkr6Jc71l1!Xs-_jR(e| zie{l}_CNX}yz!#Hv&1hgzn(u6&pKv3NLU~xV&D+UvAFB&B>`z$)0Tzpt0ZQv`MsjC zd2v?2f$Qh@P5AA{bHqMHyM9rUY!9E<H07U<RNo%hXm@yVhxV7_sa5>a+Gn;VL}Zpd zh)69f*l_F3gQVV@2M<S0W`3$AYh)c^k^V~4@_=4?MM2Ej4;qi0gYz$oKRiF{wdT9W zY3zNM)7aUcpXJljn;~lxV`6z>`t|bJvN~@JzfPHB+Lu~!!(!gUg9S>5n;)mNwMR&$ zREQLwEb)6e`&0GVy$3g(e{*2t{WlLDG~7IR)Nylj`(ZWqU(^0_Y;JB1Jugw^<+p>| z$R_8^`40&*rawGrXny$c!8ttrO6O*t)BHR4?y2-1J|68ed@`rc^6^cZDI23>YF!bL zRJ0(TJtC!S!-nfuf)6S7n@Y-wnWa~B9I!m>%yL0ePG+`|jZDs&4;qgay<BryU-^yU zck#n{o0*U6ZElX}nJpXh#=yGbMqbf^_!NokvJD0&t_1VV{Te4JC-%-Z_uGbx%8w;@ z?i$-z>`JY$croi?;E~kJk;~&3OJuy$Z(~ej@B5d=&i?ldpWd%ovNm4~EibH3s7cLI z`zvv*_OfL0%QgMxUzd8P*T%H9_a2m#<4HEMv2aVTu#lMgFz`rZae0Sn!%k<(Wiw?h zmd%ot@iDaCF(aq!gTcu+0S9(G@8NUpF}1vqKb^-^)X_fi)3lZAZFl8W%}CPdUpM=m zq@3BV)QXOyIc~NVyHaEhA3WTXEb?*V%Uuia=Sn8M({IyFWACe5=Fu(3_tn&T$BX2$ z4;OB~32@Ng>@1k<bDj6^qcgU_#xL8`_nCYxZCp3oaM@?o`IWurK5Q`5I^3L?($+p> zpRvu6Sz3#AUFR6ant#c*E<gMCXu<I}2QFSMIroC|uFK};Zq77z{>NwelJ*%|ix{3N zxqIO~ORSmc<T||<lUA<pF1cGX>0#o-%RKzj*Ntr?>`s4puw&xGhY!-)+y$5WUg!Pm zcB%c@zoZ=*We;{FlofoKc=O;<(ap{6tJB(<?98l13~v?OJt5EZ=S~63&*Fx7iC?p1 zK3PnBn3x#f*4|rgY$I{+^oIu)Qy)Hj@Rz4w$<8Ro{FAys{><Oq50~6*Ox}O<;6cXC z&E3su?ELL#_*iAktVIfsJW;4`y#6NP;g*%_b$8{pNxb8KqL{RVhhN&+*hZo)wW5IM z{D%Sqv%`x!Rxf+qHZNcvD8WQ-W<GAYxw$zzja{6Xr(AOOyZ}BEYY~GJZvu`aOlG!y zyeEH^itXb+r%LYLD7ou%;==|5!^4M<F&|#lYa_Am+=mAhGaf!%;O%DHWs$!t?}g?I z=HmL!s#&rYRkQp%n>m+Nv)HoArs*9zT(H@hQTYs?YmbTLh2kss(%8APeQVFY*fn$N z!^Fh0w)WmuNjaX&#x@pW=@k|ca~}pCVZB`H>>qV~=I`#ry*C?^n{OUG=m8emKCAyh z;_RCX8zj@(mD21c|IyyIgIRZZpXAQo#ct;ec-77s-aQd}#-xZ}nrF_TU%_s9$?GN> z`xq7VMY_F9Xq&j(BJYKLSHkv3=EeJk9?P!Mww`?J$zzeEFS$9}*7v5K{g!&;N=|&= z_StV=C-`lyjrGafw!U5S>^I$nz|FPY5qDzZqg%?)e%rcX_04@|_wu*tAKrcT+f$2M zIq~f7v);Z=a^GBQ`zB}G`iLE-x3_m>pZ%Iz8I!qfeQW=OKdVxL@83A?qqlSsN0DC* z=M!fY`{#~)byb=dFP~Sl|K~B~U)jEp345GA-{{NH=e|AbVQR9s@g4~?^TXYbWmW#a z34NHFoViW-@T45|zSf(5d}1lqJ7>&)`y?@LTQKA1&2zhE%=)E0e;42Skn7XR^-e$b z(F(Lu5VH%LysB@<G%pXmiBW63Yxn6fd}+QKvA$htnP+YGhJ_o~?AsVD8~d$fs$bUh zJ+qG%us;$GuKz7ubWkrNA~csdE$c=%@7Eoxl6!f7n0z%{)UY??Yn7{SXk@MBy5&*Q z6<4N)?rG7siirPeWVX$l?*&8GWUu%WtB?BnK2&87+$;UdIpkW|npH>Be>qQRwm9;$ z?Bvf?8^gYpUH<9S^V{~k>GkW!-lhFEdXu$H|M<DI-&Qv+=ERHNp8ZyP(X+JQVg(y+ z?sMI9JtkgIe$VZkcy9ICZ?hk}Y_65;Grv9kam40Y*P07C@#5{X-@bkru(_7|#;u(D zN_-~Q!;i54p8YmEF+Qu-eP3tvziC&*_nc7N^|ZfU&+<odc{f-6uGlMPkq)owCLK@O z+}x_VGCyO9?5Bv?4-*q(+uD0`CFOYLFP9ToW^0j`R$=kO<nZC6UwQcX=ciVPeDG{@ z7c38&7<bB<#p>BRfz5|wixrdnei$7-d~7cdf7@?KIhpv>3X6&f4<9~y&BM>nZ*0T$ zV$#KA*AmnCmpsL1+Xb9=N4K@NzI*0mQ8i0eW{rXMj)=^%4;xOs2{@3o*;z0<=>7sv z%OCgsUS9k*GiKeQWqXz_E4KWbcBt|B<6W)VoOh48bIyHO<n(;Ulnb&oGcLr&_`Hx? z=eBFc%TGTn7Dn&*U{mBj?Tgzl-(~)j*tqArRd3OMt-)6BB<^f`tFh?u=N%JGB6j?& zxqT<t&{^(yuo$;`keIZ3u-HaE|40kIWjcI*OLg?_`A6E+OxPq+V3n%*$Y+zFnVtWx zj+0MwP9;5zIhFLd=G37A-PDH?A9|M@b^Jf&sO$bIN1g9aS=wNy=`FZ-|HKTI!{R3o z9Zh&U^^Pacxp|u1y>gn|&fllBE{;C+=t0;j9r4&;JGos;bXaYC-i98ze$x2h$GA(a z&p#@pZu}5>D(P|EsY3<&sSke`r4|;Lrxq5fr+zH3j&x*ipTs6ON0nEuPlcCN##gG# zLaf*5W4z=p7rrIY5;IM1NK7;_;PIETU{mMib5rHjbF;s%cU{e>W7dM|OV3lkcu2(^ zp5YRD`l9;7fV{+<O9{y_mkt=%Wj>fO!Nfx1+keUG^Z7e_jc@-xJ-hAuxikBW<-E70 zMsCxIjErrq+5eHHvcmUMK>HNc+DH2jflg_B4kKz-U%0!R@k%g%m9GBGt@YCUTvNGu zA1q;&e;gdS_G0AQso(x|+{=6@?&FcVRjf9+Evm6I(=jP1s=&ZfcgKuLVm3a$+;+>B zwpRJ9-=e6yC8Jj|(>4EN@b&Q3>)&6^51O=3`r9M({h8n1Yp$%#w)yG%s>Xg%x)t;O zgoITxuRhq7y39S$a7D0GsQFS;^U=(MM{jL;kQf{FVWVBCXX&p=R?BSd7X?TCV3iJX zy6u+pNB?i;!$lWLChu=}{ZYK#c+-Kc_iHY^vFC~8y|+ZKp!3SrJwC7a{3h?}p1tJV zL$j5?cR0Udd^f48qk4(lL%UEOp>WOJvkuEm*mb};XnKXqtE~HubFUo~^(rfzImtF= zNs4^BrsV-iudrZC)zv@$nE$`_Cf;k4l;8aS%Pui~$=IcNQSnQ{F3YK98&s#3Z8V+w zZG-OAZyRkd&hLKw;`9~C`XiS^eu=;NvEGF(`-4vBRf%23l}x5B@4S}EzVTZc`^Iyr z?Hk{vwQs!B4CHKoFO{)>RI0_kKf%|idFzYGTUJjj4%5;#w4PdKpgy(C(0uAQ1O2Jr z4DF|`JKl7}LV$lJcW{%Gp}4BPrkug<<sw%FF1+3UZ}ZLls-mVb_q^g(Na@~Ra%q1; z+NVRWFPP3WG!4=7T&g;4*(JVdOE2k7TYgC{Y{@0NFg0UY{z>mANd_f9xgGLLe2te| zfbx@9MY}=^&tH7ooD!9gqor%8Hnq&aYHFFG-qddfc2mC@Do*7ySUIWhyNq#g=G728 zjjJ)MFU?D?ixQX-Zt3*akZZ;aFK-{erLsL<OJjX}m)iPxFRk_QU&?%DMT&#|ey$mF z#aN!z1gx4mDZt@>&my(6JxUjC7h6b735?-6ry<vtA|mf>?A4Gcse0r?k5Hn^FC`VW zWx82^<Yxx5EK3pTl$AVs@LSKLq-eK~8%!7fxG^b^Dd(JqqFL$`4(ZF{4;Q%KTJ+68 z+NkTmuO6k1dW$V0W(UTsIjJG{EKNjywXs)2sHEzVQ$0e7uD_IGzW796kxQ#|6O5P^ zc;&*RKpCBr8hT>sBK+M(UHz?+st1}%*lfReaobfbZ)K>x<kYb*Q_*QZzgKYK>LVdB z2d>-~+LTqXUsqQ*sEF~hN9<cIkJu!YmDUoDuk_j`?`lt6vhJ7CN_GjSSBh+tt6CgG z3|B1d-L!h1)r2dozb5Z;u@5RYXs}v)t1)m>x95~MiAt)gI~yi3_bh)R@L0q0(2e`k zmPM<so_g!oeKX#xN2f+<=@wc{Ei2HNT2^Q<^;?0$)NdR2*KjNOtuE_+sd>DA#$2!e zO|>U^t|jCNt)6=8UPx4uzt-BYtd(23V^?lzpSyBPzwAk#W7=DnY98;8nB?`pDfcAL zwWLIl;$tCEN!40!6SB45CV6YUO)S=W`#4l9w*U9j-F}5pYp=*HVc8l~J!8+3ily6h zmab@Pkle4k_R6WQsFl5bb6>ij@m^YgaPgDY>xqsa(<X*QJu1|C`@mD{?W0Vsw+|z= z-af80y8O{AxA4?QWwE7~_CIhnS{s(7uPvFk^wzIGTzN}x|JoZ8^{7<q?E_z}w~unQ z-ad@gdi%Im>n@Y5)@O&+Nw??EEc(-7xpK>`_4N}@6!4m<Y|Q#SSL^kvuw8G<{g%p> zcrJ}C@m*>g<8}0Nq0ZF2#>Z2I@<ZQnzYgk?-)J`VAFKK#)tK%`&o#deoeYV36r#0u z+xL}Qx{X(EY2UnZOMmgo65DgjFX<L6yd+w(%T#X}%T@C}HGRW((%C^>@1$32*DhYM zV&6sc(q-}+wWj`Koj#d0uzjlMnqSAJhD1He(t7(KO6%>TDy_E<-L&35PSd)}w0)Ae z;P<JbprD@T^}p%%$t|z6%O|~@|GMz|_WzgO{O|fMKKbkYNqyyFlcm1$AJqMSao@A$ z^8e)i@4a>G+t&XX>;1yx!w=lOe{-e7_xrEii*&X$Fv^HYoJj0+lqoZqaaeCx;f=!q ze7_}*J!Cj+DU-y~uH5ry?_M9(Dg*Y_re`(yxO<*$xV5e5#=!`_)xNszSLLQ3&RTl- zA%n1`%%h|4C1f6oUG|gxl9IIg?1fylZMP)vCMNBEarDZv_Io^EFSaja{AFa~V&CTW z;(ztuv#-=v{S&@#c47Xqx*rQ!Ib;3@*q={d#Mbj8!2ablRVBV3H%_t4o1iXi^`zjJ z!9r)dtwxRMB_0c%_!jd|wt7;mEnMN)K1J(Ez%K*$_L|I8ru8o-^l@3X*qynm7#}_J zfV9<;)lPiN_&=ANFb-2In85dP<%H&pCCYM}6dMnxa9=7lo?xS~ZkDo{O2L$#<=V^i zD+6NmkLP$iu#oh>ev0AKf*nDAHzq{!O#E0f^@}=xSN4-Byqt5?9>4tc!d>pk>k9T9 zj|V!E=fgi%O=M@ax@RdZWZ{2|J7kaD6UT*p<p<kX^d^0D5?!xe8L;GW>?Xy=(<ysA zj=uhzxTjQ4&@|}P@f%z_;`Th8G>tW{M&Lfzi4&|cYNcEKl}bAoFM0PsWaVxPw^wp~ zlXvw?*Dv_OaNX1Ph-pxN!B>74LytR4{8oo4hOaQl`dK*himKkkUCoo1ynCdyvU-QZ zE4y=(s~U=z$UV|o>2BdH+T46M#BfFH;Y_WbD8o664(+(%yw#MyTJz>{J1@S1hDpvc zOP+98+y7XfmNDt8t=r#+4b}UNLmPj$Xnkx5khS>}`>7<VcmMsL9g|q)f;Sxz_A2{u zWy;s+*XK1NA6Tlcp5}d$FK*VRy3IdDRk`;pOX)P9^5(%>&DY!G?+0!=Q0+DC#fNIA zvI`fde7*k0DsYoSy4SQ9PAB<x&D!)Y?UsO-ScLycA!&`92i=0N#Xq~{a*}DbW@Hk# zs`ZVmQ@>vO-qwgruvYbcvmk}t+Bb6lq+Q*USj&Pp9kKE%+i-o#*Vl`FYeXj4t9r{U zNMUEMpZ|94uGcb3AzQ<gLpqgPUOiY*Xtnb9Z$q!J)jD3&EF4ereVeiA+!rP<u^CP$ zg@QG29yAHQ_P5qbmHW(sl+Kw`-Xu)ZeErXE_9WJ40h^A*c$FEPpHf;sKb=P^===B3 zDLNY`O_E(>kb3w2^x#bgjJ&2rc%9^%He=Jf_uZP234*HLI!jX6xqTwPfB!jU%`a=! z)n*<i`TAyWdiVagM&w&BjmT12ud;$0Q%dXYT~20syPaIMKX_B~-(zpq%>FLvBswGi zOU~UL>Vm79Tit#am(<@+f8z8%m*>sWlup|zYr=w;t@-_1&r9rv=gF))%Tm}^_iA5{ zEt*)^yUSfqEu>Sm<yFGUg|FW2=m}t*CcNqhms9D6O97?fF|I3^R&$0X@h-HA$X>Ba z+D|Q{k=t$NOTUU~0kU(9L+-Nr3$2P`6<&2D)2X!JUO?&h-5x8LrgMZoGFoW0BWcC1 z*RiT0joB?)g>wUB?|9r5Uh_xn_CB}r$<FdORvr*;^SYC~qWPTstrhQ_^4A=HHSfwq z%dkbl&ax$&gKY1;**-74-&$Ql>to+SzI)Lt-(8nov-8s5-=@WDqIistuQJ^@^;O;d zxeJ+WCA11}&(gM)v)LA8t9K`H#evv1uRG~0n%Tov9q)DL5#4b#pmRA->4!63Uw3?( zvye$&LhEDK!Yuh8jsLQbrQV8I|8IT8|Cs#Q+`r1KzWr<5x+OcK`SSkj^@g{S1dkdV z|9_ymWKM97jNtLg$&W7<T5Wzlsc_C;OF7x+GSzzOeZ}_27eBU1u+5W67oRO}-dEh% z`}k<#yk`|9bJEuw?|&?l^!f4eLcL9eO%~_6W%~O*&-vO?IA?KA-64x}s%Cy7$15j2 zzE~*te_rONqiH`nw!hFY-)Vd@X}!h4*r__-x+dq$Nh*5>GVS)7<u|nZHvZK7)^$2( zPSW}p2aaie>yiVDKB*{~qn%UNwRaJ>^zs|pRvYIft+$x-_+sI@O(6B<AlK@?*8JAh z3{vz+3Zy9RX+_DL=`oY_&F0^|6BC$YYrezS@@U+~$-A|d-_TySxv*>Rqp&{r<1bI8 z?z}N0zF0gi=k#|A?~R)8ANqyloJ`-LakTBvyzMVEWOoXS&i=@FZ_(m8PyIr2j@;d$ zan$V2+|44hKiGi;pMMI<Ily1p-B+akb%{grpZZ_n8=ZdN`o)yDBy4r&s<LnLuf#8F z-g@+Yt+dqDkJUvoOuOx`%-^(7Cim*P`+QgL{XhJqSyEJ^EAsxq#KyPs%evG$PtUwR zEnV<NmZrR5dOf!@tJrNLjYog<A8t4h6#dL4_}}!Wku1}07ipCI3pWT!SgPA>bnd?v zANQJVseWcp>wV|BCal$M4|-lN>&$xXw$Y0tKkpw%Y;@gr#?tra{VC~!H*!udT>SaI zYN@=UFRRsZIUlb8^K<p;e5?Qdd#^LIc=o6JiszL++?!S({`|kJGi%i?qZdbi%AZPX z6x?>E()XwQymUc{H0?zH=l^Hv{-1a5f2eft=K9u!Oy;_ela_wIcdC%%&NiOD!s~mJ z+nLIBE49n+RP%`L$Uhmr^tRoJLXMKZvU7LtxZJn(iOsvscXhsozS(qFQtQIw@{)a8 zvftLKKA-yU*6B%~ckKSQ&AHKT+8$Bs?D#gWFPoq2xfJ^QxdjVbgpqjpmBum+gA<ds zCz;Eh320QDRugLVQrUZE_^%fk-pw7mUsza+NaSaptef+hlSgz$#>wgTr+oIb<ZC=R z?aWWB=fQ6R5>~0^JI?u>$s;O}d@}sPl+Tiue2p`wonf_lzWGf+!Zy|X#5tcWc|>Pq zpA5e-<#VMaU*pedXIibEbH52lSg4vGIOp?A9#M(Zli^pUe4c2@*VsGljH}i2-){pF z;??rA8vi@{{x18Pd;8^m>20Z18Ix9t=A_N?4cyB1c*6k`KJPP6H#A$ylo`%GESF|@ zU3FvYLOwI&D@WDZs&1SJke8N_O0acyUXz^BvNdc~{oR=-0~#f-E$aE7aNL4#bz#q8 zp~V(&5=`1-dme6R-*~3f(<gmJ^Gcpl!`6jzZbny*tF*DrIB`KhSVAiC&-*Xi3$?$r z&)WYwUhT@GC(J?|Hh&a;hGesf6x?%SITzV+B$B(Z;Fwd)oNWU9yI=Tt&soygoNZE2 zIF(Iq-Gu|kQ>9pTxHJohX9_%Ydi8x#8E@{b<6p0N?e`BnaM=FF$^*^zD$*5gt6X2Z z^Mq-~@p+d#-O#KjQ+9FYVL7Y98}9CW>@N;H6nMWgsq;ghW^m{4GY5mdit??#E8ebp zui(bPY`)zUXA=3>TfBL!+RnV^K|#lJnZ6G_n!)VfXEe`cnpYI@fK&MP(b%@C9ft$t zw@XSr5Oa36Ny=!Elb`yo<$rnd;q$-RGAACpck5YhcJvm#gs<~I*)VbcUt%9~vWn}* zq<o<pbMhzMn37*)V0iyYlE=Q2hcDDj?oa%=j6wOcucF)2*-Aa<){1tP?rgl`VW@Y1 z$+w9=)jBzUs<BW0?3<N1DgTp!>HR0kQTsFxe5ncUko>ufV{>u#(SzUaIApDvIXOSb zdheahJ8w*W{c(f!^~cHK>)hAAEw)wM_OwbV=Wp=KZA*_{4sbZ%U!=ptTzqUBo2d%# z*{>Wtr@wmeod0SeG4XYwq0#ln3+vY%ci$i5->`4zJb~IGTfwU5p{++6_84Tzyqfx2 zP-4pKi8Cg?E;O*c{x~UWojb4NPC5DDB3nka=T%NVr@p$(m=P|@ckFp<VDtGEG5rTx z_5|;gn4TV3ecPtH->`BT!{X06ifqp#m3V%x_;M@d;-|~}|6`-?$NgQO%J}|&h9gt{ zzlf^Z8xE^H>vNKs@^ckc<8DuAE;oC!==5>1Ckt2e{(O<<%(&}zK!==}$in5UreYV4 zx3T<+@>&%*^%0x4@Qn;^&5O6&WO6ntim%Q&aX3r*)5c?MOl!6-6nLGZap+gS_jlo> zC0oSS-hLUjn(Je~Nnq-XENRZ51B*j0`zbUYm%sQ?<yYjnCkyts?vO}Olw*tP=zl&< zhGj>-qM}@cM@zd%;O%)#^CCJ91h1+v(R?tMmvhhdBa<uA6tmhh74_mGI@+g;J$gK~ zm8BwAQSn}cN6U73)!&hY1%LAAhA}6+zoIccg=z1<h+T&Ho0zO_Ep+hD4(a3zd$yo` z>dqH=sdBp_XZEiP6I;+dwe>|#s-V@)8B#pux4oi!+kV?`5}75(mw#*F0p9G8&f2hN z3G1h>lx>+>xg#Of?p;)5gLRnLqis`NcerkFn;Vw<#W8E1hf(9~Ftx(_r$Xwt8iqEP zul0G*H`VjbHY4`!c6xV{w2c4GnDuJW$Fm=q)=kgX2#R=c%l%jWo|^@X>XCW{O;3f6 zN4|R?aXMBeb!WS>&iBF@PZ{|(*c{nmUljB9-v1LK>yL!$?YVXE%)>*g@1BmC=6xo4 zXY)^;@{JRo%86~fb3ADpd&Hqn0^X5wiK^jy_|_F1U0tSnddG(>_f2!S-#;?CUTzcf zXhVOu3}3;7!-{^z7Kb!HoszE0693E;HX%Ij8Pn>2EB>#WFjd^3#hioZDX-Asist5r zdk!Auxc4AYCI5qAmpO~Y7r|@}!&&_Ti9FGlgjU~V$l{SWEzQFAk*)LNo`VNj?mb9S z%Ku={Y5rrz8EGb;hrEi*KDBXNzA>q6LmTgcw&s_6923~8*~GIW-zCbPu3eK}*}$vA zZ`l1*kS#sD-|+gHhNU-41B8VmCdDd*&5&|=8;~$v*6Ym{XLjp17mk)$f7x)BuQq4v zVTR~821nAYdo~=1suJp6%f9N~-jjT+X15j|VY4pTaE~u`&1Pr*?6((=OIh=5xW(tZ zW|OmNZ?yOMgG#R(R~=us!P&Grdhx2(-l>%{a#QV|MMO4KhKVKZo9a5l+wbYDt=H|R z<td4OP}SM+GkMkd>4l8d5qbvmp9%#>zDtlg9qW_6vt3f>yW!-gjA<Kfj^s>ppK(}p z{SmKs1y}2zZ;DBfJFU8G!<~an(^j{gDcb6kzB6j!=9sk?BG-kz(COdMqaDW{z4LG= zd;GbgM$woG@!J+}60O^7-#jR2sFUH_IQg((+0FH99PMY7&6#uhbj$;Zoe`OjSl>M5 zWtv^ld?f7Ng9PsUf{ioHD{h>SuE}}M%UJe-EtG$c<5iaEOG56TIJt3Fn&sOEw$9u= z2M==Id+<mp|3krS^B+47OEcYh%B%S9V;jf!o0G~4T0n8azQ^%_Vl|t1d*r)^0;g+b zQYssmb@(^Ve=7JbH9zn8c1_Vs+m+>SE<Etqe%icKN(qe1CA11o%0)ctl(nkJYS){0 zb7A}QvKNnAW!Wk++ZE$(CbmR}-H14lX)R)rtZQG({MX2t)$bOw_nfWH?9bm^I4WxW zrQj-GZOrDw4A<Wp9O1R@DfryKl)d(Jz<<6a@zX5+3v#lY3v{rrsGr8RO3qHJwq*@d z$$5+ahKj3y$WPxVHhU7ch1V-4caPXd1}m*CT;_&d)l{{%a6YN$7rd!Gc*>i{Dyr-j zjwcnr1s1g=%ctL3J?(4#7l)R+H(R}ZN>z{laN4%<xP{!Is4d6Nyh&T;6w0FWWARMI ztKa#AeunIQ#}N2#*Z#W-rH!wb=xv;SMOJ3HpZ3Q2lVoESr-+Md+&t{+^{udT5_8P5 zCj#LbmWM(Y{ge7y|A_0A&z1P(rT@+ef4zPqq<(Y9FYUnCdgIOI6Qcfp@s^1yJrsB| ze&MVc2cGmBGrPBnUbxT4>K3)w%V(1jyYSjGM_IH#8{9ip)3bRKL-JakBNE!(2Dgrd zY?nw(WjdVOy3E?c-CE>DLa&zLnNu=6TaCn>*PJ<=p#9nK#3?48Et>?G*XTGc?|*D> z9-H>-aw1>Yzmo#7Yfg0iVqG4(>_yVS`Cj)`dR+hdI?0x>FWhzG=kk>0SF`?1e7kkZ zo1|XN*D*TFQ^ZgA7-xM>a4I!C5%Bf<-(y0nx((Y_?LTQgJs|dsamZiUy}d23lA0I3 z%D>O69wM&H6I#DMPhsJ%87V7%Nf#S)UOlSgeD(gy7?%}#)1+4Y`}QQssdVEL|C6p> ze?H8QJG=UxJ98sry_cP4Fe7Wpc7et`F{O)Fon%Tj3W(3uJ>sm+{qdv0*C!FJEH4r) z)s9r3HWZYr^%3iHskfSOE8c!t^UB_@!rT)pvK0B|MRs&Q7kl)uwe?3urXpipq({ef zF`<XNu@-#4F19Y-b|n90LYDrYKE7v*^zYi)ep%Sqf6s*DLN}XY)g=X|{wtS%`R4Oq zogzQ`>h?YFpI5QSEs0B4uw~tI#+>ucS#!@lr_3!Y=H?fDRJ;G=VdB1%$9LBRH|WiO zGRvvtthwupZ=P{$J?@-7JL%Q=yT#|1?JDc9ne1}DW^!}fPc`wqpM6)=Kbc)qa?YH! zVs^ZrqSaIPt_z0S!(?nu+0K^ouzE7jw^#FharLt9<2&W}{flhv<~^%ovN`>=rDERe z&JRjo>n6O+)Oe6H&-H=NxtU*nJGK|wGPM`k3b7a4^6@>Xig|PXYsHP}uRj**To-;2 zvrhfU&lvv}?#fWbLM#0qsdl|*RV;c>t5n`Ssgk*K?(2>nQ(u29FuwlyvD-TJ17BnO zJF<7q;|Tw}>d?WJdknHZ?40ykaL1f-EpheFI&ywbB5P#MZ)UkMJDs!8<h;<s6Z8MM zZ~pHd{pIdtv#0gkJ$awy&;ASjzdLmIEcuk`ulhbeR8+5S&FK9&|49AXZ8}%CAKS6z z<#8w8wp5kC=7*A=*Ag<^in0vUly{m+%$>MLs^^qP9j{y3k_BwacAB{>yMvNzZ~rQj z`0e?}z4iaaeZH&fPklSf9Wh^w<=Rr#&aIk`u^(pnKeFE*EhM$!*W@4heA6$N>i*N* zc<MsT8lC5XnYvP0Uv6$sS8dFd^qgCez4MHB5c9U0pj*<@rv6wmoAc_?WiDl3Eyb5y zV%i*>*$Eoa>|cH<OMRYK^^EyGtMBOPOyBMII(PLx>HW$Rw?_2*-*UNYjp;vT#bqnZ z4&QwI*uHS{m4L5q^)nZ~>ObRuvh8a9#f0UWt9+}zRk+`u7Lm8&SMcA-n^vv<pK$O= zPQ>5qENW@4dr!uH@_e~hMmKC<-1_dE$LdRW`(}##XWUjNw#?Y-?4c65U(qxE{ra!K zeYxH<*<h}3Y^-$7iGw9lFPr3@lw+4LXD-=n(cos)UYmR3;1&Nz``^d9@Xna}B4KHt zv9b2f86P|MCEnGllh*!s&@*&~obcoLi3abytwnGATe`1z*$aN%x{QqbLCk+0SF+cu zK4G}-6Inmw1)D1SjnCnGkFGsY$bM0E*Hktp_y4QDzf#k9(9p{9Wnr^t&cep#w<ZM} zo7v>bE-4(blXAJ?&*(n&YJwDt#Fy~D(mre}n62vFUNTzMrm=PX_qwV5i09*d&DZ~D zMz%?b7#wqAVY`q%QNo>>{c+}lBqm-PpCyhRo+cdz)0oz2t&ua~xG<M#p4B35UZ#=- z4exF$XDS#pX}QKve{a3$Yu2J~-65$j?SqWw*mXB2niLpLVUugS;IP$IYQ;8>W&!3* zfkYA3FY-RGJeeEgLNZ%-ZHWt7D*EcuD-ZU`FMOHVYcn1!(&x4Lve>aB&!pqRREEC1 z=)aw(N=t6fa%H@`E$y;H0$-q-l*onCP9gV~HhZ2~+SpuaQeZfrO>WtR1IGoVSR}lf z1rBEjER<#~xh>_v+*lVf^GNRNg{>Smi<&*ZENyJwYf`YWn@w)pMTH}^QZ76E825Hm z_%JuBUlDctb<01h<AA2sj3dEP78P#H;?FZ4Je1&dl3DK9B7CH0#qtZ6m&iTf5j=6# z`@&`uff=4tI@nlwwhJ_#EHUW(Y*J9r$fl=v>A+!CsUJIhni(!<3M5JKD)}sJh@0!X zsPTZyDxXJJZ&q*%&TwF#Jj0QhT{+`Hk_xX)&!WcuizXZfZET9iE-4(c;9_|t^Ey)? zLH458SD{q%mFzcsUR3oLPPoF#C#e3dBvof|<AEZhNk<Zc$_rYq#I8{)<>&Uidt51q zf5VI`&N_-;IlMVz{by-=#3rZ)3VfVW+0DkZ{iKHwx5wRsSwZC++pgHIQ7x61_OLzR z7R0}?^NR8vm6BTLhkPr!@2GMqT~NI;nT=`hNe`j#9(NBG2bF(px?&rnSSlaxY3mRk z#D8(_73D8KvNO$NE?xd^*f+KO5{u}KznyhoPCIemIG)(J*C(e_nWwa1#zH+l!z+g! z+uAG+1Z?fz8aL^}=8JNC>=s$atL9hDKg{&q?xKIw?E=Q|NIiph=Y1+Vci*`wd*|VX z?%Oio3c3&5<rP^R;N@$#IR231`WuUVvs3lOY>)T<+`Kj9@yt50)2wO54^`cYZyeO% zTP@1aZrk%<L;pjWFl`OK_8A8sau~mjxSu-raAM=mZ$YJxH0M6eN_&3D`^@7F&6zS~ z8ygO1U3<P^)*s6?*BE5Nyczjci#E!>w!KtX9e%-jsqi;Jm3#W7Tc=m;$$oWgZtNqK z@-p`27H=MzwA;>kxS@Zu3}3<A!-`hL;$jO=&(AGxle@OtIAlS)?H0XH|764@4jh^G z;$#5(UWr$Wgq>}_BxZE%<LSL{zREL5-;%8;B4NT--mI7d#o5x=Vji?^UA8o?jOW?8 zcMnv{w{*A5eEZOG*iNq4;(#z;d&Q}T9OvIe_;2KY6X8E;y@k$)TX8Qws@%LCm-$g; z=jFIpRg-EC&%Jvvns0Z-@kIXjmTwj_Pv6QQYbo=HN!;d8<?E#2`Bm*(rC%E7Zx6CP zos*o=ur_Q}ea4+b0gY#`X_u9Tu79-QR+G_2RWrjY2f5mI-8c}y|5{QiiPu?q&9<Og z>m+4oR==oj<$b1wO^2C|&%7bDT;f%Nu5;{~<Q2C{qHZtUS6eu9p`xCl$st$mtFvz< zUyFIU_HCf{)$jZI4MGy8Z(Z<s_k-P*ItKGQbqi-K<l{5Ea@4V{%HlwP{BKFA1bJuY zH>nvdwqdKnCk9Ju861t8@=>Ztqn-7b(MDA@<0}VM+IG!25x_4jA(dp~tjzO!b6xo= zr`vzZKX3cm`&RmOR`fsS{QtA&{hF0f`}&!w?(2`w);~FD|9ZXQBh%Us=X;knyPN+_ zxe@U{`S+|@-*Tm1*JrGk?~wl>>&vn%y5k5BccH=O-JiZ@2kmS5B_H+oOLrDO`~I!L zjm|uwwa;%~db&L$cF&1ty3wXva>MVh^4%SO@vHu?m14~QJ_MhhX7N4!uZv&PRZ*^r zU*gUp6-iw}{k|6uDm#bpep{%?b;r!L(|Xy92lEt9F}<6;=s;}nlpB9mDq2+}b@WC3 zn6)VC?W{#dyn{<VoD#Up6gPX(0qNi=71>>U_aqmEAE*u%dGR6KdCH57E<UT7i@MJ( zd$F)v^Or^PJlg}A&R?$9By}<I_gH-jl{TBp$2V(HH}kR=52tDV+L6`8xK1J|;6Q4y z$c}_AA#vY}2UVSULVugMZj~@}?fkp!#e;>4Zmc#&u8qf+X%wCnk&XGk<%>sXqz<28 z?7v0xA9|no_~3$Nw6FcDg9|5Xa+R34cJeKI@u0Tsz|V~j9<9`@s>trLyEh}L;d<K& zwmIR;G(KDsQH`6isQLM_7msFYR#l{T+3k|PuE+Gnz{RxVtBGr4+%k=ew?$;X7`lqr z`d)Ol5B_rTz6djqfs3gDpNVUu<FXZI|ChZ;=+N9Hk<}%)Yv!W<%WjI+jCoRvq;lrZ zSoBI^na0NxBB9HTUB&r*E*`E8{!(~eg!zuCi)q7sLswJ9S&NS72A33E6N$ZJ;L3m9 z_u_HWV4i}j0#_O8j*77A&0cgwHMpeUqDX9vfh+%W-#}lJWfBQHFFIXesJkM<+Baj- ztPG#PxB5PTx!OK~Z`FJPbCrAo-^%g?`f^qK1|}@l^m=3F%D&s@;!(fgFB=Yv)aIBU zWw^}~=xY+~8<^0ox$5XtO{*IzU3z^p7tPw?d-1q$Fi*i_{h23M?=ZLcpPBaQ(r%_Z zXU>%z-7cS3AN7lMm(KRJx%YPME9HF`;P9UD-6e+ya=a>h7Y`h~=<glftdemde(vsn z;<GqnHVbsF7JKyIRQ#984>%X?SH84r;ktcn$L)0ADo<LmabIO@Z1*<P8{acCqh~I$ zH{X^KF8^5grjhQu^?qsE4)50#yt;g8-RjiEw_g5TvrXId7w0?qtdeKZTaGO~|JD5H zr9GjmZ-u!|Hj?={`=9n@v*xZQ*ZRxre;M>i`TV(^e%5Yd)U9pV8JCys;}iau_H65$ zOQE$>o(dhGv1VJw-EI3+%M5=8^aa_yGfWlQKKb|j+x|;<O)Czx3sjtF7ci|j(jIWz z&aP#R<No~{6=ix-ynLjy44U{KCRyZvFz7M=A(6HEn$=Z#DQ=4+MUBlm(=84ZvHy;I zmn47M_D$-}e%pHO(z52&LI>XMpPDBn5%Trk;jkNv8YY)%6x^!1B$gFfH)mnihU67e zA0$?>*|zQ4aVCI&`Wx{jW;JCm9yH1BvdC|jJ9l$o|K>7@2R*WF7OCxmei4byw{G5C z=#ZZM^X2r_yA47XXgjO!GQ8qg-nQ$-!2o{Sx8f=nPxHxs+2$->`{sgky!Drh7x|dK zY(Ff({KnvrL$;>LwZC1C*PQFu9e2LXacR@SZ}M4ARevip9Va}^z4c1*$=0_Y%yM5# zMO{zs&`r<1waV~WZ!TZP<!!F7Z|(XOwP)+G|2sFndw#7VefPFw+unY-XZGi{Yj51m z(jQHx93_7aO*%Nq@#{>P_E#2`e4ToheC)BsGJ3n7TG*7FFZ}Uh;$w~r#>WL0h4(2t z<?p+o`s;&Y3gh~mx67Ug?3nm?!j74b3ktN4KYUcyclhvJnfCtOmVFH0i)0kvJ-1M@ zd9b^w`E?D)@&<QHzQ*H!JY#Gg^2*Ko#3p~f!nxu39>pWv_XHlQme2ht^=De{*XSaS zJAdwM($}=++A%R*aL3&Ai905z2b#`K5BxeQJ<xP=dSL0~^uVo?(*wVP#HR%R6Ix%? zw8AiDL+<N{Z5(&bb}M~UJOAiG?!2Q%ciVKgf3Fmim;JO(<G>di?~b*V(>S7wsydp_ zS~^}S*ra)0X@lW;rHzW`A0^bzJ9>1NO?UgzN-_EDPdbcY&m*1IoZ9RXF*Ep|Uf2_s zLhgup>4Fi{(kDjDN-x}?a{f_L`n;ou`)s=V-&Rgzxcx~-@!GRUrJi$|_58_2O%<#? zXE$^7oZjrwbAGeMj4A1bhL-0aC3?*}di;uwcSC07G=asRbOh6$OxfQ*)6($|Q`*x= zmbB-QD$kxo%A7fSGPj}9vQOZ1v5cTt#B|fOb-!FKH*CmMzk1{<+qyqJUX0i6p6@si zI?X#KacA>slkn)KACDDxd~>Z_ocTd)?d^w)-WEjK{8{z3KvKT$VA+mfn?GG|3)JoY z+<E>qH;wBxliq_hvRre1?%Bg=mdLnVQmbInLQwVk;8^-KAJ3TW&g{S6On>}n)?W|) z@V6I^i(2y(+~jkP*>spgJoCn~eIMJI)NU_4AYwgbMpirDvf67`W_-DRv@YxNvYZR| z_f4GfRra{-89jS8vGNO#7RQ{ueSKSY^ylE)Oy_D7moue%Mtx8Ic*B@S)WYfVrOJMz zkOvVvn^ji)+qt~*x7gNS;tM{_n|q{G_g`o5GzQb749q%=T@mar{=2RWnapRpX=Sm= z3y$UcFU-HVrRbyg?-dQ7<EI?UzP03h@xiirvmRCcy6Ggclkej8n(oCZKeuj+O+NiC zw>~#^wLJTp>|XCR50{%&{E1v?RPx}5`qn4RwbTCxWzCh8vfom?@{fkU@Rj~Ab9Mi_ zOb=kq6IgY`(y8=ALqM#Ja>&+bl@R$_PE!Z_;H&y?1XdkjaGEONv4W3Ha8>ugmRE@! z3x7#?tzc}Mx$2hRH^q=f!4@sUNddAwN+IHlIYZa#b6!0j;lyijF68RBY@3B#J_;e7 zoh`2xC@<Vqk+;omYju_53cEO=tcLJqtK=7|gfyDBXnmX(AiGC7MEpJHRcH3kx2~`~ zmVC&2;pCT9PixbiL|-_q5Q^oz>S*p%dU0-m?H7d*d0S3X2mRpC(+}^pXc^22Pz@7W z)g0RLD#>(VRfO{jyK6#O4W-MZL^jL|U^Nq1b;Ql7bVFyr)pIigY;zPt<fA!5-x~Uw z>Lge%<a(nJ(mA)~Rf71!T{nDJ$bA!7)xWnzDq-EqRh>mf3s;#6FI;t0XyK|*p@q9< z_^psj6IgZYs9;t@<os#-4qR9wrhc^hX?ydmFBMjM5=x@}3cRj#618w&A(YN}^`K<% zRqiS$Q47}<Lh77X4{`>FUOrvuBznVnh0tcss|R(0LoXljaT1;3xI*YMXK3i=m8%|B zX)Rp!%z1^-WX`JxRf0ngzcO$Ny)3rSt4BSA{V~VYqY+M_mvt8YlJH%@=r(heRG7Qa zswgpmRYwY(N)09k#O5f3@W1A~dfdv1cf+-is~dK;1+az*uR5Xyn#K>g`eA!h0P8b> zRY#1RN;g~yxoWVrC4f~-c-0Xlr_v1{^lv^~@+08kn@@}LIQQ-|eI~Kk|Kr9(o=??( z&-(JIxb?HgqWZdd`HD>3Ixa~ITP-5KWWJoaUnKK_eT1%tJ>Lvhrq8(^N%MFuXGGU6 zUcvcNI`AkvkN9+XMW2n!)On^)na}0(@RV|!cQ2EkUGk1IEBb#Z9)9}NU3c^C7rF71 z91^m{rkJ0P&QREJlVNFb&Z5Qx$4pjapSn8dy@!H<IrpiZv)q|Oi`g{hCM)q?G58sq z`8<l{*V-+++U7@3pH#KI@AcEOYtIHXOUJ*^KXzMZSJ|w`Y3r7=Z9ny0T5I02%~z7* zf0mjzT}ynweW}^BrB)@n-->tev0sX~zqoVz1Kn=!&W|sXRy_#YC3$>W;ZtiHSMR50 z?!U`;b)}*n1pfRM*fssZ;qyVu_3u`7aH;-TP*bkis=e{O^hQ(tgVmBN_MeTpziV6N zX)#mQo?pkfSeMmL-t|gi+lrqnH|Fj(`?~gZ)RuqMjI;Nj-N=#ibDN2Qif-@O=>Ll= z8Kc+wB+TwznUlZWXlsMorYjT9eLZK>ePG?E+mkuHFK5pb?hMMV(k|X3>(%pHEq1c+ z_f1|?w>~_5=HSV&P5(}pXlve!&B{{!yzk60zwMJk-QKupM@IfDDHqPUE^xoIEC1-) zADc>wyB7WWcCG04!;gPArfxfYy8QUGv`uldHK%R7zjSll6IF|6yGu4+S=OCevMgDw zQ1zRJa@nE?x4Q6M%@Iu>CoFA?Zap1Tob$`<dPsBc{bidcO8g0Vt&!lfEOp7w126Ku z_`4_Xsr@{wt^S(0!8WexQeP{>eQQs*&F7vG((0X1WOje%s@cIF;`tM&Kltag>(#4E zq2&uE^v+$ktJ&?1%T-yeDbrk4BX%0^Rk<t}WWH3qJ~HZ&%EGujkqs|SU*dhK(luS_ z)s(8gjX!6$#T8xIt|u*4lbN!rWPA0(nJZ8AzPp;XEbEaE=Nd!f(8=enL>UL)3Ospb zm;U9$3+Ls|-tOPmzT<!BF5MYort**Og|D>S_heP>kCmmne;u2~d-iMAPd!P^hd<Ll zPh-8a@{nr5Zm#J^)@sG@<%K;gDqPGpz5R8J<%`tyTJ6~}DsMYaC#H((HHwDrP?;9~ zuxP^?uIb%NLwDHd{M5Qz->r9bLG+cYua_6DubcYrpX$Hl+wvg`taMbm0~5EVW&d#W z{a(NRc+>hz!u}V7<G+{BWsgzxzp&+(<*CF*?|8}B)lH$*`VW%&oF5ztciY}4n|phu z>#@pDOx+tQ@BaU}GIzJsoZqKj>^=3_x%=AJrTU?BRJ|RfqqeL&I&=MseQQ_F;*_cn zaJE=>F+F3=|3Kc)RT)-)ryBmcGOg`W_sq)uO^@!MJu}fg`0M#yzqajSoOAxnZ&&Bl zzrvOp&sREa?l(ow?S3X-eX6_WG0#ntz9t2-YCd3TXYHG8sqt_JugvK}ju^Gm`u7gW znLlUl4Y~N`*DNh-*OKoU3#;7EuV1<7`tL&79qm(JNekTFzbq>C<2J@!wZ5TUZAb6c zglA`5)h}$B;}a6N!tUY)3G?5*Db1HxeCeovzJ8_EMK;?bi!NV(qA8M>bvfVI`)QXe zzuyaw_@tiy>!e)Sr*ZF5XWwQtz2ZO-dw%4*N4%$P_oVIY57*)UFzKmcT*01=4ecrW zb&DI>b*Aq)U&Q`B;@u;O)3$q(clLkR;lI$h_n(05$-vnE5$k`Q7ur?)S8BiP<|%J% ze$`Fi_jh~GLZ;Iv^8y#KzInLeKpvm>n@1a(=lYye2{X8I(5r3Ni$ekY?2@k@GdMH9 zNzdr0<>}pUJxYf6*SD7zMb|VE8#l^KlQ^8nzS#55m&{dx@iU9v3eDzCx_Qb>&{nel z$GJJJk32n+K9xTcnRd@PXWFv~x2G)raZg)q>HCS9-m$(_;u{}lCtsbh@kQ*BZ|i$Q zCl)O&7hHY9<7VuUYg#c((W?qPbJiVtnh-3iCoa9Zpz_9nsm__=8|QUj+0reMx$YY8 z^aCAQF+zt|7g$P!wVpnDK`TbCZASCPqR=wI*JswPs;m?@yb+TkUYI0qcp}DG+rLtL z<1`z`%X&$??W|$-xh3nk`obsNE|Os~KclHy_Ck{L`pL3M_grI)!zZ7be8V-y)cx=z z$M;I>W@xVy%8e@gYAjxQE9j<c%)eU_^{>3X+x?nwG+u5;)#Qo7yF21im{-10(wq2H zTHR}Rhukx%eQz|5?(hgJx~%tzsr{;3{~5<3@j{K`iUrej_E(4-UYH|j669Lkw&wd0 zy+<aI@1MpV(bT@WyJK?7YMr-AelxsZ2<g02>Wg-M9eX6H`1Y#IBJskWJtn<gyE_)A z%*}A#({16jEwgv_tuHmjN_vrxVvi*L66#*<a#iEm=9mPb)2eEl?i^&9wp-$S5&z?e zcgZ@ZnR^l|J1)wE@9tO$PA{4|;itu>S<g69BrY8JE|KYU?U}^N2JL5h$_Jfe%<_L` z#ocl3S!5kvC~i3Ejm^=m*^J5(S{G)&5mSwFu;=6c@^piXJfF41xhFnJ63((bsVlk_ zdA=IVSZK#)XmY@ztxe*<1&+UKj|#q5`ZlXvQ!64tzFqZO@r{FwLgsIk_-2*Q>#{$a zuxe*_#;-v3%V%O;Vr@7rBQoA8y}Go!L-(1Ull7$C9jr5dd_2s2G+wYeWmhn(sQi=n z^LC0yKD2s#*XaAwE|a<q%jfJ}H?z7k)%)r6NqRHyCvI8%h0h^fsoXW>Osu+6`PA#o zRzf>hdp>_x`?Iv8XX&KfeDTY~n{EZp{;8P$@%nu8-^TARR&Q{fX_|U6?DmB{8*?j9 z?l3TBTy9f!b%(-cOHavJYB#6HFRQoBEmHknJ^5u$x_Qm#RU0|atUab?xLj&>^UbR_ zV$Q5O_AD{Y)J)!Z)kfACalN`nwyfW2rSaBu`KFDt%x0JGUvo&UV7b)nBX6^E`0_%N ziwieP&2E>zdZQ+0{V}lzQKs7j^o<^u-VCd1c`e$`8kb&|rTOrbUZq!>mX@^F`6*{l z=#&OWBurzSZe%|DFjN1FJ=4EmFE@I9#7HlHL;mKN2VrTd^PheEwyOGBpxR+2gE!K} zHEVtUUNZY6{mJOm>?eZvCnTq3P5;w2BWcU>O}=vfcq1oHz5C`u{-yJFQ+YnBlyuE- z^IEfRU+U-ht6|?|V#S|!z7U#wux*>~?zb;zu8lky=p(w>LgllM$H_}yQvc1IDSzZt zjH|rQ^ukJKs}p@+`$`^|KU0Z5l;vsLpm*!kye<1}cl}cLtNXb<tzNO9_VkXv)2%&q z(_%!#zt!fN#NGV9aC1ORfY^oEhne=iXwrYk6nDvX<&mozhl)DQb;>_Xd#ZPD;~i)B zcb`<=T}qA+wBB(%QM}*s%|p)i+C2{n8kWoOee5|bn0M>+QpbFeZvx$?xx{arE$WQb zDc{f>ab0YF^WoEHinB#SVy^G=u%9~nL6t@2yvj?PJc3`};++(sYSt^7dc7)>Z(Zrk z`Qlc!$$ncT`{QnxsU3T1ReRfV<F>a>JfgmGwl~Vp+Q>{dGU5H6-mx|JO;%Lh%F<AC ztJ^P4qZnmZZRFge9+uhW`zX}ZjNNv%<;w2oS6x1TSRpmLzy0bBCYu$<#2(pg+_v_H zSN3hWH>GB4bH!^zTYVQ4o0@g%UA-Ywvi8`sMbV~a{C8Jx{P`lXSJ&ZwR*vE?;n&W& z+Pd=W1rZB^pRqRxty`0OUU2bhvnltdFE~8?`nF=-9aDCGlo6}&TC@C>)_&RCb9a<= z9)G%3#(kP~o6zdDT>AfNK+E;!{j6P_;<+Zb{6ctS-0gQ~{&Y+`;M;sRGwJ0D+o@aM z>g`g}&c1y&erERVU6**L2_Lz&?Z~O@+s-AiQ`8q9E@2bSzP<R1W!K6iG4qR}*S2h{ zb@Ev{YxRrjmqvQ)bHCr*7<c>K`9B`h4rGN^f@1vEw*{aWpC_0d-Mcyaw)3<Yk?Y&q zb4}uI%YmYrWu+@$J8Rfw*7!rLHc2}VBv13UN!;1IT&MhF&r`X1#naU{wjJiXR&?X2 z6<>A4(L{M^3z-DlcIQ2h3tHO06=hz?zWw~jtu2RG&uxl%q;*=>W|>t=p+}|Ln%wRc zJGRw&t;wBz&+&k8Qf~D0xZCTLuWh?EDem^VsV}2H{(9RL^*2?)=G+}#eL+#S)yCzw zwLMyU?GwKKi2nTG()+vJ9XpnuSGWJU?#rfBrk4j_zbt>bF!J?LExiXbr*hhCH|i7* zd-h=I)SVTnsd91AGy89ci9HB=7T#~vefx!wwMax(yHNMr3kQp=OEw<jvt6^rS^o4J zg9CA^c)a9WZqKZ2(LQ*p_f_Dtv(|sLQeG;%R?MjD-1OH!_sYH3?P0xJzrM|W&0TRI zvGMoJcS{O>$*zjB`EPeTsNJu%Wcpvv{VP}gzq%rRQ`EP;bGdZ>+wDE!dFc~(n0K)) zleVS*%crZ3?v!g3y>HIzzkii#>FcsyUftW~{i_%E=1twLmA_3eCPDPHYT4#H2j_WN zuln%o(xI<$Pgzguh*<p3y?iw^;n~%T);r}IZ|{`rjNU27ZmymGLe*yNWyxFNmDkUw z3Eh~VKgnW3eo?`OKPR_uS@|XHjba;@%(mcAQ+Elif-8R6+!n_I8o!oBg&&Y=<65&c zD)^`C1L=@#t^J%ece%d0YrPG4uu625_uiy-rs-<~Vqd6Qi|mMye5SQw)6t!uWiQ4U zzgLf6FstMl>(kvk<vROzJ}<iPaQ8A*uS1`McbD{;g*+6x5z*?M6fCO8K6~Y!?JITH z%{evuc})K;hwx{+Tb}Q)zgk*2{Zwb#+bFet^S1xpy=-mhwa}Wc_3Wm7V!VY7xmOAT zo=knuerNN1*ZXciMPF;Jw@l}?Uu{-7|1cB(o60|t^)dM>rq@jh8v}KwOPnoYPmXw( zByifcCv|6kp$@;nyr+t48*C08c|Y^f)QS_L>va-@#N)Vp(smvZnPxrXRFU}I$afEQ zXV=TWkC1y{d)m1q;k^7p<?uaxa)l4)GFcTqlx;j0Qz71L`6iL0y_V-`K|`VppW&Rt zf^H$#I@$E>PhEXlon)1DdDGH+Zx+7(e&oSo_bC-MI<7C=rp}+W;gy%;y^wF+p6m7( zU3pxcbVuvn=1U8s7eD`ggdt{E{FQF2{6crJ^##?NV;1n2e&W(k5X%>saaLO8*#|cE z;>zak$~^}U*L>4b{k7?iqy4nqFU}Y7Ge^8jRyfUkCZV!prcS@X-7trzz2`);^43UP z$y!@v++re9V0V1swJuA(&dHX1?8l2`^!%P!*u*^64|^n(Ur;d7e8-Lh(l&D*^V*&J z)W(or;oNa~kK!TC*$Z>`M%`H%W_b2mP8VCFbPY@A>?^<Y|GkSSD%f*xOJU~9_PD*C z>udIAcWiNLJSd{`U(56aAM3G68%oY4mT4G7O*2pQ-SCM;Kd!52qCm*~D>aIzE(adH z5U?}(SIm^<%3R{_XW0oqRr9O-;yJJDQ-gqY-Pt<s--gHQvziY-eSawA--gD0FK>Nm z=T7tL<35wH^GL}w>ltpJ#h%*7Z19@Kb@9nBe|Dd(vT?r-v#!o<KmFrF<hH}!^*a)J z-+p)`uNM)SXPdcZ|M8FmT-G8Had}ZJItg~ptj`QXlJuNq&umQhN}4Ha6_M4hH|@s4 z_M>Glk|)Zt&B$$66uXt!!fK-P?_rZ>*@|uaWg3Pj`DA^jEIoH?h6LX!{r_U~t@ZC` zcvu<nf7`Epe|PSu7ku$`7u2`y`Mb^Jn$)x>kN@YNGJh4c?#z0v_4{@IhGovUw14#; zt^a$1_xSz|{?>1lv#La;$@+%I|IIh|XRccr#Qm0g#}C)A;MM*5UiCBfEE2u2F`+|< zd8y(m)yB#l?T?RDs)W_dzIr9(Uv1du4VOOrj7ZH;3`;jzxNg0(;_8+Ae&<>>JEa$- zs5LvK7OWM#aP+qiTkEL@R)?P_?_Jn><3sLC=J`?D^<h41pW3OXG;+_MTDQ~dBx}jv zIhR;>P1xm<9#npz^Ga-qN-4kWiX5*!|9d9Lepz%!FD-aed*qZi$!e;rS*=vtXZW2I zTvj`81*h(6rTJMIOu=^kCf$p|4x|K&%t+}Ha`wG=kR`ap@Sccmk8zQT;k64gJ%%RY zi+Rr;)^Pr8c<BODkAcb7cJnP9hl6J3eZ8z-^<~D4H?Ld+6Ap<l?H5pYX)L@HqUxr~ zK4FoT;k}+$3-UKz7IPJ^^||PrAIx*%_3bk+TlsEH4v76?5MrAZ^V9C;F0U-c>l%@3 zukJTbws_&@Qg*RF!1j!4h<q_e=-G=cQV&;6WMBEo;PMBrsqK<2uadO|6%U9xmxe^& za9P2m9PAwDshhAjFsn~*E3XSr=SjDFGPbh?<HT2UTs`cf)YQ+}B9%B-@L=e5!*e_M z!sCxCKDLUfm2K~oSY<8!ytuSoE#&G_&d|~<r>`G>NeA<Om=K^WV^Z{N<*wUqD_+go ze<E(q^7TJg6rP>8?^&`7Pv>tpJ)6?A$(x;9f?|6VL--eSUOlei#9J`kBD!PW&4unO z1^Xm^ehu|}qZYDN$>`CqSGURp;@F#e=lpzit4&~4yJO3%WQB!nH(clFy2wAiRa2V# zm&Y_9yzuajE{E8ocFK7R)+O05+-2dgLT;UKVQq}@m4K=F_nTI7#r##fy~OG6B{qSy zPHmS2_U)WkUBwq4ZqYhCm-DK-x)bk(i2=%A%!|ZU&M7t6Gx5~TYUT8ar*2w1eZAP- zA^qU3`AkXQ^*QaS>7KTpyymm|-p%^k`)tAUdyF@ChU-_l&j^(L;<xT^_oDXjv}HAW zOpY?>`xqYj@n)*m-m;2$xg1ft2|0}uSdR%9s?1CE4tuq-PUvCj$CeLO6+SEM<OH)C zmbXYHE-Ey$y|~|S&#p6V(s3)jk}}FZT+L$i-`u=@+EnZ4!$JQ0%zHn-eECIep;g7) zx3_})mzQ&_Z?A57^_X$tD#e=}tCwH?cypf8R~F080NFc=N;@h%R>&<A60Gr2zQS;s z)AW$A^169k(<QDiTfXd_8;k9kva@=kTcQp`D{qji{Vl90!=n%)&deElR<Y$(vcW<& z38xi;_arSZGrtNryqf(=V#}+=t1q^Ey>RnvfU>QN<Wb?5k17*VocdQN_gsD{Sjx3< zS485Kz$IPjh6{g1IILitmd>uV^4Lw;n}UJg&uzU~X!dT7esA`L+Tx~*=3ie}+gbne zD&6_|OtEFf=e>%%W@M*bJ!}^IW#ds1<{Y!59P2mTEdMfLkDj$kcBrrYO~uI5Ov;-| z-@Q<*jNG<E)>dWxd9g){+n?~Zyh>DF`0Iwt3dS&@bZ$eVXx=`#741?#uU>wk^?26f zz4t60&wBi_)I`uZY3oF8+o^p@d-T|ZSGAvPd6mp7Xnr8bsn_6O$AaiDX^XFvOpeQs zDZb-(pX0L5#)B4f^Hxf8UOlSj^mW73fUDo81u*BRU*Tx(>ArmXg6^@SFJ~64EiCNY zw<FGN_J!bwI*&`Llx`<~;R~Oex4O{Ec5m)!zk5+rUnI@(J70CXQ-Ci`dgg`A_k5hb z8uSO$o>9NTz|CoTNb2#UFWU-wCmP#|zcbO(yZ66zO3RvSM~<1kUVE?XaZT0dt*1NQ zycWpsRg=*8oOf6zqgefMP1UPCd2{^p-M&dEI>|oTTDZ?+=69)Q9<%2Qq_KDR1YI+} zV(G+NU}e4Ub=n?%YvC7Rd+x8`x7E69w9Yr&-F&f3NnUk&y6j#Bo2heoO4Kb9(heMT z4E|DZLZnv4%(ZmsvreZk2Af45rhS_gv-jyv-xW;hn`)z1v(8aGBb2^5^uepZO2=&p zv9?<NiXmH%sDSVl2KCO(trgRAjn>Jn_Luy5HMH*DjvYJB>8EdJj1t@7ab&`dgeOkn zA4-&u?`lgISk*qW<yErSLbe?qb97zK=M<Nen#LP_TJ3)R=FNG_t}=y5UzfR`9qoN& z!j6<DPW-Eidt_camg+5B<v2Cq>H@D7jAcUU+#gS@NVmO`XZC4z`>nYxS_ay?!>(m9 ze(#;s;r?LWP0ou~%O8|UR$eZDpeB8NVf)k8mRE^_3x7rUtY9n?PUrr3N`teO{oTC6 zv%lhQ%Pl_o``4`9>DS|;#9k!EY~E+Q{rZX4eeB!&18m<YgvihB+`;%w_#Q_r&-#08 zdWKPjdw6A%V%!(3PgW6he$-HCx7WHRXUgn(kK_bbwKu!4$hUKt9!PZR{h(xR`!e<X zr8ghe{eCO>xT5yp`JS4WukU)V5Q^Pg-1zR4`{vDU?@lsr-oB*7{at#OT;I(0-xhgY zjD9ncTI_Dl*tK2n^tQhhD?i7jtf}(dY?IwP-Q@0O@m1j_+MBLbI9|5QzN$U_^G&ad z<$>Q*>VI4+_b#8ksQKrz7mt=mUOvop`DOA#O}2=XE<wMP<X6@V$2XVC-)FGMj#3p% zZ+><3s!(vr#w#MWYYbiGPx}Ps{`ECHwDZ&EGf_D=KYSP4`s-xRwztvS)?eITSN40) zmp!blp=Wh<{+k+fS-%Lb+dcn~URCjp<10U9TZ)9`&OEHR>-J{7FH65B>^!hr-|PI{ zi+dX8&EL${$@(<?o=3CG`A^vwRLVcB`(v)+xvr~!FB5-l#Jk1%r<uQ`einapR9vS2 zLVxFabB4b&nR=#w`aFZ_iu0FZHRh@<b;m*yZ3^b=+UL1Qsm$A?Uhtvish-^CJBO90 z{oZl@69e-Mp=+l%H|q2kOxjsz%gK|}cfEUi@%>xe7IDXT(^gr`3wUM}x@LMA{|uoE z-Fp;s_+ouhcDC#4eBU_rDdV<{Hb=^)x!*WgV7vWY%FY9N%NicLKFwU#_2}u-FHG4J zW*iHd7}xnOZS#u<iovZ_XMat5DtB+go#WZl*l!$urhg=En!Cl}5~01zZk~}@AAWNE zj6jVz{rBmw4B{;Lb?im`rB#+0gf0=ksXtTc(&@L%Z&Y_!oG9XNk9hak;54&LVr9o- zo&JKJhgG_jbDlD(&yeb<UlxB*T4kA$>4NJkif_tT%s5^o{yB1;*hZc3*OAj!FV#Km ztdmyRqRMl9yV!IiR*Cy5b8_Q7U)>IW{MOsGR(`d3d+itP{h8hm8BW`!7j!)RmOZ&j z)$({<?l1S6&d6`a6u<iJpL&M#(fzC$!bi-R!auV$&iv*WC#{mUA##dDc09LNtbO(k z?}Clfp2}U@c;|T4H1-<@J_-Ciy?*f|n?r8TD*iTKQd`#YSbpL&W7ZYoySKmX{`teP z&G6}Z$IE*fO6PB6>pYvor{ZQDy5jw`b?L<~l9*51=A`WG_toLwF!QP6whcCiuAN`A zH{$vOGd}J!sb22l|I41e+4aTz)^Yv6*^gv?Gc2tSi#eTo?x-JM^^K#6^1Cf%63nJ= z6*&AhdHKK2qJG;QdEYj_Ias=Sey0A{9T)jG&5tpE$r)3^et5^?Se~aF+9hKO<S$#w zBuKS8^E^B!o47&tb?x(z>Vmy*=NwAh`u=$n`-_8#TVu9`@3?35Zu9ra6^FN<yyyR= zE_ajd>#E&X@6I#dd;3=Y`Puh;d^fRQuU^^t?U+RVQmdQ(>%KcK-tmI3t?0&40lsR9 zGl}xe7BUYQ!u1rV6<9c#|1N#~_q^ioi&ejkFT}0-_%6MD@4+M0vunSxE#7YS_f7b^ zax>!{XLimwnaID{;!UzyyK~9YQ@V#_eqXFQZ*)CQ?_*!``n@q<&OT!NR&=qvWA}~E z%ifEg-~3!RDBSG-=1a#_lh)k-`)i?F;mt*+-<E6&t#V!;dvVc+51+CZvt5gqlQ|sF zDfo1I3h%9Dsi&FBqR+3{a6pgG`^}RL&9X9O8|NOD+g5nvco-l1jY&~AOdl>QUv9o3 zCc*Nw>a>k_4qkG4`Sh$wc;)KpR+Hb>ujq^qjtly+=;rb{>;IU2eN>bDaqmmlR{q(4 z({JARvTIk?-M{-)92?HvpQv!8>4|mC((SiG^`kvbGIdYVS2H`ws-srg_;QKf#wk~1 zb(BlRPkY`y%%wG3NL%yfK`pPcjk6}%u34BO@2_EbK-Y_}aKa?zJ3n<k&YC+dbmDze zlg8QeRqJMJWwF|rx;CaS)A)EtM0SsXtGK@J#lzal?n3K%&mNR^F8+A!g3TOb6M1(Y z-2;Kn+=XW@D9QNqZSP(Au&lbs=gR#hIZ{@S9)8Oxa_~*Gy(5>r;z(iki;5k0k0my) zm6>+qU?RJ_#hXV4?Y1(HHuN{kgmo|dWc@7jj>(;O={pbDO<UbL`zhbI6PLKZX5KTg zIwo2jJmucm?XyCd559GJ>C7NDJ&(ow)P44iRVsQ<=d5*oz3r>+#()o!`4{Bbb_Jg> zU1{@lwo2Ob`F^>KpMCY(p3k=F5$KGZU@$fP;9Miaoq_v4>Flt+A2ElueCq!RT&Zia z<HD~;91vP3mfU~fQcQmo_me6ao%3IJL`;1Baf8wI$H}2TPn#@R9#&tq^iQqKrNH}A z*%8j&OxHfKHJ0Y6MXgwwRomyBP}t|3l-zgt;4+!^?z5JB{Lw$Ur`|XvEtB(@H_Pyh zw9T6bymn=u+8B0MICtFLqj-qz+JyzvG*3o9Tjuy6u2K6n+f}W1&n;Nwo>-{JJ+qLp zIaRo$V$S0aA6oWDbS~d>@SyR%2aj0uKYW;E{-ffkG}D(SuT5O!?+Gl_zg;i&y6&ie z*^%>KXB_X%TH61U|EbN=^}o(P_q%c<EVpk*q<6isZ~gQC8T&jR*qHB~?!y|h;*e^= zMy}~co@&MLtqXZrRJfIEdV6;0j+&VDhn9YG2;JdyXYHY-Wgb68RSK=`r|3M05!Gwl z8@fZ~Tj;~04g0yKcQc3Xu#s7F=;`AC(RB>%s|#8!f?Bl?&HKYuRG?!&CHTNctr#Zt zPyR2G9QU0(e6nV8fAG&`49h?JDxP~XTWQW&?dFQ<A9hW6FH{k%@mJIMT<C$@SvgGa zS8ep%v;Nq#1<flCJ$n>ndeNq2mD;TS=T}|03-(DxcNAZ}5z-qL7%r5)ieuTa4VSOp zVDSr0o@^1>d-h;wR*qg@c=G3hHBz(tm9O4liUAFkCYf&Ia9_Pbn|=Cfj%96|wXfb_ zVGF-lCfn(F^@a@3nq$wB98Asl|ANL!V|sNR-e=_~R)r>aUs&8V@sjIzt)`u<C999A zU05$Q+huxI4xd|Ca<SodsoCw8S8vpuS#?Y-A<cA~fbpu0t~26XV=HHbxf-l*Sb6mZ z%d(K<$unYl&mLTom7}K?mi*aZq15dDk5_Ln^{hT7mXu?<jU##03T^qtt2v&D8?2I= z?QlFRM<{mnM#~+cM>XUgq=~K*xW2l;b;q&06LkOUZd%=%cJ^QX--pkc)Xb*+(==H4 zvEJ-={p0hF+O;XOm$e+&VfO#loByk`Z!fD|So@jj8Qat;2O2-zpY_+<GW5X7lpx># zCzl*~nG*EYYm%pU`eaZ3=aW3;pHKEQy{k4kE6P_*IfhHSa*D-_hOC!GmNP|mO!D!H z@l}(J@m7nC@mI6G<DpiY<E6%|<E!SZ<E^Hw<NxI1!G$iTjy`lbb$Fu7spA)2v<_@^ z(K_<cMe9(fz}bK9nxU)njn;T@Gie|GfAvkhS_{*(6p_ZWlCDYKZiO367w@<+Ezl<C zyoOy_$`l4`W3P@_N!3H|zPNg<@rn-NeScCT%Xn_!m1CBREi5Jn#*}nk>S|vndDMM- zkI;f@H_nU7i(OtwF4a49@YR>loe`78Y}WX4+g)4Q%JBNKQwMLR;-O<tRLx%S-f^&B z%fa*E|Kgkb)uuAVRZ4m;h`zRz?dX~(3%tXME?R1@^bHRCbkR_o^~Hp#PJ7Nj(>`Ra zUu!G$*ZBC#Zy(Ky=VU45Z<=)E^_nLOs?DZ#M@F7Jd}hs)WVbLb16%FZ8PldJ`JBDd z-&`ko)b)PPqlNx%A1_)jW_d9+(CNz=jjYVq|I;_uYisJ(U#fq*qaiLZO!!4^hEOff zS;zY;GHX_8E{IoBy<nduC6^sCTY91oSC5aHs+;O$J~#ErddE~I%gqaAyC-#ZTb}A< z#=P)jXQVpMb=s`-^-+476rl7pu|Vl*vWJprLWYuPQiPIdV#U(e*RQ+^{OPxJdY0pZ zyrt8RIWd|WcOCfLqx4Z}u|>tqz?eO!H00vaMdb61yc)K@<ng-W&CU02IjcDTrKa}w z%!9`lZxMJ<7{&2XMc1Wb)|tp=xxLFmqH@-7_^c~gxM<O|x=7Eh%hsqEDrs9v%$pj+ zbJ|O;Elu^R#HOHz>ZygA(^S+_KfOyxaw{}cT)ZM|dSFe@X${6>X;TD}jl5be)&<XA zqx&FsDgPC&J?l#*M1|IEZ*~cK;Af;+Xg;%~K!0XQq5aG+9~5SO`Diec=Yz&fo<iN3 zJs*~Adbeiki-4Dpwr081&-$?H;-5$(&5t%SOFk&gEcs|O^UDXVnO{Cy&E)x@Hk0S0 z+02m5{!0CPR%)MKh<vbnSjyt3Hd&~8k|%rhWKZ$mlRWu<Pxh2&pLCLeeey|x_DLr> z+J!4iE^1D6-`=3ixn8@#Wabo$X+BmK^L%z$O!TR`G1upp#Z(_Qi@7IS+h2Bmd;Ykp ziFL<BkJcSCJzRH){@Hf(zt+-O=4St*ZtqzurCFf%W5Lb(l$5Kl3O5=pKE7+yyt6JH z^CxINkWuBHvpl7f`(Xa8ztv887m_bmo)=&}H*?XE$l#FGf$#6nHr4L@nzgvp&|{gZ z-qK5@JLa5luFX7jbm|h%{}-=;4pmwd^eWrX%IAp2^b0dityt}{RrSb?BR>M-+Y1vf zyTnJ|UsPK2=%c5%wvUI}?Sv$yo1f%ogsl9#i%CsAD9bb|bN;XV%yVmHR{k$}wkIVh zsm|zSf}_#Pq(q~aiGfBhlM9U`7vvjBE=o3%To|m>FBB{s(Ca#PvS*{-$t6*$>XZ3) zsZQ40rOsqMW17cKiK!lyGbVccoH5gbb;eYW)){j>TxSTIeQivN6}_OW&H3ewm(bo# zlbY{Eo^+l6VgIa!8e4y@Ox?Xr_usv!tqb`QgZFE$-eo^;-IwESW=HpYS>2-Jm6bSS zstQ|*>ei6cURismO}+AE=F}@Gv6=gL|F6mavoQ7Wd5fo}qLH(i{M3S&)b}it%3d7x z<X=iqLcEdYM)R2^8`Ni(Y_y*FWrOz2FNHcYc|I7;<oT#Hv*&}&MEB0eoGYT9Y)%P! zkZGj(QDtVy2aA~{g|~cFY;C-POq<mwGs>w<R(z*ESx`^)(~E?3rd2mT<{7OFlRUZP z$lH`l2iK-tI(jzc(&65eAYb*9DGk{tQ#w|kOli^XwAp1bt0Ajw&m5msHxKx$sGd`u z%y&+0vfeqB$#Q<GlkNP}Co`IbhNb7)$ap?EdHkV^)`5jCT1O7LXdPmFUw*bO*vmBk z`nr7^OMPQ&txemK7ynP({NHDy(2e)zYj@th^6Ko#3#XJ~xS0-XU3SzF+3>Y~xoBgq zq-#>OTj2)f#XD}y3=C;Mp<!2+K82y$$g6`_QuWZj|8sY7bUu999T1yR|4ZSjH(!cK zXS3wdgA02eJt}Yu+&Vq5M&_&rV_)hNf#b$rEy6Fm3k;NM1y}LU^^sq)>*AvxB}3cA z7BeOW#+*5$A(xgaBEQ+#tHDxI^~jwbp~SdfN-;e?@hz`XK3`^ES+`ALv4_qX4L-3{ z5%J4LUG1upPyeUC(LJo~`L5*r@!jPf&hKv+Z#!<VE&bh@y{FZcglxC%KB~IiE696Q z+4tBBM#i7TjKRQJyiDBK__N!Sg*>Ou@PEDXbN_yGBjsl0&oWEfL%SX&Z=K^PzWem; zQpJiJYStC$Q(GCVQxuP!`;ocm=);Gf+H`_A_@;jEsZ?#ByUNADb?OhN3xO(a`JpEd zny-5Ds7I^PVy)Mn%vC`RlUIp6YEh2ozkgoC@@egx9h3UXH?0rt{B9JQbYr0xU*C#J z-G^5_c{oAq<432dOfmsW1%6L`ooKNjhW~xu?<pmF_P-5PwfnMC=x|<W(FX6SF>gXt z_gxHDl|LV%c_28H>!Zs~IriUEUq7^czj0Du`Cj)`E*}G?{#X`2Rpw5(s`&SilZRtN zKNY&4T-jag75{NVcwo(&z$py7MOBaJt>5x9GdFwvky-K6)EouP&BV%Wvg;$G4!bGr zpC0x7bj2sFHPatu<@`u}8Rep5<|VD_&1?2|)0X{NqW3p-_3e%{Dm^BqnRoDJ(IUN? zS?BZv^sQPu=XJOjJ+F`K`*G>z^wzB{9Ys4t_<!0N$$h%0EN2wc+s?P{sPn#z&UU$V z$DQTNA15~a{%CMyVT7FKyCVwnl6_+3l}aiWLj0P1$DP}pKVCR^u;Rrd2b(V&?B#yl zkm6^4)7!52O(g${&_|DVWje?C@{THrn<r0fm)>~dcx!~ngBTsI!i^TDA67)IS+91Q z>EOCYn-?`rILzv*Xt7>H<he-e!!?U6ES72L?F$s)PZv3QJkyo4z$?&cj?TG>m90t3 za~_)3I0bU_X>%RD-gRh0SfGqfn230{$kD@2t{*o#1TviopCa)2N%w=zeL5C5^tko5 zoos5a-Spt`CLNX?D%^Wqj~HLlxyE+7OF6;uA@_nu29Y5<R_-X(^SZOtOT;^*GjXGq zWyBIMJ+W0n@gG)B>epN)k}yxJbw>1_udx#<woQ5c@NIJ6q(1WrH&?kBdQX)|TQ#X! za@CWhU0RhhmU-DNTP2jQzDgu%eUa@RpQ%!hT~}%BaS=UwxW@J4Mpw(C2GK5|q@B?_ zVjWNQKY1o5zjdlfljSToL(j_+X;Y8yStXNpOsPro>BB&qNw-D#In9nackzClkXUO| zvO!O7SA-D1?V8T^8bP_!tVh2@95K)pvz#H-9plsKDyMc_Nq%#3V1w>PjU&&BmW%k$ zDvD6$X3;*q*F>mDA}r>zxJc8&E+<2mKnXVOuI71NkCGNFs*qTqVfSnLa^8gjYbF$* zF?ZSYAYrD?jtDJoxqVYv<&~dqW|2_jR%|<7d`-t~{ko@Ov+KXLo_wk|CA{dxdM_5` z(9Wb5EkmAgRrbRnCyxe%ell>GTEi1obn18eA_Jcg5q4pbqem-TKN|Q2*7Sr<VMrEH zJ@VqwS!pG?lV7#hORwUL6MfI$snP!O>C?#-D(1R!K|a0hJi(6^HV1yZaCAw<i^L?G zFNTV8Y!dSP&OO~vCoan8`6O7IW1}J=%&+No+_|m%DWikUq-r7lSew3fIk}_G^8Akz z8`wV@9Qj-1zdr4Su(j^}gLk_tf4+O`W%H!_O2vum%^xS|b39gz7d+;?Po<~bZr$<2 z4A&necC6lLWA#GzwDSF_cY7;;_N{TB6I9aQ&U5$C!sc}!FC0DoW1&q=N4wp<<3$e_ zg|Er8<>_*@OFO!V!8kdvLvp{)omc9Yly@#qd^j=2=h<30=~Lc~kG{Rm)sSEK!Q)-8 zr&>ksB+a<i*4SXjJh9}<ZvMiYW-pbFrp2b*2)g4i>5uZx>4`SWDin{JR>+-pemU{Z z<{h2qHySrr_!Qb+yPUoHquR8Imy~yIKe{(prEBi9-4&~(YIN%I-CJ5~)2=_-Y`3t~ zZF|Lyrtce>P3qeCk4j%~v6=KbuI7c;5#^n7Pp3SZc;`Tchk=G%5Kmt_kMkqN`F|cQ z?B4y<r^CId{L#Yx+aC=MtgVoE6r^)pL_c{#zpMEp#e-WbJPJ+ajAA<5`SgzSow=YL zCbDPsF(vWZq>1hOHlA?bA0e`!UWd!@fd1FUN6I^^kM1^h?R>CLE6^rDwQcsQCl4lu z@0jcOsQ-yv_0l@wdX??_?#OrdKbbd$Ke9&Ud&pFcc`Jnu7l#&oaGDykCrp)}|Mc1I zUp(?+fA+b1^JOOs9&CDKtR?vLgO=PUK_$7MJ)P}5`HvSimw&u)^moMx_cIkQ9w*!I ze6W#gdl5G8e6&W`afSSecf0#1xt#bNVzcChB!A`N?)E)bk2>Fb^GGqj{Nn`kJrxoO zAvQc4wWhhZg>JO@bhEJZ%<1&wrXMFH<=Uh~i1W*?>2KFFJL1eQ{di%&??;0J&nhI6 zQg!<I!j649k$!xwq5gc??MI!v-hQ0${>9^k&2v9qIJ&OlMPj+lmyPPvxW$>1Cbl<j zJaK$MgvbNeHA~wnUW&+_6#P2zPSNrL^Gz;X39Z3V#ofy}YowofGaVG#ZzAi$^j@yZ zX@g&&#x-rO!)&gF8v+AkbizdVXN$JxCyS~c2y^A!=(~@jYw4!e@`-P|oHjTIYLsbl z9oBO#+z=fY^CnP)zgqO@aXVMe4e^0aJ`?qu5@+T-tj(CQNPs6?WZ(Hfk+z9lhr-`> zJxbcLs6t|~M$PSo8jQy@y*fUAa<z!ii>^?gy+DISShV&1T#?qqO^YlfR%z%h)9Px^ z?0S^EYmTG1cFMuSUXdR*dWkWunbs<h{b>0~eZJG%la*T6^PDbDj63;ol9pghm}-~l zsv`xVQ)Oa;RmHD|cIK;uoILIu`lwqml&jEhr`*03p+0;1-)i{ptL%KRLMu=vSha0^ z=*feHp+$vGQ*GvisLC%7(L9hD%2l{*&x>A_eRARJ+-(@cFLh>mPt_1xC3ILRv}i-b z)EJ#WRetZ#lgEuhxi-X1by^d!?)bs5r&Sf_qgO6@9GgE?>*Ll|Ekm6ERrc)AlSi#W zKW&JcT9Xq{^eSogrENAj;UfED!ly7i7gasNx_ryePPvn3KX^}G@W&%>S$x#tV{#|Y zYSqm(3|egv-L=|q`JN4Wc9UjnM`jtU|FQL?hF;FpXWq-sMJOEAjfn6IsZ-g$b%%9N z-j!z?+K(nTF|RRTdHPtUO<euk9tQ&_F%7qqOouZf3oba@t>~V&>A}M7IzL`0b2I)r z<<wCZbWW4ci_I?U%XHQz#!U`}0b&wtC!3lNZhDZ^p;IBD#ckJi(y8I$CV@w4z0c=7 zoKT|5x6Ipce%+EAhq*QP_Afm8P4XyXn@3^CzM~eqT93ESPx|%8*6od6nt}XtgK#m9 zXD67B=0qmUQ03-JJJr-Jx#>Y-i_VW3VSCPbJm}WdOp8!DTJvIZl6d!$8;6}Vzio8r zVm>qdk-%rKkKwvI`(*t7YRL)DnUd5c9CW52rc1U)w)<$eWuK?v{KHFTJn9fJmYJ5s zZk@ETg8iVQ=Cj)HF3oS=hKKDm%Ql#cb?;xd-@U7H_k^Ub*q}2Tg1cm8x{f|-&Dy?n z#)D}h!FQ%4wMhrPc~GSzR?qWqmWXi7)TA!$pfd$gU9vG<M<4O;h@X<w#2w^Q7}q6v z&U0h)=8X}5UVh47I^%(~<}wTAqdc#d-Z(fZUUV7%%X^P^B&}YP_s3_;p`%Ab0?%A$ z*{@Zy_{H{1HqzXwjR}o90cWPOwpDI=kdPN6kXzoT^I_{&oq#iwSlec9dXV5|_`Gzg zuhQb^r85$`MLthmAYz;|DQVyP?xRZm(o1F}tP}}eGdZbk>&A#L%T0sMi0cHM+2Gan zQ_Wti``>1#F3xG*hDVJw(_Z|4U2%rnR;>G<v3nP1nzx~Tq2@DIy`y{fByW6Se|hPQ zgjpiNK2wwS?Vp^)J{cr7dC3fOPR(UA6pr#dUV7u;jtHG!=OZ-LB$SVeEM7Vzaf*ob zjPI`x?Va#z*{nXd7_EC!f{EJK{_VOTbM&;A>*r`?ZkE-ljY(5=4Ax9xW!J8YjnLsz ztP*@t<f<64Ors_#Sj29tCfEEsDy}B)UAvq%1O#e`X>c8`aV<1(4RSgB|ATg<V#Rt5 zk@q6457#cTs8H5i<h>_Mq$#}1>0?l!M4U!fv-Kn;tK-J5iWRFgMEpfsA8uS^QK6!_ zXtqs=NE3UPQ(=6d#5>Kd=G~K&tj-j>KJ3n1spqm>a-9axVUeQ;13U#|(>oRk@PvtU zId&Z~2ndwnnIQ7<QAdB!yrZw*{?e4|+ShgHf_tFMmuVs&g|^3aIb8@2)Tq+naxQl* zyr6qe)7AZ@7B|cEZsWkX1ra~{Cmk?fwCO>@L;GWX!h5IZPF(b1W<;RIG!3r9L9T@x ze1cr+rfYK@<Z^xJJaLi1nlKUe&#JB^Z_aiddLH4r@Wv_)p4%cv50-ff%2u~867UHU z=~~!zXf~*<)6-m(+#ev)G_mW@_BmaLzR&4;l(1$=N1v@<AjdInuKAlqS`#}KeP9d; zaydS4tp-c6Xlv5EMFwjEMc8MnxR$)>?Q+@>7x-hBTi_3ds6U6i9ScAB1-TrrTdl#8 zFVf0?w(HP`fIu0W=^__5&e!CUpCt+wYfp16{IKAM?L3c%>~$*KEVYmBhM7D&xamOo zmrV~6683DE@!|%vNb7?Aivqp`i?r?Qdi22g57UenH}<Obzqqkpg#T!^>%-GQs#BYJ zCn<R)^(_)O6C~0#v+Iz7bD)e5$g<^{Tn9s37tUCt!6Phs^q_>NpzQAHi#~je3;a>B zUZZADxQN|7@2(d&VntgYEDl+<D4D<ee6ml;o4Bq=3zjeG=)3D2$gxYC%hlX9@x>|) zK07Z<5!v6(i$0i62V(=9Ad!8~CW&0!7_ZHhU)puZdfTE8iq3%*$EQtMk*v-2{su&- zZ><L3y<^r(GfIRX32(_Lew^BvG)KpvXDaKx2aj6cb@bUr1#-A)avk+>O_W%uQPVdG zto>ks>q3b|8a&OSM-M81Vr{`90iFPnu76#JF8Bw^e3>c|c&t{W^+DC1B$>cd_cgc< zOS%>oL<PAVw_B>gqAuF{sAZ9XOt=VpJjjp7MOq)MSQPLkM5OI-*P{m$mUQ&h1_W~O zX>c8_b4|RlT!ZhMSJ#Uh)grA4+ZP4A2@+{j?t1hfanIzAq&fv|mhY*JkLKwZ+?mR{ zufL0XQA_@5rh~eX3wCI7^O&a`Jh;8kQRJOzq{0p@Zjtbm#)q49EOv;9yX=gc!rH{W z$)PY#Oyb<hrsmCsjy+S~Ki1|l49V-}*G^h;M~|ClbLzo^OLi!<ojke8!N65aW7#RD z!zPgrgg>w6IzJ<6{pr<0&9_9-SXd^XZAh9V`N8uxkBm=3>-+j6jwR*Eds+p0whD9^ ziX9SvEA}X1%EXR^<x5%x-fS1>suer5;kuK|8zUh@m-kx)n)1XREm%FVBk`xQsc;j& znA695PCtz9dmMT3@tTvyy$FuO+1!O6E_obj*mSjG>BVcSeymk$HMp~VNnycxk0TPF z4>)niMR6Qe=6;wh!d>{`h{utJFISv6=0$QGE#`jsTA%x2YOYGsm#S1nmi4+VkJhys z?Aa=CZ&i;APw9KzmhExe3x8xN*4)j>QM_n7@6q>jDu;|O+~wh@n!)JmZ~WjlqjAB7 z+f&xQm=_?=t!R<I>%<GWi-O#V7FmjXeUhC!{;k(-d9b7P!`2zC26MIuurKd%;b~v4 z+w!2N^+V~hR)d;s#hQ0!LWV8#HVZTz7IP{*=p>;R#SuSg#xw6gmg#339&||t#F#R- zWv4xOu#2-}_5MUQ7W1<WkETf)+%aR`mt(?cxO$HvbCcn+b1G@GH>MphIL9N?W5Q^7 zmB);^$uZ5r@B)tn+l<EMe`*TP=GUb;7@XnJcs7&qaEx(*!Eu%&SB!7-aHP#-JnCbd zFe8zT@7U~y8Kui+GafZDPMDF!#<y&S!<H5^=6y3vnc0Qs9@;+l(SG5I&_Bz(zxb|` zDHEB$)ZOslw@2Xtp9=Y2r!*$4)d^TLk+tpWrUwaAb#_E(a?6FCXzG8uNnpWrot6r{ zSk9wnCmo6_+*BJMtkemxnaH~D#Y9&2{*;49og+Vda1g7xGrg7Jdy3+bSk31=r<csx zEc4DoQ{rH5<OAkuItFtlva*|}96VYY`Jup9tR`k^D?@mS;*nI%=X~2dEX#{_s4Nwz z&-NDkVWP~<^E~z7LCeU3!Z0zL8f|X7UEXVN98J^ww!yQjHfPEs2I(ahN2<aq0{*#{ zmbxpqiPuM}912_W`|JFWKaRP&Yges({<yYS^FjBSB8QKwWDII_Sho6_TE>XhFSVB! zh|y*jxqh1Q@Y0$GF3%;S_<nRm@oBhCVQ!n)s$g~g*LiQogKb3)8<)r!==3(Z3C&&Z zUechU@FJ8?qiXwypcCw}zC5*DI#!pj98z3l+^fMZqI{b1@QU-5N1rzLnHh%0cV=Y& z%elKq*^SZld(nXl##W^wC0m|e*nVJ9Fu$IRlGWD<MGl5*WDI;d{bX5XFP8hRtNrIL z`hUr~O`7eZYk&H)Xmiik2otsYcyY~#lLu!VQWwwWo^PY0U-N0>zOVk;|0dMGT9NbQ z@vGO%id;UZi3RTIb>(@VG_m>n#uG=|Bc41=*7@{tQ)m2yj#aaEn}l9}wqduc5XZL@ zOh@A)6K<$;^L;zr)Xlu<!NUbQKP>JXT0V2rg!R{Z=VvP&^^7gq5H4;z=jWe(?Yj7m zUQ3-U%{sM+m|tgR7rZpiKe+Tf-?XWAyCdR$omoEPQo6i$bY0NL=3jrVAGgYywdi|6 z$rdw{tK}vpiTC>Jqdqz-KS^f(_4h}<*1xEL!hU!EJ#UZOul_NSwfhOP*U6uKD%USA zb@kh~c}mQ2rJoCeiuTP&yLGdC>ZI2ZD)K>I=cXSHvHpE8s7SW^$z<=7Kbx*yJ-2T; zSnZvlqJ1i*TQ<MfQ@y^?!*2PMIr>_)Z{JvZoh;RvbY4sK`DrJA>)+qNT8+I=HuLRc z3_rhbD_Cz&(5DT}(N{L-Yl8LuJ>JUZePsURAfbFcvk2a<C6~L>#j11n9@NvAceBCu z)5@h!Y8J1VU!ADAv(NRD=F%rMlb4&{n7Z_dmDtJlsgpi4y5w)@2HWeerTSc2cke+x zh@&=6nUg>D3)}7`U|U;)iuT>SDE;OV*iDbUPW~)&&EIeutg&BL^|`g+-h+DjU>7Z$ zGAG~Y3)}8RV2v-5nx95jyuI_en>Vak>E((?GmmcP`ogw*RYe-J*h%+klP2>mGrw^a z;vRA1bJ0_#Rrne{mJ;!F7ny7&TNNE0?X${pVo8mm=KaL%^w@APugq}e^@ZCvem%NG zO2qTG_~c)*RljcqfTc1FGcPRMzP1-E#V$6vO}1+Htq`!(hyI^kegPlN``2y%=X!L$ zNK@afQ`^dNdbRgI*4!AlM@D<Sz#ReYElvlvYi;a!kYlNNQa-0Hrju{mf*OOa>{Or6 zoMOkOY8;$Y#ME{=^~@*EX&~OCA}03mQ#Vek&yWpY#8P~UGbTni*?fLNTui5sj+Xj| zf{ijiEW-Ji=IFC4>TUUSwOqu+?diWt9hDuwEbm)SJtULY#TsjQE<I&xgvzla8v3iF zA8B&F^U&nJy1~IKaz*FXB>MmnO}(SVM+yw(tab?S$Ij_*m&>dDx3|k)yR~&y{=_XW zrrdtK{7a$oyff$3Z;Saqdp<e0SDm{+f1b_>_1iN3VoxULcByk0n$OcYqkdb!U+mfB zwfQSo{Mh@;YU9e85q~@kJom8s)ja)O&bxN2#jQ_|yWU^lzWvIjzE^%zeSNp~%3dw~ zx^`>s)|#1tD>olIA9Z`5K>hvur#RBW{_S~m+-UX7J9k2^2V9?H|6z@np+<IF+qY+7 zbpmJBi8OB#H1FOWqHXW{h-I~%>Ad|Xif7EpQIMFOvtY)woCJx<IRzUGJ}PNUQ!i>u z?Ywhvk;}WJLZ$Bp#uI<fn0SKO$N!1qvYJ(<3uaxLc52qFYckJX^sYX@@hnR6sEu~= zjQmtSv51-7tzpj+XHESXk(0_eE#zP6(fBMMlfO&df-X-uY{ab?5%+U(*M6gkGHf>l z4mwCLl1OppY0f=yP(ZrK@XDm}E>W>V8!kD?tl1<WzFPOlVJYs98?QMr^=z6T@b~Nz z%XahmGdK^v_U}1*`Aqi9dAx!=Kdv-syt@@-cxR&``}Uj@M}xiZX~soz9QNie{BX!A z#%8+!|9PDw$DO%3KOAs!y7T`@yXgJP9=XD8lB?HmeWu;>>&lcT<y$4<ewK^YD>vU- zpLKhc_UtUJ+uGUD(X*osKLzK8X;&<ozqtQG`c|fM{$0x2^?@ISmGkp+SFB&3>$=}- zqtrB+uWth%ZM)^xbM3eM@{1|9$J&1QEawkqopM-7TlvSWQyP8Il7~69iwiEDikY)1 zmg%U4bdp5AGoRXx3EiD$PZB5b{+yBH%((1EK*!3e)ek)OJP2R&v`Auw|6)VG4NLVp zgWq^O;i-Og<eT^7pK6u2rtJJy^vteq(h*Lx*?w`4L~aXP9?lDXc8hzab@0lA$DTc# zw(8WY^F=?7@p10k>fE)tN<DjJp72!Fe_5BGvUnBv#$TV7$tV4laZwLvoLZtChk9b$ zb<Z0IXREw<^mh5T*Nbk<D!9sXTWCe|Th1#-`&eEjrZaurXx+$WF}1)|u`IHCapW5V zHr2$YgH_9W9?Ddy7d6Fo&RLYMl>TDk3E2|gCwjY7W95!cP&xDA-(;3I51fU{KI(B+ zOH3=tlXsq6(6G<b!ezey*1r3fUasEu?bJ8D+!yQgw?;`x7bk_<Esx6gmf3pk8mHCv zTT>=*n4F(6K}6`Bx!Mzz_RU+xTnaW_D>?H=vDjjo1E-us#&fSgyQG5XtP{Btg}$ua z6x{WXb4sEEQ>noQuk~APdaaV}otI8CaI9Ard%bLh1DiB|+yNHnNd`wRsGPB0^l=x< zy4+QV3baL++FCUV`FJf5(dTiFpJ;T)Zvo#sl>l*mp%v}zznT^}92MHm<<BFWFwfo8 z@=jD}pl_eYA(8F8%I=)THeQGL<kS<z{U>c`pZ&{gc|-K=TR*2I)lN0r<g$9oGySOS z4Tl-_^Q`h%*R}jk<k#(@_MwTXzNy_2SGs@SwS42XS>VmOOW)MHRNH5+lxvDyDc5y# zrCgh3X5_LP^V1S7W~3Ds=$w7@=(S0A`{PV8dG5<PjLVisI-R+&yY%(+(AZa>yl?Fc zjneMUsB1fwILB`Naf^dLT>K0l20OE@-j}sLH)C~9&Wik1YY)2o-IsdrQj~P0!OP&> z*|&bBmilV%Z#n-t-0=LBJ3AK#7bo*Ri%v)`{+d2x6+eTZ$li|fsjSNmJ+`R7buzSV zj=1K0-3=!)9?V}gYj)PHEc@d+!5=&PpFWzIt0nhwWrR&}@6pREH*H<GcGu40=ci<z zEw$hfTVkQ{Y`H}R`<3bYUD@Z0xT^;=nQ~4^DrHKINL;q4qmoz8>{^10bWy}42hM3~ z0bQw_QxYquZrsC?yXLRcpV(ibntV-DHTmL<%Gl>?SBM{ys@9wR^VjLPva>ASLK;VJ z`@flz_(J_ynzZSkW2f}9HeNIN^g-3Hv^cv|cz%=Hi_h^r!aXLRo}J_M<$Y_qr?}53 z&EF){(LFlIeTw<)&|g|Qk8-ExD*cr3{&YXIE=>DJ#NT=8uctrSCo3PA+rhtVen$CX zE{%4{FgJtCr!>+cB@gFl7aJTu6?0~*5&!2kXO7osb8k3s%1LL7sO0?koRb-R-D`Rh zHck!n*=p3beg8&7_Pc9e{`hzOlugN2BYF9?&$&MwJms|KfA7*05^A^nju%yATB|5m zq*^a&_T0u*WO%d9#%H6V{NWsp118d3hIg-Mv;Jy2KgHB?&p%%w^@`*@hi0+a$@v)f zhSmJPxOCrA@8oFRWwY9D&f0ZLf4|V=3nI$78QCjybI(4!oBw9Yg5{Yq+5ug0oKqHV z-*@!EmXE7ft&h^WeXC&0sgk|D@gHU64SVZlM6bEF>+aCqZ(x-YHEmjfZ*j2hFNxQy zK5B2w5VgL^yi93z?wYlE4~pe~)g@UtPJDLXZsYkW?K@f%)@N3R#Yf+ay80(II@y`~ z+RSNvbF+TR99+HjzIvF)h5LM(Y%`u6v+0RaVw?49?Z&0W%XekC?!G(aJ%{+J^w}$` zb}TfUU$<JdHrep6*yXxz=~=g>X5E^7D_VMI`sS5QJJjzpna%XtUu<&lnfNx}8xdds zcI^+)^}UgBDkiwt+P*k7RC)ak$7Pqar8(Htr8U}Aq$R3m9GkJMZ`vuTn&ejnXU~TI zJS~?dr8ev5vb~1qcZW+JyZ&t3im+WXwRE*UzS{LmW|vxGQ~$5p2}a9)OlXn37hQNI zl7CiIaZ9D`zRk;e^<J+P{j6x&ZtgkbL8;KPim5G<aj)XJwH`Pr?@KimsXA2r_n_~= z3qj54zdm1PdUE^zwX%Jc)+t$;tnS&xp}O<J{$1{xuPU+Vk^P^O_N#x~W(oe7<8HT6 z)~9Dxt9I3z`+364m}*n@EP3%VA~rQN+u5{l*0E)K7BAh!d)()7mE88u*z>i2>*9KZ z?|45EDet|hZzostIon$GMnw8@k!WF!!{@9HXIyRSTvoWwRqDCg3^uQiJql8S$HFtu z|F?YFJ+&~+!Qh&S=GKx^_jx3erEIwkvb^JGuUen*V5V%UoyGhamDTc@Qg@YR?4Kr> z7WacMjPJN_?&2eyekw&>lRM`;3{fgCxMfndy+q68uj4Y$^-Cv5a7<bE;EZ~vTodz3 zxvu<RTb}e_TfTFW>sJ(~?z8(f^Btc^<`t=P(wEK6!?u}isc*GA_GN8oZVmH1d*}S* z;)i_u{v0WIe9*FottswU-o)iKS7$qD{(8~B(W3X+bM9p;cW>P^S6^n@j3CY<+ndc? zHhR>%Omz=rj5CQ{yx^jEfmoQdRrJm;`ZGVBdOdx4OhE37;}<RN$6OElUCB4y*Vy}} zL%~s#bn*Pxaa*06vdcUQ@0!$Ysl9q+x<xOq?~Gh6-Nwb-`x0+eah(c2w`pmQSCP+F zP5(Kc7^P<W{N49<^|nWIj2ByV3j3JL?&;1rY#N^!wN>$a?5#!XdHqe*MS7CDR{B1@ z{@wd)mpFgf5k>XaD_Ml17kQ?s%zNXzMX`y~%*F6to5Zo36Ph=gJxQ7yC&%=3{*|@Y zxi@{-pxvHYby&lo>1mkT#zUth!lGt2hlV{%+InwRMf=m<g&(feZN0bhw$!V|U815p zy!7Sv`K%V>Z@Yd&;NYpOx7<x{A8j?V)Y&f3Bzo+y>;2z57r%e=x#Ph)-oQJX72AHB zJ$bN;cV|V0vz*+`3H`^-L>_eVwpK)?>Zy0}P7_Lr$X4W=7TM7~Q|!^hHdS`JyeN-` zXfdHj+a`8QeQ3<BSdphF@?5v&;gVJhi>2oe3JShnsx{}DIQ!e5?q`1P_O97D<&nY< zwW9~cy)^mu`COW=z{(zfd9JbQ`d2~WmjlAZ_eL<Q2g$IA2g!(te=W^_qH%6p_7_3b zW1QDsm2bYa_<8grx43_K*HmlgD@JqZEsxY#w<J<x-K=%nG9EU^@Ldm*Vc`#w5m~%? zvMkTyFHarr3EKL~%yi&%QwivL$T=l(hTX31-Rjn*yuQ4-b2s?KOS?wclpYGGZR<{m zPL*zZ5uAMFh_Et`S<jP;y)R|hZVDW9xcpM-i20#ky~~)CE3#8X-mmF-xOb}MkAzgc zxQLnU>#k*gxOnyyQ_1#C0=7A>ZiWY@^*p<9`4mT0q@-)UcJhtn-6gLQ*8VGA!c<&% z=9JBwZAS9P*XkVL)aEV>{I8ZY&+yCkSh>fGC%v7}!|%S$+3H35Y6bDy+!Kf058shj zl-JMIIG`=f_3>7O<<_lpqpcp!`u8H*;A7I=Yx;$;|F3Z@UuyX@Oh|3V^cKx;Dv52& zj~PARv6Rif$J6_KwVd>7m8yH6J^s(jYFQM$gI~8@_l`wn!ogPSnD1ZT?>JJhML#Bg zmO?Ur^Sh{X7h3h*>aMp~FFU1WtG#PMeeu%0Kc+0-x@}VaN3$7hUmqpUo4st3rrooh ziS0GpuD+MuUVrn#m6Z#-&WnbBUH^4U{mq@57OvdoojrTU)e_a44j01rm&=+5eDO3h z_~K_~@nULD!A0Yn4;Hqs<gp6hcCCA@Z@IO~i?nu;SnaL5H@=NGOI*BfeV)F}_R6#@ z)=Rx_Re#OxUF3Y{#=V@Z#T%t>%YVr~bkySDZ<llFaly)ZcW>0Ky?>+c@2k|wb+4_y z&7PjiIVEv=UGna~r^RwE=I3mk{yu8|h5D`6<nC^*%VT4ax4-%>jZ2_jp<8#^y^uYd z_nx~V|EPT1_F1pi*Q8f({`ugi_3hg}Q-ybL-g~#E$k4@Ay5fyN?<w86i&7u@eLlEY zU#qhx-&m~l<F+qh$@`?EqO6K{oSdQ0$i31$BKY&_xcsal!6&*x@zU8ki{5V4&E@^y zl<$3Rc@@XGrBxc|mQ_jY^Zjb^<5&bQZ|>PYS?49zZ>w1UXG><ygm+W(&BKB}#{Kk6 z_BHu@El}coznU~hpNg~wpSrXJpQ^NsjEV2jRA=YQ;uZ-y?blXq+x6_)tEj^0`u!Va zy0?G*t{Tv^mvhRaJ^$YLU$_+{s8$r$)Hz2yLFxI^=_e{bzT|gLw$ZZvn?C(*E4%P- zUyc6>N%MI>GHq7e=XYg(%d@~MQROqDvR@uNV)b`t<wN(rz(;eB9f_TF<eg1>Ea#Mj za;B#<{TA?4`#M)dzkME?wb<TZ$I&UTmDFy`Y0)%OO>FD+ym7Ei<xNtp(6<ezL&7g! zminmsVfHewyx+0T!M1r4{n1r-itbk2dGvT%?XTE(HcvIz-wJYj`*>UCEe*T8oB2_k zN9DH17?m!*@pxMIvZ=8j3s1BD6~D>k)*szBQ}~(u_BpFX>a#TXKd*lpd;R5Z(R!)y z9~?p2vnz~TAAasx^dZtUFlJ7m2>)`?qsKK}IXA`!9f@y=*G~=nbJCS()ns3h?Nd{D zv_+2|)Nw5|^tbG5-`VvjdD9}6iiI-jF6(y{wVIT_yL0DCVCek&{$UTJYIl~3MLxW_ z<;FJK75^QNmW$pA3T|!x`gB|PmFUWDvFvFwa;gDM$2q4w@??4%{ha01gUH&QH&=zk zoGpHvwA5bEM2S<F$N!MX;YkLEU+-dhlaLXVCGI?FL;FF`8^;&?`t%~?z|sA)im$bC z?AxZ;_1Nr4!J#&pIa?IPm*;epdrN;RT()mdsHWn*7aw~$JL0=*ve{UUzdC(B;E_W6 zZ!xC}`zOx~;MpM1)+qKUVM6N;i907}WZHe2xxVe;9!ZNG32b_Lvl`pI(;htDB+0TP ziA`~xlw&bp@R^2$DI4D$oxi^_BwekhVxvG8yV#)*7o23iY<P0OwZ3?n=DX{XjEA+2 z3qD-piP>Yo%zysuf#b%;93QUmIN7WWZvQds1^+!B!>v|^`@dg()-vnG`MW%Cm-OxX z`u5TJGotmWb(@2K?(Su~ck$Z2rCf)F_?-&0KPsryPpF%FYIV<|mHTG&3YK|nSQ|H2 z>$Ue!`I{$?RXCfbME|ken{;b(Th8$cyW5L}`JD>1J}R_k%U|}C)w907-Tkz<%=*m= zO~Pg_g_qhS{BBNYzHIj7(FWd1i)3fJb+-Z<@^dwg^xlx~KB@fCT;kO#i<~F3(`qhE z;*$Hj?n`ZKrMBMUS+`!aU5r?(D9XF%dyny<Kf2a?uKrroplkXf%O~{5Y5w;;lBW*8 zNU~FtSd{wr^e-3YgR4`Rk7lo}f5Q9d-)ZUGuSX>{r+<yfRT8(+dfm9}v)hV*Yx%Ev zS%oDlBKI2~a*8~Exh<2iGIGE9GOa0JQ<B?~Qf<`_PS`(lPL9Hl8956o61B1?>A%jK zd!@9%`^$8@TTxok*%$9#>G||@;^B=q?z;ym#DAWdP$;lFwVg$Li*H*)?#25ouNU^t zado?JdCGO0(}_!0_I;X=&?+0Gv)Q>#wd_U0O4(gEl2=XU2>P-qPSrVIyZFNKQ!!t* z8u1@qd*--XwjFcMhD`#mb9<g`ICqNUT9o8bDedHl+*H1>myccl>F;<_aHWl-?}ot9 zMCl}p6lXrZTNApO&7LIg=lywO`P)Qpjaw~cz6)6HZt0Edd1j!?DeU8aNW^%O!QnG1 zZxX_U$_%X^?$X$$9?)jK@p!ye=>=oOwcT-?SDfFoyjtwf#Ct)%v28}yqSo~s&o`Ji z3gujCUtl9@Zdi7EL51Az(|nv|w-k@^S*P5{Yv-GGd!1_5`Om@{2b);DHX1Y<>3A*R z6U)B*`nf6Vy#Gd@!*(nFe_pvQBig=D-!tT3+FX%kCzNlwZL+YJTdQsVyGwZ9&2vZZ zFY8(3|5<?N!YZY2k5`7?N|E~g_KKJFl8D51p3_z<T|=AZ?yfm`zFOg?LxI6fheC~; z2MQuiC%n1&E67?UBB@=Z`|X6pozqqdor(WGF@<^k*A(WX8&jAMU!QyAe#Uv}BjJ;e z@IKpG`n3Ao#A6%O%A~C(#n`M0RpEahdh)n?DAxuL+nSEl(jdJ>x9@CDoxNlAUdO32 zJ)x@N!XYOQi-dkM44um46TVcy`1GWT)7vXDHf)PYV(m1)@G10}Y1iXbM@~mgm5~Wl z6<>YYb?)>UnXp(!zLh~8&08PYvZ#9Qx!0+`DtqZ2H~sH+3p086P0t>#_5EDvf0^md z)hz<$Q_i@AR=FB>S=p}7<jc#L)%|+bvxl>meeB({$n|~IRg)&~S;q<jFUQ1OFyX&# za`t$ZtMGe?=%pe|!mS3u##RvvGv%gb&+1Q|C6=&qS!=|ij7MA7cboJkES%)%o>H(e zZArv}OulE?v$`v1Jxg4-jCIE1OvN<qnT4)tWg2cD-EP#*I<_JF@{c^P%QkPWnaJ-p z(LLhlD!jh`?z&8t>?uCgN|$GwC?5^;E#BaI*(N8<<YU{7-cz16g_AO$8g5ny%DEt9 z9kD)B?pkJ4!`4}1kCrX!6hC|}@SOCAN%NLw+NEVgbr^Q3#VOc%UXJm(Vj}<8RQHHQ zWrdjj^?B=WxCE{Z$>n(+dh(!E=%<ZtQ<>I;EfrY(B-rh}P<)_z=*$a65ep<Wr)5hW zE~)J5j8oqi@_FZsg+Fa{F4i!LWk+?icB#pk@n19PlAHBx!R}?1FP3F8?$VkiQJ$_< zDVBe2-m**{`6tW7_xjardsh6>?{j6v>P)-1?5KwDSz?ctF6tC7w7X~`o^NvYxW?0} zqaSR7E<5!Em>7zjf2m?VBSYieT1m~e3@K-S-(rJ+%P~9`O!)tro^`kP<-QPp+38DQ zRHaEu{JLqqNhjV#FV5mwZaTj?-}LNJOW)50ahGdiE^J{CH`P6I{c6#&#fsIdlSG`q zh59D%SeVI|mo=+9de*at^OpVGu|AVgFEgqmw@dAw#y;1}KSCD9#F&K2T<j6qJY}EC zJ#9P3%Q8NfOvKr@JpO61B$JU(dnTt#nw++q;c}j^S?v|)e`NR;8-`uB>AAjzVRH5p z;r2&I?ust1F#Tz)YW!&J6MfU(_c<nKkF)zeR*vg6vF<pU?jNdDv3QBdPU&U0G}KO6 zGa72`TN|dr9(<*x=2wU+|KpI8?)5uuX3W@j?DXOZ7m6wr(x-Q3uI`^UBe7?h<qREj zd9`J0@69^ztnd5zqVr{@nk%1#9?f0UnR+1GSGi(Qrbxd@Z#nbRJxQmemuA+OTs4tb zHq|{4_*7;Qr%&K1*DK%o&K@@i{cL$-$<LTKmrVG}P0k+A_2n*zz3db-dADBoHoe%V zmi?XKYW0g%#EKt#`f^{0^_E^Vdr{}hw|j#clB%kgXY$?4n$>PT>)7*J*W${@73(rt z&OcFf&ak|5tw*HXwD;kvWtKaZW!4C<&Xmi`h-!%TN?WA)YGsm0@D*F%;*SoOYiurU zVdyv2JtF+{$i**)fh*MvLw&BBuwOPgdo;xNvti_ACZ8Y^yU>JvMiQ=<-Tlvc7{*<e zIF>o9dE=~SNj=LdCDvv#x@AUnG<J!7+IH3ZGDlUml)Qs!@51%VEMF|o)cch^tDW%^ zuVUfv#0^@4Jb^)7LU(oic^3uqhXxz*1go+i4n294BlOdUtyMo5qC+*0i0w4AvYhwd zch<4%=0(h+QGD7a%J;dlrS5;pl)C>lb5{4(S<e!Cm;H=bo5>iK8PzehOHFOX(^+l? z{yTSMe715o4$_&B&gy<9ire(;K_%bEv)$fS$fae?>W`cymb7hA=hw3_zRELJ#dvHv zv~!K5=Cf?6!%V)#8v-uJ=v*@4?>0SqT*#MuL&#+(onRx`(mvy^z%PB>vyK%wUY412 z-DKa)izfW(rn*PWyVTx2n0w8nDcEb-;@ro6XFUpoE=%}j%xd20HCgcXvB$H{A20Le z-spVsNX%~U%N%9dQb*-{lW(lf<olL6t2=krvxg1Kep;-^WaQJFwc}!W$YqW`O;%ao zlhaL<j}}&beB2THSn%xg2OE}E-dLZhxG&UXW9961nKj?8n(#M2d3aCo`<JQ{&Ra4f zghgiW*rNSOLU-0_5!0p3lB=FPUO3C(Ky9SZ*++d^A20gnRbFIz)3WEjAn(&<vnD-k z)%xf=M{8%rQm-2B<z6+-3%wNGPKj$qozS$5U(`5f;%nP1`M*z^JG1OpFDuy?Jk=&A zTvdK{sOEvZP_B*P(~jgeH5REIiER>G<zg5!Rf28hq~_hP9>&^)tMcEy(qb34avg(y zh~|;tNUn-^@~eans_b0qe)^F1s@|lIIoumHWLA0c^{wQzl?hRm{~fA%z%G<)qkA6H z#n|@eMUMqf?^*asH)Z1~>1AGeZL5Xir>~mSfBe-$W}DEZ0_RV?zmb)ZCH_Av`nA^T zZ0l9~vOa$JXl(to_K4f+qvk^Q)B~Ez=TF_YWpTjA2jcmY4Gv1*-njUB`+mO#eDiMb z>2|5KEAw*Bc#z`!a`!T}Ifu<V=k&97&UsX#lx{KggshCu6FollBK~$E%lvnpHU}DA z<dWipJ_g13dHMbPGyBBPm^mjj_xU~HIWD}jS-A7g(W@@+9!4pBFSMP=zGLDE=Q}=H zac$n7CnNc`3t1j~>*80aKGFD&?-Ravszu`8g?F}VciuVP>>~FdQHlSf#>xuW7$2{9 zPd->o6t?kvBH}M>d3dwSy9bp@<sX$MR#(hC@iSyzh>f0}N+SRB$s78MJuMF0R5^3~ z6z|gc8(!>KylmUXPpf67EuGCV&FoL@(euGRHTm-nx*BLVcPFK>i68Fa(PO`Cyd%cv z^n*_Zrp>31PvKd|FxhyAlaJSWg)Y^X?T?I49^uhz;*^XLd2GBxA}zhZQeyhSr%A4C z)7z&?#@L0W7O+OlJt&&wTg7Uq<7Y22zx!HRf#90c4;DqtKX`P5V)N;P$9VL_XB+LX z(K-L%(eK?n>lmVqb~xquu2*31zQlhteRHm449jif9U5gR1%_|VJSf_r-F*7!J03m$ zYNH)BZ+!Jb#JMl~%84zRU313YoOQ<ZJTAlS3%|J6@+^DyB3&_4tf_yl*L}I|5k(af zUny41d$n-K>{p2|u1$DWSYZFvLhs$uDw{i=Uw`bF`|6?g`4Im2+A^<|TwVN`?GKIb zKMvuK?_5^(Bg^FK;SUN|A14Gvm45u0m$T~l;Ta+Q{TElxV^|zytLV1uD_7h1%<1Qz z?-0yf{>b>whY<dw8$$RGUkK@MR$M73-W+TzH*aa&?*7J=$2koYt_m-zj|#P@m_AL` zZuVDiYti|qe=V!h__d@;qH1}S%one(J6_Cu_3?u8)yIpgqXc(V%r^U0Q878~<43Er zLJvY`sUA7~X}<CV-QKfbd9NP2Jnis>^Fh8W&UTx9-Wvw<?KR%<L+8is5^>k{Jjat> z%slvXfjisu?st+ga=TIse*T#7P>Ola`3GDd-)&zh>SAbN8(rg8J-g1yZu#Dm4;EF- zJ$Uqj>E2R99xroCi5YoCh6;C|EUe#k(tUqPaKpZr%LHoeez$kUtIW1qRa7zasN07} zn=e(r63VgU$vN{#Sz^LT_lx$E`xnNm&2K(FbKPOr`##4%U$CBh-hI8#J_cLkpH5#g z&III&oZlRy_kQ8s&2y%GznC|(re@mNC&?94mwq+0Ih|De!KC}F>vf&f=ZP6&v-=ez za~RpuH?~SlIe6_vdF}qQ36HOSz5OLC<H_D_^=k6(x6Z5)k(b`|LxL^MO74DB%BPQ8 z^VR0JE6%K`u{&ELTjO^2$>ZWI=eDfHFXpLMC&isDiZa}s_UYqJ)5-n$p_{hYwaG>1 z@KvR4-1%ba(PQjSbj;*yH{JN*GyAC6qWk&Y-H(pl+I2$wvs?eG_$84wdwjOI@V|{; z8p$#5|F$g)4I&pE|9(Emmc?J#U)1(Q{hYMI4=NvhlFm;!yfcLV;LT52SDu}G__{>+ z;KmZ+qbEy*4__>q+^qakO}zcGuN>df*)=jg=Bztr<#82SZw=Gf=W8n<zx3Ub*&Oed z&DMCgbhgC1<+EjMJj{1i%*gxnLF4X|$6>oP51cIt?vP%&_0R|3SB(*T*Dw3BT)*Ti zB7Vu2C;XBxpWgD>F)?1|l{;qSeJZrOE0W;8OY_LND^Z07YF{1v_-<eJWx0LHS7i5P zU!LkqzI@-7&5n8FZC-g}e%_~z+FNyE=lFfCu$cPlW1+%T;Ri0O)Q^1p)O7Zdpm(dx zw3JVRI{)6<um7>TB%W{cCP~h;G~=K%C(=@8%scxcA<ArV_sW|+a?4VTf6bVDRw5zW zEV)B>X4ZtHz-7#zZWb1sDIZ*x<JQ%Cvxi4|lcemL^Jyg;^=4l@d@09GK6|qy^O}=s zJR7Zod5v_=lsMQ;UUw=@C1U1TkBv&RCni>!EpAS|*`s$X#kfjh=Ghm?C1%MDfj4^; z+fr9KvU3~BPB_0yd6Oh(Te@*j&)KvTiRouwB-EHK?&iGNBd3;T{A<RPm3v~(oPYA! zK!5W2<9B@aF-$i8>2&5_?#ukHW82<`UgQ^?|7X{ibj#FZ9}c$3?AfX)ezz{!QSRN1 zpq~|a&W!VJ26QanZx$yZXn(XOC6$GLZO@~!pXa}6^*n4fo!i`ftwhi5@3CV)Dw0wa z+ahNCFinfsR(|n!_NDtDf+goy=!z@W)mEM>5_}XsBVE_$&!SIrp3ZnQed_YM+;cu( zxKF>Fwpw8S7Mt|cn$_v4HHQ;Z_4uM^wljx4d)zsdwIVB3Q7m%CkBy(>w3Tn<tvvWb zeBSOgoSM@jCF8$G%xpdz_AIG;YUPcrRJ(1FlK11HB=3ht&G_-~zKQnZ>{{=IuKW3H za(z7v@1OcnbmEju%vK}u>ub*(_SOFU@y018n+=-;+;x2ZlzfcVR<_7a6?wk4=V8;- zj~g$XiqY9-#D84(aL12_k2$ru4Q^DO5m48Cc_d%SKU(sj<mnAl|BJ3QRXl1QcI?C2 zsg@Smse0d{kHvk|KK=Px3FE$Pn*_vleg53~wCU-i#rEq8PtTh0sB5ZW%vK}z>uY+x zt7v~NIDCrf&K84@(r0gnxox<8O2aHd^01qB@rIM9Vq`XitotGQTrAsaU-|Y;0=IR1 z{_O348|GH9;g1{h)TbNH&zg|bKGpEe79;lEYt9^1^gh@jKW*LiWuG@5KgINBt3hGy z@iW@KAD3%=yFH<q+3d-q1-z9ODb99$w*wlK%|srp_<3kW1b6%fqb9j$8;yT?_uH=R zdDykHXwm*ZH%`wwcT_cdmq4w~%OkV4&7W%dB0p7+En;SSW7xCg2~$~L<RvC)+FUus z(Kb_Dqv>hE{UFaIO`AJa0d0a|&l0vw-6@frDt9a@vSIhNnzkM3iAg(uA2`J!H~YEt z!J>+EUys7Omu4L)`rbFyvLe%VS$BBYvxTddCLEdcZLw)=$9FNcb?;YH9@lyGehTl- z8|lt+em5rcKQ=pZoy$z*(Tty~7T>B&@l(@!K7rS8&KAXe*ETBhZ_hn(yjGg)<ApiD zGr|i_&+*au^Zw?9=I3Tl9<}mTR-`%Gy}K3AU~MMyXp&@S=wIH?rtb9%Qzq+59yXOO zDm>a|6SGZGo;_FNK#(-o#v^lnXS}pMJjZ9v9`PF!nk&toB(3AEoRREomv%d#p)gHl z+VSArj>Ilr%NaS&dTKW(w0D|4Np9k0mB@EiOq)3|!teb?#eK83Deeo_+Mn&`wny>S zgyzp?PaZ9k>~wwaR%~`;*7tRl^E#&OIh}h_<JxV3!&cHo8?Lp*tl6l@zdHBCaV=@C z4VT)S*8K2&sVlv<?e{UuIX`tSI(#^rzEu3UG_PfhXQ4ohZ()FpN8yKQlO7cm*dBfO z$gS(};j<#`{n46z4BLZc6yK?cTOHkgvVDQ&9p6HMJKlu>cl-+tY&;4rDrP(?_^5I8 z;lq-y!^hW&xHtUO>=XDsiF@wDoKK1oeAU4+EY(3WBD;fSc&vkD__i&#h<W2vSaD<8 zqX)%RUCIX*inw<iKH2*2LChz`IWm1qEI9g>S!nbvwUF57S7>1|?@>Xa($R+xQ@Rcx zU-^WoxX|wAgNII=4jw<6;@seUvQ1$6>HX&oC6D}>eE3F*@WC0M-mhM>OhzreK+xyp zgGb(*dGy*QO2+UlOE1_tW8%T5iD_)p`y(Y|7}L@VT4zj-a}-&8ng3||;+>K)ET4^b zXgo_VFw{BmplE|Z^Xa3Dc=Y%W8||p!IsbsmK&iPqzyFeUM`FmYg+I=0HEHfnh-4E# z`iV!cO;j?5FD$KKXT;QlN5#)e#@Jm;DPWD5b5JxXw~Ezr#<V&OyVGk=KTwL8f6#TK zVsm$5GTZcKU&$Cfv-E<>8<P$`P0p@bxJzPs-X{aQyGOpCc)5&0__D8}TJ5*PUGGbU z^bOWzt$lOn;-#P6FaD?XWc=|w%FnZ4*+cHGhh;AkA|Brl`LmVpc9wMZ>Z5OFw*0&? zx8>)Fi7m1{Ie%7jx$Kx_z3WP6zhzF|rO+l{-}~!-aEG2v?D15S$hBZQ>ehT}!@&hs z5%~eJYm8UOU6Tlr&*sf)C}cA|65*P=R!r6K(gL9#qZJ~Ld9@CA?6{?H@19oOFN-S+ zgm{cs?D;4W(x%9E^<YBttE35zUkxrS_$9Gm^;*j{CM#H0^JpE-YCg5$$^xs1#DG{G z^A&Q_Btqm*KhnA`HOu9vpZN-w%RE{~S(;B7TwP!_BPk%($83e1nq-K)aOu?P@57qC zHk@4$lw-c4#yK-UHpg&9&Et#!+c!ol?8?kV+t<B1_jXZadEQgzq|#jHU~j%^ugA04 zILpk%+D}>JoJ(w%6v)Z{<9M_@^#K1g=l|!_<|a6#zjcre+*kH8U7#T${jtAx-HL{3 zep7R|uH9N{nd7x!kD1i|@Q>-LQL%Rq=s%sZOfcq}Nf&64YuCs1+W$7KIeP5=UNNT) z>p!}S)~71faOXO+wCf&Ud?R0xhh68$K}YVw!V^w5GTQ~@<D)$qlEs7`t!R95{PlG) zrw_-RH10)l9A15F|C%44Ed=!y<!?j^bF!7{eVsdL#^KISZ=!-Y&q;@LakEW*xM&`8 z*TE{jA2kcQ`OdvK8C$csTW;S~SNZf(!vmhNJcWK)HKl8}&hMUHU2d}V<HECT9NTUP z98HsclpV#{IaTt%&b4gIFE4T&S?=a`ESk@2_+_fwJf=tLvs8OST<0b%Gx;#(i;UU~ z#)B2c3nbFnc#?acFPo8gMRJ*R>ArNsS9;5&O8J9(cJ-fhvpt})SS~3k(^KlYbhh?u ztE`IuQIlFY#cmgh+kMjaI>f>)T_5wYmbd&}%w5ZN@i4an-&rqIrX4P|R@sr*F0%d2 zgomq4?M2S7?RhwJs%1r1s@}YaneE5Jo;{v8m9-)>RdL;nUxn`8%JKW|Zc%KyZRS#V zyiMZWtqIN5W=|gN<E^YnaJG}n@(qYt|DZIK*Ed2z*XU7%kIH9ZjiWZkPvx_+i(cHl z>Bsp?B>=o+B>nmP&_5oFYHA~-rWQwBo^gaTOueXUYUiB9smG$Nwtn0yd4FDbcV>O2 zl6u6n6Plp)f}&RWIct_KwVJy+w6e3?YwqM0%{1@D4s{1L#qM8Rx_7&C6Mvb<$BTTD zyS$3yx@SbMp0(Sg^Xj*#m6^u{4q8bsipY1asn*xspmc;e?r_C&^{nSdl>@e4s#>;h z@6sLLZfVDzRPSb~wh|5ZOEqlQWt*C?*>UNOlz^XB&i0Z=^I}tOEbZnid$p*Wch`%= zcD-LW)^#&(dzsX+HtU_W`}se-S_jSNc9~}<W${h-H9Dv|*{ATLigAp=oQaR3n@<&7 zTVQ389uPane1)8!bcp<Qo~(w|Y*WwM&+Yo_ykgJW!hq<T*Wz?{-L<n;xsl(nf3@zC zJ$dalrU6>J+Mcm(yqJ+8X8maGTwbGtj+1=~52zTw34eM`=DzCVkW5bL$<on<VVi{$ z|A%d!eBxhk+VVqnZkxLk*NQGTwHE$XJZrA&x6gCCom(!fPOg5qTI|sEl%<M3iZvIu zDB7h&^|==wc9O`8>S&G@d-P~mYehwpqFr9JN5gh8p+|EYpQQKsiXC!y<X(6qNs(vs z(pis}EVQ#at+d$BU~x&l+oj?e`D`rQJ?@KUq+XFslPYBo?%mb>((UeHlg00n`vUn5 zuCHjHp>Dd1?bZbyyKvL(&p+I1<A{?CtTw)Xde0WcCh@ud*FDNVrSZ%A^&|bbkGetL z(~oV7GHlL!9}`omA;<S~%g)7HmKi6UKYH%m(L$exYfa-5r|WK%crRstSTXz2wz8NF zsr$UWH9z0>x?KN6Fnr!&r&yJURox=qB@+`jUitKCsbsGCyxEKgrHvO<WU<wJRxMSq zGbj#SQ&wc56Yf5<<jRMa9Fui9Ir}1nCe<ABoYo@ZuFIKe_t3@LsV#Y0i_ZLlu8^G< z??ruSs0p5*(9ZJs?S!N`vPL{xo!OJ$UN|bj-LvaPhP8@BYP*Q?+X;y+vQ`p#?Rssc zdiONM4D2W5UomOoopo&bgG+OyGat!)wVLd+;hIYD8pD%3tCzCLpZs}dtr2t6)HH{U zhj=8WWnVwC!6&QB>Gg_LYqRs#zFm>|L#ciJWP^hrReTDSIF09AF_N<7IQOtiQXs~R zxyw84KtbRvAEgUA`y#g9Uh(;CU*Pf^*;gdLO|`1La^Xn(sv7sXms8YOigjC(=CvB= zY!G1Itb63>pJk8!s0n{uo|xOQU^Z`H$#%syIkP7Zk~3$8PUxPuY>zwhaUE{O8JUVA z#yTyD3tKHBQWW*XX0PiIj;q?6)3IPXZ{U}Wif#MMo-CNpyYof-uQZ1%a+UMD<~_Ts z*=t}p^T9gLWjoR*NxqwYt2#0-=j_AAK=q1@E1GhWrESNZ@*k|eKg(=m|LUc(NyeeA z)n98)1bjVq{?<>n^=lW(mB0SK?a}hEI~T*E`%jnF7_W+uGT1dQe%Y$9L?2uISl_<B z;^p(U^N6}WDf<hm6DFMdn#8OX7WVs9UEoJyX;JHB8S&exM=$nlZ2SCl|6QYXak|<Y zC$BlAyLQcyuuUOh=36&)J$~W!E;H4&BC_}TsjiMqvldL9I$`nSI~P9raix~VYHJH= zuihIKc{C#=Onu8Hr9ErZq|Qy(U+wu-RCNFJ`L4gVi`fbBWc+>Hb-&;4nZcqjGZRu? z8XsM8DZTCD((`=Brr7P4i2n5^G3Mpw{*Oz~GpbFoYo8HuhUw^mMLxwdLVlfTzkMma zi+#Shz40E6+OiLOzT7;z`BFN+zxVuF8_in24?DhC9$9>zJ2F8c#76Y=!^De+IO3++ z1%J`4l=wBp&iX~{uQ!XrUv6%nxAeSS)f7AS7jeI29)%im^RbDiwx4%gdY(nTJF-B- zZi=1p9{t*~59_|%Jo@@lI)A>`{MtRb^G+R|kaV;9Mfjf=$r&G$8xoK9E4FR_=Xq4V zqyN!v-OIDQ84rGWX215wVW$a)Per(FP!bE&>36M(744SM>2=kcc0`H4J9%Qi>qd<O zhayCh94(V;Hfby0TlDO>Qd8x|j-&{kM^mM`E4Cg}ircucBRp5<(NyW~im%5O3EVv; znLH!XZO`1jSz7KavB^D2IXX!a!rd}GeXe?LN0s=MlPC5IZqzvNAwpzPzGX6B+El^( zTIXcJgA*cL3@yYy<_UMp@btLqu^mymcP?pS|G%e`cT0rx*__d2w|h3NnPK&5$Bxjm z_UnIa{Ns9Z)v;%<GM?U4i#xsXnRO@IK6ekZfHhubA8JpQ*Bw+BoV_sc$s*mOju$39 zTJXc>=)nuWwu{y;wm2fLyL(G{X0BF_^sUP}EV2LAJxV`0P3GU;5dMSp^Ik3dp&w++ z^FMXf@q_hKUlo3gUtVSNCw--y{Qt~V>Idp4z2f{RzdV%nsCZ`k!^5}gr@T`9!5?fZ z^51b)`@{SGUoBpEeBF`Z_q8HnrJTIURpEvuRZdSXt!jE$p4tA8`RD$ruM~fngVejN zYJV8-|JCBh^&nfle^*2L+v`=YK7KrZc@@*2%_06B`#o24vBxiyZS#+{_xvjG$KtB< z$HgK1hvS!5$^6l|`uNfFm2&)7SIWun_t16{k6#*T^QYUSyT9K0tk8q|o|{{Kl>guN z=y{lTcFL*5x8;{&Szhn^%rCm%qxk6t+wR^631ZSm7wVX`9gfW5>r2_V(_+@qXAd33 zX7~S%%whbNy0P`f?1k$zuBBT@%)j?7WuxGmb4iPDOg(yRgK_uSgMB(?;@X>T*z}xF z`fRAzt$W~x&NhK$qbs6^+j!U?f4=`h$E+zZGDk#t^9>2Nl#P}W^Nv1CiV&OKzA!S! zt}SIFtHhk6VvFM2b`@<fJbds6%T4p{-Ua?*(ys4y%-Z52bNGIxZ~WMsDmJ^lGBU@G zElnd9JdB!i?!l*xs?FL5uJNoBxV`W5->&ysdLOr~*>8H%?w{Y})kmAR)MriN{P&Mt z^nUP@7jMJuO<%Eu-b!4wJ;roq3i~7LXPb2NG~2U%-5d&HZTbxwWaOeb4*GI0tjJL0 z;nz8Gu$H^9@Vt}Fovi}$<vJ<{a=AGRPsdn@grD*J;Zt?hiQ`)o$I&|OM2iGPKE9}q zZfCJa4>z>_ut-v5?2Gc~NPc!GaYv%xq2=q=gE!~}yxA<!Hd*Y^gDI^$EOHg)&P8<e z=j*5(09Ctdb{5Fk7^y!jK57Zt;-@GguG8{xQLBYTqN3inh>mt|u}6<L{d9^&U!0LC zbbhW%z`x0nmS6t(F{!;c__2SDMf-nSPT@cKo--cRdmZBW?=4jJ!CpO4{Qv#)LL1uG zb%sBCyx;Q><G<S~GLQCqYlL>a^L+k{`APl!7S4a|Dn1|W)nY8<^>^1kU;b^6;h*)K zR}TK4?GXFNp7YA#|8pH`|HN~e9QZ%e!TFE;t=f-9qUL+kUzneJ%+~bszF@!E+cufB z<rW;zKnt~(SxBfYv5?{MD%>$+{-X~Y6plVjPV7=Xut>zc<LJp&KSSN00(<0jJPQSM zd<z3~ybBF<{Lg6|zLL`1ta*}6eDY*oIW<-38Xq4smKig1I1FD~+j|>)aQ(tBY<ZBs zZeGBIM~$!Nt4MSFv$*N-k^LlFo4uEr#gD@&&E4-+rEUJCZaR3pd=f9?zojY89rczQ zmuT*rU%_zC@So?V#s}Xg@rvx9pOf%o^${DR5S1eK`@T=~{wYnYc2N5M@p-4sf%&SR z1nO7W9_cAI%>JWw)bT_AE!)(i6A!CriZ%IP))ASSDaNLL_R$0ROFDX4mvrQ^F6-F6 zx}?MS>avca)zT^UNA-jG4pn<O&uV?JJyWdf{3RWpxxq!J1(sRJuxRp$*L59kp8n{= z!(|psf2@uQJ~F?*_Q&P6XRG>Vwe8x~#`iJ%$n>>cE+0(vOx@R|uX6dY>T9)Veb}^F zN5$*pv!xDB4%@h2D?`>Md9Ld}tE|}<*7~m5#=B(k+P&VQySD$0JSxwrv#)vD;l<Y? zJU(m)t*Kup@JV?~Xqai&{!N$CCUE}R+L<dh-G24j+?Bby^VHk-r8!(!?pyP)v-z1! z)pR@IFIvyE;tgycE8Z{P`nS^6)vkx@iDR~n+K#(jUG`#y-l@JRJM_6(zDNDv^ys|u z!ew(#ugjg~@nPeWH$i1}7hIdZUz~nm#|i%*>%Po<kh{^tb@IuFzBVcqv1h8Q|3$s? zGCVl9hS%iCK3Ao<w}yK0%$s})yrv&Lt?4&ArOEhbQI3GQ^wHH)$4Wbovpi1TSiyOn zMN@5tMEB9^sn<LW4|YYoDc%>;#W_thxiEb4=aWG`2AX2s<@a@`CN&+6U6hr3;{Nj| zZLXUfHmK-zIIo$++Vu5ka#!{IJ0A?ix>;=ZbsT5eo4iruR$Y+K1$(h>qrU>y^E58! z8S0(-QrpvQ^j7%sBiXpxr8COE=v|b!81Ta|WZhz^-?tWjR8YH`6kTm1cVtW3GW{c_ zj~#ydYt<=CT=q?0OM3T}u49!K_&E7)DjrR=PO(U8=j+?n{r;*{!oJtrZfYKEX7T!H z&}d}iwSZ4<duP7Z@eJS8>QrapzOC-D``4J8gg(yR$|jZl!DDUXv02mFrbXE)?avM8 zyBig)U-#zQy4Y1whgN^vTl3&W`gZvz%#(|*)=lZ2=@EK+Yq#j9u*`OmyKg5fY?rlq zann`nkx|d8Z!=>Ug;aM;b<o`ByMX7p(28d6qN|gVu6}!`6sp^~YFoJYQnre#`vS8U zglsi`vP$*ns=YVmd=I(C{{8#(qTVIOWc$4~mt1RtW7X$%7soCso?2H>d1J|E8=tfK zEGo9&j88SkF0!2UIKy)C>lIlU`Pn%c2mc0j>oa^5?qT0`;`eMdv%0p|Zy#m9-xSe$ zd}))`YE$0$2cEkpb?>>?w0x<MPQ$GAv$)o*-6nY6tGT0#wf0_|j%z2^mqk}za;=a| zzdc2!`+m-&)apdO-qoQMp|2LQ{w%g*&yaGp_f3AWG?T9?V^%kR?y6@G=PvtMu{x76 z?uoc*>e{W}xc7wlU%J*+Ty%1B(BJ+k*`Ym-EXk!4lX}!X6-nz}uU836oD%bYqSmYW zhFRT7fBPrDp0DxM-f7)`39*tLG5=k)E*R|mchuiV@8nniPhagr*8SfT)v8z&C=~eL z{ArcKqJR4@nzUKZdiG%5vYk7YXUfgXnAM*>OYFg>nEy#GznGY>`h5N+e<dzLvQu3- zNws9bj*wH_EWdaE0c}KVeUW<lw@7Qk`XwE#m-Ji}Z)j>JP2A!f$g$14D?%eTSfpv~ zBqcHLXpz>0;y+49s`4i52;}rE?~I#m9v;Z?%(KhlOrERa4n@sJr_wdJ4*GZshL^?$ z9Wjjw7HL{NNlENhxJc`RO-nk=@5cm*G<6rYy6SU;h%|kkq_pnK|MWMui?uyB{XWOP z`?*KciL3J$FP3~&a6(m+*YwWRbCrTG?H4s%zZ<Za!K7i;E4f*V(zZo=_q|^@d*<TQ z%J+M}->ZfYwV~5*cGOfjq}|)`@k8P=?fU(*X9}7tAAWNByMd-Ni`ue|buS<C2wKcm z`Xx30q(I|k%|)9FwVbasskxTa^`&<l*eG)Gr{yd~Cifs0eYb0A9S6>dT&%yJQQyWf z$47APbHBHjT^4vx+F^D6u91swL9om7o$+lPUwj4UK94@RdAHU1?ZGb3fBLm?+yMzy zODYxEyOzw8Pw6;tSma`5-4sQpevpE+HVzx#driA6&R=qPP$~4{&>j!w#_665x<6_0 zUO8mNS>kwaNn_Lu^~Qa7lrKB<7I`kv|90C%AY$U0*yF#tWYo-;bRL+(GjYZ&MZRUC z(;xZnk2hSiLnr6g%dUkhb2}AR=CU_;U%v8V_10hROhpndGY&W$QmGPpmcWpx_e=QM z!tmY80uALnX36{e=-U-|BsEGNomtM^cYNYZH$8*X2fpq#x_E;1nZ%BL>d&Nh9<X7) z&Reu^BDan~!Cf11shtOun6LMiZk))iV_0z4fL(Iuu32pBX1`2O7MF0+@Ai8hAS@=~ z)-TlGf4Da$p{4P)UDlGu*Q<?Aod~t}>0WHT-%w4*U|Le`he9<SgLz4{jOsHs9ANA! z_1|>3<*H6&QtijGnHvt|b$wlWJNXb(we&12x!T>^Lks_2c1Up8$lh6>sMfx3Wo~2L z(awb2nkR=I<pdWN&1=Zru+hTOKfu=Ve!!eL%PXbV=<9V{eze#uB79D!nD5d9QHNBn z2|P<MP1F+;c$TP|Xcs0RmSCBvI89W3rby(S`E@a|S0g@h>ZJvTMNd3_>5<ZMj;}3M zp5HEHIqkJLEfw1CFyn~RA(=HwXO1@He3QOib8*J{RR{Oxd`n&!#g~_^ePM-Gfq87> zA+5K5yA(~<Udmf8?etmeZP+di*L!TcFS%-RT@@%>maS6ae8X|Zk+MTFIm%~_h8?oW zQ9N^8?$8yh&J6<Lmw6qRNFDlFBKP%{e!uojn^$cGmem<o1@uy9W^ssfPTt`#qj_=5 zPK(KB>ozLNoKM@oQ_<#pn1gvs^3l-3hwS#&X4h7Em9M#UHZkqvLD|4xpY*er$1h4+ z^Q!8Z=zLD0^-rEW5?(u{XZj80p5wjh4)e=<ie_B)+rRcuRq2PF$BJHa#J<yf^O5`M zygZ%q;Cib)v6p2h{c4?5do-POrM$+?c$u4%_WLjT_24brI`^f`t>=$V+OsQ;e`ULm zQ~%la?!zv>%)R<%Y{+swdRqQ*f7a`-{kC7V?cU`yW}S6h=pxT0`$g|i+p1ap-IuRj ze9IZ~*uGV0u||o(vfAL%<QX$geKY4aeKoW7h{NUrgA+P2JZ9Yd&bQ3(hHdm%R-lo5 z<xZ!e&|%5P2`;~vZ4;UO$k%pT?PZ5}7UM47jhsj3zf6kxT_5{xe`x)pYp+ZHFMCtJ z{-2A7&zkOu{d?!vu6|R#`fGca@5L;~rS@5VN?TuClGi@IA~)AJGSxYQk`HGJk@ z-OqM~TKf7=y1L+JVCq-#SJ$rn?LW9eRUlbqS$eOATrOKOOPY~e(lohaTV6&)E)=>F zax?jt+S!1{$GoeQwJy9#fA(6cZuy(|qpP&qQ+1`8PS55KRqeJvI_)r*?RBjSKZ3u6 zR+V1cqq`x<ep3Fuyq_|)OW*Nxh(}z#5OVNY&dYv{68C#6Bfd_vF{`aIv`*%d&wf#R zFSSo?*7uo<-KRHo9%n6;J9G8C<z=6xVxMOlM<3am6*AvFWAUP7qxMkOgICTZx<1@6 z*@#`>W1_myDt>E6`-M6e+J3apKEAy1zz&fKmOZ}AjhVe!Pw%pX<Xv%G_hM^B6Gx1% zaOsTKl1c@OEtlUcmkl#^OYkjr4vIZ~YZ`Ck(zCxsjy-?IX>!gyeNje9UDeB#?z8IJ z=Bb3JuXTScKc&{%`|6`bi}pyp{<>j8+5Cmk#nxKqM7vTgXInZxj4C}j#r4Ie619c9 zbo`|+rFLcpN1COaQYwkGd*SNGD7Nm$%V)18nHz&O7wBC*X(C{8vF2#@>~%k8KU*EC zz_k9di|n(|HjX_x`#w*8-;-k!(CDjc^6}b<G-lBom+lBi72mkENpXYjy1jmGdw9+y zcGvYTW#!0OdwppRW0u_{#Vox^ibCv0M-Cq3DJ;}*wvjm}An$IZa^NBlXQ7U>l8k@2 zQ&O~*i|T)uHjX)G1UiEyA3Z4fb%1Z%^2To2%m<0-Qa^4?Wn(N`?$}|QDUg_NGs%qk z@4644cku{Sge~8FMCH^&y+o#Rj>$WGXEaB*?0oSja$4mJry2dhDfb!Pb8>&|+rW{( zIdpQxEZ*So57LKN?g^-UoMphie$(=T4=UX;Hs_T1%{QMoeprX=gF?5{o%4%0zCYR- zT)kCo#r4Z8ziG){ew8fp(1&sB$;3tf?&^NkFP*6N!hGKq`>$W+Lzll#`kSxncI~L) z$N95XcPBr3;-~kB<F|dVu;5>FlPf1n)1!Z6i{;*0q4)U8cL~*kS;0c-7Ed3%`F=&{ z)RI@9v)C5h7oPbo{;eR>Wp9@inGu~F?8g6I&!6^JQ94k1|JCn*g%p{-d$)Sr(H4F5 z;NAECE8f^2t3SNtMetLJzt6>V4Nfh)`gD7y>GS`^rni=D(VP7*IpX=gbTg*slFEfs z4Eglx{B>(PJ5NsxW{mh-dyV_;^$&4b`=@>H`xd-!{jS%Kq!#Uo@;&vv+(WogSyHJ` z!}*HGR7E|$ln$wtMlPkVB^Ukrs*-tm-9zr2_Y2&fE3N#oWY03S^?5gC*|atscev`1 zwt(-O)Qav}*^uMceYn?~>-|tV&GJlG&9L8qy_mDuu-$<Fa`2jM%W9pK`D)fJdzKlt zuztqhVpHYpV;^5n5=x%*JA7Jr*tO>d&p+!3&+wk1WuD0QO!!$6W8$hiEk7f^R6ddl zd!?lRFNXKrSr^?W)0Q+uozeAWZcJU-9I`U8GNo-rw2iZhTY5*cq2!~aoVE&yNp?Gr zd@?E6pu`)q#)p~z@udUDFPLx`=<qu6_&0MX2RZ1v{VMZdZ2WifwsWJ5TS~`)6Fd_o zrYiEerFV22N<K==`SnDI`O<-d2TTeKHF#}!{FvpPFDV?jV8UUj!>d%{JJn~ur@5Z! zCB=T*lSiIrYj4n$oVY&sz%jmE?0HP{uUrfNyCC`Zr?UC03%|Y<Ph0$~CjQ04TM0!s zj%k{He?H|%yM9S}PLS;C&+?{^jJB_CZl6=Mu{BuE%(yhTIQ*E!je`>6@AqABUUpE# ztA6gyi-&d7+}Ce-%$w#eZ!RgEV4du=C*7xIcUtgt=jHM<dJiZU7rhRVzHvaa@#~yP zFFr9RORv!0)~J1F9iLqBsiRhYl@X_}L_K0mmeEP=>CQhhebc&?yfLX|Ukc{m+_;iA zCb{fOVZR}xnUR`H`m-vxichXdSFYz*dc^n6%eP;C<)F0_vuJgrjAFpX=e$=ATRMGZ zKYyGz<k5^qs~h<X^wK0&v`=h##lP;i#0r;u=F$tN9b&(j1n|$@Y*JU8yQ<O4$1s3> zGVhh6D$HLECSLdvt<0unc+Ek!$2dUzG4GYbEKXm$`7ZN>_*(K@Iq1V&YIxeg_KaG{ zevOS698|?5S2Q1GdzIALSoOkvMcw9QlN-583<EmXvAtR_yK&cxyajSq0;}S`y~tj` zB)_SA;Ub~Gyc_x0Bvy1cvb{<aSon)~!9g}HgCh>AZBi?m6WLxR?P#o$a9mN>`?R5v zOU5K%tB`R3dpqxyqk_y|3#J6zb)Rbx&^Vb*tMHVA>>RTI@pPUmha;Uz&zD|wVAYdc zam0?fbi+x9SRLa4e)COlb?Tf;T+Phtyi1lgdaW@EU|-F9<){?%*A0^c?w)>Y6wr8= zO>5(EhpSQ79b$Wo0!no~<Vx<B-*sSJC%NKCD06ASQHR(VvjG0-99L~0xiX9HNLV2B zocGE>OXkv#R~&5j_+R>eFXCU}ACsi)_V-h2wLQOzn}42qV^U0f#=ULZHoaP`m1<W0 z<M5{Y`m>H4K4bP#dRq4F&)1G-b${K^w)G?TS267k2a{CIqSkbu6?>h?on2~uD{~{$ zZk@2C?MHXr$lfUTZDZK>HO^w%2FH_B)gso+IvcU3{p6af$)9+-MQ3Dg6xytF?O;xK zXt7fFSA*$Wt9arQHZpPRhMmpT2|HV;6Lz*#=i1?*Yp)FdHyvFiy5OkSo(&P~{yNu= zI(L8l(6F_t@57BG)_GBDju>~B7F<t?wb>rQuOGSkxk$cl*n_D@y<|2<u)FJCJ8Ibd zwP4QHD!!s)Nv!9h)*P|y4%K$+E-k!~WE=A&=a1Zv%x+PO)Qv*wy4MbJc9(waJz7<9 zILbEa?)HerYBBANhmvG<wnvEH*12}r=-R7|IlGQ>`D~8pTq*WCq3h_b85tYp_%?<0 zmssnBCG0-xwPs5Md$jJgqiWq>H>~?xetKW>rd_uqj(Uq%SG-KLSN}S<wqd&7vyYb! z*Zj3h2we3t>EY#R^G=?hJZpu6JoD6we2b>$a<*5CyxZ%;!@`zq?Y(jHVRY*L=sWAq zn?I5MXz_DlsPn(aeQ&cjOxs|k^YX**)c^ZVe38%8UcY9=h4qEse%{ewVVePNSbfm2 zbj%a__4qZvo8E_e0n2_&UF6qhd}O`YT(kEJuPsb__+Uxo4vR!FxpgyI`+uhiCT!lh zL$PeOYs<R%vyGHKo~z(md9Cr7vS;Jm`RZcwoD&Z_9pmsx>N(<a%wom?3DeY+o_5Ke zkA~fbjA_PdM*@$zSfu&&9WmQnu;G+WOpXaRzx$a(#}hYm6r9j;k}>J#_}#Pi(81`( ze;2dGDu*9lJMni#?h{5ismhM&ZgLCPFJ#*KYirNPwrA0+<4;J;i&0W{;hQU|ykUx= z&}oUqY&FMrL>!dh*Oq*oESk);Ce5eAw5M~!jX5%%b!iV5Ope^~V?liP@<Yz~pXKbT zX1X@Wr3o&Y9qIC7o~Y>4(%!ePKEIe-W9is^%FJ@jYlj5~emyyHgXQX+Qx2?Y5-X1Q zFo({a(zt6z?gF`I7n(eC7F;-}!*$iA$BBQk#uvkxhZur2cnqf=;<)Vb^0l^|>;Iha ziR&-=&%0n-&HH8jnUd4r=J1L>{k7e!XveJFwZ3i(xHtD7sZ5jKDw5pOy;)XhexR7| z?OUhzM5PvbJrG*1qE~e4prPMRi@c!L%8>q?M^Yg-tAaExbUT&u2fujW^sUNlg;B|} ziB~JGDt_GM&tt#x*9t-Y6O5~hDjXLcDCWAFG~bEcU$f+6%Yj=m&w?}z`kgvmMXVw` z7Iw2n-iU~7oq2PLN!Ycdy0Q<M;o*_@W*mR=B-;Jg<XF>#bDU-zxbno2Tc`c12-lj0 z0ZF>8eAfbBB-ysgnN1M#^ie)@Fz!%@w(6O~oQG=WsOTKfJmfS-P3O=|oz<rkg%g>g zH%+~qZ-2)p?g*2}4#$N@O1WfX7GF4O$z>bkr<anXv2p5@O9#si#k^5CbJ(`XM!uYr zyP$o8z;@2=g4NECj<$XK`RiC8@BAyP{$GzWJ$?OTdEKU{)aR+6_I9i(|9EJbv(46w zQq%hpe(UtlYTtgRH}7Ui$Mbj<HO7s3t3I!Na%Y1p#~nXD4bFvS7w_*gmS~*aGqK`` z%-Ms<$9C*ED0BAk*C#crj(XfNyngJU>}NT>ceB0+<et~Lvgwiz(;c(<%14+#%L&R! zwPx}7pK(gPF+;a@a=c-h_x+dCC6zz6S<Y(Cmw5b0sMv&meec)s*aODJxgqD1?j1f_ z{8>&eZbobW^fbW-tA5HT#?AcR@!{9!Bf)dNnMzE#weIkP^YzQ$#9w`qI{VM@tbJ?# zyA&rnpPd|ScID2N)WZjlE$glEP34QV&%P(HW5NCx0XHUCT-@~VL51w8f4PZ^lmAr9 zOy~an^JC|B@xB%2EM;4AJl^EoEZDF*Q`zq0wq?vm)bHKfq-eM}_3*(j6^o;eJijR~ zuW(Pm;=(4y!VTa4Yy38E?d^LdW_{(!BjdLjRxUNmChAHHDcfD%+GWnQ)k^U8EGH}f zBkY~#EPmT^Jm%!y3|N_YtK<CDy)PL)?$kRb*<*g?%J$U52WMK${pfc5$MJx3V&Alv ze6D%e(OPmZ<K_g301FSrxwW&*9tp3{uyUw*^i)?m>(zx#4-?8_9#<?*{u6EZJvlo5 z#QOt3IzF}?m@tjE^!AaZ9p+bVZ8~{G{oS>ah95`vMw_Yp+LmMSLNdQZBjuY{&6W!1 z2U(}vj%=OA%l>_~*`v>AvaB3x=1CWFKH}|MtSimOcYJ2Axz#cI*e$y^#4Bz-&gHI? z@-5?Z+mVUWcuOZN>-}-$_bfhv`4!ox+m39S#>?*g_{=fsR^j>o-teE=7aJZp^?k(0 zi^re-{8i&x>v~lEXP5tH(~AE~U+pk@_qe9l=>5Jwk2l$S%=i(0^7ZYjrrUCV>{u3i zTjtl_BT@<%?#nHZnrM6AV7GiUbBxW8kMpPg^;BB0Z(Epq$dzl?SN~se>&fa{&$T~( zJ8iH3`~0*GR;HROR{f0l-|}wy|D|vIGoQFW?R|Rnr1HL>pZ;t8o~OI+>4f!X7n*K0 zeX?TxzCZb?_w@yD6+M`KGW+_neXBktZ{-LPG_Cmfv|j7?;zcW0<lf%4XW1s--EG^R zW_7OJ^H0xWUj5Jcr~jSz@hPsGz0aU8JHBrF8|#}3ZY@)``TL3gbe+BO?Ps^mZmP$x zO3gZECi=ec)0L?|zlm-&?fts->ZU#WUM;@$i|^^zU(#azldkJXUWvH9ZI#mhjZq)X zj_kMUYrl5l*PryapIWOPADn()`**T)ife3Xa%lA5X0=t1cKlCW6ezj)*7We_1?x={ znaZPum#Jm0<t#3+t_)W@v`Q;Gbjhkmx^@n!PONu);<matlrBDZfp?ii@72=MuRr@& z+37F&^J{v2(6?BrWy`s?%03FwH;orLtZV+%+w}PIiLGfN@!cnT{_okiG3LF!W@Y`> zip#RbA5Yus{&tqSwe8R6U7z$<WuFdJw_X_1ao|UgqR`_T6MofHF5M+y*`q6}WUwrx z<48vF({5Y0O`jH@(OtZ3+rnkrw)wI4n6O`|4T@X*PDRu}>89m2mMy2_E<TK36`H2B z&g*IE6aP&<2@m|Q6j`1vviRrC#OfEIQ8>woeYr+S;VdWq^tze46TQ?mmo^)=?3D1H z(O%f{Q^G%@fwQG`#+S`H-536bzdW~U;l@21W1TPahRn=A6K=9fF*)K{o34wfbbWEe z{WEVPIJ|ju&Q6RqUb{fx<~r2{(M2~_<^(+MlIpts<aP0mAKse<cN~%EJl#`R&}yi+ z&gj@-(_=qw9G}5(yKbh$V=d{DZ`z-ZYUMs(8kO)NNY|obrI^B=l8wKo*N1$QkAC9* zHcLxtbyjwl>#gL=Ox3wjNw4+m*S{%`D(Q_nozf9?;m@b&)cvznvP!>|vT>H2RO&nz zxn$Xk$S04SzkQIqmp-w-JW}LAu2}02wQi+7C$G4#J!#}<)P6lu<%3qYN?h8+X7<P@ zkKDv6cg%FP%S#PxxE(3-$WP35$Ly8;Q6XtfGNzIzl^TCXsubFG%h;Sz67S!1;_zdg zPajpfnQYE35;(s}<IrcH+8CL0EITdNoK<Q(7^z~Y(k;VtR!RKurW1!h=zKC%>SpRW zvq)g^CXGW2d}?iEPO?;5_MB8|+!(oJ8E@p1gc`A(GbXsosijTq_ly)-5H8mGVv4Jv zRr;&Pk2BOxoL}fI##wS!sWUI~$%5)<FBs3Idvv^uU+&qG7q8A%U>Y?w+~mrF<NCtJ zk-VS3&R<a(xNqOCu(cbiqt+Z~k5{QWx#n!*OMT&DzeU9rtN!28etmVx{a32<qW4Dq zh}zpdJ?#C%saJnj<bGwm7qRzM*;>0p)7I<06pcvpUhnl^<MzX={}!)}OX|65Es_0I z?^xvC_K#ujlbf!x&&c?y=oVGma&p@jFP-g6!+Gn1bK1j|ABL=+J|pcb-?Hev-I8JN z6PI26Es^n+vFg^P#|f@QZBwr5h27fH9%}YBd8;pbMDk_DX*Wt*N~hWRF4~@&UV8QV z?JWn`W>343ahcET=9ccWW^WU_eSgnLzRbAnMoGs?Gugyhs>}I)MU;hIUiZ>W*WmCn z)wEk%nj_8LCT;Vro{@9e?%A!9hDtNpq<y~b5eX+(cJG@u_v)4Wg}&T6n=(6(nyqCE zn_X6T{8I6X%*VO2!-8vO^A%iP=6q+vOOEUNpB2AaIDcte*00L5MLDLTd$TSJh3DKl zm^!<x@Z>Vvm@S#|;yF>ia=BJVENAx>T$vOs|5w*CH2A^ZY00Z!ez2Q8?MD7(KE4}U zx|_}3KAhnD+al*OW8aOE4qG$Xhci@{GqOb#Nj)jJQ}o8+L5r{VoGqE`%X4lW&7A$M z;MlU-Ia^;cyw9;ZQa!ux!@)_H1(<a$d5d3s(e4&|k#kunHs_XO`0TQaXP4RT*&1T~ z<>KLO+h;wyS<(?_CcE(a`X6)LByvw?PH~n$y-~SwpPBB*GeK`p9JHNXR(OAzt<8o^ zdH!6h1In}cKHgZSZ1dCV;~R^`(w}-wU(EaS#GgII{><s{YYWQLHpT}=$2Z%>tIxZi z6%ihLZ`rmu+0E~--`D&dcI!%bU0}0ZyqeuNy${R$FJ)c$|N6-1>rL6=lmGA0i(mMp zVf}w+Nui*6wYX--nX!+~du5;csvc!3G3TUr;I`GBUnlqocALunDt%-eboBPL@cNMG z`(djSBSSCFng1|;xpr9a>aK{}cJb@AY?UT2+jVPI+byZR*O&N6zRHr8GL4`7GFoc& z>ku=p>ALM(#k4o*1;3qgHgUHv_nQrwopa6JCQSF;eIxg>oY?Ix{Wr~I6Z(AHXJlU% zd=^=xwejAj%~40sZqICFHPhXAVVSJXrcCk8IkyfA&HlFW$}(o1%`XK`=UN@&^7fO> z+3;pfSfO@qRKiqWZ<{kVUOt)Z*7bQ8^~!E;X}@dsHo4!I{YLg>#cwxDTK?KUFMgG@ zSXDbqwERF(&epEmiI;`ib8a0>oLyFUWSOnZ)=c^1IaUV>y~AvBHf?d1pRH?lAgh~q z<JBbPoZnU-88>Zudu2uOqvxF$BYL$(EB{LQ<@&bDd1TrD;*j=m`1ko(jc@9{O%J(E z3$7*{J-<KpTlLC@ZKb@g>I@T0=g-)1<W<U{S@#XJ@-t4nzF2+syfAavqrb|gUku!M zt$PCJswd0nr1xZ<=m`{`Z1~E_NbP{w=9Om`rhh8_+#>kms6^+zp27?5hI+dUjyc;O zTd`Cx`AU=S&u_k)GjD&H7S6}KHZEbpRc{`%-)*awT|d#L67TNu>-=n{HnsRbZ`PVC zV$u72e5z)p^1l0TW4Jr(*~cw~#YMMntoafxV7lY?=ObUX?ppQi@}p{h-qPIJ|7IRu z_C)5ojLHVXpZENXR>UUf&i*v(6kq7n-uW*!Ur%uU#M|$Zp_WtoY3dr6h??!!UtTZ2 zrh1>t$8F0Ou87kjb~g<Zc!L-DTfKO6JoVE1i)+))E&m@`bFd)P=yvCfUtMMqC*Q=+ z&tIdze$_=5?M;<Bd)7_zN@h%~+;)X^9&hxtvksT5&EI}m-QCMu`m-jLFW8%%Q^(Wn z?z9D`UcLI%b!^qQN}Y3I8w3{o7rnk=)w5(BuYJW=g@Pyl-?44us+hA69&dWSVMAzP zR@04D7M(xWb`=}w-z?tpcSr7@?TbH|np&24e{11XzA)EN$X4R<g6HRjyPa~s2wd!T zYP@IfuXBG^0-rNa$b+-zHKtZ1E#R9cwW2$n?bXAbjlU`q7cj<2WpsqINj>~}-lJ9Z z*M(IpTMs2yF>JN_am+#Wp419ZNBPm-#;P9)3+${Uy~Gx5Zftvzy+Cl;W$iZ$xOqc2 z|Bz(UGMFrPz}241_RI1M#~HYod6pO)QQ%VMQ46S7{p!uc`mAQA?%sceU$Wx^wF<5} zsIHS((R`Zi)uTy`RXZ{k*y%}RG<;^0dNile&EmJ{$_+<yq7tuWoxi}mBCPtBgQ}n8 ziss2|uO2ltR^3QkU{@xU(Gbffm9)Ll?S`}Vn*_178?v@mzhn$qeb=4;L6Taoxm;Sn zi)5=-#$|yLk0e_K*VTXho%-L7)mo%Z>BR&69;XKrB0XfxxY^y$96B1f`9r}Oof;YQ zZie4ym5z9B?kIRA-l^In_G>kVsm0&!BPAOftgnP$d$s=LoBvvy-P^179={o{lD3p} z&HbC})~*Z-K2ywg(=lX<`IYh&2Y<$^NV^<9{!9PW(+##)eYip%B)od!8`8Vy|K$eO zU9&d0hzplpxY-h0Vw8CGzx1i)(>}7T3VYACO6)D$s%x*<R!w`swkqryo7AJ0Mz<IL z>?i9kc=-6)`UiXdYkfRd>m+h*b=IobzVf9`w;trKoWNBRthGcaf1lSGBVom<KV358 zrt@Vrhc9i``mm`aKsB#sYK7G#j#J0azx?=T^^rN|Yag4R{rCpNk`1Vlmi6E7wT4sJ zur&9ybk-^Rqm$PQ`HKYE-H+%#?jx8Kz3!St|Bbt$9CCG2D}wD3r9buZUftUJ@q6^D z)wjY-A2CkuDl`2U^s3Hi?yvo#PfI($W<K;T5w8z4@<@nzsnGdxX|s%vhU}B1NBTn9 zMt|==`Vz1E{eA6G%f{VnChzzhnxwey+Ckp0TGv<o-ZS^s@=o)$NAgtH2OoU-#C+<L zWk<Pm{%c)KzP`10zMJV=3*(zJ)u$d<lX84c$S&{sbG^K8`=44Z{dLkltLp*HmM@dd ztOJ`n?LAli>iV{7{`vZ4SGSZXPCfNHd*Rh9C2_N+mSh<`U42AJv+PyHs>JIFMYl{= zs8-*3m2L1xUGwq{zq`5ewIQp&RepYaglECJ-1efsTQ8ip_bloE)opuJUw)1;x2dZ! zx2d-=w`rg;x48P5Lx&4D2Rv?!WU-j_XPxife{sHi?i|7v&NGgL9+HVsK6AA6kj)*n zGsk5QG2QX4V-Bmoz4^!7)!Tj^3ZCm3uR85vXG5F*mvYV7`*@b@zxnOz_tXD`rNjSB z>gSsC;p+d`Z|OM+kGLJR%Kn6Ru3!A>-1;8|E3D!d{=01!|IstF)HS&@cK53`n`5f# z%DiuHtx$edlK-QVk$cTg&qdA|$1L9%6gAFu(<}UUT<u-LcEfu|<&?i~xE|uu`Bd#q z!c5NH5m|@i#Aa{kzp5sa(9PL?BkPdhHr3tJnZjaSm!A7$=g0ZxzvrTA&y_M_e`XXj zeU4N&>@Veew&~nanQN6Z{w6Qu5@O$R%hKY6rg*!>(}!HkYkVGb2`1Pqcj|c@(z4Os zuOy=P>r+$SUlSL+T7F#3eQS%xn*GNj)*Q&_o+^>Lk<Tq^O}C)f>xKJ|{(A8*G|9*J z#xea@pI%Ii$uzrm{mRQfS88IK!|SK-@Vy;w61HW1Ms(bC=krf&7R}`TVpP<5&+XlU z{)xL^WIvJnCAqUd?__J$$78SO$^><9k6ACTeogVL`Rx}^iZ?&Iozv6k)ngjK{+Q>= z(F*3T28us_Y^YHFx@2kThIS|MYRxYj`kmx|Yw{E{91;l6n5e|_H}doMwpI3LOV_-g zarkb1j+`yGhw6LFL?(TX$rV5QI}dmMD7gMlQ*hbDTczjMZ}wT6P~W?$J~|=y>YX6n zH_^KuZsY9@6`fxv`1r7Hb9HiU>B1B0|13|lv<WXud)Kn^+svd^qxb4Q4;T`K(*Ny@ zxO>X%*ZGPSmhX?qr%yO=MkQ;-igo(OU+h1-z)WJQtEj|G*Qqb&x>oX>U(|1)(`|R` zg3d1%=S?fPnm51LpBx}o+tpYfo#QFBHGA>u&gJ#fJF?eLv$o{%c(-bz!~gxdX&XC= zB0Ogt;5u}Lr_sRFR>9{iFURK%Ee7)4oZJReHwbWZc5hIKHtnptY4Dd%Fp=pq$K)B_ zGny@1cFypf(H`0IbB1R`gJetV48Mq$%$ut$U(Dt$F52NW<G`)`r;R#ITP!1dXLN_Q zR7QBt=of8ajqr=;NNsVAn3cO&?^nv|htD>s>|U+CVTM7cYm4O!ry1R>EtNC;XY^OL zu+H#~=&)>YoiTUqtaibht12zeoO<_a<-bmYPRSO_8GbXmGg~TWc+Kc<ZDF-=i|AO~ z;%YHz?X3Q-H&^|%lsWhA6}OC%&m)CIKE4!x!35uLW*i%>x|P<PT40v-;e^cU-UIv2 zXns8}p0DbYz?~>Go3nUBr-8UM=jRQL2J){txeb~&2<+wPzF-_}+PU|p!PVDa6?_(0 zCkox=D84Y&Ks=V?^M#oP@^d-3KPX-fy0s$iy=|i4y;S`rvu399FR$9$els?7{de9( zp?r?w53>x!*K>USFv&n(pM(3uoDBl!Il4cXM4O7g-V_?V_uk|;>sDQ__L*@+`H;*W z)iXy^ium{^b9^?OxPd{KgWGW429C#@crP7Zmowwl*JDD<(*Cu0_NbjXsBtK!N9Bxj z{-GKkb)5qShn#p+bq-C)xmxq)Oxw!1e1k-$W{$}c9y6L5Zw9m6nCPmwEj_R$S2ARx z^M4(o7t<|MTVH+c`)_cX#qW>7=G89u-(0+6W7{3G=U=_CM{niL<ewIETp9aPCQ4Oo z(m1qHUMyT?>ebez$Ngs~zk1Deo|7+i;(>!YlPo5<@|C4d?4BF>B+*^$=Z$HujN8%z zJ5=p+^{Q*<Mx`Vc3%xL}GF#es;MjbzdhSIGMG+GfnNAzKBt^DaM9fmu+m_PNe*EQR z?;H<i_ScskN+UBLB<4!}h?vaA7`EK8BQ#SWF_r7evw}@eXJsoCylOwW>9x75UV6uY zsXP;R%u?iAm)g<&TJq6Dw_i_$mR~+_aHdH?p&75u9B*cM_R9(fT1_|#4SAJfem&f; zt*zZu!+TGCb4dJ8);u+4t0y1dEkC;3D*on;XWhF#wXyB_oB6SEa+2u$Dc7$&SuOo# z{>Pukbgs<E6w7*G!fjeLt5wSB?4jeqn>j8#O?MMa`gp!;{&SU<f5NgmzB+H7xZ|t@ zd%48pB;#Zoo#dYWr#+k-nhX`g%;Ix%L(Xq_kbbm%xzv?wH6dRMcmMkIL-OUH`J(pa zp<bzvAHS-3_-d7M*wuu%&-p7g?|!NNT|8U9{pJ0`tMcMsSXP_tH(CEbBWqo9>2aB3 z=Jpa=Mva{RLuxi%I8!vkbK!vuF4eT)71u6`?3&@du)R{`*9`x}23C=_2#>@T*Co@0 zggJ{1It@&lmChU%IaK4Ls&l~P(3PzD8#s)OeC+>4`OG*l$>-^#<4yAaCOS0wnFK8| zZspq*@M4j6tK6=@7mKZ18LI*$7OA%iR!xlZ(osEg(CAQ%j{2FyT!(7DDC!)z{p|TN zSFuO3iGuf*PtyMK^wh%gRceMIwy*5P-tQEfari?0qL73cjb1$F0qn{=A-;9IR}O11 ze>FVqz&yv`f`B@&$sx_CL{r-(7aZ-mV!tfEaF~IsmS>5<0R=8+o@D{P*Ea{;_gJne zVljDbdX09hX+Yy+Hm$<D4zhO)0>sUEuN>B8{#tn3f%%T%1%Yy2lS8&qiJhB8tSsCY zc7GPB+TpOUzgvWDhf89|X%V*_liC(7-nac-u*L_4*z*$4Hk@-{y(Yb4)-9<O&An`| zk|sA+-N;#Bw@qS26t_f1M=qOGqV?JhN1VB2Z5CZPn#^^ztJO(<wMNM1VlL-33k?q4 z(z){enim&qS=wvK`_b(@ArCe*ddV0Du)FhKIcmuKwLt%_^zkdlMVNUFt~xmT7+>JH zeEG5CL*W&%Cfs~w!7q~dTjjn5y-1dCW&9Q_@ra>SkZ;+-H!I$+m3(<9Tf@K@<VJ&= z4y<C*D~<>;hiV5fmu@`cP`k$90)sYhh^~C5s!Cemiv+V)y>I`y8|9u|@{s?m!DBH0 z5C`|>fcsL}8X^(1*9O&Oe=!PZyvL?>@wkKR7t;XozdTo*>zThA9&ljhF}Wbn%wuvW zAu6%6RKzO6e_=QCP430{y^1E6+|9X|OO_kB@N+4D@o`?hc%SL6APoZ(u%pg7u&PO} zIHJT{T5!-IHpV1Cembwo0a0e&!l_|SNfNDmZNV>+(tmMfyhv2({bJa4h+(m2kAWHY z*R_Rnof@TYY8~BvOqp4<B4>e+KhKqe!OW!}&p6cXF}uK!&l6I*dy9~exn@a0x0Cqp zO((CN{ma+;rEuOMhVPm^9}IZ!_U%f|Ulx$yz01q+OyXkj)NbcuwpR~kH14v<Tfpci znbC21%MPJv&5{khPU5>SXI{N<Tuw?dAz$p(w908tjm0;cj_DR(U#}^8BX@z&ao!Ns z(+*b|QWw~%NoO>;vPmVqTJNc<vMcDt0_oPQzDd3d^Jdy+Ea{&o!uG;7vBfU)#Zld2 zt5zoCOQBtfe5-jv61FyatuYK>&*r^y)QXwc;K_VVUc)c*JquNzUAD4_a9G&Q{c=mz zi)77So((qKrKe@4+V0MqnY@X$H##kyS+ru-JoV!tk7hPn{W#_jyT|kbgFlani+EH_ z=SC4L3HOEF-ZxWTJ~Nx_#x`SWTiu;ayMi<>=+2!c@od9w2irL&7Z{%NnjB)SG7`7d z2>H(4s`x8y;l5kpnj#UCZEI_`hD)y4Wy%whw61Yg^kIkCHRb{Q(mW;y+?aVcUUpD^ zvmkWx4EKf2ts=W3To(2}6=92TOzfDNDRJa%s&;Eu#~G*E*mVIK1)8zvC02br=)l@1 zvEoP~b7{c|hgcbt3k<(`Ope4w#dLOySXoTk_t2!IaEg=s^B3<J&n-)oSYGNc_KIhp zkG_woNX5i=&Pf^uwz11+c=?zGuup!mQ)S-eVoQs8>!!5n`7KElnO^VmYerzqKg*Zr zBApu}UnZ)wWj#t+AXLqJ<zQk}MCVMARsW2lLt_7Z{6DFtWUc&-CmS~Z{CiJH_{K!L zq=noyQ`aqTdo?Lwg^<_6=5&!=JKPqw9~b#m;jwVmy&#E4daZ)*O!f=Lv<ThyJS&@{ zcIK$sAsZgGGsmlo`WW7GaDSY(fg|6@XZyn2Eu42wh3LI=ZcEn-zq6(-P{VMF6T7oU z$;DPD{$`CYh7%4kFl+E!oPUVpuhGmay1&>~?u=R>_$-O(=JmrBhiZD1bq+)va_Ujj zIdmdq;nIIBitYO%SFFE2@yaTNHm3IA)lEzyH!>FpS@T>uSXLF$=`3RPV)m}*$uAb& z-@k-UDm^wK=~sy1)ENm2_>L_<AG*Wk@8#!OF^d^Rc1g@=YfZOq)+jNw;N?F3=%UCj ziOFrPsi&&AVsktWAKFvszDoXz|MQ|3Q?lYe2D{XF^zs;<PIR`CmE!7I5Rk;v%BL5& z;+G?r?VV*8jx%#H?^$GUM2$=NjaO~O%7sT{f{NLbgXG(?o+ard${iDYmdt1A-oV<@ z8sQhwa#eEK`_*?_INzKOSr->Sv-RcfO&2by2Wo6=a$?uk40+q`boKZ0s)rK4Bs>!v z8b#V>I3~8-oVj{I{-(c6p7Hq!JbR>)D0fca+2ZO|^_O)V@(($^QPw%MB}8e?4%>&9 z{(F^4u+BI<<I0_-4z@lf7Z|Pwf8N5>TVOnO;f!4oZVUSbw?rtqr7p}f65pKKd;84f zH$NY9|GDJAyvOVU$NiM<rRz`Fa$Vi)o!H@?xnh3%vx0~9f*J*Ov5gYXHt5d1HlxZS zYk^&#L`DNMn^a=5ReNjt{<#`oF3fb257yw>*m{T~dUL@2&(Ak;UI_n?W5)PVG-jG^ zT@CAX=@tF_FST!cc~Ul^(M@97UV~q|&Mj;GYB1diRLpLiafrkF(uNN<-`sYs^X>PD zUmE7X`s(4<#$Of53l#53X0&`?*{HWH>xr-Wf)7thm|9<5TV`-Tgv;4yX+WuN<cjD6 zeK}08N}2kW>4*B*$`}X8ALlhWqRHG_FiY&9V>wssmqizx^SPM6EDku^sM%wnz{`E! zcKdSSfEtmRdUZawIYt5Uvw2O9m@)Tmm@9VhU@BMandKJ_GjTENEHgMF#HFlr*6A+O zu7CA2n~v!&_<le5)x!S9UoWy3DE^YnX!%#~-}T_ZiS-;M7kk~RUic+8$cePQ@Jwup z%Z#Y7`>A)AQ*569K40_hWzQ!&UoOq6va>wnP|IU}fkBxkWNlr>&MUVjIPo)UelhGg zB+#tcW1zxa%KbxaHiIqKo|9?{a;$QHx`O!md9NH-X6F5H!$H}`b9=*~%SQvZY><n) z;2~eG$y3;Wh~xX^4IfT?EVW{6b?;k$iL)b-Z~djC{VS!UctUu2*`yvwGxvU&HtqD$ zU@lvm#TSkjb2;BxXmIGXPS1SiG~or&2fA~Z9*G$!+-*pHmDt(%D<W$F<21>PmZvYf zV=JEh>=V0oxSH$gl;%SMb6*%Lm-rn%bot`*m%Fl7xHpz~CcjGD*!b&4!U9Dzsf-ri zEgXDd0WXqNTjjz6B@#?q6|V(IB<6~}68oStyP;}s!`z#Xj*D0CYWyXUyg>1oR7OkT z77jkM;1@~Ut#aFfBod@s6}JUTB)Ut9&C|E{Rd;Zn+OYEFqvKZ&n=pShyyb9J>xzT& znI*0T2J@Uug_i`JWzpm@=sYAaS+mDLmAjOC$9!LhJ4|9J-SXQTTG?JDPi$<9$X_71 zY}xzkhc17K+!B+e>#(rhQiN@WcVb7Sh}(?+-%n00Pc*c693H7=U>xgpwrGai!UIWM zs?!2qBxtr~UG!bp{#4{wgkxgERFSp_*Tj~qOQs2J<|sCpZ6IFE5!`Kb=*p~~4Gf<- zxi>a!;NUj)*?z{fg;VELh@RYRwjd3I4yR5=5i1GTh20lLswA8j_HPtnlW<S$_$cBw z!y!x4aE1Z9GDorD90OBr)icKf4l(g4`kcMX8EpJrL|bRRx{#WIX6VitPYb%78ZV1@ z%~^QiU?f-UoW&OoS8~<HEHF3_$mJZf$ly?Fkay=vNat^2OXUua8U4vEtQLL|9l|ZH z7Bdq+rax}sj5!;kw=ex#u*QZ)r_Npxs~av0yU&VL-EdmizgA?`#5qo;lY&>c6$N>B zX17@G@SD*s-BP*3b4Gu33+oQwh>p1}t`XCdTG{!sIfZXbT@`bt$iipgff6p&xWCMe zd}cu}l7w63%z|GebGI^X3zA5ZZWY}2-+9|Kq1~QmW#1^CIU0A!=8f{1<8_CaWE6Cc zI37}xIkn+OWW=&FzvkVXxiY5ayv(A22L`P|?iwWpQ=G()YlNKE;;KEf#NdDqm-Crr z28U#VygOrCEN}SE=;m#yyx~2gzqW<-hJQo{bBn9RjHFg~lVVQc8PiwATxOp2RD^5I zf`BB|R=%*n7fGhAa@PW0B<r>^UJG2Ic2vaehI5vt;d}#jZjR!O6AbvfIX-Wkv4O#u zgL~tY4IHP9bmB|})IKN#@BA^Z(sSVfTQ1eO;1>^gTlMxWyl^<0t9H*~g9FuE&NT}R zoR(i%UL)mYqhebAp~FDDpY!vF76W;IPVNsq8wAdCc7ISh%EiCcYWb;{ie*<-zgFg5 zR$lvgrPT3&2TZL(;+iD|olfG%HNO<JI?0D?@)YzQ61c9}Q_y@R=8;h%tjzZleD>HR zk@1{>*dv`p!E>oI_NC_usTF90oVRmc)b3*e57=5^ZN2T9UkcitKt)4A=OF=5V^3l0 zv1<X3%vSDfwr<(E!+S>i#+IKue9j3z;7U|nCnWYTs!LRk*-pjhLEx$)!_$fUtxVOL zQ*O8~Z2l{<%few{d$Y(d3zx)(!y;`KPKhmxmrN7t=PdrvVj%9%`T0YSf&6(+?hj2H z1delbZ?Hc6#ddSILF2lar;dI<Q^^&Y@3!#OS&fo{ekbwfAoFw{Tb^YXjtg)x^DH$u zV!)-$<G;0#SG8~Qtkw5=46e==Tvla#C?wx)#;da&!FweWSDAZnTqa%|oZ2@(KPd(5 zI-ypk$(mDUI4x{mDY9#Z+rsvjBEMdEB{qbwTrGQ8V7+F~hqfy*Ng^wEHeYPnDd9Y$ zy|Lw|gnLB8$ClO^4iPP#l4AAirfY8AmwqozOZ>j^UE`gd?`O)1czs!N!BL+p_RF#h z&hlKfUzQp;*mF65S#EHMA*i^se91Gud&18iF(=AdO?>?Gg-=9-UQ26*XP@}O+x8;$ z3-_#A7WiL3{(oSltD=+cr~1>;ji2}H{<}5d;Io1=%UJtvZHbzFYfE#i+1sRk-|8E= zm+i`Kl{Cnj$tKPBb-$5+a^(@r)8~xZnay+yE-X{!ySb%#ui4v2O}^C?d6(_x-7INX zZYKMv)7QNs=j6)f&C}-2m65x-<$&|-X%z{V`QF{$(p_!#_Tf6;-#d~oGv?hc>4-Ly zeYi|@x!$>mva;eGiI<tK=SDr6<!iko>$2Xu8(Z2>o4tKJ$(Mac#>uYs%VMt|PdUnF zk-1UPFCwSq@qXQZFAcJ+!=9}^z5hgF%}I$y&i%Uo1a-{R+Gj3pW=WgMH)%$!)ro_1 zE;;Hwo5uIaV13Di{+~;mnRGN{MUvcJF5pP^iWBYZROMgd)^>4eGmG0)zDW|1RwoYL zxa6oOHjVGohP@>d`g4~yGv(;YiX?fzT);8gJ5H2+vX`7kukLIwcFr6fS(S|oOC~gb zUD_P8MqBntV(v=?d1Wtl)`(y$jU%rvISPhN-J_+aHr-}|)ZDOXd_oa{Rvt-}FBRBN zd$I3~h_X6yc+w?DJF)3}TpL!T=-K*cN<L1`Iyr;kve&Ve8F5Bxhj!c-`~PF9;xeoD zv->wzHvZkO`OnjG@%hBrwhjraZ5@)jZ4VsOs&42u{l>t*`Yoef*ezzJH5=GlBGUOg zHr$()*;#vydBw%7W?P4^o!=NbeZMiVXTN3C<GaCZ6SJND$Bs09j)JRl0*|_E6%M6l zFS|PHY!!nkQ|u;oj+ia%9x)r(EiCf+3kuK4J$Sgr_P}w;YKMl)-x>s#zhM;gi!y$j z)c=(sE5tI9U%(=de}Y9Ce?h?&xd)FrY!4hxtZwLc{?@?2zP9qyhTE@d-)#EDV7=Dv z2;b`d4S&r+OI@ysADbleYrB$H!h!sMYu@;Kxjr@6WSW@u?d~jt&Wmd~iw%~UCh8sA zrgrA=j%zJHCGOAKz#tqYESBVweMsp`=FzQ_ByMyyubjBNJo^w!)mAm1Md{gxWWMD3 z&gkx3%lUc39@9j-X<OBF4qUp{(i(AT)&`F5=)$!!JXuE%Ub(S#g=r!aceJqD#s#K{ ze9yM4ojJPaT1(}O+p`ShZKH(668y3cIi1P#jcAEnyCY1GZTq8ytS_@~&N66}T+2Cm z#=Thv?3bg2pCx5wAG%Vt+%(ZnZM&MzfhA!n*AGt!bNMN8t7`?r;V41TqzdgtN?(5W zrrL(x-MB3_nQwZ1td`q@OY3#JFFN_~rF*e+&e4)px%ksuwOMA5R;`NodT;j1ieRe~ z$IX{EGwsop6?qi<askJC?>N!UZct)9#lN(f#cHb04k^|6X?ByE^_KQOS(N=!f&Z=- z`_C6~RvHKXUSj1h+*%UQlC8SFtC?Gsf61{Uua`En<W1q5v;&mF*Ishe%bU*ksbG1@ zg#O)2o0;xt%PtAKwzOHvMx!z`W{!TPg6S_?9a)tRD@rCDd3?!{Z{8HXqK{ijCbXw7 zZLYD=mlb*7dNQWUBHZZM@y<zxjDFL4I16{B__S=^|8Mf9_eF+Vt9q*cNLRP)TDAY@ z<xTcmT%Qyy59v6tHb_yZxjtIyh~xSoMZK!&Tpuqi59#RNx73O0i?*oHqWYB+IGF3Q z&+k8SSZHRj^34N6&jTOdSP-O`wKiPq$iWFgih6C+xIP-J3F+v6xYUVhkM`8*0{-5u zE)`*m{#sWAJW|LyQx&J>^1yYafN8ge=%Tc;rA}A&tPAOwb#*FNVDYXX#VogQEtMma zgA}vO!j=B(y_;^)AvM=-3RmFg9YVZby*_ijTUS`dtQ0V9))rm#EPtufl{afcJR0Ow ztN8f8dp)^!yjMt&F>hK2N8xT4mzLf8fBN0r{;1<s*3D&mHf`FqOD{gYU)=sz+0FN= z70HbD{{uhj%WK8NhJMa;POePe-6k_{X6u3A%@Zn;#Q5Z9w|2XG28PGqj))G7UhDnU zK=ajS(Vwfn{jAcJTjDx5D(>3#tit(S$0F`{ceT~{rdB%F{xkl|824GeIY+2+uCMC1 zzgvD>7Y=sXfBD;G7rw14v!mlaYJU4;GVxPe8E@&SD_6=6ybGylyk6I}`=pR*T}WVP z@Kp_|PxBx4IeO@x`?uo9x}{=QOTUV~-MIJX`n;@fm7%Gna@*@d{seEhYC1nGq<+@= zgJ<RcI^NvQz2e*BRa|%7q^!1S{&|+My>E{2i+dk;r`|W*EyMO9C_zDAmF?rDg9&lF zBo2A=J6FC;me6PBd7j!KxTnWp(%E?~{MRgBoU{_(8}WRh`Rkf5iLnj))^K0!FU{KU zBYX4Z_0j!F-!89@?N6H|w!g0S@%l*3g2~f`wpYA-V5T2?=i$=!-Fv<i_D|2UJbzk% zze4h%(Co-g!<;nPp47SB?QaZiW76jKYp>xhm|Cj1Zlm6z(&VSDd100|kCq;IykBqM z43AX4e4Vfd(%n-lvN!VGi(J$FPqy-P;@YFXBGNZ9UW?A@;1!cjT>R0ld#zOWPaW%u zQ{Lj|D_%ad)UVz1Ahluo9-fa~(*@&-gUVfI?@)O$FT;5H{vF!4k~cC{>xL!GKWcR& zf1@5>#G3YIvDc3$9A&e}*{IkTk<+rcMoD$shf4>;;&$CQ=FNY*@?|o+KJ%L=sU5L< zdNv%>`I`Uic|p%~rsHRScBk?M>xL!FI_l-KJ%Zg>=h{)9?ym-?lWNawyTMSbV|K)% zyVs!Kf5{QfII9__y~Q6_zD#7&ul0G7+Tgi|$8hF!!DR(O-+ez<YHnya9e>As?Usnf zP%-U|w~}OK4EswqhJN<%4mA$w4lNGo4&5Bk9r`(-yZ6F<8zzx|IR~8VPV<R<ymT}v zt}5b?x4d_yWP+r=v(EF>7SXho^^GxWcb@Uo_>=X^q4?jdI@{VQM_2vab#&Fw6-QS| zt~|O+B7LLWvFJ7ZoMO@mi;lL<NZBZ;_PI0Ub#UCOubTQ-e@&U5ReQ>NtKHM3QS(0; zx%h*G)l2W2v`%LV-udTf?d8S$AFZ8rFnZ0bX;EutO^;eLYev+XSu>;7%$gOoX4dSe zoQ~UKtJ9X(A5{xVlA52&zW#^p)mc-gXPrIey*2IW(x}a!g4RY>N<Lf|`6}Khgxff7 zRq0I2`i*B6&fdB9XxM_?N4>smh+tRN3G?;Q4Lj?j8|Le)8+O)LH_X>h*X&SUar4D6 z-Nc_dt3`G6WA{8?+Rne{%f(LpfQEB>crLb17u;18^xe0*V&%2en17G<y_|7$mE@kI zt1?#}wX(?EsOJ~C2HZI5Im%{{y;1R8WKPTH8l|g3)23&!p7!3_@N{X^{!c-=@s%sX z*6-ojICXl~+pKB7#FdM89Pn=Je)i{RknaQCu(J<z!+gDU!_Io^BD>P;KuLG#<lyem z&B1mPg-%zj4BN0LggYW`)mLr(tDmM$&-!}mv_Ns?%4_T9T&cb66}PHXa{B%q`L}X5 zGDU+ngH-y8N3(zb-?m*(E@Dl4yV&c;6OXc0<ZM)&7m?Gly#BCS5Xj@!5r@6SvnyXF z%Iepyd7j$9yN74v#OZ=&Gnf8LJts47=465Y>on%g{w#i4=3exg1MJ;XUwpBzc)eir z(OoZ+Hp=aaTGO8=CcR+y(Y6<f8wIQW@taK)(*L1jU2)P|e0{~sho<_qdmg4XRPW*W zIBmM%y~3dHzI%75yx8~B=DO0OeJ__B<(ji8qVuxY>jynYcUfd_lsgx>rk`0%`a#pt zwjCK81@%5gPO~`S&E8(|@{xhQt<1xv{fqbT6!c72?E7qVh;#Fm{SC7Yr^a!`7(UmZ zW_f38#8$a25uK6Ge%oy0ON(C9Z7KFTaoy2hGtxIQK8w!jU=@>2T=;X_m9R%z^HbTc zSG;^=rEh!Z!P5TOdw2@Erz_@tHaaBh_iA6J;B=O|=DN>5iGTN9ts9omd(>;q)(H03 zI@gX?b${J(FsU|Y%MFIvI%Y@Gx_dXY`Y$;m6lWE2%3J(&#mhuX{n|56QX53~@NAqk zT`+9s(tk^D%D8<DTF?(lnTacoa`9}A=zJ*lI-%w0E{V*Ia#hi5`Y(z}C-fX`lgQpE zc<f{6lozMH+5c9&Tx73r%kyAqf8!n=gO2HnY@dw|34okuqtB{V@U#2(GT&z#b+$3- zpIN=_hS#1g5$x-At{u(p{`%oqQf*E0M#g(lIUVI<(hv9k{H&d;s`e?e%%F3+p4#V2 zhehIk%{X<M;c|s!l9j&l8H3Xs7QdTqH)HaGr4H)F)t#w)dv(LUW{a)P3+oOoUww4d z?W;*w|7LA`)wVUF^mx>oU8%ZZcQ-%#*|JS&Zsp4b-1@O4PnWho|8w;7#ku#59r)wg zUYtD5vDaed?`6_4jV1R>PSuzn!<O8y9ro-F4G!)OEe=K`_bkrS-dmfVE{!_yDQInc z<;%x5`d42~ou2jal=s#$Q}4g0Pi7?^^KPtu_UEWkd)~8Z(``)NI$=pGk6J~fZPc3< zy{27M>~-?eqihkW8x_N%b6QNFRfk1){;ruOYx7`fcl(|%A3CPn$$d6DARO0Laq2Y3 zd5}Z5#t4<%-_mzF{*HO>_J~GVG3||)lVsm)iV)wed+o4X_t%ZrlbGLZz9H~i*X)pp z-9(|+l`j*R^<#6MENwr!=gY>K(;2sYFgg+z=XT?p`Lgn3UuTzC@+8kaQ1IDt>*+)$ zeO9$kK}kaTvOdq2b}!uX#bD}myJa7Z4wS^T%{X|P!}#VK&z^+2%@fxY8+Mh-xotjo zT;dw5#OYlEk0ZnrZ624Hn{<~lZJxR0=-dlG_9rZj`u;I!ZED5JYesuQvL)kIZROFw z8r3#EOZTw%)@cuyMxFk{I^DL@GAB*;OzPb3$~B)2=9k)uZO}X5bgebw$S#ibp8V|| zf3G&lq)8bIdpAbbC%)RuZPb2LZ1po|yjXgn{d|wkn|n$O+NbLs`*`WFP28^;=T9?m zS4bu)>MQF!TJo;r-v5TFO!9RHp6I{psw%wM`+LznG3|@TlVrbajS&B<bM3IT_sm+J z4R53q794GpNZBaJ_BnFeizD9bb1Ppi;?}n<dAhVeZx7FfxziP^J{URqZ@%Ij$LCz3 zX>euE{)lG=*OOTNqSqXe>@F?1mJ~Z@a|Hi$-D}5LyLk&PCOOA!y1_C1r;8WQ<E70H z_mmhmO_y`~bm_Q89Gk@1(*nsAl8HL%rT0r(r!$3vYarWh(H;34h4^)@9dzz4{dgkD z)@ECTyuXgwf#7c5k7tsUZJrBj7WPi(Tle|WQQo*Ji=*E1+bbj=nCUy;d6?R=J8k9u zs0oMj;<(nBg36$r%@K`n#k4mbPLh4IB|?0+&b7l*-Cs8zPhx(v^@hN29kWAqprljr zGQn0qHs`_8_TD{THg-;DH2Z9HL@dtj#wpLc=CTi#9&psFFTDTdfQ@tK+5;ioQ)i@Y z<XaZKrdv|%b>gz4zh<OvWK4_B>97=&PFz>Xv})}UMZ42{e4j5J6^yI0IOQ#Wyh8GU zg}(Eg2dOQSAuhBj7J8%~k+2V32(=#NTC*jhGgRz#!q%g^B9b@CU5i@NUn(Y@u=i-& zjf9PYW}iBzL>%yD=dOI2B&cue^Kfau>K>jAQ>H6U`)G8i2vi7Z=(FxB1QkO6#Iy|# zB&o7Rt!Z`?d!4l5Xq80LM!Q{6ISq+o(n&jxx=9>V4_aicFZ<=e((ZYCzFg>@Zddi$ z$U#1??ZxTS9DnN)s>GJMACz(1<d-nz=Ym^L4Q5Vf>aNr*?3~W0_xaLM(YUG|r@ZB_ zS4cjv(s#b|Ahl(7&Nmge&F2nET-zye+KT^i#PejE*GxVMu^pXjx(zxDcS-L$YSnn# z=h7p;7o7U6^F9SVlGK;AdA_t;e9xB;lcw9neKb0d9oJTI_%z4;4L2w6IBdmU9{K!{ z+-sXV>2v$L*KmKBP^u`mQSVUl;>(Mp`SK&x3r@_~a$}{boIdNf4?#)#`m%2xFYW%j z=SxAubUVIJMhDd5+APkT=5W99#xo~vZnN&1;*C>F<+g1+cf9Nx>y3lE1gs;)6U85w z%rBl&%5;3jlB2cfD&x3f9xOfL`}m06w5)5%e^k_`WnDV#ZTkG-(*A{eLX73&R&ny{ zU#)q*e#aY6nRMgV4_IEu%t@Ks9=zsr;jB`|a~t%IL|${XNH6&%Jtb>i@|x;Dra?VM zYPw}v^A3AAYVMgb<CHi1<%*X{R{FMQ9xUyjxrfJ~d%9xUXQM+hX4P5q4qKU?Pn<jJ z`3AqU#SzP&S+3zWm{1B8p7XBw*(SdPxyf60oUi;keT_)PS?^BsJtZG_^<(!uUD{s0 z=gY@=(;4kP1o<*oNG>#<ZQJR$ruf4Teaq*MIA7b?q|WV6U&H-jPN`zt2E9Yxi!a~r zIkT{PW8KH=&KIxVC`y{{Y#+z<<@wSh3~^R74tR_I25r>Tua$X_+R(g*r?6wXAm8Sj zlV2ROV!s>td=dL=n=dJI`{UMdUzlC0_-linQ~a@)cF#Fpv-BBxcK@DJyhp_1ymu$} zo|1xz)AjT|UOJo__iM+Y(+uI2l8>bHm1EL3s~7f^^7U;#ca-y5rNv1r`Q;Jf4@_P= zy-AI2+3dD_|Hm1Jd9QKaF;UYkv($OK^gvOZ*O~`Qo2Tw6+1NE*F6{HA<5F>K5vNZJ ze6EyCw4H6+8NH@>Ltm+$*oJe5ov!_iII@eOJ5oG}^R<#r>YR7QW*htxltE#4^Qd>@ z-91xo9QJ0P-g~(#Vwc20Z+_*<m&qdf%stOiI}G>q7+ka9zYvrpJUfzocI5LU?$<Us zsdM{t*Klu`TdG*LLGO^?;>-6_&Lqy+`0nF%OT&9Jw%mAX&^(>VxKh)wdpcj*=SxRr z;;Lqx_Ll!#A(>#O@2vA6wWT%Zn~K_oa|d0n?VNGMihpwC^JK2qOg^cx9S_%Z8_X^I z82%wreZvgTs5{U17~4(lTza57&a39Z(q_Luma!$zmbUBd`EqgUbjDpDja<s(+$s`l z{G+@(Rddp0za-4<p8m$rc2CmW{_AVFKeUx9?n{hq+3vP{|H2v0{>ieB)@Lr7ZkW08 zbfSSiYuksQBn^F8p2thOGxvNcm@?h2@1xOy!nihzgQq#vZ@%&Tk~X*5ZcXvU>7{bN zHlA~@zs4$YV3z=Mq<EqLD7SQ#GA*A8$}OUCTrp3V9x;ux+HuZX+`QuDLpA-{JC9Nu zZtvkKY@aUpZqv=l7Kg3a(<7fhl6h@2Cw*=|_ZsekiKU8q8}$weF1~!<=FCI6*DUX( zCyVWt3HuzBz^ku%?NiWNtBRLNw)(a?50>`#?%~<cIbG50v(X_jvuYv!i02O!U&rL6 z&TUU!^LgW(QpT_idPlsjxkendxcgiub?yN(wami#o99nwVz1CFoG_h_@8hMTiE&jg zvi^L_)u}Ccm<sMmbWRtvllZ@`f11$kn`f+U9JCU*j(nad{<`K(T5N;u8t#qLO9j9E zeOj;K{N`5J*0ull?Ax<xlU=*C{i2WuRiEdd@xCB)Zsvvqxx&*VKK;MoygKkyffIW; z@0FvX%wG#`I@HD(1zdHM&gkG~lX_Uw7B<a%+Vg^!;#0U{{<-ctWq9^sA1C)6)5Okd zwKos;aqg~2IJAn{C{e!F$HF0AnD65G7UeJIS7IM^DMmaqIMBk%HfzHX1>rJ-8!fS4 z%o6#XeQz8$5au(u)8gD?@Q_29w>13i6@fQT3{JJMy3O9;k}h2K;n#DvH;>N#zkj3B z?POu<_q#U7pGU}@ycB;aYMV;*B;AwKa=c%K@3iAflX@U?u7tl@>cA)Ab3u2WCUF*p z+x=5(aXhEe;n2X+Ij2~m(?w`zhYEv|yO4t8lQ|wP`(M3!_iESI^)vq-I{f|j{i=`O zs=x1hsb`S$Snx2Pobj7SoQLJ+8LioVC|><|-b3q4x!TQTZK8Dwq0ww?hS|c+lG_Yg z5~ZCb%M5xF#Scq<GiXYbe|&P+Z43XNw>;Af)@+!P$b4GDY~!3nZf%Ke8z&{Qua+p= zI4hCATk_jSLCM|C?>je6K6so*drjhv15s_hYm#pqs%p#4Nw{$^r!Ce;b#Y-~TL8Z} z=hwoQ=2PoKO_to0xz{J3WqUZdL}p>t>uS|&>nEfc^dz>je+)J`!qzrn74II;6`dPf zq9mMG^j>UvmE0U4uFQE=UcsrBN8Dt_Ngg)gRR$hsTsqS}ES}LRbj_OUFx$R_8%NT4 zUbnO+O3ycVlfZmf@0#(OMA^euDgDPqRoCz{8kN;{ty3(05&R{&jko5=hE9ITZ5w7K zO0Sl<HeahPc1^;K!$obiI?BoB7yXW{^S`)s314lOUgC`dNo~G5NjDBTwdLw0+&Ea% z7JDZ7#^IQ@+B1sD+duS3ybdl=J<O#pVOB6FQTVvTwt^{%((V#v1v3)G+a<mgOh}ZE zpSbaMg;&p4&8mjP*1tTjr%X;1jyK9lR6cC>&FD=6|6!}ANjDDbwbi~+NiP4;0y2^P zFxPzJHJ=08d~=d-913g8%}Km*Fs&^%M`>~4#~A_q{TyFEZupg7+#WK2k#lx`bCRjJ zYRL0NTW7nrzKWTW*gBa<+QM<wwVfIZt&XXOJYUrEDrQQ++-{*&#eq(xdR{Bexw7)6 z1-bLBS6zAN!u3badKY=*{&yv`y7NfyIKH9NcugMnZ^><i4T<dWhHoAz9G2rVeDl~q za(7=}$3{EXeuFgyQxchvOPCeTN#s_S*j6|xk$t&D*~bY!+wEMx8)nPLPu}>tX5y8F z{ulE-WPgd+p5Ea1O!C@%#<o(f6C2L;NnGn>KCGu_u*SKdt(3>_SYD!l;kD&2Qrkq= z8RR_BI;>`9n3Je_*s3b&#=)$%*qRd?+WRHGeKeHZ-P?F1V*a9RiLi*%8yZV_W=EXg z(8|m6dd18{_W4F{9vQdU=A_&>&Sg}_{uZQgwq)3iLmL{`^1Ke7lE{5qa@$AC!+d21 zZ<5pxTQw;(mwbE^?)mDW=0dx9e^xy!(47`^#rEUo#d8+PDTlo8`1p9!q(yZnHyje@ zk-p)*%B-LzK)9WAYe7eVG&|?j<(v!k_yk@h+Ap;G#wm3sh3z<JsKk%YKPGsaG|M0H znX=*BLrJrO`H8~f659%<CrXz~loiZQ6yGlKtzdGZy!nY6yjv!3e0}(<)k<gib=M`X z&DJ@rw$32uq0wP8J;OH-ln(3JrQSGf)K;sbnr!~DN8<J2QqIF%-I8V-IueDsCAV#8 zNR<98S!U3mC|)f2&7eI|e)Gv2x;CoEeih6;y5SH@8}FHf8%IjobbXR<9AoFPu9%-F z9xVCogWO@ed#cIXKem8O<T}hXS<>tS-(fX1!<<B=!)9qlZxYN8>y;V4NmM^<S2kgz zb%jsQ*N49>4|Cm?G~3XdC>$-hZ9{9K^lZtp4V{VN(vsgkNJ=j0c5kVw@L16=AKxjv z&hgo<KU3}3{N5{+m78_e%w&JV)BHcKcjt$BK3qPt?fL6s-`uZfeeV|CbknJN?Ekt> z>4{VDZEi^&pFk0@J%N$|k(|3GAFOE;eYW@G{8#@p8jUMHXde@O7o7J%@2Q&Do?|;& zC8uN`Uwh2T^v;2pYt_QV65BRx=09k*%s88Ual~XjH{&;nJcsSr_N!e}zC9;5BP-`z z(zWdRu<!EOQ?EUb&(gjozI%J(wwe{D_t%7P{2^pl`PBaU-@>&*b`uZIxxQk7!E|o6 zXD9i-U$}R*V|MMMdCMQoD_`utC%aLm>fTooSNTUtw?bc3hD(N7e0#lvy~Uz==KjQ( zM7F1FdI5Ql)Simv1?D|2`^xWVdgnmbtNBXV8#?`GZf&jRxjp0fhUT3-yJsBUVAmz_ z&G5B(lI^N_<<HFv&j(L@es?N=sBM1p2b<83dw%a<*wh!jGvNXI&4(90*ZuLm^SNio zb6b&E?k5*M%PrO5>UZ^adr|2x5mupPqi{sB#%bpvvs0y79y>dAr$pcI+1cy6X0{c3 z(v2f=ZMts~Zyd{OlYNsEkv@y3`o_Tx{pX)nh1ljV{$Qj0Y0vp5&Y|a{^=;0tsJK`5 zFd^0PA&WRqji$9s)xD$f$8FE|RNs3Oe|)#tiG|P4dIgH4b-g{vW1G)*nCtS<dE$?F z?k$W-6ne_07L@m>_LOdo$IjlXYi6@8Gt7C!+@|Z3aN}4=o9v$B8@kISzZtY9%1;K{ ze0BZfdFgKRwg=gTeBD!e=<}Jb=eqw*MMb6+9y}le^6@l|^P3;d+a6J(JHh#U^pr&! zIwHD}3!m#IL?mwb&>)arFr}z<y{36VTaoZ`&FuwUMbhe#=Da$oH;%Bj>DHXz(EFLk z`bS@)_<f@{4`mM9ojdh)Mb$mdhm~PZDvv(}`B&TK{Hlt3=7$cRYC5E<C$U2Z<loST zm1TE6uj^jNTJ)mQJV>N<_99j5FMFbSwC{+?2|VK27PQXb*?*(F1eT|2=l*a%7UK)d zdwga8ggmJohpX}*=^eH@mwe+`ZJVr3!i}SLZMHe-H;$X_lnXs?{Zqg2{AT6z)$S~> z%dURD#&LeL_B`{A{GuXi1qTmQ2tK&I@cCX{BOdj4m5*JnGg*Gwqp8_tm+R{7_o8yM zMA(eG>hBm8&n0j^<?_}v-_TPed|Gq6!JHy#e;2i|N54)a>@_!>p2+=KVw>UoM0Re8 zvW*iG`MV{)ZH!pwe)Y3l_nm8<Ht#2%$ep|Dd99Ak`IQy-`juLBxw-5M4jy2(T)gmk zY_;yY%Dg+D-*tk$ULGvcYQ0F+{L7x(Jlc1Jwo5CXOIY!tXM#4*!aD~NPWkdIx^u|k zRPL7UR^38o^T_wUcM@(K$_VT14dk(wIJu!)kf*xh)T}RtJ{9w#zwSvt^!d(-&uJ&x zSIzrA@q^9ws#{%a*Xq15=-{^EoAj8&_I=5kw@GJP@3yZma83NGJ@tNU$dnrI?Bd|s zb&l<uuiienNo?0$uQt)JJwdzwh|W0}t#7>UgW6NJV?lXIJWp>KYg}LV(dgx}#_BcS z)%N|AJSe8N(JRL%<;Fplw%DHZ8;5W6{FeB>DPr}i+qS=EMy$S8b3SLG;eF%j2Ro); zHfeph=-3OZ+=#A41|e6LXZ=a)cv10lRj$EduI3}ZLe||13tIQLqlo#mruoL6B5rTZ z?HgN4FCTDd^W9>c^KfRt^iQF;gWF_n5^o$$ZnN!4@%<H{dVSR^@$RQlSAQH`6ymF1 zxaio6P1%lJiwxqgq+j`Q)aK&HPp&so+C-mqd|esS;=45Fq3tQ&J>EMzHK#<+@ZQ<` zHp%pqc;e>B_r7P6ZXEJy%RQ5D<6uc!tWWZd!|Xi2E8^FMUe)*O{(ES}$L`>jvksk{ ze>A&i-6Fpw8eGR+Ytwq;WrU5R_bszJwc*gj`A4rWFY^7$`jo3$(|kjFk?`-Mzrxq8 zEDNrd-W_4iE0cEPh+><rOv;U8;XKwB2R3y7<*B}Ld_%wf)2PtDNvmU4OYOfgZIxz? zURIA@SJ@H`E^F7?u-^C>VOjmVMJXR9B(};Q{ncX8JbQ}vodtIel%Ddf@!i?Fddlq` zhjwK?U~luaNw{&Swk`Kg@{NPBZLxO}Zye5TtGzQRJM?S!>7un+e;x&`T2>HvMI!cb zj7OlzKJl+NP3sEdO7@hnPdr%8qg|tYP5p=@&#&}jqHIBV3+5IHpFdJ3Sj6qGdA)Pn zjb+|<4p?5R7EYJkR?wCxJ$cprlJy7q+6><$X&jboGkBBy!M=NImr!ouv)iV1A2mXQ zD>VF;y_odWt83Bb+`#$4kHz_qoSCMd@XmNmf&Uu+r%cbZo>tW_ymLV3q4-qp88fFu z&v4w?`!ea)X>o&cP%2NnaVWPf_fFD{gT8ICcM@(KE^VugnGEtw=6{`QtB&d0d0Z8_ zCq7Rsw$aBou;h#StK#fGk75lsKYcw>lSkV}>~-)?xys2!tsAFEOE~ZByf`IV!gXiw z#5J?o?j_zhQqZRBlXBx2OPg#@`i-Nvd8#FjZ|L9t1QZo5ps29WwYxuY*@vYC2ae@z zTl%%KM$vAD?$_2l-(9QrPSJj|@XmpE)9Zu2vzzV-h(D7w-9XRei-t*B_l=)LPqRZ) z=jC25IevQT^@1&C(!IW|InIW+Z^*=)PBEVG!)?_H1I^eg*>m+~&6uMXHRF@p)9SdW zoO2?Z^dEhm{(r~2@2gj6es}sFmQ%m8YVwtw7gC#iZ8x>%ENsZVXyEM>_j&pT(^(?E z^--}mbPMm@3X=PobxPFCmHSrCmeTy}v(KbH>n9{{5jfi$yKCzc=~7wa`yLLhA3awX zZ!%xRm~}(>_Jo7!rp<ga4=w+g#<cAF(tDgM4AyS1xphFjQ_45T!+`s>@R?(~Sc*58 z9gNvCJ>&Y}D=e2a<KDlTT2y?L`OCQ(uczgndA@1Jz1^SITeuc&`0({^_fMH=`@c;u zt#`Y!p^4|-%Yg8T&!%y^<Id(N-|NcTot$-k*|A5TC3{|bgjLMG8k>`yeKNH=&0rsM zXrdGQs>V*)6>4gw3!)@$H)bUp&0fFh*5aLl!8ax_$JT4in*H%z_W!-__J^hHeY;hZ z`{u7{1*@}9m+Qay{ATa0Z?lVhkE;ERF<EMy6&AgU%h)=gR3~_`+4bjN<QCY!&;5G# zp?iTV`>I11?_F%SS+ObFEZyYF_D2!#_pkhYTIya@yvD0^=ACP+v$OXtINtww?_yq! zJCmh$m2uCr3yjXLoYtiKROKnxat-r>Sw+I>8rwgZJ~i9-QZ~0^?fLyzWJ*liH}737 z{_kvS!S9?JyLg=~qJ`_sU)60_+A3OnLoW85`Smvw-K&Jxe2C@EJ8ky*o7eG6KWF{q zDy;mv|9R7))>(RD++TNYo3<=zXZPx?s*s+ll8wt=YK84MvZ3+x-v6uKoj<*!I{U@e zwXHefwH58hzZ|b!Wu5Kae{7kYZ=?5GPp8(cTMcHfet&7s*+kd*uWYt`IZ>|v$ZJdR z_AeRS=N2TfNoUFPE<B{}nepJVooM<xorvr2cD6l}d#Dt<R<Ag^`P-Kwd9!aT-|S`N zy<^wzTdNg6JFj}>+AnXO+11B>7gr6+F?)6U3s0+hw6o&v8zDIrcDFw;<$e8md)NL~ zH{9e~u2+ROE!+`W&=bF&FFyO%ig&jztXeF}IG?{x{%fX?R!x{}0*mnt#}$YCoW3%8 ztmwSk5_Q9QMep7gs~=4P;_*VS66F`#eN$)8Nl3nQ<-`Mqg<SE1Sq~Hzs__YCJv3No zmKRe0LM)K|zQC(T1`Fl<gkC*9vtEeVY@_B)*5LR2C%JrDqg$kQc&_MN&$+c=R)F+! zj?#jr0P%FruOD<5+U@h^yK(5*lZLfC=hU?~h@2D5dSJCsEl)V>A;&_qKA~3+Bo^xR z3BP(Mwa{*z8hcK%chP||w(v=!H@K#Anig~h2#a%WEocmo-px^J@Lt^WRg&F8IWd7( z$q)5~n9DYpS|+GByPo8dX+7E^72&j^bLq)rF*)Avl;pOlFYG^G9d2}2VA~b*^0VSm zB@vt7h);Dk58<-s6<V?G?9X`-|GH<jN3D+DeeY}d6+02lgUjkae?6rb!E=74^!oqn zckSnO+_!al-^^E~+COUo|8G}}*I@CT=5KEE`uqOZ|Jcpnt`h$CEb8{RfBz%Cf1i7k zZDI6eKgJ@78F}+gKNs4{|7!iKf9LZP<xD?px&MF7@Aq5%O`b$Yy(;dF-L-w|mF+Lt z4+~2<|N0+$H(q%6vY3F%@7I!&gQHItWc>HqqQvw0>8!S||MN@#w@PeDi9RKEI5oQW zrX26jn2i&zr<X4Dtadp6%Sk}7=f!{i()#IX5oflWn5OI&-ISXfJL|KRk;KiFTgzJB z-7L5uarOWE*Z<Ux?s7by?yDOqtNk)CxupJ~=9NwEwZ&}7b<x4C8~3kWc`xSo-)YO# z^1iHI!sB^)*|9y_t~u|&xpCq=)!dTR^CON)Da*2Dci;Z2)mWEu@yd*YFZcDlW?7uC zdZ6zkPg#*;qPaNFn}<!Edt)|yP!-R4vv{xR2i>Pt-OKMB@;p`g#A#=9^OW5;{C2jV z*ZjV5alY!x6(6?66eJnEd05+4TjQ|7PJWr;8iVdc=FO63hRfF%sO+8pBKP&roZ94V z7v{WQ*1ynC=gY(^+b7*x9+C1PE?tc)zT{G$-4m;g7ue&}#l-C1965BX;O9jlF}{0m zm+*;yw%Yi?V6QN@eDLqE$xBwbZkU`VU3}x}_9AiNOv}BdE#GHY<|to|&B?ne?Q^4{ z@7(^^XO0}5dCteC=JL$W{>^h|ym;_8CS8r|xwWz3huU;CHNBFwq=!Z6YG&(7(jGm? zN>|g%D@%LyFf3ioj;|zXk#XyKE91Z$7iM<)bz2)7evC|46EiDIOG*w-SK~7)PkWT) zegBlH$IT^bA*UWF|Gc?sm1WLb?ML%3EiI_n##S!+^na+uZGV^ct!;O^f9hGS(TWXX zo%+1M?--})vqN8Q*IkXC(vs=Cfql!X1m626A8fql<zD(T=A_v3-%I&5eh1%;OWwBe z?a~-#zmf}wpI5UvYkf8B*riu;q3px{sTE(6>^BBpy&)>K>p>9vSCLIeooa*i6n5NM zKP$AQO!sb7S8wIh2VPx|9%XesN=)y1lq??J!&A0ggR447gt<CcL^ytlhLwm{V9pzl zK$$oGfiW^3fi^W$7yYm}A-dOJe$r~mKlK~zo@!q?Ec=SB?~Aa!_20}a?Tqwm_i9W& z=0tMOdwZ`f`BMbn*9YrYJgvU_cy5Hoo7dq#g<Y>Mx^Q9fKHuY4&U!7cW}Y#JcUzmo zzYK>(?V&dfihmR|+$i$ewQl#mhpjSU{44%gZeBA@Z}n^GF5jOrVY~vd>je)_cU=4C zh*P4gx)|HE@}$J1NOdu>wDP3HWKVT5J~4g)&#Ye8k4vxVi17;4U0S^G#)ZWTm+mud zZe2O+P*cd+1Aju!9@!Ie_RyV>v&ZIyoIQAnQEBPLd6y(q5{#1@E55!zV65_PV(yCb z3BMVdM7Qy-IBTwD(foCa_L{|a4n&>uU9<4cA(K<NK1=T$6gd^^v+T}c>nXoy99eeo zKv>#3^VgkIw0n}S&bV=CL+e?d*9@(R?9!5D9}N%7*(KgM&UWdeURCVz<7c_oKD54K z*K6(DVY}vi^xm`Fg#w?~cssRjtzG@SEp)~~TPM+XLRk;^AZ4c2LbG+M)@cd8LbHBY zaO(E>IY}>`bm8Dam6DD2oVGH4PV(P{4dx$gVdPv9{DHA(PNi+VI;UwtQ-JVs&aDMK z0n+Z1V+{<9IepJ~IB{Q|eBszVm68IlLr#yqEkr)w$VuLK+I#KS+}wz}+rJrHjd3rH zoxQ76|4O@h+?zu#r|(8fH`_C;e-mBpV`eU$TXkD!{)LExM?U@RdAhN~UhP#wcI5q6 zPm7mq+xB9yBzN*j2ZdJg%R6iQbtdSF?KWeH*AK|ODPE{hw#0prV8`#|&q5t%*ILOO zxU+BT#Zd1Lbx%K?p7NddLD!*j<}B;@#VH?3XDxYrY0592n%RpYET%82u$aB*hlTI1 zfM0s^-^J!!%T{Ss&YaY^ICD~Ga^|Gg$C;CQg)=8LduLASZqJ<5?w&cR|G4HPzWMW) zcyjq)R$)GWNk#bW-yjuk=F2M5&6iZzn=h+~J6}@acfPD5e>g}*yIDT?SgK}^NA;-# zCrnNrSz+QiUodl0uVLn-=8c(?y3H@E@aHe}v^%!cql_(oxhL1-%PP#mmsEs>FRO6d zFFCU(_pzhS0*<8*PAmKsmjCzZb%yyj|0|)DC*Qo9(#}&eZPAS%Q#2f}8{L?&NMnt9 ztcIqfkN;$mWlKHT>^vs>?3u6jQozEu=wsZhCy(z=NtBG3{%OL!u=_rfMbwsivfcBX z?BnA#S;xm`a*mJ3WSJiS$uWE8s#Qu%SNmC^ojT8Fjc=vK5BGhSR9ZtbCpAvZoYX0r zIjQw&=A_<K&D!l7)SfEt4cGClJaO!}CjZN|NuH;i`Ru#`V`^qBs)(4f=*NtU_8Wa! z*58V`v;SM1*$<{l$9*xyK003AK8Nl*_Vm8>Nj1#~`du??$%n96DG4#NQXaiEIeF-Z ziRbT{Sx*v@W<5y?(vi^fHr4M*^ly7_HbZ9jD?Zhaa;r5{ycW;b;8Q7`USIIzL42@E ztNbMuX8UEHYI4gw#pITHn#nEq<eRs|Q*YkC>>{u1d;h-l*h>0kR_om3U-DwRsPXUR znOxk#rp(+)_jh~zNl<M5{r}d&#zPDC$x2B`+}YUJsVFNQAtB{$n^phAz<%4(BXwI0 z*4O9CU5@&@@86vp&jNnuUP|0}Memo}%Mbgumj6Av<$ZgS%bUZKeyqE2;)bfz#tGUc zx9pyM@efdMzS;8X%bWn_`2tyw7#E7Y6V7@pw~+6h(5pv$3+3{JUp)?+v`g}iO31@% zC*BzKD@RP7bYoPn920etjZwRDRMp8gM&-)!R+X<tI~-RWI&tHxy9aNN!j&ThPP#nG zSB?cZ$?_;(Ihx>P%cFGVIRDAOACg<tb8B1Ek6b$t?Br{sc;!&CQ?8BDm4nVsv41>P zv|s+4op0&2WvAtiHJnLHn_n*KTz|=xoBfij^zX~A?7uI$ipMYOmfPncUi-#Zv~<%h z%h-?`bM>TdOx2rpW2Rozjfr}<e%Qp8Y_N?j*{B=)WrJz#myN2SS2*9yD+zp9vn%7l z?vjf~w!d7|TKsZR@8*|_n)5+MF7K8*x1`&SZ)w*r1FNZeT628FdFObD`^vnJm;M)e zWSf)o!jDUN`brmQ$xNO9Bk4o${;Pi{g*<e2;;nI6(b>;=^(Nm!vui@H5@Z+ZT@!wl z$h^?b&NFDPRlV1WL+LG2GkjNcmUC=1m>D1)%u)J5Z=v2j<tv9noND*XUt)FlZ$m(9 zW{cDezZIR5Em1Q(SM)}<Sj})*(QVoCN?=le{O3s_`3F98hDKai|4m;=^;Kf1(65M_ z{xvOKQ~M{BO>JGd=-Uj}mx~TPD!F)IQpv?5mr5=k+EjA!*r$?<2fIox9z9la@$j;e zi^rdZ1pdA|tt2o}Tl6q5$L*weQ_ap?SKS#FLbpHF-MVte_WFeLPe0x5-nF{@cX;@Y z39oNmSg?5#Pnes1*$Ri&(2MVtRv9$&wrJH%T65sQD;1NEi9%5`X1AEx`8ly?Pr7jQ zmdck6dYrXu{0}_;x^G%bRkXJgv-IQ(N0zB<*<i_;o8#%k9z8jLU26Y|KdUyd6tAtC zsHQsO(2*a98BZr92)&ZA{J5o&lQS);rYW+*`e06vw*h;;>Wpr4;WLLf&-Z?|IQG`d zR>?UDT<uRbS4Hxv&EQ)g>~s7q=d#03SuO__HNAZB<N4I>YEvRMD8zd#vETSn-TU-L z^MfLMr`>tqOgwQUuT$sEq!Y*dI%VEWIC1p3P~{E1iT$}uFI_E^Ci2y)7!~*^$;No5 zJZy0>^YeQ0V2X>L-|^=gbZ^f&`2A}9y|5XFT3L(>Hv0RPE|pJzeCB+%*+!@7zYcx& zJ<79BE##rJ6Ym_=D@QDybZfj<^#0x|ojKDg;>&53(v3f+U%g+!8koW6{m3ElrM|Yk zC7&ak{Qpg9HyBSQ%y;4Xt!h-@p!7F!(uqTkojEd-P8>|^jFFjm;&5PRjg0W)zzF4@ zF9PMBOA4HnnAKH{3cZxLm#c0nbW>uF_kQw7y3=OP)Dy=o4ds}#TPN~O-#69cp>-$E zoarZyd>4w`p)j$xTgdXq!_dYUoeI-?!NT0G_jT8ZA34V6C{v@<(e2Or@xx37JF{c5 zw-0RE(K&CaZ<9uj*pUNbjy^e}M-G`e=H!SUIrzM3#|_1f_PI?zD$MOTgik+KJ2?M3 zOW+Khj>d8pLqksiZeiAqhK>U4k68;1odozFvwZv*RwE!5cEq<N>Ekj5u4Gn212+NT z#jG0*yac3`SqndGQ?Pm|?4n-N^dd#yjze1evD&tCe^@R?&R4K{EbNkA-Soo8UtsQ2 z&PNH`6s&d&yQG&jy;!4X$HBh(ky@YMN0y5(=PIyW<4k(ANkMEKN7Ca}3ViE0A3fTo zAeYDS=y6(&fL_>f-#a{coQn#g1(?fO3=6{rxWBVpOkb<OC&%&V5xb*JjL?zehkq#8 z)JT|rG^$YPIF#(jV<ULvNU)=hjqnk->h~6f1_1)%%`6`cA_U}}SLM&w6qJ`gI{TBc zgjD^Ba0#`J#)nNaBosSZA1_Li-pyLL!CgSSn)Ty{Rt39#e^S5lr!s0jKO?DAGqteQ zb$!_Wpt#e<5ocLj`FAEfP}ZCAV+Oa_v*LrtqVy~xj<brt-uWPrS-hskDgDTSq+bpN zXOFYa6)JXIel+I7N<;CIsxz!}m5LpgpNzS%(n!3d>M*PP{L7Mt8(Zua@V`D86Hw94 z&8Aj(@Q90E#Eb*1(vNp8@ORPMA#t3Q|L~3nkA1C_#im_i|8mlP8aG$+j)Vjgai5+? zO|27SHW)T>^R*QpJQ^MI!Jw7fs%5cpQnF;NL%ZPnLdQfcah^TrS%u{b4jwYmyD{S& zE4%TI2T5w;HfJ6+_1`RUlhIku|6)c8pSZ}gqJsx)^kzgj-!9wORK%~X`F-Q7tFPu} z&J6!|tyt4FaL1plv$;`<dy<cKnP*-8lH<HkF<Rq+mrm)aXS*L|y-Ccyyfs~U-O+^W zZP%Q(b?jb$JuPYHwLjcDzE_=1ZF4(lZt?E;8Lpetc-9>+vgj4bJ7RTdnRSuzwPkYA z$<fSto)SE7%XD?hUf$cf&z-G&QozGJA*mhHTh!jEFKlJ^Tv2>QBIm`ewbAQ3(${lK zoH^3J{#uLS(PKQf?sqV@Hs)VB<MeWU@#0nM?Q|#eJiF$X(IE27GbLf4i<+26O5#En zGcnI62^(GXrg=O`T<KyrZLges|Dg;+Iol&oA6e#Zby8y1Ry8v8P~tAn%0I`H@_3yK z-#gDIkM_C9$$30^-2N*2lhcyPu7+~5y?-?3T`oM~+{t4z>BN!bP92+xCyoVo%GgXk z;ktW9rEqd<eq=?kfOJ0Rql7sMdiTU!@((oqu#hOvVc!1u?#0a|8viEDTXMKb!a}K| zb8%CIg<41N<0cCW#g6XDO%)cZ9sQR-I?j-gF~692P0~?hpV*ND=1ns!v^!eYvuymh zR)KGy@R6gHjy5&=9sS%N9U~-!%r8#7=;|n<$C>nClY-hhj--dH6wKCfK6<cAK`)Qv z(Zgj5cH54yCnjgyabY)J&3f_X1O+QzA(!X&O%V~w9p@@oF0SlTusSa2qHf;wVvU*| z2m9eiYF7V#gb2(%z_G|!+_B`8QpdSamW>;|1m@1=Saey)vBXZP!_VbWbAh2v-lMb) z&H}A-n<Q?ic68otinyWN(Yv?F;)j!fcs$pm#Q6$#-;T3COmw+(<n)6E1+M3htY@Sr zG$~kx3LQD5;+V5Xxuf}H(~cQR9qr|;A3w%@5!&1ELqhWXruhf2{BYiP=y#LE4+jC^ z%PboWVg;m)Sqcq;1;ih-el&;{kS~r~-&wW#zVe9#g{NHGSN{)7=t(#DRjT#kO&>Sg zv7&=VX2)#!ASRyE^SJ3;`OXJPV&XP3Pn!CjOWb&Pmhr#%{MA5Qq_5!Mfk3?(7ROk* zkMB%)%pxu`=Sfp{aLk8-S=@H#E=U?0g7fG4oeMtju044u;8ZTp;u!VMQ+7)@?rfhp z<+sGtHyJYy+pall=Ivz3Z1ua!w<!Eym@iv@oNrL;@fN8Hrxl&c4W(3i4_52!@2c%< zUh?sF#BZz29Gxf8A9bclP7OZ!KFP6KQ`Sa*;-T*w)tX8^IO#0bTHY+K%A@u;=+Dh0 z#_6k<R7Y>SaN!Trx{%pA!pz~TR|mRTRbSPVTemmv<mTj<^M}7is4g|1xh-73GJF4# zCFUllMA`ms+O)`Uo&CP6OZzyv+IJ*8P!RX2Im*h-z9S)-U0mkP<0iYZoev&z>;1S9 zz4t>$?OpEXmpw0dbNSbL=4b5QxnMDm-i#Y3S-F4jTu{uV_u@(ux7FbXO@1aj7JTNl zQm%4dY%G)_zh#*M=fkFRt~(Y43+Y8z9A}-opuq9DX3T|`T;e6y4zbSNb3yW=wDDh) zm%THK_8JDidnB>;{^7t=wKAHqJ59}BJL&Hg{PHU5#J(G=y{E@X=A0>Tb^oB*)#x8A zB0N8(>3*5&)Jw;N{}kjtwJ*(Buwhj)GqbjpnZd`0_N@A4UWO;wt8YE5e{sX9U21NG zgvFK4)^k7pEB^4RE-nu3`<i&he%WfS#nu75J+B;04L`{<FJBW_y4`4RQSOeVlbX0% zX1f?LJ!BI+<FI30Q+dzP*0@CWEpraG`MB>o^oy^Q`L)#*-!*6d6yAL=KI>C#)z&A) zzDH{ohV$L$pRh*sIs2c;yZ$EGM%AXKX|rF)pZb#Z>eh`l&OM!P&lh?o2F*%I@Nx>8 z%5~p&vdBH}$vi%}^?vLMjaOE0T(~lP<MckWl7x@RA0BHvipsI&8*pX@UtgzsW3^`Z z8__+zl?UEm{kwb8l_>|0u2@j8GAeZPMco<alJ=Q4pJomS7Z0*sE2)!FzfMf!On$vz zzG~=&)qj>9;aSI`-{V=(x_au$b>|`lgkP~9-tg+f;+H&ImdV_kK53PmsfuRkyk86c z?wmhmT9o(MpLxE#yL5fHt^TwZu2?Q%d~5#i$){MRRPM_b_wj$U=vZ><)m_dN?qO>W ztx`W>6|(ZxM^BlMzh%FR>m$DJR?NE`9n1EZC9p!<uc=&vW08TS!v1izgLw-dKV>Xj zl>F4<qNuH)%frkMtL6w*D$dS5D|Tw%68_d~&8|aVS7kpn-XSO|q8D=AK7OA6+nios z+g(X<Ci0@1GnN@PzW(nhv%lk_=i`J=Zd>iYKQFCUDqR1p#<|uwk9UHoX#9~AC+eM6 zt&*LkeVgNYZp)hqTvjGFzgogFE-XwxX#G`1_xtm0FZ#KD8Jk3%sM+zSm?dzAta{be zG|oi^oB!^#*V0efx93l@>ZOM}9d@m16w=({o}8WAGwr`$>FJIYhwgTi_2;}7Tvf3D zmE^A#)dHd-bsSu|k&C^zMYC0ZzS?wX-im|-=MaO9t7A?)lQOor7p1E)r-zHJ&y97i zbqnkAE9bUtXj-sfgQ=Fp4IxpV96fQbw>gy?qXKToi1O(4a;Z(5!gY4lm2=C2SeG{S zy<6PWXdQU)$lIcYSI%82VqIExub+#}u7gW$*;KC94X>h?a(S^bn=fwal>T{t{qOII z3#Z$)ub;j(=;`Crp;NTv#YKJ2)C-pGmlmsf>LYtxR%d1IL#<fd&>$_1m`*M>J$F{& z>8DyRtvEMz+5V6K3u#fFIbB?8ZBwQ6M5~?}?RqGjc=+L_Se|U2>2IAv3<`9#W?01Q zO_916vTnhZ564y{Bt(W7Y*fp!&}iX}*IH?NSa81X)d($(8evhM8d*`FIhx{Ly!Z8c zSBC^t2#A)fkP!9h(K3zEYT>OHHIwQ45fYJX@#t&d!2_Ku5*}6T49Kbo+u0O!SbK3( zV`$*PBkwjm%s8?<?hk+I{>zIOE(|}v%t`EblixR;-ydf!__txf1~aV}2XsEH->|@z zb@6HcsaBujF2qCxRG4eM*r2I3<A=@*kBApjgLf&jdu83+`hIGZ_U><gS0p@gT={ZU zw!L2V(q-w>S8JHsDrrebNQ?UPXqw9Ys+@gk_w|31qT;?TUn+Ha^*<FYiHhYWXFjd+ z*An;QweRMtvevyGmh~fT$&85btQ~1rueU7=TlsL~!pwjG31Ly5o*phWxz^ZCUcB{@ zpg>x*A|atL#K6!v$3o+U=Vj%4-ygld^7r<(d;i}*|6%@LKERuqMTCKYfrBC4c}*1m zqunWA85kIrF)}dlF>o>@mZoIp2NdNe>t*I87lnqfGB6*WqL`68!O_3PK%n*gzpkCq zv;4fd--O;d;cC{AerbcKw0HKdyH|g_ZdKjk_TBf^;o0jejdRat+_^ASYgOKB=kT3& zF9LV3cysMn|71Q7qhk*iGzVU*S(<c23BP__LnOX%3El>_1BWuD^OzzTNYNY3a|J z>tSD&6%u76j@m3VIyiIRzd6pbXA<UY@(=r(ufX{!WSM1pjJn8k%diIrZ~tXdT)1h* zQE`t0imM#Y|I@1Vo-QI1U@07JuUq&3=ej#}hg`HQPIvpvF?9KHcUsG7CoxIgOU1JT zHNJLR-MaB3`N~4eT^Vk?U;J<Vk`=Er_pN@&I#Vg#bK58L`>>E=0Eg6i)%4?1Vhju* z%!?9IMJb8!fYR8i|2H8bsdWRxuXj`Q{SSx)mg;mZ(&*adXx+)eobvuxYsQZse&Q<< zJ{+!lkiU}QrdGX2wl9aSu*(A}JH~q92}$yvo=LmCFD==^<*;R*@*FKedzZ6Qe(wla z&0BN*A=7oHdrS(QA3f()OgrgZ_gGlw=9EpWkAqXE>xMNi>{uL9)olI5ZfiiNs+51_ z_TIZnf2IZ6&HeD>&adA$KIZY<`g71|?POQk;_?qG4p)A8wSjM+Xhlv1-{P8GiuVQ2 zYM5_cd(HpIkx6X#edYE=+|qigV%V(2nK$?D1wk)Q%Z1`k!|wdz&foUfbJ~X}x1U=M z-9E2(D!s_#gVVwI$?+eOR(?Jrr1x3v^abg?VVcMFrv(N1?R%~!o^0@T(VwneZx+?K z^LVfy)!?^p{;qfG@C5Und+(n~mUt>NVaaOyPj1P-Ct2y7y_>Kt&2wK{;J$dB)YAJr zVS>3E6|TfinZ8c#eE*({)7^fyFrDJ$W;-8x%C)qJ$K#3Y`)4M-Qs>14{xAQSBk}ZE z`+@c1PnU>$YpUxl@cP*|;fJ`}&%SkG7p_W7;G1@S+Y+9<+4fa?b|wAnbBi}V_3`kW zJsWl`s1bf}L+{jkZ!e#<Q~Ku}TWq-PVY{QzZQr<==hcosXg$i>+LYgXUv0bpW5eS{ ze~zuzuRLaBwv%<i+4#(6WzPATQ~Wg-tT}O{#6(VdQo81*M}K)1?>WMF>f$D$UlD!@ zc`g3hPsQJVxP1L@!p1M0o9}%LciZ_hiBt7i^FhJOiw?80cB@`Yp8Dz1<%*bu0KW)3 z&VU#PjRnH8n)AC)b)8y%M$sbflgiW|Y2MCN?-te6Y;<{SXz#oEcuw7+HTE-}U-kcM zH_K-8^Cz1ZpGixf{6O@4uC-Zyjk|1d{Tkm}7vFI|lUSX6a88R|)#Ngp!$wb=&(}8H z+-hGX^>A;`C(hR8ACIhfvEw77xkg>tzZbdpWM1*J&VO}MuWG82M{%Z|*^{H&oA~); zbmnW_nzcpVdfHO|YtP%CZatY8cUv%L<BwHS%qka$R+KJW6wki>+3bUgUF+}j@Ol5( zzs+oEh8oMq-hP$X+~Bkm+)^J|R&6+=|5Vgppw-{*L_nf<^2XF@(yhV$({z1(J45|~ z686Qcs(HW`%%rScwkCF(o%b}c$a}I)mA7x?Us>E|Dm7KCbC0CX%kynNb+(?B?Y?y8 zR{5SEx6?hF7WXZURGIC)E4lTD_DR042eX6N?B^IgJj#E>`$0h83DMb~j`?sT33hM? z1ooyy-nEE(ymecoh<}mCy^ELMz1q<8_*t}V7W4kx$mNwX=L7Y7%c59gWuL{09~V4f zwJOu(v({ddKIIR_7JC>Uzjn3ue_YYKz3R%X+~~7<yB=x2-FB+*&XHSdnsQ~97fxxo zzpAWq>f?EmGHDmKdy3@WHt0RP>HY5eo_ja(JT~c@?lPt6{hl?erH`8jJg_{KdOg(F zLjBgR%iDZTud;LfYRPu*)xt#a=an<A7s}dv-S+mDg<a!^#nD`y`(A4tHR?;|bkHzR zm~?$t_hE~^%L2ukoAoQ@f1G=*#L?-u_F%Q??pL9{KhE0p?6&F?{}9IiW>L8N-tNa= z>mIPVt}4AgE!5ZY?CBjkUFu5<nrB>lzgu3TO^@w-snqnut1Xl7y$al~->{$SfEn*? zlXp6sne2A2x}GSr-Xh5_!Hz2|$nLjJWyIC$E00$kD`c{2NLY4y&9UVtliAuOKW|ub ze%<R&W_`*Zew%!Msnnz@w*R)ts#F>E_&pL!4kQ(`I4?R|_IUNDofm4h<<6W|So0}i z@<ZN^wHA-9`}0B{|6rUFw0^Pp@zezm0?OqUNAI=iD^{@$t4|F-UMQpfV6EJj-&G0s z%k72QS@)O995w4}ueZPKI{${r18cp*8@eA~-+J=H;*&-1gH=9laIsq~?rzw3`iIcV zG`X<;*T3JTo9}SGeQc7v&x_#wzn-q%<SzDkLz&H88=u`4`;u#($KJSFc;{HO?K^k# z%4r(EyX<a0dVlTB`E=_Y5_^76n%@5P=c-s4_tl*74<h{%_Dv7y;;cXDBsz;hZMxQ? zy9s)(3dal|>1VB273OJ^@kuz}d`GEQ=_dV3?>(oV{0m(yXR@>NxWTJXHS>>UJQ2%c zC9b80mnBM0s}x-wF)cCrZQF`%S*8(Hf^!wMqs2P?T|)G=qNgwWx=E;NqmFm0zg@`9 zNh%#@W2QwM-Lyv3?r*@-51s8=s>iBk9;m&w@Kubaq3*TQEHd+pR;;T%DazfvNa*>3 z%kwL<i&|UzBc!-Do%por!^HJhobAK@v}S93-*3kM*JI&hR@c_u6<)T{+Y)1srGDkA zj9sPpQ0b{^q=fgD=xCwHMG=p08a<loF>N95&5y?tcuuV;n5cew_d!wZ+X6@QmF+kK zQ+J0S+pKzIeoO20nrDTkDl$iQ+eC3nHamV=wdI4j|8jBNeGgtJM+Wenoa%qRMOCc! zvFE7|jPtI&G}TmYGT&f%FMuok<CGwN-H)^GsK`9o*<Izkt6=hRqqn~*bT)s=y}a~{ z;)zdjHwBKUb)0??@KwQk?_uVypT7f)MYiW1epXQ#k+QP9L`SIdB+s=Pvppu?)S4ex zywaa8Q?e%JS<e1hLj4DLyXGHN3W(pB9H_WQRbTA*g_dBgJ^OyKHLLu1dTGng)?ky6 z+d9Q(xlePR;Wdxlv{utsRPOQ1FSA`XGHz_$m=w0-MEkEzH9wd{8Pw+md0C&EJhwvj zRsff}<@(;z_>U#CmsUr1UVFAF=eE+LtCwH;W~)|kE^Vmbp5rXhyx8rbc1VuHv{^#U zKW^7{<=y+eWXpP<)0{rOorV#YROAj^ei<7Qv1zZWFkjHEM^jHO?S8@2`KU9)`yrcq zt-GXOLz-oyV(dgt{-4=KKW{Cx+p|Py%i3)QOKVe2&i&f^<mH#D=bv1+Eb050BUm{3 z`opug`{s89>xU?RnpE(yq>AU+gqVxwNB%Qbco&yvd=?F?IFWw2J8b?mF~`~7h6?u{ zX;&Wd$v?i^U-)QCv&~YuUmp{<>6<Mt5YNBrcP&+A;=L(x3gWjSuAN(ObED2_o$}+C zZsyf`n$^$VBC%wrI{P={wQ~<9y?h-Z+3~F}FT?lm+ev#88d+UVPcpB192`AE--OYJ zi+^QM&0T{vpSSScUe#W=gyExRd)DrRJ9`Qw^kv+ZCSL75%iDQ;N8v*?vzynZ{Mh?s zJMS^yI<YvVwpE$4wq0F&>|Be^M3%ME0=GpZ>_h`A?}QoOD&2B8<L`=jTdG+*gtXa? zu{H&8&JS5pk`l1<#FLCd###&3rl~ijj(mI=#<@I@F@bqgN5#qM1yZ6fp0sgmupeJ8 zs@3Jp@ZVyQktIWPVI-quLg2Yi+-g#JkGK0Sp0`b<V6xenYiBljJ<2|1Gp%~MQg*-2 zHG@|tKORZVh^*N@b#3N6F<$)#{0WSA85T+;SYBAUHLBn$m)!;N%bPAWOw~PoaJgkv zjDwnL98=q?xlb~mSFT%qn6r}SutERl3&MKyPOq5w+{Ek2>h`j4k%xMIh`5+qx!&jD zvlmobS|H^0>VkOd>I-Eio2K!e2ztag#U{%8#?{)I%*h_zx%*7t{V%cc6n*z-=dR9c zGUr8dKksy0&6IR;oA2jsSLM%H|FPKdMDmf^Zyn=~=`8__9|UT`bfWDpX60_SoTj0| z=iD$mCa>08?T*`71OLAjJ&uw>mC-vmUv6eRE~@wGZOpVU>CAmvca9#b6hB+Ww*8-v zVe9b)TVHROaNKmh$F1`sffZkO-gzjRYqo!)W!n!E=c{*1<WhqlTla68`SI@4L%v$u zKW+&VGB>{WS!1@Oxmw=d$y+{%E644f{73cC)pEJi;O5r;Jp~f;ug+f886sq~$Bn!G z<JKeTHfKY2aC9F3@vg14zhj5%BSSmm!t5iN9XnVLbN|pg61%2AP$KR?weg)}#d>#A zj%3!jX?IGmUN+~U*}p|oTX@z_JUvZ_|ADp6kx2(~G7kvxKWIH%;q|gp|2^C0si%Wp z+`e@xB=7O-FA9A<Rni4pyngFAYsEY<?5{GMo|<tp!gQ&}J*jS&X$!Y*ovK+V`G4aF z5x0W5X7_S;Mf~nd?o7&!-IpT6J-_b4H5PA0?&JcVNEPl)I;)SUD{1N6*4vTWb?ne` z`BgsECoeiLO}!!761{@?q~{D}$Kz9y(p)?;F7dEG7W61cNNHNfQ~jJ-_yEJn<c$Fa zV%;o<CU^)OduZb?**rtp#eqXn$tC276)VrRe-ke3wsJ51(EfxknU!nv%xjVduIotf z9kKOTJTq+hQ>LBs95>kIINkCs_<Ap!v&2y>@_XQnrr-M~nmYTiDc_pueXt;N>c98r zM1tMc+b|Zs4{TZQy7<V#I}4Vu-ecSyyWxb(%Iw002aK{7h<GoMcygfK{M0M=KTY$v z6tXQob>65>PTd%<Icv>={Q`CjGjhW2McST?IJ;;^Lc#H86YtrmntffgY>q~4WK*W2 z603LB*@P*FcvD>BYrKo*d(O_at%&#?kP-7`@)H}MZ#HocgTHK<uxRG>Kc{9#Z);N2 z6j7aUG*$C?FjGfj!=KJ7nWq;Xdhcwm=#ZOnLS}x<+?0PA9$I?RW_$k!TJoFdn56`* z3)||vonJVbQFhvA|HO)=)8(I3PyJjoGv>B{u%zMnuWPH8aX9Te<tU(0k;ma<IyLEC zm6wZWXZw0ZpPv~se*QIkaQ#Et=cg4{+Ify7JDg`JZngD~ImDTKzjuqfEq8T?Qmw1= zUX2$9J1o>B#6Lb^>|omYrRa#w>34P8bZoW$WC(@z%-C>r&C`xL+qn*0CN^5C&y(cl zeqC63^0Qay<D64<?Uz3`UtZ6+bjzaiTVBknI(X@V^#ik#+Mf2<jT{Emv8#&8pFa`{ zJ-W_dN^E?I+4V2^GE*F0H7(k$mFp|_!B)R`RqdHQ%^zeBW|v1DQE0dB&CuDWo+7f7 zalwr#+RC?9eP&yD+HBi|Bb<9RF6DA1sYbkw?^?#R<@SY@Vb|-A<yo|>*EngPKV!zi zq+LGm9~L#{tL)@YzVm<4CAqm<l^z|6P<_v)aIkih&ZJZA@#{MdE(mRiTevU0<L5K` z9DytPXXDxK)^xT#tNb3e#QA`i$-E63dZts||Mlt{PkFa$hvlkcah#W<*$?*G#<#_< z);+)Eb%&_s;ULFHn|l@WxpQ_@+p8){zK!2Bt6<;V2Me@XqMrR*{oL5#`D(56s`Je> zjsNnUdAewG4AYyH#c!@&%qUv9!71d8UV`O({VSjD^2mN${!QR{#EJ=*92abK^kAsC z5!x(M<|4ay{Va<MjcY?%Up3D!nI=8^$fTW-uTIANbaoU>;9Qsft!~ZDH_|It#<Q_V z-pp9HTKsA~vqy`-N-^CK7oUAIt}aaUn46l^`fZJf)CAtZZ|#$7_b=J}?5hytZI-lr zCXrLo5^wr`mTuee=mLX^tZ6>;D%XqUvo)fEbYyC`?n}0^yL?76PI5>3o#n?qw(~5B zisBKwViI9haa`e${$Hu(7Kd&nE?(o`vx-^#)n%^@U#}TGW0qPfU85>GtLM?I&P$BD z{3d+dx=r}xE#>v)wxx3}8>$%9n9IL^#Pqv%)g;3UD<<u3eZp69@I+_?(<13t?q@`J z8nh<PlQB<;yc4T^Ay2^Nt|gc05-<C8A7(3S$t)5G6?JmX%F0p_Oe~psYHj8-W0pf9 z4^G`$U+vTTZ)wHi2XEGe7g~5eJaFT1Pw^DdHxF8F<?f%mVcoY@)qj5vT~=iG*FCV> z@I}tT!e!eME2Wt&_g|`6CdH&}Yv!zc(>x<cZPkt=XKlG9i!+~>OTA$A^4k?2U$kn< z)|_4rp~alb9p8j3bGvHKa*6qh<s#Sbt#Kz-D_5nM?3f?VuvxToL0QSNh709WIn6>M zGS<x!i4IDeu+w|(Q*F;5awm5wa$aa`UKn@b()N1Kzzt1}KRy|L{@E5EvTBFdJVuqQ zwxq%hi&Qz5^1T&5_gC<%L86oTg3c*?&0?!GUT>aYmX&?y_nP0!AE!w4>D%478Od5w znCtOI@crp`Ge50rK9*tO?Y;3~5o`J{v80qelNR_{#4kTKamkMI(j^BbSJkdu_%SVN zZoKiu-M%YNI{ezQ&aEdaJz~KdRo-&W>DMGGZZ#AcPFTzs`s8@C&E*KzU*%ftU)v-7 z+?9GS9$oqRTVqSxg5<;N-P%Hb>woZ;kUOQa=3LwR(^4*s4+NAf7|jKWot9+H($Opv zo6q#rId$hRhNkpLae+#6>6;1%oAov>ziK34;pT69=FTIrGnM@eIrr-2d#lc@>WkZ# zT=J+-@$lV)?{z(!Iz<eb0~Sqg*unnx^ExG+sebp`)g+~lTe0i&N-E2xxBcc)+y8YN z`}4|Tk^L*KK8yUcl&d3I>)4$wGd2Vite!ZrM%yPRYLiBDH^VN8B<&(U9=|6|f)3~6 z|FB=5qA=O}ie==c&)FZGHvKxyJ-w<=s9&==OFCLtdgF=x)`EW(?Y{-5KT5JGWHRx- zCs_Hc>(qho@B1?@Z14y^u(nuK_1C0BF1p5Ed4ZcVll2~awJJRFCQ56n*N=~B?tlOJ zsy_MEInjni``m0Z`CXPLb3<H|&3xzUEj)kpsz}V?O;O=&;Z4SDFZ{Piiob}gxS-OR zST{?G)otffLC-DvE7R9AxL?xZu&FiYh_<zM`*@xu$zpfEX6iC6#RSfkjFrnjM$hAm z-^LqO?V5Yy+<x(x1Qt8LWl_KP7jCXQuJfj_d-|U3O3`k;>yrKy2Xp;B#=iMM%yCZM z;zLjW-Ln>SJzOLys?+QmscpBWDpzWyZ1%6BVvpmu!Y13iz1g~Nncc*azk-SjzQ!Gz ze$GE@r<*M6RhOSSQ|c27XXu1%y`J_^Dt{TTB*)>&)k(6?*7Lt#ckP(bDkC$+)t`Q- zRZ87^R-Gy!-u$U~(w>LUf9_J*$g)jBt2+9}g;y-eiB>BD<yTisx*~fkQSd~<945B< zjE`IQ6yCB5PW<msF<EDa%LDx~dsmmeCYmn4R_itYDe7!Ja7nuDuHWmv3w>G6;>m^2 z`%BDrS#AIRj^Rp)xOR8VcGmvN{CjQ^3)jD5w0SD^{lb}!>VnhV?UG?nD_JIP3Hze* z)M?(+tiI(N@+$O8F8!Zb{b5hN5j#&&toY9#omG|YPi${~<}QtDShV|;@v83R`q}=+ zgXWvY+D@2$>c;sE7dvC49rdahy^A_}Pu_0HRF1i&G_g{}XYM^?{Up|Du`j=VXng3g z^oq`I!_ZBwH~NknySY_qXczqYQt*3%i<IjD_wNdt7F9hnyk_6Iwn~k)W$Tkw|854` zl!okI^Yprkc35BC-8c(bt%C_Ge_MYJT~fS%N*eE!)%gKm=ANCTabe-L3FmG9TWmin z`NOrKa&`IVrni^P`*zp`SRG6k`0JmNDe;Tt!e%pW1BQ&0+5g<WGS&Qg|B_L4`m7G& z9qMIImOr$%kvKTXv-MF((u;*ESImspd=WW2v4*RpD{zTyuYE)J&a3;B`0Uoqy=5dE zne&~u=Os(;`(4|PO<mQu?3!TaX^FWV4lZmeYph=ch+Ul~70u$eZs{C`WM;#ERxjh1 z>{^~B8s>A{)0R)b|91O5DXxs=U#7A>ezkbl({=||)_DFeZ7uOkH-6jR%-THXTwZ7H zxplQ4cej?E%>Q!qex*@B?aFVKY_p_}Hq4OSyxLPF?6mS1Ij0Yku86`$lOf~on_5~O z-I*B}*m)Tkgpfy*<BL)f^|Ffd^Wa0uZ^KUK-L??9`(0a)N5rEsVEu`*H*=TE`LKH! z1>eqP)8J~Fwk~O#$jV7mr2Qw<%Ln;oyRLjJw%J4c>LLDl#r*FdcU(GaTo9h$HuaL& zzbUPkR5!<*yeE+-wdP>_s;tF7ub$fV^san|`*P+<E}l&P3l2X0x-s|!(+mzqV}An! z=jN*3N`~DU@(&gV&3KZnW_;%1ob;%JBG+x4KX-V&ub6(>Ns}=@aCYvtz1wTf7O}oQ z;LUC*v$KcoCHIfD&y?EhOk{f8n0I{Hy^(jvoX3|xo=lMGeNeOOgXhxRubP`*gr1+8 z^P`aI;!6w3zQ_BXf4hAr{FF(rCd)?A$gE5L{tnlJiYi%~r|9f#H9sA7ODZNUP)em> z+l=j^ajQ0^7=2gTCCPgB;s<TdnJG!hI~XSwAD+gPc}_>W<(E%j_R_|hpjoqSwZ8W4 zzEU!&E^XJz#u|flJ+ljzdYLTr4GOy*)e=1Qs;XD^p`R&iEMl_?yX#mZ<`#?Y%Hq;n zbL_5u(=|t*s86BGF1>QL_{dnFJ(YD`f$*nILMD97&$|n(C5nn>@yv<6<;(SXjn|<x zeR;3ab4>GJz1{0+GWWyUpI&?Te+Svt%;k*~a{ZQQaOUk@i}Y37W_*`A^mf|!*3VIA z6ZYjUN#1vR=YB=q89zD1*W`qpal3W(Zb#H^(<A$}>VHf4D+dKmWHi+74d3!)lGHza zCwY&9OT1gS;;gcl1hTj+4%}L1Y^=TP@0pN5i+P%wanWau^trs0Vl`hs>tUVF@vi&o zp}ynqmu$KcGUH=Y@;|u~Ra3dECVUm#xah~qGG?K?S67yv>@D3MvVDc-^%p@A$-&{` zb1kz!3-!meK5JD!5_|0F(LXQvUORRFz3_DP-U~_gCe^k-uYHnt(w$jwe8TIulIsEw zu>PET=HyewPfgr4|0I5%*}Tuc+}(DCRs2ukpTAS0?=<fcFWu?#_6_T`o0FcNb-Daj z_Tl>5kFOoP?{a7JzE%6?_{Kh*_Hp+G{#)v=jOuPK_qJW}Yx1q-LOP%OmoGOC5aDru zt6tl@Jw}8z@QXp+{`k7cO#bf+-u>S)>0AFN^=+3F<jOwEZwL}Oa&blX_CH_F{+NF< zgyqg`ZX1t}g87!)s!j9lb_e>WZT@~f?>{qY{+n;{V%vN%1_rNYMh4W`obr@3MAnPF zJ!!QSU+w($oDw-F?!WPKy1M7gN~`5r`|7u(D8#rpWyHJq&!0E%E~Bz;>@?lx!hgSi z{GMO`|LgqhkNVlMx$<9vZ{ME!KWFa7wR^A6T^*Cj{d>XrYh_=)-xXW8sCaJe74!0i z%BEXy)t<dN?aB<1xZd4ydSCW$xy4(n@p8H8)vHt37$zmJm{TYoJoDJKWeOse1`*K{ z^<S;tcr3;Ffu6$z-|CaMPX03!XX<lUrc-idibcSai}!xjDJ=e%=P*I_e;)IHqnq`` zTUTHB{owzmm->nI6Wi<M8g~l)&$at|#9{j7x>E<Ngqb7kf3z<&U~jViEAdN}{feyV zYMEb)bv#ap_ihalx>ynO)a610f8?jcH~pW#boBLXXS>B5;eWhmwcRE8EqZo~>}yhM zSX_VH`oYn4;p#_6o`bI*FaCA9LG)7~&%>-I${bE%C*8mJzk1?RDX=ZbwnZUhZIz7U zj;ya1Z2@0j6|yYUy7rjE>FS!}f-S3~`V%@rx62(8+EMhEuPtEfYeAmG)z|YC3Rc|P zq!1B);mU%vKvkEE7D1a8q=GH;<-b%H>)e_7TD|wR^vYK=I4qjKnP>fWIO{K@$E+q4 zeb2Li{hHGQ(IU=j$FjQ}C+g44|JA+j^^Gts+m||9qJFLQd>1=a_07As*;k(Ax_o`} zZHvy6<u{TOm}6_c*(4uZAZcEBg~eS;!(wM@gX_(*BJF~gf4_u@lrRVw9=6)5s<UH} z;j$JDo;hE{ji28s`?*X->Tj|0^ze1IJ6%_FtZ0AcCd--g@)6T~&)9CGS7)vX9+-8+ zf}=*PZTYqp4FPOXzr8(NtJm(oqO9)d8?RTam|J+{JGV)_U1i9H{AT;Mqub`>?%?^} z>6a^MUi(Lb?IH8^o6F^Ut!62e1Xu64evD;{rS)Q+?_%HBuQ+A2SibV_<cWQhz5Dde zw;OtozTBLCJz{I&=6~xLS7%&Iw(eQ4djIWD#@g@J+4X#<b-vf+__w?1-1{MQxNhz} zE5p9@?WcObhgue0JGg7t`q>6tA7&Qc=2%d&LotK3Tlah}KX=`|l|N=~4PlN@nfI)# zMAGhSaZOm$v9mjMOB#5k{m<!rX;zW>d*Wq!AK%PtmUpz(1?S}Ms4$$a;%vY-!C;H% z%r^fo<}!;;+THihiTo;am8IK<$y?@}Sc9whq*w0uw_gn})|6jnux!tP<u#vG6{X%A z@W0qqXns0J(dqxzOFhl=UHN8mzG7Lk_>jV5kGl&z)b04P4?Ndt4DglPyV^PIg8P@+ z*d05=C318WUK!6au$Y*?WXpTID~5-j#~rw6#iJ(`s`<Hs<<c?Ed5$(8Co1F`=2mV= zNsEYAPj1=YT76BNeYsJI;JmbU$F}O}*DP&1SJgN^`tr51E>?I|M*D9Lh6b;beOtYm zj?{=Ol|0Grx$(r=n?mJgr>w8Z^JnWUJzak4$gF?YV)yJxlwDu)lKs;z$9vay?RNfj z%K4LB=db+ODuMZb*LvH#ev6*Ij=!!&_~)~_*O&MU|6W^q-(km!?-!2zyS8-xqTg%1 z`ITK3-{19R!ydoD*j*Fcd4I5cm?@QiRd&KpPqn|_woNS1a-P2K_=B%Y_N-dIso~1R zePx-AH(nTbA3A@@B|vb3v&&8UmV>`a*F2eJqUpT$h0sE`4eD>!__K&Gmi~xb$f3pc z>BD9>!LW)qItI_y1hq)q;}a9CyQaz1-C*}+O-PG~TzO06Y7Q-%BI&wq%1vDTcM`WI zJBrs78qfb4W4YmhlPmv`wPBmvE`|Smdu@-SNLj#R-p3p>Z|<4%v*S=-<`adl*-t9A zUHG7<u<~mX^PH3Sn2IO<5&w0(XyNP1N1J1MHt1=axZPg=N%(?q$WoUi`{;a&chfeq zDhcdjKX0>9QOYGjW^RtuMyI8Uo7QW)u`f5%oA^D!>Yn)j2fc?cNW6H|on54~!7*KK z;l)6sm@7%m6FN#O3ibr3Z}ej{J8dnS*r>|QRT8gd<0Za>iMP+-g6-zm9cr(O)*fVU zU&O8&P`P&Xsx|vA_V1q%`+IvkSNqd_ieDop-AbHlp8dEyrr_xhB_9pl7x|e_9H%8u zG&p+R^zpd`(N1Mv?nVNyj!8KmW$^MYc0B9JI{)yxv}KPTS+(f3cQrpVIefgc&)`$- zrb&<A{!n=-#aCOu{NCjD@`XqC<wy9-x!K)Y<j(h1lz*A|ky|JIvZ9m9PPu#CySwz5 zNb-ksH-ZDQ(=78Av0pr9y6os0(KU;EvSiJ!K1j0YVLPyQ@#U&(8&YluEDq(D+3@K$ z+x%Be3YQ8GS2U;nE9Sla)i_{T_16_mPn)hA@B4b9zIgfBQ08~8b`k3PFEYr-|M8Fb zyQEdAbJfxJ?;0ADuGqY(*r8gqT<=e3^W49W4T2t7dd^>+5b68P^y$(ZtzbTD-#pHH z%P%gncYS(PKWWX@*ru%6H`w1lw3FJe-TbemG+ABu&5V!7#ln+4_H$lYtl+&O(cWug z>E7J!r^3EFd@Fn>Zj+*5yl;c5>aGXVnkv(CH=p17UZQFi+o2C%K3<-0QTg=W&2Jyy ztZ<d6-67qyP~xbl$zc)sP&w&oatHR#+Ens)zo0>Z{E6?fbM6;UTu@Rm<8HRTcSvn) z<;UZzJU=^REa3=nn|@t#@gdiQL#r}%78zB(m_Kjv*`W9bby;s}BZYf!e`(&>tNe>$ zcJsGi)3~oMky<If%#vfpBV+Y_$7c3QFuriPneB3{<yw2;vhDgi-|e&u5n?@-o931g zD{*6z%Y}u%cIy9apQyVhv{|pcYbWdN<jhT?Y5J#SJRkXgSsZ$^F!`UfuWVIlME@Vx zyWMyHJ&LgFe4Kq&_ixJ;o3DAizyI>=JJeGBd+zK0tqb&ua+J%&dX}*&>-GEWId`Jk zaK|*Et#R>R6Zn#xvk&Zu`c>+t`@>-8yyAxJh|3rJ7Z^_4)ScXHt&?`5ASKFm|NV+I zlk*>zl>QGk<2$;lF<s1M^=F-BT;D?7Sl;(9v*zuU(r~z6{mAO-f&R+nKihW6>`#36 za;Im%@m9w2@3(sYvf5oeXx`him;aLVvs6hhtNu3sCp)f-^?c0rT>K_@o9aokkd&3_ z$GzU9$J@NI2)w*r@jZK&!TWXRKQo(bn&NMsrsU_gQdL|k^GQnWp3R)5+KMdC+4f5v z;r=P+_<PU!drl2K2QviKl*PZDcZ-hP|L{(c^y>t^SNbmmz6FaY-8<6xW5LJVBZd5z zeD}#_d7l(~`&%h);=iU%dKWaTw%4a0d41CSV9v^)9`)`?ffsd^-o0+s5R^CB*K6@f z`XAq|<zH`oca=$w(qXAq>Z@@7U|$;jy2E|u@3W@wIx2d(l(y`T{C%%uv6cBk%V%%T zi+?<{<n2}VUTY;e|KI;QjyrA8e>Cw6w~YId#f5jW&xn8Qw~*s@yw)*$?Tp#!S02AO zBVKxKzU8}n?3T*?%cY&}RBrXP>HWE=Z@Fw`^1Je|Gr}JeuU-0-m96-0qTAP<_iQfC zPdqV$xj6pGDVB_n{u5esI@T43vn8C9dv@<{Q2ntN_O%;~KRw*zaLwx4Nsmh|bxVuG zpFDnfUht=C+un)&jR!*S_;l2~5UgKn9Q|bPzdaM**G{ncba+PcYTaYUr9M{o<}bE; z7R&P1GHKt2diNuTs~Sp@MGf{VGTVNU{4BF)^=a$*hqcAd{?0w<-tu+pA@9a>Z9AK{ zG9NGDHLU+HW8eJkwN_B_$Iypdt?>(H@f>C@EI7Y6H>^VKwR!NuN9ye-;_aH>n>%~k z?Dv&b{u2Lz@8_|5amU#F|NoVmYj68|!>>~R#ZuaCAL<S-sH;%0v+wDyye}hhAp2bQ z=AB+nAtKDYd)nXIKfC&U=Eg7DwG)*7a+$`>+<*6cr&qGV;fkEf^CG`vo_4rvYhB=V znxS!`?1}iV*19vtW(2Cbyqvi4`h(pcUH09%?>0sK|Mrg=4-S}F>Dt_Iv)~9i#maN6 zT<ogf%>~T;TzY40RW>=Yn{{%FUi}y|d$of_N7d2RDG|%t6*<}~^&D?Jn<{wCEq_g^ zQ0TFvQ`^Pg2b{d4p}}l=G$hH>Q(~^soa!A(+wR4QEvylK&-D02!5+qw+3i2q_k|1g z?>T67v}MmvhGaFq+J*qm`F0L#+M?wX?j1K~wX}J6-0bP~XR9g$Giy>#MVNk_nP=u( zyJD{EXP=ab(QkHsHH|$q(=;!j{KjskN{jW6%*uZYgti=;*e;zdV<fz4$<D2As;RcI z&+dDj>Btt?F!kYy_fz&7D7wfiKHGk?YPnyCpp^TzKXJdD&%8gf`GKgG=KhcItyeoG z3wfEQJSp#WH@10Vv1d`txA4t3PO|2msqE=FxoY#=7n=+c)y-Jn+~^EpO)g84ZP}MJ z@pe_UH;ah8>EgL(RC0D*Og6XM>+|Sq{^iPuT~g)dcDeVee@<EQJ>}B#g7yvbPOMIf zpa13L4aGZQFMb-^T(xeg4PbnKyLY0(!_WJ~%`6J|vQHa4e)+>!NX+hCrc3XMb2jH$ zn;*<Gn3_1BedmcglW!_)h*->8cAF{hWMzMC$>g<{xtd}t-|cs(n{}r?@vvu+{B+*i zGdA1S>^uJ><-6DZ#_-B%>p#p>+a7*mYucCDU)5^OvfWqJ_$|!jz2bPq>EE(=*;0Yu z3t0ATmoDa-8n$Nk)jj(ke)zW_t!~}yvm3ILb$%Cx$F4j5`gh^{gf;ga^uEnd-+XZ0 zZ~tDgJu6P`TzYL!ePeXxJ&^T#K-Pbfo1S~-$+?EG%07^-o5N3RefD|JucCjqZFN^G zwC<3Z9vRnu%xu-kxBe6UhxIxwUa`af!sZ+W`%wAKiOtvUr6nHh{y0B6>+Emw9aEqG z<$kjK<Zp4aIV$hNuQJZRKI^UamQ%$wt=~;%?sZycHeX%b;pmoIufn%~`#I+e@40-1 zuyDnF2eqG-y!xKCuQC3_8=3suHHVuV1(O<+Gj`TkP59t@F?^cNg~ggumT&qF-`79A z^T>V9V>?^ce7etaW5d^A|DH3)>a`w;T(BxtzMXelN4r$QS8JQshIf*?rK+9(S^VDO zn!m^S;LmHfKRr;6{eQOUUfWjjsQZTlUfZQze(^ARkBN)^np%6Cs!J7rncr@CUHG>> zV&}8O#Yum-({G+ne(<09_ZH*+{qeg>S^su>SFCr-yH@ztr^#TQy{_jkyIh7lw<0!+ zZ$H6l`g+s1D8-b$>$Z!Q-!jy2i8+wJ!r}DG9HUde4_sEw|B;q2arF1AUC;Y|@8GGu zaN@!q3#I$3e=>BQS-59LirbB~`Ah<$ZSGsu_b>ipW85P*UH75DeD^Qi?=N{wyBW<9 z^z4gRo6XHVt!m+N`40o99sIZZ-lgYj|4-X3bMuw%x!H^FRVf;OjMdHzd~(|Tzw5Ps z^DCdqEzFD0?4DcgUHj4~Z)N1U%^LZJ>r39BTxWD__e^7z3dSqfmaQ<9j$6yqIWaf) ze=6TU#Wjz&vFUBt@wMmRfd!WsrOvfT8Gk=_F6{W!Qxkk87xPA2mB}90__)EucZ$~& zi&N!2rF+Evw!Prrx99TG$F@3IAq_8e_N4pIiOl2Z5tcJ_=#_A_Tj{E8pluzt?<jMO z&Hn2%<{LTr-qcjSGIO?3Sm?~1FWxU*bEQ2us`Q1k`m;q&bDZRY_(c*!FPazfB|VJP z4w3(uwwS%3`|mEh94^T(>&(MDf|D*B`+lyI;nIRDXXSt0`0(r3)6c8lyt(PLtkF99 zMu}lM_tGP?Yqq`@^ZL7IVK>i&i<v@avaLckr1g4pr(Zkq#W1ZmP(J>eMCs<EpPt(B z=Qcgxble~_;iS}5y|y(UJ>PVER(UM3&r!x^<pjyUuMTkDy0a%jA?RS&(&;6INiPj! zdm?`MS<4If-r1i0(e}AY)X^N5V@q44nT$$#1P#1wPKJk{l(@QiX-UZcl{+7s9$wrc z8_b`XeDq2*leE_wuE0h=Oa2!Ie3CX3kJLG4{9~9MS&$dD$6<Msvi=9n<TKn$gz7gH zK4<m2>r=FOH}|ww!%Mx3FP4AlY>Q(4yiM?wyLtI%%c-nkNv~GwNQitAd@J*<S}8{+ z_~iZV9im0wb<?iysqMa(_3Tabmt8-zmK*!W8?WhKpsCgI(L(#|nP|r6zd9OXnCn@W zyjEr^i?tCmSl`bP7SVYAtEJ@uFG2CCXXc&zAiIfm+KPmA9X_1Kt~sw}s4to_V`^dU zzK%fKY&HD{s~?;yab}R^nOWr_t-tKvq#F}H^UhAq+o<s)K#@O9I#A=m!J1?qUYi-! z9^(AZ?p-?843;#|<^Rydmam%_cxz|2eVpaXoB2JvcfS96{M_g79G9Mct=0*WbH8~t zlYLrwWb}+lpUh6>IYz&jQFXq@cdcdcx~kR1=_|iCOqgHzE_kogM?GWVZ_CSn8Q#b_ zblx{Z%^-StOv>R2HsP)!lAFVhC_l}gV`}*O!=BSmloItWtD0B1oO=?(5%hdxi(<T( zcgClu8(N;lvMoP-zpiCRHP6c8@|Cuw_w>F#KYdeGNHhMlj>na!S(6QetMAVIRI<UQ zd>UVzK+zVD*-OvIJUG4OiN?Hd&rbN)otmR+e)G|p%9Gc)EoT<3yXrnq-*aB?Y3r;x zJf=|=2Uav*ypVaPt^5C(_sJ#`jlU<`oc#1NIVEYD_5o9lpcy|~COx`YA2RVT)1o&# z0tT8%jSc6xd^!sLzYy5wIK#;-<j9StLR;6TPY!YUm#M80(0p`|YxdPcO`@9|MdU9Z zWemxl^Ht}F%le!Ail@#oGfq}g<9KnU!0~_?M>GG_jg0qMTmJ4dT<`9@c#+#JhpBT# zrFV!JCNnRTD$98w&7zgUA-kksTy)Qj#FOXRcBX$RNO-5J_*r?4;7@_83U2ZHE@#eB zsbf+vJ6axDT-U2!es8_t%aeai&9tA?_sy&C%@J5Sf%%5(o(2K-LawSh&cHG`ju|3` zjt=Qt!A=N1(bi@VV)5`(QNodlwx8$AU7Y;h|9!^EPnq{NbbQ&_`(ca8U5AVx-1p}$ zwB!ij{ah?>D4qWFgY@4W!3pvau5a`e>L>K;ymCpIl>G7S)=eriW5VOSqT^Oc&o?tW z^zV{U+`n%L#?cSM9(hlm^!onm_0h4{4d-=tzWCr4ZFyd;W^MfJnE!F_SDaWD=^A>$ z->AO#*Y{uAnP!zG|2EyT*4vt|wRGRk;Lpc|R;(<~nWFyS{g?M&`Qw8kr_YGpd~b!H z`KI1m-+!rR{;Me2Y%LW!<<yI<Wk>hTy!zwVjVHH4f2BS6`(}56@U?E?ztw*y@45f* z`>*Mt0wK$<?z?V3Gh5+-W@C!oCG{^icn-#`?-dV?U_Pn;X4#?Jze=YC=Zn6Su*kaq zdgZoPL5Z(b&a0eW9Jt%*{GDr`|Fq1L-1`2j&ojG|>(|V`dhMj!jll15@x7}z1S`Jz zyk~#Gi}l7C_g^n;u{r#rI<n{Q$<p^<z0;<J?#TW1=h#OcxoCwOug&jxq#c`ho$JKX z%e*JQ?V5a*F*=`(|8I4eOm(f~#_x;j<^O#Yx}T6=ef5QfoQ!si<MTx3gqn#O?24u7 zdJ}Cs>$BhdKlDP!o=G{><oD}x*2PQ`{>+my%l`PxT2^!+Hz;dcM#FQ}xw$V?-XA;5 znk&Xr!lj<yqOkJ6D@)t^xToJ)(r&NKo&0FW<;)T@bGeIJcW(NxlHVCoIR9#qotfv> z{=gZJJY*V;;`5iRQ8(yZJKey5J$TWA{_l3b)IN4J>~VH=Tpo1%{=?dRHkS6=t<HJ1 z>fZfXHM8^ClB$&*OZ#sWTSn_opL}<7PIBGy(`K{WVm?*Kgase;xAR|FD*3!;Vp_d= zPszHhs(aJb*4|xacKPL!#nWAx<IS~J&Hoy5;bo!ECdEQg%j<Itg^PPXC^M<{)ST=% z9)EZ1t}VWi(~nI>AJRU^(H=ILfq`KM0|VNSc5YcQd`R22?;zJ90|A%!KfC@mh)D4- zI=*NTYqSg3Ja3^{7dB|$+;U^Tx#*kBX9oFcebtq9SI#?~441$7SV223V7G^daC2wf zHo+~Mt3<p`uFHG%Eo#MKv3#52un#}x2?emsy7a1t_pegOPxrE?ZChGe`Ifk6D}3>^ zUif71E5Gf378z|$@9N%DW3zngkLercuq#;3uD;2yu`j@zkx7IZu_xu}=fae1CI*IL z4h9Bx23`gbU}RumXlMknQj!bwDspo|LpUK@Q$X8O&`o&Grqc%6(XyABfk6PpgqchX zh&?Xx#U-UldSzgv4oy+a0AX~adMC@U=QA=egtIU(2%{KP#)4^7Mhe7XAd^5C-8AES z%WC&R;|OLN$WslC@@&Ya6(klV=EA)RG6{syO)IY6B@)ZPz`()Cz#s{A8^km*c4X5s z12QU${R>L;ic$-55-aigSMaWGFCzy7gOVD$e>bC;nVMLXQ>j;xl8o21IsNN6N+cK< z*0O`9S&*ah9Y2x_37R7tz}BV{&A=d^#>l{r;?rpw$mSGhBo?INb&=y1xnwg&28L%$ z=>GfBjAT-LQhs7l3LbYsHU*t(yleSUjFAC^c~RVz(TZf6UO`F{*j1p!3c~0yX(48) zRp-gbkl@M4z=L8=?;J)%g4L_Y0~-TM3?Phd%m(=fmLX>t80yY~iU3G(LlT7DGbSWs zQd81!m;>?FJ1e1QYUzv&AdKp*W1E<f%qcEO#A^<l*ZajQj2IX|m=DEU3R0{{=2Rr% zOh*t0z4CtW-I9TU0fbTG|E~|SK`Ch!1VUOObfK~!0|Ns;7$XN!jxVxF$vN3LO@g?I z&3ez=j9ZKhAdDJFn^8<EB4Cc>C1c5@lNlI57}an78th1cl$V#2R}2nrPznNJ^ys%f zv98uGnUO(a6B}AC(b|J-Qc7wW0h3U-1wujzQUuSF=R|T7WRoD)k{A+5sJrGM20>Ef z2?b<>z<cPhnuBe<1jHPOgT%~{%t2Z`fyXe^$zNo{rdc5wrU#x1#$yg@jf-qfW+1XT z;QANE99A|^AV@GsFnnZVU@!>cWYA-9HF0)KwhRp~bTdp(aSwN@stENctTNY5@-lI& WG7IntF0XKO_Ac>?a*oVPw*>&<63grW literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..2575ef04138d5f83cf1bfb17c0fd7c1ec3a0cb7c GIT binary patch literal 109 zcmd;LGcqtV(leRFtQnG-o0*rckeHX4Q(2r@tdLfepR3@RTac5Qo0?aWnxc@GT9T7l zT%vcB=kzOC`>DJP3=9kpgcvpm|KV+wd{TJd_NpXEXn{P#2l+p|M^*p*w|AJT1`>Lp K&9FhIogDz$FC|9+ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt new file mode 100644 index 0000000..1676f1e --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt @@ -0,0 +1,3010 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:27:12 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + Inter-SLR Compensation : Conservative + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + +------------------------------------------------------------------------------------------------ +| Report Methodology +| ------------------ +------------------------------------------------------------------------------------------------ + +Rule Severity Description Violations +--------- -------- ------------------------------ ---------- +DPIR-1 Warning Asynchronous driver check 96 +TIMING-18 Warning Missing input or output delay 11 +TIMING-20 Warning Non-clocked latch 10 +LATCH-1 Advisory Existing latches in the design 1 + +Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report. + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (50) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (10) +5. checking no_input_delay (10) +6. checking no_output_delay (5) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (50) +------------------------- + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]/Q (HIGH) + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (10) +------------------------------------------------- + There are 10 pins that are not constrained for maximum delay. (HIGH) + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (10) +------------------------------- + There are 10 input ports with no input delay specified. (HIGH) + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (5) +------------------------------- + There are 5 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.617 0.000 0 1788 0.109 0.000 0 1788 3.000 0.000 0 903 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +CLK100MHZ {0.000 5.000} 10.000 100.000 + clk_out1_clk_wiz_0 {0.000 5.000} 10.000 100.000 + clk_out3_clk_wiz_0 {0.000 41.667} 83.333 12.000 + clk_out4_clk_wiz_0 {0.000 10.000} 20.000 50.000 + clkfbout_clk_wiz_0 {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +CLK100MHZ 3.000 0.000 0 1 + clk_out1_clk_wiz_0 0.617 0.000 0 1567 0.134 0.000 0 1567 4.500 0.000 0 775 + clk_out3_clk_wiz_0 81.178 0.000 0 2 + clk_out4_clk_wiz_0 14.589 0.000 0 221 0.109 0.000 0 221 9.500 0.000 0 122 + clkfbout_clk_wiz_0 7.845 0.000 0 3 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: CLK100MHZ + To Clock: CLK100MHZ + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 3.000ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: CLK100MHZ +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { CLK100MHZ } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a MMCME2_ADV/CLKIN1 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Max Period n/a MMCME2_ADV/CLKIN1 n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out1_clk_wiz_0 + To Clock: clk_out1_clk_wiz_0 + +Setup : 0 Failing Endpoints, Worst Slack 0.617ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.134ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.617ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.295ns (logic 6.059ns (65.188%) route 3.236ns (34.812%)) + Logic Levels: 7 (CARRY4=3 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.114ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.657ns = ( 8.343 - 10.000 ) + Source Clock Delay (SCD): -0.990ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.829 -0.990 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y108 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y108 FDCE (Prop_fdce_C_Q) 0.456 -0.534 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.549 1.015 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X149Y103 LUT6 (Prop_lut6_I2_O) 0.124 1.139 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O + net (fo=1, routed) 0.000 1.139 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0 + SLICE_X149Y103 MUXF7 (Prop_muxf7_I1_O) 0.245 1.384 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O + net (fo=1, routed) 0.000 1.384 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0 + SLICE_X149Y103 MUXF8 (Prop_muxf8_I0_O) 0.104 1.488 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O + net (fo=15, routed) 0.761 2.249 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y40 DSP48E1 (Prop_dsp48e1_A[23]_P[20]) + 4.033 6.282 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=2, routed) 0.925 7.208 rightFir/firUnit_1/operativeUnit_1/L[20] + SLICE_X152Y105 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.865 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.865 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X152Y106 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.982 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3] + net (fo=1, routed) 0.000 7.982 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0 + SLICE_X152Y107 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 8.305 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[1] + net (fo=1, routed) 0.000 8.305 rightFir/firUnit_1/operativeUnit_1/p_0_in[13] + SLICE_X152Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.635 8.343 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/C + clock pessimism 0.554 8.896 + clock uncertainty -0.084 8.813 + SLICE_X152Y107 FDCE (Setup_fdce_C_D) 0.109 8.922 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13] + ------------------------------------------------------------------- + required time 8.922 + arrival time -8.305 + ------------------------------------------------------------------- + slack 0.617 + +Slack (MET) : 0.625ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.287ns (logic 6.051ns (65.158%) route 3.236ns (34.842%)) + Logic Levels: 7 (CARRY4=3 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.114ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.657ns = ( 8.343 - 10.000 ) + Source Clock Delay (SCD): -0.990ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.829 -0.990 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y108 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y108 FDCE (Prop_fdce_C_Q) 0.456 -0.534 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.549 1.015 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X149Y103 LUT6 (Prop_lut6_I2_O) 0.124 1.139 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O + net (fo=1, routed) 0.000 1.139 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0 + SLICE_X149Y103 MUXF7 (Prop_muxf7_I1_O) 0.245 1.384 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O + net (fo=1, routed) 0.000 1.384 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0 + SLICE_X149Y103 MUXF8 (Prop_muxf8_I0_O) 0.104 1.488 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O + net (fo=15, routed) 0.761 2.249 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y40 DSP48E1 (Prop_dsp48e1_A[23]_P[20]) + 4.033 6.282 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=2, routed) 0.925 7.208 rightFir/firUnit_1/operativeUnit_1/L[20] + SLICE_X152Y105 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.865 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.865 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X152Y106 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.982 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3] + net (fo=1, routed) 0.000 7.982 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0 + SLICE_X152Y107 CARRY4 (Prop_carry4_CI_O[3]) + 0.315 8.297 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[3] + net (fo=1, routed) 0.000 8.297 rightFir/firUnit_1/operativeUnit_1/p_0_in[15] + SLICE_X152Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.635 8.343 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/C + clock pessimism 0.554 8.896 + clock uncertainty -0.084 8.813 + SLICE_X152Y107 FDCE (Setup_fdce_C_D) 0.109 8.922 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15] + ------------------------------------------------------------------- + required time 8.922 + arrival time -8.297 + ------------------------------------------------------------------- + slack 0.625 + +Slack (MET) : 0.701ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.211ns (logic 5.975ns (64.871%) route 3.236ns (35.129%)) + Logic Levels: 7 (CARRY4=3 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.114ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.657ns = ( 8.343 - 10.000 ) + Source Clock Delay (SCD): -0.990ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.829 -0.990 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y108 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y108 FDCE (Prop_fdce_C_Q) 0.456 -0.534 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.549 1.015 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X149Y103 LUT6 (Prop_lut6_I2_O) 0.124 1.139 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O + net (fo=1, routed) 0.000 1.139 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0 + SLICE_X149Y103 MUXF7 (Prop_muxf7_I1_O) 0.245 1.384 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O + net (fo=1, routed) 0.000 1.384 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0 + SLICE_X149Y103 MUXF8 (Prop_muxf8_I0_O) 0.104 1.488 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O + net (fo=15, routed) 0.761 2.249 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y40 DSP48E1 (Prop_dsp48e1_A[23]_P[20]) + 4.033 6.282 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=2, routed) 0.925 7.208 rightFir/firUnit_1/operativeUnit_1/L[20] + SLICE_X152Y105 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.865 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.865 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X152Y106 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.982 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3] + net (fo=1, routed) 0.000 7.982 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0 + SLICE_X152Y107 CARRY4 (Prop_carry4_CI_O[2]) + 0.239 8.221 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[2] + net (fo=1, routed) 0.000 8.221 rightFir/firUnit_1/operativeUnit_1/p_0_in[14] + SLICE_X152Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.635 8.343 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]/C + clock pessimism 0.554 8.896 + clock uncertainty -0.084 8.813 + SLICE_X152Y107 FDCE (Setup_fdce_C_D) 0.109 8.922 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[14] + ------------------------------------------------------------------- + required time 8.922 + arrival time -8.221 + ------------------------------------------------------------------- + slack 0.701 + +Slack (MET) : 0.721ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.191ns (logic 5.955ns (64.794%) route 3.236ns (35.206%)) + Logic Levels: 7 (CARRY4=3 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.114ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.657ns = ( 8.343 - 10.000 ) + Source Clock Delay (SCD): -0.990ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.829 -0.990 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y108 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y108 FDCE (Prop_fdce_C_Q) 0.456 -0.534 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.549 1.015 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X149Y103 LUT6 (Prop_lut6_I2_O) 0.124 1.139 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O + net (fo=1, routed) 0.000 1.139 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0 + SLICE_X149Y103 MUXF7 (Prop_muxf7_I1_O) 0.245 1.384 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O + net (fo=1, routed) 0.000 1.384 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0 + SLICE_X149Y103 MUXF8 (Prop_muxf8_I0_O) 0.104 1.488 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O + net (fo=15, routed) 0.761 2.249 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y40 DSP48E1 (Prop_dsp48e1_A[23]_P[20]) + 4.033 6.282 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=2, routed) 0.925 7.208 rightFir/firUnit_1/operativeUnit_1/L[20] + SLICE_X152Y105 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.865 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.865 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X152Y106 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 7.982 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3] + net (fo=1, routed) 0.000 7.982 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0 + SLICE_X152Y107 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 8.201 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[0] + net (fo=1, routed) 0.000 8.201 rightFir/firUnit_1/operativeUnit_1/p_0_in[12] + SLICE_X152Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.635 8.343 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]/C + clock pessimism 0.554 8.896 + clock uncertainty -0.084 8.813 + SLICE_X152Y107 FDCE (Setup_fdce_C_D) 0.109 8.922 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[12] + ------------------------------------------------------------------- + required time 8.922 + arrival time -8.201 + ------------------------------------------------------------------- + slack 0.721 + +Slack (MET) : 0.735ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.178ns (logic 5.942ns (64.745%) route 3.236ns (35.255%)) + Logic Levels: 6 (CARRY4=2 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.113ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.656ns = ( 8.344 - 10.000 ) + Source Clock Delay (SCD): -0.990ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.829 -0.990 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y108 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y108 FDCE (Prop_fdce_C_Q) 0.456 -0.534 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.549 1.015 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X149Y103 LUT6 (Prop_lut6_I2_O) 0.124 1.139 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O + net (fo=1, routed) 0.000 1.139 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0 + SLICE_X149Y103 MUXF7 (Prop_muxf7_I1_O) 0.245 1.384 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O + net (fo=1, routed) 0.000 1.384 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0 + SLICE_X149Y103 MUXF8 (Prop_muxf8_I0_O) 0.104 1.488 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O + net (fo=15, routed) 0.761 2.249 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y40 DSP48E1 (Prop_dsp48e1_A[23]_P[20]) + 4.033 6.282 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=2, routed) 0.925 7.208 rightFir/firUnit_1/operativeUnit_1/L[20] + SLICE_X152Y105 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.865 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.865 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X152Y106 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 8.188 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[1] + net (fo=1, routed) 0.000 8.188 rightFir/firUnit_1/operativeUnit_1/p_0_in[9] + SLICE_X152Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.636 8.344 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]/C + clock pessimism 0.554 8.897 + clock uncertainty -0.084 8.814 + SLICE_X152Y106 FDCE (Setup_fdce_C_D) 0.109 8.923 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[9] + ------------------------------------------------------------------- + required time 8.923 + arrival time -8.188 + ------------------------------------------------------------------- + slack 0.735 + +Slack (MET) : 0.743ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.170ns (logic 5.934ns (64.714%) route 3.236ns (35.286%)) + Logic Levels: 6 (CARRY4=2 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.113ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.656ns = ( 8.344 - 10.000 ) + Source Clock Delay (SCD): -0.990ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.829 -0.990 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y108 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y108 FDCE (Prop_fdce_C_Q) 0.456 -0.534 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.549 1.015 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X149Y103 LUT6 (Prop_lut6_I2_O) 0.124 1.139 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O + net (fo=1, routed) 0.000 1.139 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0 + SLICE_X149Y103 MUXF7 (Prop_muxf7_I1_O) 0.245 1.384 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O + net (fo=1, routed) 0.000 1.384 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0 + SLICE_X149Y103 MUXF8 (Prop_muxf8_I0_O) 0.104 1.488 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O + net (fo=15, routed) 0.761 2.249 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y40 DSP48E1 (Prop_dsp48e1_A[23]_P[20]) + 4.033 6.282 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=2, routed) 0.925 7.208 rightFir/firUnit_1/operativeUnit_1/L[20] + SLICE_X152Y105 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.865 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.865 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X152Y106 CARRY4 (Prop_carry4_CI_O[3]) + 0.315 8.180 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[3] + net (fo=1, routed) 0.000 8.180 rightFir/firUnit_1/operativeUnit_1/p_0_in[11] + SLICE_X152Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.636 8.344 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]/C + clock pessimism 0.554 8.897 + clock uncertainty -0.084 8.814 + SLICE_X152Y106 FDCE (Setup_fdce_C_D) 0.109 8.923 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11] + ------------------------------------------------------------------- + required time 8.923 + arrival time -8.180 + ------------------------------------------------------------------- + slack 0.743 + +Slack (MET) : 0.769ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.185ns (logic 6.198ns (67.481%) route 2.987ns (32.519%)) + Logic Levels: 8 (CARRY4=4 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.659ns = ( 8.341 - 10.000 ) + Source Clock Delay (SCD): -1.066ns + Clock Pessimism Removal (CPR): 0.569ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.753 -1.066 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y110 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X145Y110 FDCE (Prop_fdce_C_Q) 0.456 -0.610 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.538 0.928 leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X137Y104 LUT6 (Prop_lut6_I2_O) 0.124 1.052 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_122/O + net (fo=1, routed) 0.000 1.052 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_122_n_0 + SLICE_X137Y104 MUXF7 (Prop_muxf7_I1_O) 0.245 1.297 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O + net (fo=1, routed) 0.000 1.297 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0 + SLICE_X137Y104 MUXF8 (Prop_muxf8_I0_O) 0.104 1.401 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O + net (fo=1, routed) 0.656 2.057 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0] + DSP48_X7Y42 DSP48E1 (Prop_dsp48e1_A[0]_P[16]) + 4.033 6.090 r leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[16] + net (fo=2, routed) 0.793 6.883 leftFir/firUnit_1/operativeUnit_1/L[16] + SLICE_X145Y106 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.674 7.557 r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]_i_1/CO[3] + net (fo=1, routed) 0.000 7.557 leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]_i_1_n_0 + SLICE_X145Y107 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.671 r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.671 leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X145Y108 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.785 r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3] + net (fo=1, routed) 0.000 7.785 leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0 + SLICE_X145Y109 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 8.119 r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[1] + net (fo=1, routed) 0.000 8.119 leftFir/firUnit_1/operativeUnit_1/p_0_in[13] + SLICE_X145Y109 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.633 8.341 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y109 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/C + clock pessimism 0.569 8.909 + clock uncertainty -0.084 8.826 + SLICE_X145Y109 FDCE (Setup_fdce_C_D) 0.062 8.888 leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13] + ------------------------------------------------------------------- + required time 8.888 + arrival time -8.119 + ------------------------------------------------------------------- + slack 0.769 + +Slack (MET) : 0.790ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.164ns (logic 6.177ns (67.406%) route 2.987ns (32.594%)) + Logic Levels: 8 (CARRY4=4 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.659ns = ( 8.341 - 10.000 ) + Source Clock Delay (SCD): -1.066ns + Clock Pessimism Removal (CPR): 0.569ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.753 -1.066 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y110 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X145Y110 FDCE (Prop_fdce_C_Q) 0.456 -0.610 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.538 0.928 leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X137Y104 LUT6 (Prop_lut6_I2_O) 0.124 1.052 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_122/O + net (fo=1, routed) 0.000 1.052 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_122_n_0 + SLICE_X137Y104 MUXF7 (Prop_muxf7_I1_O) 0.245 1.297 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O + net (fo=1, routed) 0.000 1.297 leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0 + SLICE_X137Y104 MUXF8 (Prop_muxf8_I0_O) 0.104 1.401 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O + net (fo=1, routed) 0.656 2.057 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0] + DSP48_X7Y42 DSP48E1 (Prop_dsp48e1_A[0]_P[16]) + 4.033 6.090 r leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[16] + net (fo=2, routed) 0.793 6.883 leftFir/firUnit_1/operativeUnit_1/L[16] + SLICE_X145Y106 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.674 7.557 r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]_i_1/CO[3] + net (fo=1, routed) 0.000 7.557 leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]_i_1_n_0 + SLICE_X145Y107 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.671 r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.671 leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X145Y108 CARRY4 (Prop_carry4_CI_CO[3]) + 0.114 7.785 r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3] + net (fo=1, routed) 0.000 7.785 leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0 + SLICE_X145Y109 CARRY4 (Prop_carry4_CI_O[3]) + 0.313 8.098 r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[3] + net (fo=1, routed) 0.000 8.098 leftFir/firUnit_1/operativeUnit_1/p_0_in[15] + SLICE_X145Y109 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.633 8.341 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y109 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/C + clock pessimism 0.569 8.909 + clock uncertainty -0.084 8.826 + SLICE_X145Y109 FDCE (Setup_fdce_C_D) 0.062 8.888 leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15] + ------------------------------------------------------------------- + required time 8.888 + arrival time -8.098 + ------------------------------------------------------------------- + slack 0.790 + +Slack (MET) : 0.819ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.094ns (logic 5.858ns (64.419%) route 3.236ns (35.581%)) + Logic Levels: 6 (CARRY4=2 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.113ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.656ns = ( 8.344 - 10.000 ) + Source Clock Delay (SCD): -0.990ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.829 -0.990 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y108 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y108 FDCE (Prop_fdce_C_Q) 0.456 -0.534 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.549 1.015 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X149Y103 LUT6 (Prop_lut6_I2_O) 0.124 1.139 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O + net (fo=1, routed) 0.000 1.139 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0 + SLICE_X149Y103 MUXF7 (Prop_muxf7_I1_O) 0.245 1.384 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O + net (fo=1, routed) 0.000 1.384 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0 + SLICE_X149Y103 MUXF8 (Prop_muxf8_I0_O) 0.104 1.488 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O + net (fo=15, routed) 0.761 2.249 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y40 DSP48E1 (Prop_dsp48e1_A[23]_P[20]) + 4.033 6.282 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=2, routed) 0.925 7.208 rightFir/firUnit_1/operativeUnit_1/L[20] + SLICE_X152Y105 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.865 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.865 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X152Y106 CARRY4 (Prop_carry4_CI_O[2]) + 0.239 8.104 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[2] + net (fo=1, routed) 0.000 8.104 rightFir/firUnit_1/operativeUnit_1/p_0_in[10] + SLICE_X152Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.636 8.344 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/C + clock pessimism 0.554 8.897 + clock uncertainty -0.084 8.814 + SLICE_X152Y106 FDCE (Setup_fdce_C_D) 0.109 8.923 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10] + ------------------------------------------------------------------- + required time 8.923 + arrival time -8.104 + ------------------------------------------------------------------- + slack 0.819 + +Slack (MET) : 0.839ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.074ns (logic 5.838ns (64.340%) route 3.236ns (35.660%)) + Logic Levels: 6 (CARRY4=2 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.113ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.656ns = ( 8.344 - 10.000 ) + Source Clock Delay (SCD): -0.990ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.829 -0.990 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y108 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y108 FDCE (Prop_fdce_C_Q) 0.456 -0.534 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=79, routed) 1.549 1.015 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X149Y103 LUT6 (Prop_lut6_I2_O) 0.124 1.139 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O + net (fo=1, routed) 0.000 1.139 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0 + SLICE_X149Y103 MUXF7 (Prop_muxf7_I1_O) 0.245 1.384 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O + net (fo=1, routed) 0.000 1.384 rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0 + SLICE_X149Y103 MUXF8 (Prop_muxf8_I0_O) 0.104 1.488 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O + net (fo=15, routed) 0.761 2.249 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15] + DSP48_X8Y40 DSP48E1 (Prop_dsp48e1_A[23]_P[20]) + 4.033 6.282 r rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20] + net (fo=2, routed) 0.925 7.208 rightFir/firUnit_1/operativeUnit_1/L[20] + SLICE_X152Y105 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.657 7.865 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 7.865 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0 + SLICE_X152Y106 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 8.084 r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[0] + net (fo=1, routed) 0.000 8.084 rightFir/firUnit_1/operativeUnit_1/p_0_in[8] + SLICE_X152Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 1.636 8.344 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]/C + clock pessimism 0.554 8.897 + clock uncertainty -0.084 8.814 + SLICE_X152Y106 FDCE (Setup_fdce_C_D) 0.109 8.923 rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[8] + ------------------------------------------------------------------- + required time 8.923 + arrival time -8.084 + ------------------------------------------------------------------- + slack 0.839 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.134ns (arrival time - required time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.209ns (logic 0.141ns (67.433%) route 0.068ns (32.567%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.925ns + Source Clock Delay (SCD): -0.683ns + Clock Pessimism Removal (CPR): -0.242ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.617 -0.683 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X143Y110 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X143Y110 FDCE (Prop_fdce_C_Q) 0.141 -0.542 r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/Q + net (fo=2, routed) 0.068 -0.474 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[14] + SLICE_X143Y110 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.889 -0.925 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X143Y110 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/C + clock pessimism 0.242 -0.683 + SLICE_X143Y110 FDCE (Hold_fdce_C_D) 0.075 -0.608 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14] + ------------------------------------------------------------------- + required time 0.608 + arrival time -0.474 + ------------------------------------------------------------------- + slack 0.134 + +Slack (MET) : 0.142ns (arrival time - required time) + Source: audio_inout/Data_Out_int_reg[9]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[10]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.300ns (logic 0.186ns (62.061%) route 0.114ns (37.939%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.037ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.925ns + Source Clock Delay (SCD): -0.682ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.618 -0.682 audio_inout/clk_out1 + SLICE_X155Y112 FDRE r audio_inout/Data_Out_int_reg[9]/C + ------------------------------------------------------------------- ------------------- + SLICE_X155Y112 FDRE (Prop_fdre_C_Q) 0.141 -0.541 r audio_inout/Data_Out_int_reg[9]/Q + net (fo=1, routed) 0.114 -0.427 audio_inout/Data_Out_int_reg_n_0_[9] + SLICE_X152Y112 LUT6 (Prop_lut6_I4_O) 0.045 -0.382 r audio_inout/Data_Out_int[10]_i_1/O + net (fo=1, routed) 0.000 -0.382 audio_inout/Data_Out_int[10]_i_1_n_0 + SLICE_X152Y112 FDRE r audio_inout/Data_Out_int_reg[10]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.889 -0.925 audio_inout/clk_out1 + SLICE_X152Y112 FDRE r audio_inout/Data_Out_int_reg[10]/C + clock pessimism 0.280 -0.645 + SLICE_X152Y112 FDRE (Hold_fdre_C_D) 0.121 -0.524 audio_inout/Data_Out_int_reg[10] + ------------------------------------------------------------------- + required time 0.524 + arrival time -0.382 + ------------------------------------------------------------------- + slack 0.142 + +Slack (MET) : 0.143ns (arrival time - required time) + Source: audio_inout/Data_Out_int_reg[23]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[24]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.301ns (logic 0.186ns (61.698%) route 0.115ns (38.302%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.038ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.922ns + Source Clock Delay (SCD): -0.680ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.620 -0.680 audio_inout/clk_out1 + SLICE_X153Y107 FDRE r audio_inout/Data_Out_int_reg[23]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y107 FDRE (Prop_fdre_C_Q) 0.141 -0.539 r audio_inout/Data_Out_int_reg[23]/Q + net (fo=1, routed) 0.115 -0.423 audio_inout/Data_Out_int_reg_n_0_[23] + SLICE_X154Y108 LUT6 (Prop_lut6_I3_O) 0.045 -0.378 r audio_inout/Data_Out_int[24]_i_1/O + net (fo=1, routed) 0.000 -0.378 audio_inout/Data_Out_int[24]_i_1_n_0 + SLICE_X154Y108 FDRE r audio_inout/Data_Out_int_reg[24]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.892 -0.922 audio_inout/clk_out1 + SLICE_X154Y108 FDRE r audio_inout/Data_Out_int_reg[24]/C + clock pessimism 0.280 -0.642 + SLICE_X154Y108 FDRE (Hold_fdre_C_D) 0.120 -0.522 audio_inout/Data_Out_int_reg[24] + ------------------------------------------------------------------- + required time 0.522 + arrival time -0.378 + ------------------------------------------------------------------- + slack 0.143 + +Slack (MET) : 0.159ns (arrival time - required time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][7]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][7]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.253ns (logic 0.141ns (55.700%) route 0.112ns (44.300%)) + Logic Levels: 0 + Clock Path Skew: 0.016ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.948ns + Source Clock Delay (SCD): -0.705ns + Clock Pessimism Removal (CPR): -0.259ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.595 -0.705 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X138Y106 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][7]/C + ------------------------------------------------------------------- ------------------- + SLICE_X138Y106 FDCE (Prop_fdce_C_Q) 0.141 -0.564 r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][7]/Q + net (fo=2, routed) 0.112 -0.452 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8]_15[7] + SLICE_X137Y105 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.866 -0.948 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X137Y105 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][7]/C + clock pessimism 0.259 -0.689 + SLICE_X137Y105 FDCE (Hold_fdce_C_D) 0.078 -0.611 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][7] + ------------------------------------------------------------------- + required time 0.611 + arrival time -0.452 + ------------------------------------------------------------------- + slack 0.159 + +Slack (MET) : 0.160ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][1]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.268ns (logic 0.141ns (52.702%) route 0.127ns (47.298%)) + Logic Levels: 0 + Clock Path Skew: 0.038ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.894ns + Source Clock Delay (SCD): -0.652ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.648 -0.652 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X161Y103 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y103 FDCE (Prop_fdce_C_Q) 0.141 -0.511 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][1]/Q + net (fo=2, routed) 0.127 -0.384 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11]_3[1] + SLICE_X159Y103 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][1]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.920 -0.894 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X159Y103 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][1]/C + clock pessimism 0.280 -0.614 + SLICE_X159Y103 FDCE (Hold_fdce_C_D) 0.070 -0.544 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][1] + ------------------------------------------------------------------- + required time 0.544 + arrival time -0.384 + ------------------------------------------------------------------- + slack 0.160 + +Slack (MET) : 0.168ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[8]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.297ns (logic 0.186ns (62.622%) route 0.111ns (37.378%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.037ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.925ns + Source Clock Delay (SCD): -0.682ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.618 -0.682 audio_inout/clk_out1 + SLICE_X153Y112 FDRE r audio_inout/D_L_O_int_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y112 FDRE (Prop_fdre_C_Q) 0.141 -0.541 r audio_inout/D_L_O_int_reg[1]/Q + net (fo=1, routed) 0.111 -0.430 audio_inout/in_audioL[1] + SLICE_X155Y112 LUT6 (Prop_lut6_I1_O) 0.045 -0.385 r audio_inout/Data_Out_int[8]_i_1/O + net (fo=1, routed) 0.000 -0.385 audio_inout/Data_Out_int[8]_i_1_n_0 + SLICE_X155Y112 FDRE r audio_inout/Data_Out_int_reg[8]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.889 -0.925 audio_inout/clk_out1 + SLICE_X155Y112 FDRE r audio_inout/Data_Out_int_reg[8]/C + clock pessimism 0.280 -0.645 + SLICE_X155Y112 FDRE (Hold_fdre_C_D) 0.092 -0.553 audio_inout/Data_Out_int_reg[8] + ------------------------------------------------------------------- + required time 0.553 + arrival time -0.385 + ------------------------------------------------------------------- + slack 0.168 + +Slack (MET) : 0.172ns (arrival time - required time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][4]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.232ns (logic 0.164ns (70.680%) route 0.068ns (29.320%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.923ns + Source Clock Delay (SCD): -0.681ns + Clock Pessimism Removal (CPR): -0.242ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.619 -0.681 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X148Y107 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X148Y107 FDCE (Prop_fdce_C_Q) 0.164 -0.517 r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]/Q + net (fo=2, routed) 0.068 -0.449 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[4] + SLICE_X148Y107 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.891 -0.923 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X148Y107 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][4]/C + clock pessimism 0.242 -0.681 + SLICE_X148Y107 FDCE (Hold_fdce_C_D) 0.060 -0.621 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][4] + ------------------------------------------------------------------- + required time 0.621 + arrival time -0.449 + ------------------------------------------------------------------- + slack 0.172 + +Slack (MET) : 0.172ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][11]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.232ns (logic 0.164ns (70.680%) route 0.068ns (29.320%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.921ns + Source Clock Delay (SCD): -0.679ns + Clock Pessimism Removal (CPR): -0.242ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.621 -0.679 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X154Y104 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]/C + ------------------------------------------------------------------- ------------------- + SLICE_X154Y104 FDCE (Prop_fdce_C_Q) 0.164 -0.515 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]/Q + net (fo=2, routed) 0.068 -0.447 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[11] + SLICE_X154Y104 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][11]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.893 -0.921 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X154Y104 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][11]/C + clock pessimism 0.242 -0.679 + SLICE_X154Y104 FDCE (Hold_fdce_C_D) 0.060 -0.619 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][11] + ------------------------------------------------------------------- + required time 0.619 + arrival time -0.447 + ------------------------------------------------------------------- + slack 0.172 + +Slack (MET) : 0.173ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.265ns (logic 0.141ns (53.133%) route 0.124ns (46.867%)) + Logic Levels: 0 + Clock Path Skew: 0.017ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.921ns + Source Clock Delay (SCD): -0.680ns + Clock Pessimism Removal (CPR): -0.258ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.620 -0.680 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y107 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X155Y107 FDCE (Prop_fdce_C_Q) 0.141 -0.539 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/Q + net (fo=2, routed) 0.124 -0.414 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[14] + SLICE_X154Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.893 -0.921 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X154Y106 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/C + clock pessimism 0.258 -0.663 + SLICE_X154Y106 FDCE (Hold_fdce_C_D) 0.075 -0.588 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14] + ------------------------------------------------------------------- + required time 0.588 + arrival time -0.414 + ------------------------------------------------------------------- + slack 0.173 + +Slack (MET) : 0.175ns (arrival time - required time) + Source: audio_inout/D_R_O_int_reg[15]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.305ns (logic 0.186ns (60.913%) route 0.119ns (39.087%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: 0.038ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.921ns + Source Clock Delay (SCD): -0.679ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.621 -0.679 audio_inout/clk_out1 + SLICE_X153Y106 FDRE r audio_inout/D_R_O_int_reg[15]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y106 FDRE (Prop_fdre_C_Q) 0.141 -0.538 r audio_inout/D_R_O_int_reg[15]/Q + net (fo=2, routed) 0.119 -0.418 audio_inout/D_R_O_int_reg[22]_0[3] + SLICE_X155Y105 LUT2 (Prop_lut2_I0_O) 0.045 -0.373 r audio_inout/I_inputSample_IBUF[7]_inst_i_1/O + net (fo=1, routed) 0.000 -0.373 rightFir/firUnit_1/operativeUnit_1/I_inputSample[7] + SLICE_X155Y105 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=773, routed) 0.893 -0.921 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y105 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]/C + clock pessimism 0.280 -0.641 + SLICE_X155Y105 FDCE (Hold_fdce_C_D) 0.092 -0.549 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7] + ------------------------------------------------------------------- + required time 0.549 + arrival time -0.373 + ------------------------------------------------------------------- + slack 0.175 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out1_clk_wiz_0 +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT0 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y1 clk_1/inst/clkout1_buf/I +Min Period n/a MMCME2_ADV/CLKOUT0 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT0 +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X151Y112 lrclkD1_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X151Y112 lrclkD2_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X150Y111 lrclkcnt_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X150Y111 lrclkcnt_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X151Y111 lrclkcnt_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X151Y111 lrclkcnt_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X151Y112 pulse48kHz_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X152Y115 audio_inout/BCLK_int_reg/C +Max Period n/a MMCME2_ADV/CLKOUT0 n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT0 +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y112 lrclkD1_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y112 lrclkD1_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y112 lrclkD2_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y112 lrclkD2_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y111 lrclkcnt_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y111 lrclkcnt_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y111 lrclkcnt_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y111 lrclkcnt_reg[1]/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y111 lrclkcnt_reg[2]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y111 lrclkcnt_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y112 lrclkD1_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y112 lrclkD1_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y112 lrclkD2_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y112 lrclkD2_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y111 lrclkcnt_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y111 lrclkcnt_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y111 lrclkcnt_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y111 lrclkcnt_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y111 lrclkcnt_reg[2]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y111 lrclkcnt_reg[2]/C + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out3_clk_wiz_0 + To Clock: clk_out3_clk_wiz_0 + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 81.178ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out3_clk_wiz_0 +Waveform(ns): { 0.000 41.667 } +Period(ns): 83.333 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT2 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 83.333 81.178 BUFGCTRL_X0Y0 clk_1/inst/clkout3_buf/I +Min Period n/a MMCME2_ADV/CLKOUT2 n/a 1.249 83.333 82.084 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT2 +Max Period n/a MMCME2_ADV/CLKOUT2 n/a 213.360 83.333 130.027 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT2 + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out4_clk_wiz_0 + To Clock: clk_out4_clk_wiz_0 + +Setup : 0 Failing Endpoints, Worst Slack 14.589ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.109ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 9.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 14.589ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[1]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.080ns (logic 1.151ns (22.659%) route 3.929ns (77.341%)) + Logic Levels: 4 (LUT2=1 LUT4=1 LUT6=2) + Clock Path Skew: -0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.588ns = ( 18.412 - 20.000 ) + Source Clock Delay (SCD): -1.003ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.816 -1.003 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.456 -0.547 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.741 0.194 initialize_audio/twi_controller/sclCnt[0] + SLICE_X157Y128 LUT6 (Prop_lut6_I2_O) 0.124 0.318 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 1.061 1.379 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X158Y121 LUT2 (Prop_lut2_I1_O) 0.124 1.503 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=15, routed) 0.782 2.285 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X159Y117 LUT4 (Prop_lut4_I3_O) 0.120 2.405 r initialize_audio/twi_controller/FSM_gray_state[3]_i_3/O + net (fo=1, routed) 0.812 3.217 initialize_audio/twi_controller/FSM_gray_state[3]_i_3_n_0 + SLICE_X159Y118 LUT6 (Prop_lut6_I0_O) 0.327 3.544 r initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O + net (fo=4, routed) 0.533 4.077 initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 + SLICE_X159Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[1]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.704 18.412 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[1]/C + clock pessimism 0.554 18.965 + clock uncertainty -0.094 18.871 + SLICE_X159Y116 FDRE (Setup_fdre_C_CE) -0.205 18.666 initialize_audio/twi_controller/FSM_gray_state_reg[1] + ------------------------------------------------------------------- + required time 18.666 + arrival time -4.077 + ------------------------------------------------------------------- + slack 14.589 + +Slack (MET) : 14.589ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[3]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.080ns (logic 1.151ns (22.659%) route 3.929ns (77.341%)) + Logic Levels: 4 (LUT2=1 LUT4=1 LUT6=2) + Clock Path Skew: -0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.588ns = ( 18.412 - 20.000 ) + Source Clock Delay (SCD): -1.003ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.816 -1.003 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.456 -0.547 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.741 0.194 initialize_audio/twi_controller/sclCnt[0] + SLICE_X157Y128 LUT6 (Prop_lut6_I2_O) 0.124 0.318 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 1.061 1.379 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X158Y121 LUT2 (Prop_lut2_I1_O) 0.124 1.503 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=15, routed) 0.782 2.285 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X159Y117 LUT4 (Prop_lut4_I3_O) 0.120 2.405 r initialize_audio/twi_controller/FSM_gray_state[3]_i_3/O + net (fo=1, routed) 0.812 3.217 initialize_audio/twi_controller/FSM_gray_state[3]_i_3_n_0 + SLICE_X159Y118 LUT6 (Prop_lut6_I0_O) 0.327 3.544 r initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O + net (fo=4, routed) 0.533 4.077 initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 + SLICE_X159Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[3]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.704 18.412 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[3]/C + clock pessimism 0.554 18.965 + clock uncertainty -0.094 18.871 + SLICE_X159Y116 FDRE (Setup_fdre_C_CE) -0.205 18.666 initialize_audio/twi_controller/FSM_gray_state_reg[3] + ------------------------------------------------------------------- + required time 18.666 + arrival time -4.077 + ------------------------------------------------------------------- + slack 14.589 + +Slack (MET) : 14.619ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[0]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.052ns (logic 1.151ns (22.783%) route 3.901ns (77.217%)) + Logic Levels: 4 (LUT2=1 LUT4=1 LUT6=2) + Clock Path Skew: -0.030ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.586ns = ( 18.414 - 20.000 ) + Source Clock Delay (SCD): -1.003ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.816 -1.003 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.456 -0.547 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.741 0.194 initialize_audio/twi_controller/sclCnt[0] + SLICE_X157Y128 LUT6 (Prop_lut6_I2_O) 0.124 0.318 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 1.061 1.379 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X158Y121 LUT2 (Prop_lut2_I1_O) 0.124 1.503 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=15, routed) 0.782 2.285 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X159Y117 LUT4 (Prop_lut4_I3_O) 0.120 2.405 r initialize_audio/twi_controller/FSM_gray_state[3]_i_3/O + net (fo=1, routed) 0.812 3.217 initialize_audio/twi_controller/FSM_gray_state[3]_i_3_n_0 + SLICE_X159Y118 LUT6 (Prop_lut6_I0_O) 0.327 3.544 r initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O + net (fo=4, routed) 0.506 4.049 initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 + SLICE_X160Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[0]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.706 18.414 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[0]/C + clock pessimism 0.554 18.967 + clock uncertainty -0.094 18.873 + SLICE_X160Y116 FDRE (Setup_fdre_C_CE) -0.205 18.668 initialize_audio/twi_controller/FSM_gray_state_reg[0] + ------------------------------------------------------------------- + required time 18.668 + arrival time -4.049 + ------------------------------------------------------------------- + slack 14.619 + +Slack (MET) : 14.619ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[2]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.052ns (logic 1.151ns (22.783%) route 3.901ns (77.217%)) + Logic Levels: 4 (LUT2=1 LUT4=1 LUT6=2) + Clock Path Skew: -0.030ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.586ns = ( 18.414 - 20.000 ) + Source Clock Delay (SCD): -1.003ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.816 -1.003 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.456 -0.547 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.741 0.194 initialize_audio/twi_controller/sclCnt[0] + SLICE_X157Y128 LUT6 (Prop_lut6_I2_O) 0.124 0.318 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 1.061 1.379 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X158Y121 LUT2 (Prop_lut2_I1_O) 0.124 1.503 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=15, routed) 0.782 2.285 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X159Y117 LUT4 (Prop_lut4_I3_O) 0.120 2.405 r initialize_audio/twi_controller/FSM_gray_state[3]_i_3/O + net (fo=1, routed) 0.812 3.217 initialize_audio/twi_controller/FSM_gray_state[3]_i_3_n_0 + SLICE_X159Y118 LUT6 (Prop_lut6_I0_O) 0.327 3.544 r initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O + net (fo=4, routed) 0.506 4.049 initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 + SLICE_X160Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[2]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.706 18.414 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[2]/C + clock pessimism 0.554 18.967 + clock uncertainty -0.094 18.873 + SLICE_X160Y116 FDRE (Setup_fdre_C_CE) -0.205 18.668 initialize_audio/twi_controller/FSM_gray_state_reg[2] + ------------------------------------------------------------------- + required time 18.668 + arrival time -4.049 + ------------------------------------------------------------------- + slack 14.619 + +Slack (MET) : 14.696ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[4]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/state_reg[1]/CE + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.965ns (logic 0.952ns (19.176%) route 4.013ns (80.824%)) + Logic Levels: 4 (LUT4=2 LUT5=1 LUT6=1) + Clock Path Skew: -0.040ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X156Y109 FDRE r initialize_audio/delaycnt_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y109 FDRE (Prop_fdre_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[4]/Q + net (fo=3, routed) 0.833 0.298 initialize_audio/delaycnt_reg_n_0_[4] + SLICE_X157Y110 LUT4 (Prop_lut4_I0_O) 0.124 0.422 f initialize_audio/initA[6]_i_13/O + net (fo=1, routed) 0.877 1.299 initialize_audio/initA[6]_i_13_n_0 + SLICE_X157Y110 LUT5 (Prop_lut5_I4_O) 0.124 1.423 r initialize_audio/initA[6]_i_9/O + net (fo=1, routed) 0.781 2.204 initialize_audio/initA[6]_i_9_n_0 + SLICE_X157Y113 LUT4 (Prop_lut4_I1_O) 0.124 2.328 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 0.805 3.133 initialize_audio/twi_controller/initEn_reg + SLICE_X158Y113 LUT6 (Prop_lut6_I1_O) 0.124 3.257 r initialize_audio/twi_controller/state[3]_i_1/O + net (fo=4, routed) 0.717 3.974 initialize_audio/twi_controller_n_6 + SLICE_X160Y113 FDSE r initialize_audio/state_reg[1]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/clk_out4 + SLICE_X160Y113 FDSE r initialize_audio/state_reg[1]/C + clock pessimism 0.554 18.969 + clock uncertainty -0.094 18.875 + SLICE_X160Y113 FDSE (Setup_fdse_C_CE) -0.205 18.670 initialize_audio/state_reg[1] + ------------------------------------------------------------------- + required time 18.670 + arrival time -3.974 + ------------------------------------------------------------------- + slack 14.696 + +Slack (MET) : 14.712ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[1]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.960ns (logic 0.952ns (19.193%) route 4.008ns (80.807%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.029ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.585ns = ( 18.415 - 20.000 ) + Source Clock Delay (SCD): -1.003ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.816 -1.003 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.456 -0.547 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.741 0.194 initialize_audio/twi_controller/sclCnt[0] + SLICE_X157Y128 LUT6 (Prop_lut6_I2_O) 0.124 0.318 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 1.061 1.379 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X158Y121 LUT2 (Prop_lut2_I1_O) 0.124 1.503 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=15, routed) 1.002 2.505 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X158Y117 LUT6 (Prop_lut6_I3_O) 0.124 2.629 r initialize_audio/twi_controller/dataByte[7]_i_3/O + net (fo=4, routed) 0.478 3.107 initialize_audio/twi_controller/dataByte0 + SLICE_X158Y117 LUT2 (Prop_lut2_I0_O) 0.124 3.231 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.727 3.957 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X161Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[1]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.707 18.415 initialize_audio/twi_controller/clk_out4 + SLICE_X161Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[1]/C + clock pessimism 0.554 18.968 + clock uncertainty -0.094 18.874 + SLICE_X161Y115 FDRE (Setup_fdre_C_CE) -0.205 18.669 initialize_audio/twi_controller/dataByte_reg[1] + ------------------------------------------------------------------- + required time 18.669 + arrival time -3.957 + ------------------------------------------------------------------- + slack 14.712 + +Slack (MET) : 14.712ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[6]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.960ns (logic 0.952ns (19.193%) route 4.008ns (80.807%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.029ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.585ns = ( 18.415 - 20.000 ) + Source Clock Delay (SCD): -1.003ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.816 -1.003 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.456 -0.547 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.741 0.194 initialize_audio/twi_controller/sclCnt[0] + SLICE_X157Y128 LUT6 (Prop_lut6_I2_O) 0.124 0.318 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 1.061 1.379 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X158Y121 LUT2 (Prop_lut2_I1_O) 0.124 1.503 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=15, routed) 1.002 2.505 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X158Y117 LUT6 (Prop_lut6_I3_O) 0.124 2.629 r initialize_audio/twi_controller/dataByte[7]_i_3/O + net (fo=4, routed) 0.478 3.107 initialize_audio/twi_controller/dataByte0 + SLICE_X158Y117 LUT2 (Prop_lut2_I0_O) 0.124 3.231 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.727 3.957 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X161Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[6]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.707 18.415 initialize_audio/twi_controller/clk_out4 + SLICE_X161Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[6]/C + clock pessimism 0.554 18.968 + clock uncertainty -0.094 18.874 + SLICE_X161Y115 FDRE (Setup_fdre_C_CE) -0.205 18.669 initialize_audio/twi_controller/dataByte_reg[6] + ------------------------------------------------------------------- + required time 18.669 + arrival time -3.957 + ------------------------------------------------------------------- + slack 14.712 + +Slack (MET) : 14.712ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[0]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[7]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.960ns (logic 0.952ns (19.193%) route 4.008ns (80.807%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.029ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.585ns = ( 18.415 - 20.000 ) + Source Clock Delay (SCD): -1.003ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.816 -1.003 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.456 -0.547 f initialize_audio/twi_controller/sclCnt_reg[0]/Q + net (fo=7, routed) 0.741 0.194 initialize_audio/twi_controller/sclCnt[0] + SLICE_X157Y128 LUT6 (Prop_lut6_I2_O) 0.124 0.318 f initialize_audio/twi_controller/sclCnt[6]_i_5/O + net (fo=2, routed) 1.061 1.379 initialize_audio/twi_controller/sclCnt[6]_i_5_n_0 + SLICE_X158Y121 LUT2 (Prop_lut2_I1_O) 0.124 1.503 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=15, routed) 1.002 2.505 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X158Y117 LUT6 (Prop_lut6_I3_O) 0.124 2.629 r initialize_audio/twi_controller/dataByte[7]_i_3/O + net (fo=4, routed) 0.478 3.107 initialize_audio/twi_controller/dataByte0 + SLICE_X158Y117 LUT2 (Prop_lut2_I0_O) 0.124 3.231 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.727 3.957 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X161Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[7]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.707 18.415 initialize_audio/twi_controller/clk_out4 + SLICE_X161Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[7]/C + clock pessimism 0.554 18.968 + clock uncertainty -0.094 18.874 + SLICE_X161Y115 FDRE (Setup_fdre_C_CE) -0.205 18.669 initialize_audio/twi_controller/dataByte_reg[7] + ------------------------------------------------------------------- + required time 18.669 + arrival time -3.957 + ------------------------------------------------------------------- + slack 14.712 + +Slack (MET) : 14.772ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[4]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[0]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.891ns (logic 0.952ns (19.463%) route 3.939ns (80.537%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.038ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.582ns = ( 18.418 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X156Y109 FDRE r initialize_audio/delaycnt_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y109 FDRE (Prop_fdre_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[4]/Q + net (fo=3, routed) 0.833 0.298 initialize_audio/delaycnt_reg_n_0_[4] + SLICE_X157Y110 LUT4 (Prop_lut4_I0_O) 0.124 0.422 f initialize_audio/initA[6]_i_13/O + net (fo=1, routed) 0.877 1.299 initialize_audio/initA[6]_i_13_n_0 + SLICE_X157Y110 LUT5 (Prop_lut5_I4_O) 0.124 1.423 r initialize_audio/initA[6]_i_9/O + net (fo=1, routed) 0.781 2.204 initialize_audio/initA[6]_i_9_n_0 + SLICE_X157Y113 LUT4 (Prop_lut4_I1_O) 0.124 2.328 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 0.822 3.150 initialize_audio/twi_controller/initEn_reg + SLICE_X158Y113 LUT5 (Prop_lut5_I1_O) 0.124 3.274 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.627 3.900 initialize_audio/twi_controller_n_8 + SLICE_X160Y111 FDRE r initialize_audio/initA_reg[0]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.710 18.418 initialize_audio/clk_out4 + SLICE_X160Y111 FDRE r initialize_audio/initA_reg[0]/C + clock pessimism 0.554 18.971 + clock uncertainty -0.094 18.877 + SLICE_X160Y111 FDRE (Setup_fdre_C_CE) -0.205 18.672 initialize_audio/initA_reg[0] + ------------------------------------------------------------------- + required time 18.672 + arrival time -3.900 + ------------------------------------------------------------------- + slack 14.772 + +Slack (MET) : 14.772ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[4]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[2]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.891ns (logic 0.952ns (19.463%) route 3.939ns (80.537%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.038ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.582ns = ( 18.418 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X156Y109 FDRE r initialize_audio/delaycnt_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y109 FDRE (Prop_fdre_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[4]/Q + net (fo=3, routed) 0.833 0.298 initialize_audio/delaycnt_reg_n_0_[4] + SLICE_X157Y110 LUT4 (Prop_lut4_I0_O) 0.124 0.422 f initialize_audio/initA[6]_i_13/O + net (fo=1, routed) 0.877 1.299 initialize_audio/initA[6]_i_13_n_0 + SLICE_X157Y110 LUT5 (Prop_lut5_I4_O) 0.124 1.423 r initialize_audio/initA[6]_i_9/O + net (fo=1, routed) 0.781 2.204 initialize_audio/initA[6]_i_9_n_0 + SLICE_X157Y113 LUT4 (Prop_lut4_I1_O) 0.124 2.328 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 0.822 3.150 initialize_audio/twi_controller/initEn_reg + SLICE_X158Y113 LUT5 (Prop_lut5_I1_O) 0.124 3.274 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.627 3.900 initialize_audio/twi_controller_n_8 + SLICE_X160Y111 FDRE r initialize_audio/initA_reg[2]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.710 18.418 initialize_audio/clk_out4 + SLICE_X160Y111 FDRE r initialize_audio/initA_reg[2]/C + clock pessimism 0.554 18.971 + clock uncertainty -0.094 18.877 + SLICE_X160Y111 FDRE (Setup_fdre_C_CE) -0.205 18.672 initialize_audio/initA_reg[2] + ------------------------------------------------------------------- + required time 18.672 + arrival time -3.900 + ------------------------------------------------------------------- + slack 14.772 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.109ns (arrival time - required time) + Source: initialize_audio/twi_controller/dataByte_reg[4]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[5]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.242ns (logic 0.186ns (76.827%) route 0.056ns (23.173%)) + Logic Levels: 1 (LUT4=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.900ns + Source Clock Delay (SCD): -0.657ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.643 -0.657 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y115 FDRE (Prop_fdre_C_Q) 0.141 -0.516 r initialize_audio/twi_controller/dataByte_reg[4]/Q + net (fo=1, routed) 0.056 -0.460 initialize_audio/twi_controller/dataByte[4] + SLICE_X158Y115 LUT4 (Prop_lut4_I0_O) 0.045 -0.415 r initialize_audio/twi_controller/dataByte[5]_i_1/O + net (fo=1, routed) 0.000 -0.415 initialize_audio/twi_controller/p_1_in[5] + SLICE_X158Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.914 -0.900 initialize_audio/twi_controller/clk_out4 + SLICE_X158Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[5]/C + clock pessimism 0.256 -0.644 + SLICE_X158Y115 FDRE (Hold_fdre_C_D) 0.120 -0.524 initialize_audio/twi_controller/dataByte_reg[5] + ------------------------------------------------------------------- + required time 0.524 + arrival time -0.415 + ------------------------------------------------------------------- + slack 0.109 + +Slack (MET) : 0.178ns (arrival time - required time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/sclCnt_reg[4]/D + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.283ns (logic 0.186ns (65.639%) route 0.097ns (34.361%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.906ns + Source Clock Delay (SCD): -0.663ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.637 -0.663 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.141 -0.522 r initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.097 -0.424 initialize_audio/twi_controller/sclCnt[2] + SLICE_X157Y128 LUT5 (Prop_lut5_I1_O) 0.045 -0.379 r initialize_audio/twi_controller/sclCnt[4]_i_1/O + net (fo=1, routed) 0.000 -0.379 initialize_audio/twi_controller/sclCnt[4]_i_1_n_0 + SLICE_X157Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.908 -0.906 initialize_audio/twi_controller/clk_out4 + SLICE_X157Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[4]/C + clock pessimism 0.256 -0.650 + SLICE_X157Y128 FDSE (Hold_fdse_C_D) 0.092 -0.558 initialize_audio/twi_controller/sclCnt_reg[4] + ------------------------------------------------------------------- + required time 0.558 + arrival time -0.379 + ------------------------------------------------------------------- + slack 0.178 + +Slack (MET) : 0.180ns (arrival time - required time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/sclCnt_reg[3]/D + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.284ns (logic 0.186ns (65.408%) route 0.098ns (34.592%)) + Logic Levels: 1 (LUT4=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.906ns + Source Clock Delay (SCD): -0.663ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.637 -0.663 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.141 -0.522 r initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.098 -0.423 initialize_audio/twi_controller/sclCnt[2] + SLICE_X157Y128 LUT4 (Prop_lut4_I0_O) 0.045 -0.378 r initialize_audio/twi_controller/sclCnt[3]_i_1/O + net (fo=1, routed) 0.000 -0.378 initialize_audio/twi_controller/sclCnt01_in[3] + SLICE_X157Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.908 -0.906 initialize_audio/twi_controller/clk_out4 + SLICE_X157Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[3]/C + clock pessimism 0.256 -0.650 + SLICE_X157Y128 FDSE (Hold_fdse_C_D) 0.091 -0.559 initialize_audio/twi_controller/sclCnt_reg[3] + ------------------------------------------------------------------- + required time 0.559 + arrival time -0.378 + ------------------------------------------------------------------- + slack 0.180 + +Slack (MET) : 0.187ns (arrival time - required time) + Source: initialize_audio/twi_controller/sclCnt_reg[1]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/sclCnt_reg[5]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.292ns (logic 0.186ns (63.646%) route 0.106ns (36.354%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.906ns + Source Clock Delay (SCD): -0.663ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.637 -0.663 initialize_audio/twi_controller/clk_out4 + SLICE_X156Y128 FDSE r initialize_audio/twi_controller/sclCnt_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y128 FDSE (Prop_fdse_C_Q) 0.141 -0.522 r initialize_audio/twi_controller/sclCnt_reg[1]/Q + net (fo=6, routed) 0.106 -0.416 initialize_audio/twi_controller/sclCnt[1] + SLICE_X157Y128 LUT6 (Prop_lut6_I2_O) 0.045 -0.371 r initialize_audio/twi_controller/sclCnt[5]_i_1/O + net (fo=1, routed) 0.000 -0.371 initialize_audio/twi_controller/sclCnt01_in[5] + SLICE_X157Y128 FDRE r initialize_audio/twi_controller/sclCnt_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.908 -0.906 initialize_audio/twi_controller/clk_out4 + SLICE_X157Y128 FDRE r initialize_audio/twi_controller/sclCnt_reg[5]/C + clock pessimism 0.256 -0.650 + SLICE_X157Y128 FDRE (Hold_fdre_C_D) 0.092 -0.558 initialize_audio/twi_controller/sclCnt_reg[5] + ------------------------------------------------------------------- + required time 0.558 + arrival time -0.371 + ------------------------------------------------------------------- + slack 0.187 + +Slack (MET) : 0.189ns (arrival time - required time) + Source: initialize_audio/twi_controller/dataByte_reg[0]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[1]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.318ns (logic 0.186ns (58.415%) route 0.132ns (41.585%)) + Logic Levels: 1 (LUT4=1) + Clock Path Skew: 0.038ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.899ns + Source Clock Delay (SCD): -0.657ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.643 -0.657 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y115 FDRE (Prop_fdre_C_Q) 0.141 -0.516 r initialize_audio/twi_controller/dataByte_reg[0]/Q + net (fo=3, routed) 0.132 -0.383 initialize_audio/twi_controller/dataByte_reg_n_0_[0] + SLICE_X161Y115 LUT4 (Prop_lut4_I0_O) 0.045 -0.338 r initialize_audio/twi_controller/dataByte[1]_i_1/O + net (fo=1, routed) 0.000 -0.338 initialize_audio/twi_controller/p_1_in[1] + SLICE_X161Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[1]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.915 -0.899 initialize_audio/twi_controller/clk_out4 + SLICE_X161Y115 FDRE r initialize_audio/twi_controller/dataByte_reg[1]/C + clock pessimism 0.280 -0.619 + SLICE_X161Y115 FDRE (Hold_fdre_C_D) 0.091 -0.528 initialize_audio/twi_controller/dataByte_reg[1] + ------------------------------------------------------------------- + required time 0.528 + arrival time -0.338 + ------------------------------------------------------------------- + slack 0.189 + +Slack (MET) : 0.198ns (arrival time - required time) + Source: initialize_audio/initWord_reg[30]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[6]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.304ns (logic 0.209ns (68.711%) route 0.095ns (31.289%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.015ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.898ns + Source Clock Delay (SCD): -0.656ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.644 -0.656 initialize_audio/clk_out4 + SLICE_X162Y114 FDRE r initialize_audio/initWord_reg[30]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y114 FDRE (Prop_fdre_C_Q) 0.164 -0.492 r initialize_audio/initWord_reg[30]/Q + net (fo=1, routed) 0.095 -0.397 initialize_audio/data0[6] + SLICE_X161Y114 LUT6 (Prop_lut6_I2_O) 0.045 -0.352 r initialize_audio/data_i[6]_i_1/O + net (fo=1, routed) 0.000 -0.352 initialize_audio/data_i[6]_i_1_n_0 + SLICE_X161Y114 FDRE r initialize_audio/data_i_reg[6]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.916 -0.898 initialize_audio/clk_out4 + SLICE_X161Y114 FDRE r initialize_audio/data_i_reg[6]/C + clock pessimism 0.257 -0.641 + SLICE_X161Y114 FDRE (Hold_fdre_C_D) 0.091 -0.550 initialize_audio/data_i_reg[6] + ------------------------------------------------------------------- + required time 0.550 + arrival time -0.352 + ------------------------------------------------------------------- + slack 0.198 + +Slack (MET) : 0.199ns (arrival time - required time) + Source: initialize_audio/twi_controller/busFreeCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/busFreeCnt_reg[4]/D + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.304ns (logic 0.209ns (68.859%) route 0.095ns (31.141%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.907ns + Source Clock Delay (SCD): -0.663ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.637 -0.663 initialize_audio/twi_controller/clk_out4 + SLICE_X158Y127 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X158Y127 FDSE (Prop_fdse_C_Q) 0.164 -0.499 r initialize_audio/twi_controller/busFreeCnt_reg[2]/Q + net (fo=5, routed) 0.095 -0.404 initialize_audio/twi_controller/sel0[2] + SLICE_X159Y127 LUT5 (Prop_lut5_I3_O) 0.045 -0.359 r initialize_audio/twi_controller/busFreeCnt[4]_i_1/O + net (fo=1, routed) 0.000 -0.359 initialize_audio/twi_controller/busFreeCnt00_in[4] + SLICE_X159Y127 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.907 -0.907 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y127 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[4]/C + clock pessimism 0.257 -0.650 + SLICE_X159Y127 FDSE (Hold_fdse_C_D) 0.092 -0.558 initialize_audio/twi_controller/busFreeCnt_reg[4] + ------------------------------------------------------------------- + required time 0.558 + arrival time -0.359 + ------------------------------------------------------------------- + slack 0.199 + +Slack (MET) : 0.201ns (arrival time - required time) + Source: initialize_audio/twi_controller/busFreeCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/busFreeCnt_reg[3]/D + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.305ns (logic 0.209ns (68.633%) route 0.096ns (31.367%)) + Logic Levels: 1 (LUT4=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.907ns + Source Clock Delay (SCD): -0.663ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.637 -0.663 initialize_audio/twi_controller/clk_out4 + SLICE_X158Y127 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X158Y127 FDSE (Prop_fdse_C_Q) 0.164 -0.499 r initialize_audio/twi_controller/busFreeCnt_reg[2]/Q + net (fo=5, routed) 0.096 -0.403 initialize_audio/twi_controller/sel0[2] + SLICE_X159Y127 LUT4 (Prop_lut4_I0_O) 0.045 -0.358 r initialize_audio/twi_controller/busFreeCnt[3]_i_1/O + net (fo=1, routed) 0.000 -0.358 initialize_audio/twi_controller/busFreeCnt00_in[3] + SLICE_X159Y127 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.907 -0.907 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y127 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[3]/C + clock pessimism 0.257 -0.650 + SLICE_X159Y127 FDSE (Hold_fdse_C_D) 0.091 -0.559 initialize_audio/twi_controller/busFreeCnt_reg[3] + ------------------------------------------------------------------- + required time 0.559 + arrival time -0.358 + ------------------------------------------------------------------- + slack 0.201 + +Slack (MET) : 0.207ns (arrival time - required time) + Source: initialize_audio/initWord_reg[17]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[1]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.314ns (logic 0.209ns (66.656%) route 0.105ns (33.344%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.015ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.898ns + Source Clock Delay (SCD): -0.656ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.644 -0.656 initialize_audio/clk_out4 + SLICE_X162Y113 FDRE r initialize_audio/initWord_reg[17]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y113 FDRE (Prop_fdre_C_Q) 0.164 -0.492 r initialize_audio/initWord_reg[17]/Q + net (fo=2, routed) 0.105 -0.387 initialize_audio/data1[1] + SLICE_X161Y114 LUT6 (Prop_lut6_I5_O) 0.045 -0.342 r initialize_audio/data_i[1]_i_1/O + net (fo=1, routed) 0.000 -0.342 initialize_audio/data_i[1]_i_1_n_0 + SLICE_X161Y114 FDRE r initialize_audio/data_i_reg[1]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.916 -0.898 initialize_audio/clk_out4 + SLICE_X161Y114 FDRE r initialize_audio/data_i_reg[1]/C + clock pessimism 0.257 -0.641 + SLICE_X161Y114 FDRE (Hold_fdre_C_D) 0.092 -0.549 initialize_audio/data_i_reg[1] + ------------------------------------------------------------------- + required time 0.549 + arrival time -0.342 + ------------------------------------------------------------------- + slack 0.207 + +Slack (MET) : 0.208ns (arrival time - required time) + Source: initialize_audio/twi_controller/FSM_gray_state_reg[0]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/FSM_gray_state_reg[1]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.335ns (logic 0.186ns (55.563%) route 0.149ns (44.437%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.036ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.901ns + Source Clock Delay (SCD): -0.657ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.643 -0.657 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X160Y116 FDRE (Prop_fdre_C_Q) 0.141 -0.516 r initialize_audio/twi_controller/FSM_gray_state_reg[0]/Q + net (fo=26, routed) 0.149 -0.367 initialize_audio/twi_controller/state[0] + SLICE_X159Y116 LUT6 (Prop_lut6_I5_O) 0.045 -0.322 r initialize_audio/twi_controller/FSM_gray_state[1]_i_1/O + net (fo=1, routed) 0.000 -0.322 initialize_audio/twi_controller/FSM_gray_state[1]_i_1_n_0 + SLICE_X159Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[1]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.913 -0.901 initialize_audio/twi_controller/clk_out4 + SLICE_X159Y116 FDRE r initialize_audio/twi_controller/FSM_gray_state_reg[1]/C + clock pessimism 0.280 -0.621 + SLICE_X159Y116 FDRE (Hold_fdre_C_D) 0.091 -0.530 initialize_audio/twi_controller/FSM_gray_state_reg[1] + ------------------------------------------------------------------- + required time 0.530 + arrival time -0.322 + ------------------------------------------------------------------- + slack 0.208 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out4_clk_wiz_0 +Waveform(ns): { 0.000 10.000 } +Period(ns): 20.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT3 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 20.000 17.845 BUFGCTRL_X0Y2 clk_1/inst/clkout4_buf/I +Min Period n/a MMCME2_ADV/CLKOUT3 n/a 1.249 20.000 18.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT3 +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X159Y114 initialize_audio/data_i_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X161Y114 initialize_audio/data_i_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X159Y114 initialize_audio/data_i_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X159Y113 initialize_audio/data_i_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y115 initialize_audio/data_i_reg[4]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X159Y113 initialize_audio/data_i_reg[5]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X161Y114 initialize_audio/data_i_reg[6]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X161Y114 initialize_audio/data_i_reg[7]/C +Max Period n/a MMCME2_ADV/CLKOUT3 n/a 213.360 20.000 193.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT3 +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y114 initialize_audio/data_i_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y114 initialize_audio/data_i_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y114 initialize_audio/data_i_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y114 initialize_audio/data_i_reg[1]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y114 initialize_audio/data_i_reg[2]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y114 initialize_audio/data_i_reg[2]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y113 initialize_audio/data_i_reg[3]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y113 initialize_audio/data_i_reg[3]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y115 initialize_audio/data_i_reg[4]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y115 initialize_audio/data_i_reg[4]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y114 initialize_audio/data_i_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y114 initialize_audio/data_i_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y114 initialize_audio/data_i_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y114 initialize_audio/data_i_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y114 initialize_audio/data_i_reg[2]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y114 initialize_audio/data_i_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y113 initialize_audio/data_i_reg[3]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X159Y113 initialize_audio/data_i_reg[3]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y115 initialize_audio/data_i_reg[4]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y115 initialize_audio/data_i_reg[4]/C + + + +--------------------------------------------------------------------------------------------------- +From Clock: clkfbout_clk_wiz_0 + To Clock: clkfbout_clk_wiz_0 + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 7.845ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clkfbout_clk_wiz_0 +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKFBOUT } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y3 clk_1/inst/clkf_buf/I +Min Period n/a MMCME2_ADV/CLKFBOUT n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBOUT +Min Period n/a MMCME2_ADV/CLKFBIN n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBIN n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBOUT + + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..2871cabb115ade06c5d824b6e06dd88ea7af75ad GIT binary patch literal 257682 zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jWnf_70;x9AGnvG! z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2g>`jxExR9*%K28IVh z3>$?1@U}`mDZFocRT3n$K%U`){2$(<s{j7mJ4{sr2|dte*r3zSUg!?B&_vHzXbDpk zdv0c4d~RYz5^HfzVsdr{R{>+~sZX9CTNzJ%@+|CNWS+ptwuo^9V^L~Beo;w$3D`gJ z#SjlE=;kI?#1|x%WE3kH8Yt+dW#*(RB$lRR<_8qzC!;BjFUl`1Nlno!DkxFVEl47$ zt{_Q4x2T|kpgNEm-SWhuy!iaQ__ECWoWzpM{Ja&63>z33b}%v=U}QMK$Z&y?;RYk) z14f1qjEpmw7#A=xu3%!=z{IeFiRl0n!wDva3rq|*m>3^0F}`48_`t;YgNdPmnW2N3 zaRM`=R3sOZuAvZv6fCS1{=)#H2CD&s1-ruwb`ORK#sr28h608Ph6YB44n~Fvj0`gv z85S@ytYBmWJB1PE6h^R97+)|lDqUvglILOz0tc>;C^Y(^$wdJo%q7gl=8{^RnVu)a z2@hK?A1;=F#G(=*7njts%;Z#C^F$*9gAxUWfW+kN#Pn3#;-qvF3ljx};DXfD6kA<G z1&x3pf0t0_5Kn(U1w%a}V+A7vLknF4GhIVtO|B3w_OR5V;>`R!A<wYPvc!~pg)$=p zBNIJC1r0M3-K5MCO$CMEa0RE*%$yVjQv-7|OG`6_{5*y5)D#8Z#7YGtBLzbXD`PV& z14{*8mk<SzW-bLT7MH}5R3Qo9{5-HKLn8$P3o9dYD?=lY3R5mIE*^;26oN}YD%pa- zX@qMJBNw}Ker|4JUW(ArOM6<kn7C}XIGugG4Gj!@J)#5|K7alD|G%;WIBzmAL^>#f zawG_AFc>gc34mB&3|1%Xz~#y%l$?_tpI=&H7!P8UXI8}<;4_BNfC;ZL#>mE8bSMhF z8sZ?iU!dsT|NrVpu3<tk2CU8n*)=A}#(*7b4D*yHk}=FE#(>o+!;DEw$}cU!Fa~Sb zuwWV^>|nHzmy0hsBQ-f2l3RibDufu6ws3KA<mJaF=j116OVtOZre_wHq!#J>B$gy+ zC=_Jo6)TiymSia8<tu=dD5Ml+mZjz?BvmRD<>!|`1q(9stQ2xm(@NYji}ceni$e1< zOX3anlk@XRit=-yJhx!q`24)ojQo=L;Gp>A(xRf&yb^HgjW0?~k2Z+a4;)Yj7!I@p zj0V~P#slpD6OaQM7%54GMVaXt6eq)hbpkjc4y+TvNpWDE08Wep>jY48)L_Dd9$ZR7 zTztv-dBr7(d7vUWKRMfjK}nQLu%I-jI5oaJGo>U0Qlxq?DEV+Hl;(jI7bRxqrKZGZ z=9Q!t<t66Cr{<*;<Y(rU6icauBCAjc0F}FG`9%u3i4~c-rMU_zsX2+28cZIHO8Q)U zdHL~~c?G2<@nBIY$$<Q#5=1c#mO|2C$i<(R52_FmI;2o_<d>Edl$IcA5#r*@EzK#( zEXYZP`$B|EAU!oNwJ5P9H3cGA?7^VK$;FzJpI-oCigO7UBo>uqCgvc!&VxZof=dJ@ z0kH|<ZVv_}elG4DP(2e5HN$|%YPS@ZAh?do%u82*)k|FBa3OFw!ULU43NC>W@?4s5 zIYPmYWIk%xa!J6=Knp`8EvP}oB?;Gp9$wJe7vwXrhq+|nN?`#6jyy>CaLHoGA_pIr z2wWN|423rFU<p5=nT%YM85Ke@Qj1a*5{pt5Ocjb?6+Kup-79(p4G&Lu4^4r|jDyQ< zprUuMx(!q&4_3E<3hTk@Hc%;!<hEIiTvMo*7pT$PfH`goqtM`T9CB_LbdE#L4uj5d z$oXN=ISx5POktET5(-1A%}^^?Axkb(q<X^ucfEnCPfx&9f<Y(|U;QlP$Yo2|=#0$d z428VZ)D#7z3SI$R^$FNYFbG{_B%z)c^5k+wveM81Qty>yB$j}y#XL}l7SyVPG}II@ zT3~vxtm-Nh&J~Pg6v-wjq?D#Al;kVGf(_D<))NSp;1b%wh!h{F4H6+|E_)<v4Gk2) zEd~Y95F^$mph9s$YI0^;W@-xD?e;=pTtP^N5H=&Jv_zq_IJHP2Be7T^Q6Vicr#Mvs zG<XSdJ}9(G^aO$=xP)dg;chYs*>YJTS!;^sXh`D*(h9}mYD=L2E*~WA2KclS<6r?F zp=_>nQcMI7gd{3JMsgt$rjU}F25QrTM)WdE6!MD{3X1Xz64Mh)K&`xzjH3L~^bCc} z5`lCHR-tgDXw5}wUkh1rnW4A@XY&lE4`O|>o`9JIgHRTpMyHTBmph8l@K!0*2nF=y zpO=~p8Za-(S4e~?Q7FzYElN(+6L6Pc5OPOv#R?g7>7!VXoS&PNnFk(APRvmNw{#UU z^At)lQWa9bqp^Ad`VtI6N%)(;LJnLuD8^zWbZDCynghUnA*6I8U?agG6i1*DEo9AQ zj$#Q?K7tvKBV7uZOE3tf;b?{XaCx8@ixwoHJ`c8lQGg{FNTVF=V*w8d1}QZz1|bG1 zro3V$W?zpg4Q2xdCt+|I2%RZVfJ`ztb+T|U3JGwr1n1<J3o%Eg7E6H$kceAiaS1|1 zN|{T>H7_wKCsn~0ln@o1^NaFQixeE8(<cy>@>~*7MFFYB#hJO8#kmSWsk!-Oi8&Aj z##}NOG73Sd#rZj<pqT_AVZYSGqSWFN1<;6eex8C~eoCs88kYjpR8LSRR>1|-wN-FQ zO-n4zDN%3+jYA4C`Q=L~a7jVc1r((gr<N$_D>&z*CKf3;79|&hRY-G*x?~oEec_e^ z8uo#h1(6iv67WpV%P&e*@YMHL2msBrfHmoHX+VZQ6<iaGaw-*k5=--vGZYd_6rA#l zit@`r`4&9*nF=vSn@a^Ep%9Xpn+mQHd=o1mHYvDPB&QaDyjlzi5IHV!-_)Y?RFGPb zLFn>oTw<P}&cAN3PY@_j3R3fm!5Kw}$2mW*IJKxOu_UuBRmq7<8tO>r{JgZx^wJ`* zD(JihdQuQc1}lOUOpvq?^o5b@DI+-DFu(`RHNj)$3=9m0Foq?J;Rs`R!WgNbiLwmF zLKwdm!~oCG{NbIz$S{`?G;6kik#Qv>NCJenf?43Hqd&YS7#XfIf@aZfFfu*_OMsNT z08J#dv;Tw(G%|sNLAZm7VFD9ue&|2r3?%jfB=!m<_6H`0A507uj1H_G3;_%g3<(TT zpF+8s*uawjXyfHVos3*uzL|Ln0jWiq`6)uxyl0NG8Htvk(KeD@CnaL2B+0=jBnp}{ zFx1b?D=yJb&dE-TPby8*_tav}(@!+=!e*){1A~I2EXet?3=9h#lvFtwg%ptt$<0m9 zjZaJ|iw7I&?Bng`<R2O$G!4})?ToyB;bKt7c&uD)K%_a;ci2=^hqYliOof9{NCCeG zJ^iTeE-A3P5-VVl*T%@p=628+5_?nkUo%!3Aa{Wy65+0PMqZuhC=-agK8vTC3{*sN zeUb+!SJ1#8(oC38H){OWqGtjb4n`pfr07k~$p+7*A>{*4YzCv}1A@`v9~xpHGzHZ) zt)!<mkTH<dMnz{epgOCFH+!BGG;_umbQ&lLaxe<<<`gC8WV;xG=C1Ue2hs^fG;%@< zYABSUhk_^vqmUpt6q55wKyHXOh^0YDknRk_!Ql*}!Ql*JS~#N_HEoolrwtKMI~3ew zH?hd}r~;)98YGQgR9DoayF!kGQAi5B@*_SoFTb=z-^tm>8#Mhw8}~?oi!(%J(27wR z5LGHtyDU+v;$rs6FBdB2&6zjLP_*LAYD3xh0#2;O7`W$PM7^REVRtsj?sB|#gZd~m zu^YF)VP)q)_#19Fwf$WOiSKgs_{LFSQ{C^R+COmq2iFt>=zoa)1LuEm6*YkVhuBYL z|7%rqv3O*rX9yMZ5>e=YhDxYd=#XalK=>S9><om@;g(a|=k?GyFXznyRWxPriiS>w zo)*FZXo(J&0|qbzAjJ-~<DY~OfH+_PLjY3n3}6UA96;p|;9ARyw0Ksi2xYu01$~G~ znS)VC9;vI3F;wO0X9#JFY9g76-qGjfU=Ttq*p&j=iD&_*ppVkf!cL_eNP8!hw_n50 zP!!h2G*lwWGDz)5yblq!^@41J6*R=!299y!ZPUtymTRfJWLXDJ;bd7yR#=kgJFW}N z@UbMMb=H`JR2m`cj79%`*<=hEsl`4>WsE#ni|E2287#VH)iNi@VC|Y!YEFd54E;kx zjIaz+MYwH%ItM&fOH8lM2$GYi=PWFp&62s?CXi49k30^Dv$&oq!=n^w%{yk4DtgWY z4XLe$4!&cLQWNY^ie#`T1H%IN_`8B5QBi7)B}yL&E`&OV=qNQtk5U>qtI%{Y)LGzx zM{ty8=4FCbS!PzH#)HQ;^ivW`65}&LV;WdT;0A%S!1X<N5(SX~27$A{mGIzm7Pb+) zK@eIdSOyXYfwN2pr?bokr?bpy;4CavQOZ1j&?MMuBUz%WBB~dsN_~uwhG82cZ;`38 zk!S^Isuw)+NnAM!X&O?m#6osZA;>}Cxo1)w1a4o^#z6%j2bB>YLf|5OFoh7rK{N~@ zQqvH)b{R||1aZ(H3L$VsH<&^Q;vgD^5UIHgT;~p^5P~>}$|0oH&j{`2wlVUOS2BV- zy3{Kfso)}7h7qYn5u|J!Oko6Z5iP@r)N}+X9S2hwL0mML!U$464yG`IxM(ni5u}71 zOko6Z5tYM8$btj3R+C+TOBQtAi2@_&Kn4p22L{+m%narN(0LxS7#S8ZGOR*6R7C1L z6PHi`Xrrt`IB26R)>W;HRuh@HWZ(yUU{OB;Pxo*V%gxM#Y=lt&?=gn1`_5n}U<4hW z!q~x>(80*v!N}FY$T*3S%_YDyNY`)@BWH-Gucx28uAv2jZDcTsk=@5J#MwjFa26wb zcw$jrW?njW7KdX>S!Qv5QRN~=Nyp;KyyT3c{Ji|qV#r|-MUd?{ix_2nGmDEs%bvjt z*Fl>hkk`&HVifet&(j5;B9WS+0AAw0h*8e9BC{C0SpqDOT8z3?;2h|bACw6O#9RZ? zs%G#(8Nv>Ru;W6IRy9MVJYiB93|JO4gN~e8z{r4gB{S#{99#>T8F8*-W|+amfVyg# z39?w3i;;nWi$Ms>DJ4=^#K`j_?v>l~dB7{T>jF6KaIM^)&jVh${r`Wz1D2KBY}kFw zG=T|nIuNK#0At1#OpKeDxQjB2Qx$X-K-4Z2-UlYGA52`5LZDNhgq%4Th3qlU56Le8 z?KjUXONE{w5*!p?oROJU0y`f9I^-E`2rA*EC^5t^7Ho)%7K0Uo6N48+6hoFHXle|+ z_7%hcpCbeIhJ%5gfq_9@v4Spm88jXhr9QG@cHmX>t&C+er0sjbi{7V#$+=*1DP!KH z9Q(Ck_EyGKr}g&l1+$NW#P^>Cv#)~5yI}Gun0yN+zk<oXV6qjwh`tw0PGthc?p!88 z=?c50V3D<8ax0kJ%jB0NV0V;>p{(2XELh|!n7j)npMuF3pjGvZ4a|(-Q=AxPFf%M* zW>~?@uz{Ij2Q$L~W`+~Y3>TOgZ!j}FU}kv1%<zGk;RiEg0}De33&R8!h8Zjj3s@Ld zurO?3VcfyOaDav36ibdqQ6{)(NKHvk)h#K?OixcON=;EnPR+?taC32Xh3qs+O;Jdy z#1^ay)u0pv5BjvkoE!&JXgm~v*Yn#NLgS@Y^AdfnyT!r+s#_niV9CF)unB&`COC;z zP{YO9ML|~~7)&|`1ZmD-Wn93@u!5Cg2P?x>R?sGc8>|d3SQ);8*?+-gD;r3>7fenC zlZ)7PGIKBr&1K}GBu5xPazvmIU$Bp-vuk{Wp^0&%p`n2kZ$MFgL3~<Da%#MDe4qlO z7Yiu<A{a6lsu+tJ7#VvRK`CqkBjXH4M(`P4(6hwA2Z=E}U}OMq0bu}b$8hFgrXbtL z8-ntz0)v-OUTTSgMq0kDk&Xi7s5DKGa~c>KdKp3KoUmIuC<`lC>46?r3JmCh13uae zU$87<`_IL}BowK@;H9Dgt}C%+2T*2E0A(exM`7U(N(hAg8o-4*WiQ0#>F*RDVHjy> zWTL>}#Q+LA(o78D660Wo)M$vUGx3>uh6)T`T(Gz?06P^DCkzY>gxwj%CCkAmBnc{f z5vFG58OCQOm8PZZd*XICIFKRd$KgnO#rVzkM>ZRp;6b*=d&c{N61=BVs2g%H`CY59 zBkZ9xE(H!|AsJK;fr1HfrWbNzHpEQKPZJ*!VNWU-F$*7r_<enyeO-;>9bLjev2TPL z_k_c68Y35Y7#+z!7%NCXyE8B>l9B_LARxu5M&P|s&OY9reunYRKHeY=3XEP{2)7}J zvrfCx0m9*&&ZWq~EF_EME)Wx~o<=G)umrTgOLlw07R7L3pS3oG%vuZaI)%EqJBI}M z#77uJf-(bA-Xa{nrFc#EM>8FoN<mozmP$dkBZuCXzgKJsd#a2}kAqoAi*REM7N`mg zULvqeZf<UjnQH5A$`TQn6c)kI>dKiaMesK+4(8D!m_aBUe=&)*2yQ?*zmq=Y@AAoa zh$t5+FMn5_|4M{CNtBhpL<Qgg6uw8CLg`cZD$0uxQC>viEgn75ibq)GMpWQZz2wcD z_;x@_UZ3h@B0`eFk{8<erB2D)#KOTM<fy>l#Sdxw7QhZ3099n*#v`a(OSl%B!N}#v z!6al$xEjMcrU3C1dR-#L>ye+60_*y?fLemEt`FF0vu4h;CG0eKLkp}2-e$+!UbR<X z@Zv2>EiBChb(%{ub5r5r0UB6<S<1Kqc5pL#XUk53!HXxcs3@~6F$Z)`07Cz|j!*jt z>nF$2<_Zj6kh8Jjjs=ZO5VULu8`A|gh7W8EDUiV-@RoY;N;l{(J{Qn>H~-QS*iOD^ z%UFG9DK!p8Atk)Z3=LxS5hFYt8a|;RX10c!)EMc}DV_-%=_wLt!#dJa03PYl>lL@% z$|&E380jgvltcDN&wOb?ySYs6P5oF$dQO(v*&St4xc_1hjr0W3cch29*3o{XhmpjQ zo_(wwj6(HXL}d}Ap&V1wNJB#-XrCc1r3h&#C&(3)TVVqL#0}--acOWc3#s7CeDQhl z2Jz9Bu>^X!pu`F*AQ*6#W*J=S9E?KBxa^BIG>DDQj5pNxG(i;MrbZwy!`cB5{}Jwl zH8OJHEmz=H`J>w;#Ru-?=9HG0#e14S#tlFP4sz(e3A7-h!XOa3FeiXQm_X>lD;s<x zP(s=Gy$l|72N$L2UWN>JAtxYk0OPZ45!<QJ;Vy;`j0{4f!(A9-x1+;dqr+V#kij&X z4R<AQNpLU=i6B=cL=S9nO3M+^y~-l4c1G$yL275RO4CE5BUj+kbadnjbYl==%4Kxq zir{=C6{ZbF>c|x(g{U`CV^qYpNs&uYSc9L%&4t7^=@Vu`qfnqOH{pItHJ1tplMv({ zE?i?5h6b_d?P&No6S!Xn9cO}g0X$}O^W1kLx((zE+29#x0u5`xd`!+blgPru^c!aa zjf)U;><%_Y$T(9bWSj|aM-SZVF#@eNC1Rk-$b@DCO{!6|U;|BYQ&_PMG+pKeEh)OS zRN8hcBQHB*py@I%`2$ToFNFvWG%;7d869Y%&I+c%H_&ttG|<#QU^E1tNsxz{j3W&V z%&9iil+UHf!7QYT++If?4h9W`#77$$6B=s*rB_%XLH<~ikqLCH$rz~^H;FVfu%P-_ z6U-{)u_mxhC}T~=v>a=KIsp{KbQ@~|m!?<(m-Kr5^ypX<xOX%<)-*cS<meKHJQO{; zv<kdH40O!#pd4$GnMcf66EWQ+a3dC}I0e_wqk~NptW+HxY#JSGf-im_T_#2Lh&^%3 zq$n9|dS;(O#E3mnr6_325mG4%Zikaqiqdni$;bqGunFvDLW52HhrZH(un9D@0qdHP zGuZTP^FjIzHi5=Q2s#!%*dzoQY;xjY6tW|8b(%$NH0T%~DN4++1e<|a4MmmxZpUXg z!Um^=Vr8%nP95G_WWSa%S*Y1&D`QEn34FiX;jKkvuYzL#rersl>BzwrtgE0vOIVIF zwXS+Phz6%d_q!QTbHCem(0;c$j9ipt2uP+v8n`mHh%_`XgAZH{@OC$g*my(JXmgY? zEiBvJK*=0d7U3S#YNsrypk)W5_A@q*G&C@U)PBxL>&J*#{_}5iNM>|MCN19<%O<SR z<v*j#e`vk@hx)tSwwg;2(Z!&!1cp>rNR!==%8INK`0MDBA#e#iI*KzoiZeQj1G)E- zlCf~&MscWL@P3~jOZ$Qs()2|xc*$@2HWJ+H2I|rhZp}|;<Z|F(60#w4Gy&`-^tL>F zR0!Pdfi6LUH0{AlkjnPWr~jxBXiNbX9OR4&DcC=!->491P=cUi;alC5A)`Vr9E?H^ zMWCyU-7<^x(=v;o2Okl25RxHi*CJ>q9A%~$#YP(<FJGd{$WX=a4%o<$h8Z{3k)ex^ zQta0<LY6IY-%*2)3|)MbLiWf|QoJ&rWlNBep~X`e?M6>RqRPQbv|qMF>Pbj@KqEu* z7`Z6R5|E6AG&W>r7-?u=OtrD0E|3G6Da!fr#?gj^28Tc?9abda9vtcfIfjyA2wH$3 zsuWX8P-v0WIcek`Jq&4dci8Cg5cHs?(G@${@rc8~DCwmTcNkJRmp%uxkTwx5GNLz) z$(>XsqMJf-Aq=UqkUA=mDvPW__{Zo7(dY;fmI3<FO=Y8-%Jj)tElJ!65e>@Tms#2L zD0?9dV5G8_f(CFi!9gP8wxZ1hP5Ckj*%48dVLuTG>?!m%J)vPDt(boL4-<g~7+~ET za)ycK?>R!hVIt571wqHchlxBO!$e9Pj6!lKTVUdS;{D;rA%X7JkRp5}j0N&24^>Y? zauc2b8}0ei%!_rjhZd(H{o~caGui_=4XGpk@gQ0UMBU?w2%dXQUF&E++C%DTNUK4c z)M~g0XAh*I98=J#*3>%+DTzylgIP!dIdf*_LGJ1FK^vjL(xL*TPFQJxdxRz({{cPG z7SJ7OhDgOV_`oMpcce8i;y=3rW)t#;G_XY|8`2CZ*^rjYrOv^O=cp%$U#YeqjhMqa zK;<TukR^RP+VRn~PvCyl=ytTx?P#Oh(Ih}aNc0@sqGTflaYrAe6Hyf-cay+XF=U7e za@+;dF@5+?kvlj#L<KHNM;9@TE@B#8#6+(}Oq7(M#GQLYWP2335Cu2D$tpx2Q|Z{F zDlTOXCLwv`J`nmD9nluZ8_~c{hL0uTIQ6I@YY+X$l0d^3unrkHV@balj?!-|2{ise z(6R81Xo8TjBue(o7{o?{wn0*~5zP>629-CWG0e(^4M63+k;6Iw72^*&B?)vQ?pDSb z9*9$tV*JTJB`HSJz-}&+2#$-_=Dt_AJIZt_Wc1>-?4fc>5~<6Lwt<!#QMeHelBtkJ zs7yc`(GVvnfmdW=y>|_iz+m|w_vldvXeNM}?9HbJvGIn{CWMw6fl@hPr%<>H0$Ow+ z#$q5L1*!WWi&cs4K#cA~V+3~~M#o}C$6`juV(2~=L;dX#lVXTDFo?nu7*bau^*$&l zfk*eDK}z7!A)L`6oY5g1dJW-Fzu=AiN6e*b6c)UYrZ060Uc&p(Ks{Q*t$7Ofp@F@G z-j;_C3W0k)nETLr50%n?PzW@n01FOs28HyFJf+{D5NJ$-pkv_+jHs~>4RjSNcnK0^ zn{HyG4XM8m4QXH~IurZAkoTEv`?ZXafuYu;y6}By-e<DO-iHQ?gt<%-qXR?f;9JXe zONuhn(^Elr878OZ<S2k{QB(k5_&8h#hN!X+4Vtl#hK5W*N4S{~u@8;7p&?55p@GMS z3<>T-BW`er;w=!+0t8W|KthYG;i1ueXpqjy=<v|!@X+Y+5Z#A|Xs{Pz>3w3xhbS$C zp;Z=AM}>kycyu2cq!1n*AsQVa8XX~`*9Z{}%HI4&VveDvwCn|K0S5KMsnP%@yblf3 zyM+xj;GTV^cpn<LRgdnaK{-qW8eo702sy(<oco>(_hBON>LH4UiBN7^qsnnb^Y698 z)(`Eg6vMiH2r^7m=iF_BddnIm!$gc7hIVtAHre4j$oj$7e}iZP+vq{oR6WR=YQsb( zvHHlvM5ZWruz|<Yv92Hjornc1vT&E-)EOosxP}On(g{0;8nq;-070u#%tnWaD7X=4 zbeL##m}qpEXgCZL5qIwxrG+qPm<ZGsL@I>ARTf!=@aQnn=r9qs`vgXhD;hnnXh<Db zM89Dow6Yg8t4v-4nD8(WsCP@aRZop!B8<Dv%m@t=&73)t{;P>V0}QYLA!nGVM*j-^ zhKWEU6a*a$Urod-%)r3GD5T86h_)LrJ_z}^B2&<z)y^D@LiXgIPGx|0n;G~tBO_Zw z%`8XIu6po6kswBSW>vg_LQ!UMs)K=^fq_9@v4XAwNCJ<FQvdKdu)(0~wLEwRgCJ*D zQ)|u8i+*E*rx~rv|1yXMgQ$DF5W&-os5|yF_)atW4LZ%JmWznogFMs&y3QAIYZ-Vi zEp(^{`ACIcM&gEga=6qun1z&3w(_E6UL&K}c!OwTLi@o$2^Cgu;2u~i;xgo56w*bu z%`-kTub{LfI5D>%CpF&FDby|6JT^Wvuec;WGu}|&(*Ui@0J?>Y0dY(&_y#hD1&p}u z>}KRL;9wNeA;D6#<BkkW5yu@F#d{jSk2^9#xTBZxO`ru4bxSRmIR~?lF%jh;O2D99 z42R`pDbRXG!V%EH$VH8E7)zY6fY$gS!UG&H_>c5BF?u@E=#IS6o8g>CkN%e7U=*VJ zSQ;f~dl0v_DubA+9eL#xxN3(Ci6PhJM3kl9M~_DWm!+dCr$$#!jjo&;_A95T(~Lzf zdO@=hpsoe!&DbU?9pF8Kk;{>TNywInt^w8qyum{x=nZp117ZVm<`QU-1=dv|XFzP1 z@qYRZh=E3F2s#!%Am$_o9uTwRU=*?<E+UIk6H^>hQi@WGi{pz@(?M6WIYZ7{B2Ir= zW=;ucqZPCR4K~6U`QUGD4QI!ophy#2V+EJs022#WLt8@ypU@C9TSEok&<HnkC~W~6 zO>@FER%S^2aN5RgB965T3<{2|j3)c}9DBiA?WTgsxnOcB<2j8h_G=kmRJ`1~m2rAf zEqn{!IgKmeEqDr!R~au0ueQC*c=*Bu+oxb%Z^7hO#&!Rt?EZq;txTX!Z!egf%5?gb zto>Z37yEhbmx4vsg2}C5axYWp<AV-InWRMg9q?WS$I!sc*ul&=fthgzGvfkgh84^V z8<-h)Ff$%tW;nsjaDkce1~bD0W`-Bc3?G;oelRmOurPG6Fic=!n8CuZfQ4ZN3*!bB zh8-*n2Ur+RvE*nJWfo`V(PvK_{fE<nbMng{u?S%%nOE2ZKVcK>VwKg<2nqIzGg8o2 zaPf*W(u_0IH_}wlR{#kFxK3gf)NpZjQP5Qgc6L$FR&Wjo(wxD{xPX;m1uOdwR)(vr zpd@gEmEi>|!&fl-FPLm)1Bv&7$*Ev+5!-Dk4n|NZOIhX&L@Hv<A`J~Jh}fKWt>UE} ztPH_DP*u-m!NDwKLR_{-DmEaejtl3dmMCbX<=dKD>R_x*x#x6@h<h<=xU4xCh0KX@ zfOC9eN=i^_acK@{n9j^d-_r;YE+(++;7A&$o65*##la|KMwazRBX$tm5hHeH@t#KT z5j!))2vslR_RoKb7_n<&<g(#l7P276T~s@^ob0GEvefrPIwl?xIHVpEKb`ETF|yRh zj2ixcqWpsR+|r6P^LS6l;qk2Cng}^^+#gmEk!Q${93#ttj~qi|l<{qPM~<N}-pH|l z4RwPf#{xNWzWlvHM9ygk-CN8oWJ65O35qYy$V@8<N=?r!E=etlHUP~!7@C5n9pELK zp($p`cKogr5hYtWmn8?IkSQ?^K`Pnw9ixqmV}-cE&8GMWi%1g#QghBcM)GV9h&D1n zwOL96oNH2w3rs9h4dcO1iw7%HVDw@KJJHn;IgY~k8XX8%Iz?PM9Lz!*M1+hF$Vmze zUP7>n#t1Vup1#Q;A~rf1xjZ-+g<OcRG&m?8O9K})K@@MO9~^Cne8{X(q@e+*3rA9| zvyPF=lY>#nl~T7j`$rpNI7Uhg9B0XiMMae+@gV2MgViZ8da*$o<;W4HZm2;-;Po<c zxpOcJIaBChsug}6Aonm*;2uLm$ZaOho`@ENDJU*M3sgvo%e9PLUL1@<Ze#}y+$mTh z(@aVPIWnC+@kQn4x$lW6ANxT0fSH1P0Cn#mic3=*acNF!!MU2!d}#=Eia%x{VJ;<% z7L_1H*fXVL!crodA>~|#9Lz$xL?pa|c!T)Nyl6wz33amPb77}U5n(g-qSO#HR)CiA zK#@iIIN0ye>0Iy>#1PsV(RpDH5j9R4mjVZ~kPN7skGQ=gJ~PiS9wY==afQ?@z>=0N zugxXGo>a(m8OTtmJ-NBbx$%i9W#Hu?`p!Pyo_>Zx{Jy@hDbx4}!$>1iOO|PjTq+!l zLf}<lxa{!{4Kcv5NJ<V|YJeP-Y6Nx`#6|JWKHeY=3XEP{2)7~E?0y&D9w6KlOXpJL zU>1@^@;``)wr~x9K(DBLPDDV*aN(P9^%UZD3UzaL4hiy!k1&WdBsF|X@tRJ?ZKBI& zA0eVLrNJ)l6PmAx2+d|jF3Lufkf$NQBTA4NU=q)_{zkzJu!1Au)EU6V%fTST>Fnce zXkg&$5hcXs>F)%}fQCjUs7VAe;7i!V5H2weW+CwKAv7O?(tBneD8+HXIvEC<pp4YO z$Uv;ALuCe7^3-M`5*OuVuky|*MA(x=S=rmb$N*lXfOjF@02I6dy<h25@OJgxAtIC` z@fMA^3SOcDm+GaiY22=XDRobUTqGhWsnYO8E_p#cPVySQ#|cgVgC?a2mxs;@3|@lZ zLlPBA^O94GN)j{kN-E*S-(}&|dkGsd12pEwMC_Owma!E>jA0CODZb#;lG1|sv=s2h za?C?oQ?2h4kyhX>HPq4^Z<%4Qz~IGOlv-Gt2|7!%Br`V^9v+~%MOb){GmG5*faM@z z{p2_rl#E!5a}txY;f@7OP7<_i2b=!|Hii#u41;49*>pf>k>5-mkXdAf@A7zNk<Tm~ zokez40GClzJIHl(7I}0Qd2|-JB(p3vG%vFRG_8R&1r?teZ)QZb@!io`<Q<F*2N)SH zFf!a=WO%^H@B*@tesmUDi2N!3(OG0e{rrN|qC^~7cytySIb=p>k@3xFgJ*})W@Ai8 zXOU6!7S;fSPKD}^&LZolWfnnO5*Wo2zM!L8D}(YC0nn*ijJO1KtVvoCK*d>PEW;w0 zv&hD=*k_T~xi6&2EHZd~6nsGrmYFxuDp=UK1MZclln<tX#tP8NEl^~Q<q><&r#& zF*=Kkv50we7I}0Qxx_F&sWgq8L!L%wkr}~LbokF?7@b9ij0lX*BBSmj9-T#|$I*JD zv&b1GZka`RdN80BBA^vf3JhK%uq7hq=Ej&S(djjd3_9A3Dh=OZHj8YEz8HR(&LSV$ zPsdqg(BvenH-~e|dyvf{gN|O;Vz6RxV(?;!qWfOt1#!Xyu^0Jzwy{0#y~rlU`$zX8 zD~!$}&!BYW=;&T#Y}2Kqdyz+Hk+DsrkM2cAoku}kW-z)J8NU8vbT4u+Qrgf5Z!$*N z1wFbK8FE@J=&+s9y~q^o{e=YHAewm`-HV)=PG}4U$3{{}&<&!v9E`KbMwr7Qn6t=6 zIA)Qv_LR_M78&)TwgH?)28|U^WiV}YFEV5rV{{gIbQT%ynzqrs$e{Uy(OKl+pm?M+ z<lsk7A{D=*dyz-?A|tPoAKi;=7->ZQArPZ`k;z`WGwk;wqg~H7x)(VWQX!$-@CFW* z;kg&Ntb>_;dyzqtlS6G5*=RubB5&mxkXhvZE(?3yv&gai`$lJx6-H-~M`w|7Z)_lY z!|UjY)}woop_{0X=fdJM<40$aM`w{oPqZfeEbY-*WN3+sbq^+FB6RdbYXZx^u}?ih z0&ft_JVK^INB1J*Tgi_-DxurB2T@!O##v+oVrG#IaLgiiIwsI$7TE}NSHob~iwqho zK$^w?4;ztnr0VD_^5`rw=td<hD<VesB9HDx9^H#<s6RT3j66d+dZIOKUIF)z+~_Rw z=q&Q+iPl&SA*B2e9-~<O(G#t)T!)Kg(e1E2(Yo7=j<d+1$w^pgPk1kKp$t{-MRw$1 zB=&-AEJvGLVx2@bqSLj=W!HsZTakSZW4{)eivx5m@`jw}XxAchagcv4GP{C}J?=^5 zdn%)o$iwVFYc>+EMeY?Jy%rhYp-4iblgQLPGkNqt>(NPM+{Y!yXU30iMIPOXjP*49 z(XGg^H7TQ$$Y@9Sk6w$sf{_9H?FN(|f()I{2Hld2HnC<#^{aHtxC}U$g>;Cx&=z`? zt|fKm9orbWDDPdOhtBA<qZj!%IgV~#9o@V-x_MOsbmS%Ce$>&;tKh?}Xt;Ux>*z(g z;CswRk3b$h0-2$Tv8aKOv6pdl^XkysyxLnz%tgA?X!oMeI+~Hy?mae`?$Mpj$YsyL zBxFrQKLq<qR!j6Xnr4G@>ndn2ir_q+kevd97f)hQQD#|UjsnUxygrO{oOA?DW)XBO z`~c)K>P$L1aWD$mk(~|<4F`1AapSfDnRSfSvBNX#82Whc=+;$*VYPLY)LF;=(OE~v z(OE}^40sE3`{%zz+#yf?O|7G|j-#`VqqB}eqgz);x2~execj2(<-x%y<U)1>7qa_u zbnEKq)>V{rMa5Z1jH_3%%{tQi>QzJPOgmD(>KoL*9G!PW%#g%WdTQh7yyNJ+<LJEO z=)7aRp+1%i)JG4^Wn_2(*%v;#-4QkxJ-Xd7Gq1Qr-`U68KQzQZh~L-O+1J%5-q9s2 zK4Nsc;|SaCNZh<5RT{q3nRpy#SFak5keSE!2Tb%kG#50X1uN|dZ+Cnl58m!*%fTpQ znUk7EcxV7yt3nFA9+fEVST;Kv8e^SzG@;XON3pN)dB+dN*mpZdW`TA)a-BW1XDj2X z?2i3=85tND7>+VVW|6<!@y8Jt`?*YCrU}|FWdfPD7EEphlY5z1!j3r{W%AUpcQ^|c zxe6xlg2|^~@&yya4<?2NX2uR?#tF=fGng3{Ff*)RX4t^YxPzJT05ii0W`+yQj5nAW z9xyY!U}pHh%<zMmv4Mr5gN0!N3&RW+h6OAPD_9seurTakVK~6TaEc{IqbRdDGcR2s zH6=Y&x1=aDJw3H3HANvgH77^G&Bd9j+Yc|%*ScFQEWtVX<&Ri|K&uqNYZF0?^31Au zgICxDKVcK>VwKg<2nqIzGg8o2aPf*W(u_0IH_}wlR{#kFxK3gf)NpZjQP5Qgc6L$F zR&Wjo(wxD{xPX;m1uF-MyB#~^I2eVdGjdUsHIeRJH8G7eG&GRn4JgVlh)+vNPK|et z4^&|EVgbc$1k(K0wThQS9J^J=WzNAYWK2}1FG@{JaZE`mN-Zvq29HJw!*4{l#Jmul z<;f9ySOJE+xeDFuNQC`JHw1#VY#AA$T)S#)9%*P`qQKzAfCz&Lj0`gv85S_&wtXri zmlX%2kQrIl`y&RyAht{Kfg>fSw8Sjl(<t5_6e~WVA!Y~{^)iw+>qw5fsCJZHHJM>! zYN_vOh#oei#>_NEE=vwZAybk=z|>M7Gh+C`5tCb5k!Bw6X$X!PR^QMFH*@5uaer7v zM22Z(<g(^q7BVNpVFMmBMi%;>1_Kr|MizKu#v<O+01-15$T9Qf?-e4Z>RUj!TQdt; z5fL+3FI+VsG{D~fMxBTft(420gOR8b6{$qicZ@cOg<pAU9%*7kYKED^$YsI7C}cu} z?~&~dh&D7swOC3VoLN$e3rs9h4dX!$iwCPxVDw^l2@Wu^a5Y4ZoG`vd2g2oiA(u7> zvyeJr*ZF`Pq`=?>KiJU-vuf#k<3&V7bTD$cb1(`y6Sfokf=c69P(6b-&j_l14M59H zNvdboLgzdwbc(ZoH1gCG#4S={;P^^TEGnuri4Tr8jEx7YQ(*LBb9M|0iZnrvE$40> zB7&}mk;{#PS;&bp_foCAYh&bc<zN(YB-c668DnQpMA-)pJ9APq*=h>o5#|sq@n|k3 zf*g;|p7>(%YQ;+;3Peg{5#m~^#bP@sQ8ALAsGvvnqMULJjz$Yoqj3!*mnR3KkSn?A z3GNas@n}K)c!X^=rqH>ACnB*NaSV<~OHw0p6@~fH5b6@tjm8kSkg(C1hiNqtEl21^ zW5Tfr-DhlQOsGKu8gL+7^};q>5Vjb5JqRAyK`S{yF@@IS1oyV^tuS50_LGZ)Nhnf* z!AnI0ycSsj)OSbi#48kK7N>$oE;Ny=E#iC{z{ShKAjIkH<85eQ;Oh}3#O3Ml1ey>q zG(zhd_JW6g2!|bQW}HSR{6{DJM<@L0KH*=+rN_Z6q(!(KgcVB)3|=DeAue-c%#KJ_ z{sSUf(@hkP{vk~|fvP4$1G09zeHq<mJi5&o%gOS}JEstlhLgCIIT(fHK{*4u@+~(v zIX6Brr7Rvc6YuF~h%pflYtRujBR!3gONE0`2;3^bWy$Dtzq@ltkWYMsL8Ku`fm_NY z!@(#-#WI(;J94RC=GqIBvdfroVUN4fi&pL$kk{xXyvrCgdq}t`>a4)vB?xIYm*yp> z7L_Ds=9N@}8p#ce484q(g;(z-YzS<ZF$KYb-V-3W%Xs_eS|SE3-~*mu-@x1Zc&Bgd z6&SpDi&6_qGePs4D7%C~bHK3Rz&U-6XP5DU=Zy55>jzCD6Lc(mm+@ej>o*<HxqiX< z12Wfdt?GqmuK)MSqoZ^E!)uT6=v@EkTz_V~p^*`miObQs{*w62_|dt3=p~y-bE@EA ziO-7%b<^Rqm{>Yq@HP&<K~o`eS7D6K^+RK3bgn-oD7CmWr$iq-i;uF%V05lOCpEq( zH9dNCu77l{AMJqW(YgM7Te54d(Ybz%l{dt#*%+Pc$91YW_2&99)=*hund`^3y}#3O z0WIeGO$W(bKWJbFeT0v!>88=Se#oBH(YgN7x&G0)ek#s8Et`FWi0K6C&pVCI^*?}b z#2+dPtwxU&A3ahWe&FNik>ZB&Nu_D}{zAM?p>Bv}1kk;NNu_D&@t)uvI4mG}(9AOI zH1=UW*Kdk)k1=SC)#zM*dbFV_(!PF3pbXEs{y7urIM)xFL?(W&e{h^AZh&>RpI-ME zr`8Jʱ$3j^(O-($SDWcTQa;tJs9NU13bNtNJ5duU7f6{<mt`5g@O3=9nNiWSll zb8;L^p`3!$qRjjhTSKU1t>(b*>>oW*oanv%_neLqv1Syyx1X4UUQwq{%~1FDn^;B~ z8W_V?J3`td;N2#87l*<2_LF5j(iU=v?bP4fPma5&Hmgi_)EJ>|?uP^psZlc>dh7z} zL12WkyB}h^6cu;(lYiX1k>$Wg&gebHqxTq(-eX*(pO#q!y|)<4d74;`u7YfIM%(Fc zY7}W`U`lGywhp?rn3$ps-<`_fE&Pay7>Hv?+v=}ws6j*}4V!SJ(7{wI{9vaxknJA$ z=4?;2;3N6e1}dH?ZiLj82FE3~6UB{Uv7gzHwWoxLDaUdyLk?ykT_VB_w!fI*fhWYR zP^0`{MNt0|OJtG0!T9&+24nCZx6w(*(MiYANk_U*I+k<kb1(~O6Hx?%_ECaHO9<{T zKB4)Fh)TQ}bo3RYkQEU&2h;E-<8Px|jKRh4=(OYLwBzWsV{wUoa!xj=l%wsmBMnMj zdYve4fHLt2=@^i;+HRPgC~kn+UE&K)Eh&Ycc<TZ>TNQTVEqKRps`Y&$mIYCHmocSx z8C$QU<IE#yLW}sB$3p7dWeh%2oVaEQmVp_I0iAfP(jAbA$20rG>~T*#KD)4MbmCEA zL{B{Sj$UOvdX+Kq@+{I06(^=NMxT$R+VJk^#N!S|h69WY7tnT_jZQp*E=d3%#!q_s zG&DxuppP*tY(eSC;_ZxF4jjy*R~a*oUS*7O<?QHH##ql7ADwvQ3-<AJc8!lPgm>vl zIxe1y6OUMW(!yxxjQd9$;Fx&qbW9*(;t_hTF%iW!bmGy1x_cdouo(LpZ=l}g=(HpB zq(#t-#OOuFXsxm|E(H!|AsJBEpaxSsNC<rE6!KLSShmYsUYkqA{7oulr)v_sBys=_ z*z~*j_5f_q3HLor>0F8&%tErDKt&2?@X#?xjF^C4QTd#RfR4d?3Z^I8&KOb`|4{vm zP2%=C(qI65NKHF_9i4U@op!`h_Ku!x%*Z$c|411X3f`{1J46(`kz^ITL>(JV^-`C( z-HtRUb%~pHgx%*sNxK*6Xk&O6h4kfigpW3Uv7eWS#6i`gjVTBg^v(dm?T$lo(lH>M zj+2g{$t+m=59c||@S}|%FfcH1F$lpfcQr;dv!$?zDT3Cgg0LpTMTer$t1b?P5JrfD zB}f>A9U&qv4xSK3h(iWLAxHv*Ye7T<BSQxx!vyd}p)0}H!hl4#f?1HU+7pZnR~gNs z7dhQvWPAt~2dQ`gI^TuqC!F61zRwpV)4{|vfr)7b6Vn2w|1iL~f{FP9_^w}0Mif7q zVDTf87?K}9fBpOazp{fN1DIiq>_@POvV$i~D)murreg*p7LVR#oWRI1m+?vAecJ_$ zj9C49ml5pY|Nq4uF+E&`)5FX&n3z#L%(97z8?*yZK^J_h&n^_+2PUo`Ok8Th;Cri0 zIT(cuGxIV_G81z$t5V|=OH(rQ^-Ib#<CF9AN{aGxa#D-*QxZ!OohnNp2lko7>N`u3 zt_^g&krsm$gA;=nLllRGPiTmVt)XU?BdC{=Us{3`@L(sy_b%$f_BY~EQ7ZYW+zy<c zS{d`j+3X<~3{3^6j=5lRDI<GMn*CbFmw!WSwleNi)_~tX&7PCC|14O|RWNxMOg;sZ zZ^7hOF!>iuwt~}HFPNOl1d7PHOuZJ(cG&KpK3QgGca+KLY^p8Z`==RU_fIp-U}k{b zKh3a%nStp0rx`Y|Fv9Mi&c%QKbP%@tr;&pd+qO~IK2ZhezELCizR^o8^tJC63yWJ~ zaS7rEY9yy4ZlFdIMBG4)B#3&$v@XgG(~P9vFwMrnDAdo$MS5;9iPcBCS=!Vx($LTp zdKyGpN)gh{(m}4s(~W*f0z?c8mO>W85}T`|O$dz&f|4<;D#1OJP|jt>!6;-zw6)M1 z;E?adHMIZ*1gV|>2_(iqG}LCKt)>u*5nD}7U=vp?pn?iH3f=@-5V6{+m`j&~SxA#m zSQNw?#%Jb5Q|So0Dl!rxs8~j7e8Iw>#mxmd<$?G7;yWyP5!*W)8$gh<9=Kp1y<ra5 z&3NEI#=Eh)m`j#}QAiS0Wg-UaGV=`MGeJkl==&qzx(6*yKr*niWjvii-H?;A-?a)N zN)yUQVxJ~HB*LD-H4<x#aiNlw9C-Eyboo-M5%~HgXCH4*Kf`!uA8-HA5Mu>KFD_qS zXJ1#Nc(e^5I_*l7jKm`6Af(%wu?)KiyksY$&xdOy)&#s*7;PjLzHF1&k#1aL0ua;D zPCzn(rczMyg{4yT6Og|Ay<$VS@+slc;b0chAXMOjvJR-ViM;#6(8vJuez}516(WL? zoDvt*enu*B!BszG<uQpR?o%9tugK{I+zCicNlzWdcX|$$Js-;_-yxzHq`bIYdHyRA z_6(wH<)~TK9&rk#Pg$!dFG55Cfot#;QX1kaYbhFhg&0jnL7O@8?En<Cn;vEo5su^( zw5VsxL0b4^6|`TNIaq`o6&Squ!Hb_23Q~)UGjlVGb3u(M@D>QrC;;I)s*91!n1e}3 zpHL-*GTefE6dc44QoJ7dIVthbej;=~2Bhu)JEs58S0Z{S@QNL*2Q+vF_5j}YC!Sm1 zKqD_;0~i-D;v8+nbL(4b(3O3J^^@aha|H%3*5aJR<ZO6!frf4fTDF6Y@d6ve2Q~&N z$Vizn2P5IAD^AXF&MN_5Y+(c%CnHsp3G%iJ@F<xnl}5>)i%x`%lC3<=hjo<9?rXaJ zT1M4>pi#1qYc%1bWOiTE$sQ$Jx6I6LE>kz&Q8J#a<AZ3FESS!tWK_0q@QsqSfkw%C zL1iDw83=id%q-H-$O775NJ}Y38Y2rPV~mW*0UAhtjy6IWAv4A7E`X9StVF>*LRQLU z!oetHK&bqOT51v-pBZnc?`eqCTQCO&0;%I;eI$i_G}K<?(J`>Kh|w|AcuzyfakW07 zA*P^W71BHGWh8ENteVS|gPHKO14=khZ68Dh7deG8mN;N>b3smd;NctmV`Ohe$H>6T zWrolpDWhX#`ruo}LBn3)K`$^5I^;DvMh3nP9NsF0l!D-b0B<{bn2eEG{!k*K>qdHc z3u-wdmABxEAJTCnvAlgeIzk36Z%0SSP)|h}9U&usc_Q-YGXB|!(GfDdi)x6Til~mr zph+={HW2}C<C9g)ej+$N2I~J4?jCh8av5?k3F#8oKLQW3m>?fl0`>uXbPU`>gpQ6u z>J9KnS3}kw`j3u*MqFV2Cuel*m-8X|jgEnaYzR7b2OBG7bWEI%qhrPxqhr)sL-un9 ze00o+m!EE<W4|_85F8x~D?2$lI+l%c)d6xHMB19Q!8bbAJOW0?KuH)@qEKse40>-g z%IYz21wiA`F{r(GM#m^!J66GE#KA12M_esv0A4_5j50onWn~C_eh1$Gl@RG&HBgC+ z)K!B;!06CeYKekITE49Tc-;lK;Xzy!j1G-qoF6>8d~9_2SP9vy8U|+h*yzw07cU2c z5T~<`x1oW7uSXQ}(p1zM8nR!5aPuI9ON@gVvOx*4_%)u=gA+!F#zu$6;0FeeE*>Mh zm>rnKW3(C?Ge$ifnEYn#01k~AlRPv=-0o}mY!_;)7Vm@?o`qwe;TBloPtMTTivtJg zH#7zsuOaAIN{7Y_$yqoC+Q);sz0!<I3&&nAm^u&($F}UTCpa`FHtpsJ9vY+acFy55 zG-impxe`2oJU|P_3{eJV%rF;@fs!z+M4{Hu7<7B1rxBKs8In%JBXQvv)LuM8V`lN5 zM(~AWW~471BV%ZcN_#6w9~uLd$XFtP^qrNXtHwa*JunE34vmctjg9WC#JpOI>^|G@ z8X5yNn~};}aIH_)a)!}WW03N8bZBgJXl!(741Sju*~RSe8X7~}Rf#k-2HAp$v<4dA zT=OEf0UR1b*;5Jj0esaM`0O3%sxgR%z{6Zaa@E+69ee3FGzJ>4fpuhYj^piMV}q<3 z(}%1YBmLkc(7kPBoIYkqvz22^?2BQ;V^d|>v927e*8-hBc5A7$E$ZoG^;+bgK34wK z(QYo2ds9E2)5mP4v)hfHJ~nJuj!guu93$)WF$2^AGVl>3@BuP#2^a{u<quK<LW=ZW zM&eE%tK+iZU=}hVw0sG9lRIc{Up(k81f&J!#+YMep!5tYVsMX@k$Mc7A#|Ii0iyF@ zW=NM~$e=bOjh8_zMr^dCbSW8;yIi5ikf9D%lD&$IoU<B0<ujJ>Cw;JN^cXTo=WTSb zY;>?}bg*p550=sH7&1^p8d2gxP9TL0mmydB;JvK)`*EYkkU>h^(c!Yu;j+=;vY|R$ zX04n>#3oBJo3==IK0sRdWEHf8k0ApM2N3Qck#!82A<}9xh#v?InGMKlGSCnVECg_l zLg6`vEZ<=*{f5jyV>kpIyMv7xGGrDj1U|4|or6(G8KZLz5(v*PN&#<*H3rq~QYsvb zLJA;pl#~5I-R}5Iurf1@F)?Z_87tp28#X4Eu%8F(nAo=$srG9bLl2eOY-Kcfqz*r8 z?Awb}vd<b@|JKWHF4O$o*iYn_U<tE3%Cz?7p+U4{jLJ*6Mo;8l%gVth)X2z1WG+EE zU(C!X($LU^h*7Y)@70MoUNxCZmV;SH5^Mf5h&Dr67KUY{1(Zx-MFZ}kt~4%H4n`qG ztd_)QMw>xLwvg6=fs1Yv)D0NmHa_0vyY*c73KN(;=;K%>h;b}R*L~%1sc|q1DdG1h zXcUpaosh)s!OO(!U3l4v=sm$hmGtqdi=#(^fsd#e9j_W4uNob%qWgGNDvoN3=)-A# z9wO$P{2XE{WaOR_xI!kYr*w4m+%IsUIyxjZIwUnZBt@?wDT>R|BPqm;K@rzFMJ`A| zv)Q0FKk2R0M+8sy0`;~DcT_65lsK4#<Z!g#p)G3k_Vl0}U;>S2!2C<j0MkqME%X~; z0u6`|bS(Uwdt1nwEOQP<A!Ce~Lc0+%skGRwC^Z%3tb1}a((b(b4{7i*s8u-5yFY1` z0lyUz`Mmp+W*KCUK^^t*CO8H)Y4X8AGzLZG^XCbUK~dSh!MAO3DrjBSL`E)h@`DlT z$}EdWLnCwem=yR#L+HvZ$ccuqm084%NfmNwb1)036Uy7gsW}FOPrL^uVp!RNdxWZz z%YuWE@M17TaRNJi-qRRS9e^gMjX>9UkhFPm63H<Dvm0q33t}~5Aj>q~(-^*ik&Kh? zYq-ofm<ivJi3kq^1JDJL1aIghZeXj1tTYKKqp?H=>1TX>7#-^Zcgse{x<<#kM#sA7 zKGsF;D<X+I<BPm97wrgqa614p6oy>!gJ*8=ug-ctIur&jb4Q25Mu);ihr;MJ6h`f$ z_M;dvN8poJ)S?}OZwcyhkyX@wqtakm59m63Cc@WaAvy`*0W_4O@WH--51@fNj?hhw zkosfbHZ_6<V_^M8at6>oe%emI0W{EP4nfDl&-)sP0W{D7%&6ynQR_&2=2!3mG-Dh` z;?rUPO(@it-~ig~`G-db(1!1k_#<Ng4U~vsWeYV2(4Ys@qa2J6t`10D^+m=28q{t) z189`)Xe4t0jY<dPlh?ukmC>UEXjDA3d2|46bO3F10Bv*tEj~FvucRnHCnvQ?AFVeu zI)DaR!bin2cXR-4bO3F10Bv*t4YR04J1ig8*C2g<cz_4cP!7xo`-0E_+JJ0v1P#W( z`i<lapxw)-;{Y0HG>4#L;R9&IZ*ep<2OW}+Z&wm{OcZpi4C?3@wYE6scP@Z!alBSb zzb%gCjll#*$9iP14WiMp(JhWu@dlGv1vOlpT@-W`g2AM7K#=APR>lRa3@ca}cd#;C zWd$wZxxvctf|cPbnEe+_wz7f5d%@&XFu910ge{JsT!OyE(HOp*4EI4_#4RVox5d#g z8uc(KBh1shK*<zVG~gcpBCy2~dW4pzDPnvDz8R0C)nWv;I700~8rXtZgIF167Vl{a zUl~Tm(e?zkI6@pi&n=FivJ*?FlD>$1bc-Y8fSA!ytI<)b(NQb9k6KZ@Er*I*96=Q_ z^3EL47Dr>qVgnLS-5TBE2q{!Yhowe`rACLP=rt@waal^WEsm(SeLx!HWEG@z+~SCF zhL#C@fC=0egRanm)C2>!LJKsW0qdBNGr;uGYCZi{Xn_Vq2s(BL8x!OVtvtvUM{5p7 zA#+08pxlCe<I{^0E8~kx5=&CS!%5(CwaC>B>QB*TEb3RvYS>uR@&(wBpr5c7bOinW z5@EC>=qIct{|Ne3dqW5wL9Z7qF?t03@Lj330kqX|DkB%Uc>?tydNYGaLqjtn#;1ro zh`yLhhl5#2gHS#PXK%>i^TK(lB?=m8`L;$_ZfXQ2Wmrjrd%UWa%Z7td$byiisD%sc zBzWXuEYQwFLo-s>Y)vC8E@1W}4R1lLr{3^ZGb5KR2eXhRSph?}VXp?Vf(KmogNkp& za2O<b$ZBwW9o+>9-jOpp95y-}HaZ+e_u(*VZ_UYXB<27x3JP9OqZ?81LfQyq6})do zw?cvo-q9hm(IK<ZAv1annNhpE)m)HC#6k5Gl((oOYZNqee-YddN!)q$6BxNHIhce@ zN$Wa58|{di1L6}xBW?q-qzg1^1M6CnGvZeHXbJsB+(5%V1RcABO$svNRwe;HwceP6 zQAnRq1QsXfzz!4xRr*q7^rWG&uJ7y$A8HfR@CgkuvNcrj2@NrUP-eD9npsYu1=9JY zB_{D8MtNpcyn#YdW^t;6k%69pfk9reg02Ec2AdM7ic*Q5MGmzL3=14v8J$9=I`%Sx zmg`IflXJo3QpStHr|s7=CJQm|-OA`0-2gx6{$lWH@F8Oh9IrBltUO?Qm+|n03ARtc zy554xuZ*3YMs|O}>{cd_$-Q85D$}FfOvkxQFZT1=F9nON1(RFB<X)y9!ISNeG8yMp zJK(+ik)eT^v4fd$0yE<bX2u1~3@ex!HZU{pU}ikP%y5F4;Q}+`4Q7T1%nUD>89p#G z{9tBmU}5NBVVJ<eFoT6*0Sm(l7RC)M3_DmD4zMtsV#(Dg$}G;zOIJuuNl(=+DauSw zPc2GKQAkeB$x(1~q4J?$1P>Xb@~X32EG)q}`Q?vTgfLUYD{O+FunBgt%4%qY1bf99 zDQGLWc*PlM#u@4xX)5R|fCK_uQCEp+D<H2DV<B;s*d=}rMxkCtE;2G6(uy%t@Ga`_ z5jpTaa<l`;(i#{Udl|1)yd>gKd?K$Sgp>o2Avqz~keoT@3bN@tY>B7}OSw!q7=;Xo zD|{el*_-NnBAuCU3cev2F%k#zG5F*ayl3Y3krehYdyz-rz}BLSz!{-0FatTImvQ^& zzqYUv8TZI!HJ2#|GvQMOpn(s*JHQlu;0?<%zS3juw21^0eNRKA+8cBPjv+lF!9*W9 z62R6XBEcx$(-0mBMiC4d@P;&Tkx)Z=B$z-W0X{fwXo{H?mY8@D5ewuEPJ=h~8tbE< z$Y)6MiF{-ZPDAZSjup_2wdROeF+o0+&jdME`VW02B5RO2I1O<aG<x6#6_(pPnhyEX zHg*j4(YJdWS|IXDQ3E3*;R1`2*fB)OGoaY9K*Ww&yr%&?&!DV~?a5hAM6E$d>=>fQ zjtOSoQK{h|B6b=X$(h+fv`3MOGEn${W_CzlO8aJX?f^W5HH794Ixp-YB6X#4DR3|g z$$(mysCzb%Iwwe%V`C{}EU(Qa!k$zvWe!Fmc_e#sbCYx96I06KGxLf|^qqaYJ^c)Y z_<enyeO-;>9bLlWBMc*r$S6y|dvoyF;~yGgjA4<KTtHENK|IJ&sYYODL0lB??Bfm6 zpup(Gg>V~kN$+>@?E%7#pmZ)p4rU=)BzJ+BXk9$~0llK~IS~OJ!zIJPD1>Wt-&2U! zDb&r~IV8v@KEi<X@GZq_x<8uf(Dr*$X<B-`C!{0>*^V4~%Vr-TqMoGoSk{^P7eoYQ z1v#A<q*b<%P7Gwq0CM^j<k)0zm5BfJL`tR%7B~`4jsaY}91KF7&OY9T1_r(!Q9@jv z{!XAAXJ`aEupem$3uI`4u!$jDVjRpu;BG2vL88Fm#Rcn28EAsy9=yT~G^{|_)S)tE zAbDyt5ebU&!d7|b6e8?NqO7oOU}OL%QM}#EX^fD|%0R^!G{QlJC%EW@mFS>?lZqv6 zK<`)jl(b!acZdk(NW5hsu9B9hz@>UIOWf!(wTs!~nzx7u$H6dVaFB(AMaWTs!HYjR zCqFq`p&+%mI5Ri1I2T@Cfd)MZmsidT3|@i|BTDm<Q;SLxGxJI+;f92)Jg}FrA(N;& z@4z3NT2fjNpOyk%>g(*Pz~}{U|AR~7*4KgXL44e!IPj(zSP#7P##=_%D=>KR7Nr)J zW`ag!OEPm);UNJUwT6WRIWrHgrA_d%0JnZ}91R*>U@gu`OwNWo7BuWl(6Kw%_y=z0 z0dy3?=*+|D%tL-M71#WZ&OD^0jLtlOMw&sx{e+u@qcabT3=bF?UO+Yok}>@=I`aUT zjvAeL09~MMj4`%7I`aV95fu%d{urHkNG;Mw+sy$9n87gf0B(<t&OAT|MnGdEnR%c| zWb#MCMrR%{b}fv~JdDmfl$gXPm8R*B&OF5GkIp>AQ?a}qoq0grtS~zBz{og*5#Owx z5EbTlM`s>NG81z$t5V|=OH(rQ_0evB1~sHWbLI*RULvqLMMEP4%%wFnpLqZ^aH-P1 z9WFBu=!e)Enn{h$JTPQ1kT6{`BxfE#8z$&8^H98MA#Be>bOiQ24<16GJr5HPecgk) z=fOjW{5=oPo)O;j@M=}%=$?nsnTOFm4`d8_kM4PZ40@05c`zN_^8gv!9^LbRV{F?P zeQX=cdgReP50I6%KA|ClaL>d4JVqjxgi)~P0o)!%^vozbwu4D1Qh~usMFX;;3)cHY zS{e`9w+r5R3SQU>S>8+RirJyE26uGkVRYsJ?YyMXJrAH!sL`2+qSW+gP=SjmZ%6k$ z#8W!cJ38|)I`aVE-95VJf$Rz1f!XsAkWJ$~52)oW1?}76GV@>%i>Q7e!7)f@9zdhk z1h)|g*(or1@gx=%WtJu8D4^__SU9<netRB3!`=iPJD__WKsW7<&OD6HJdEynAnC*( z@W~LU=bu9w?a<xYqkA4e`@X6eNB2CWrhrGaz%$sRr!9dFvmy4#tI^YzNWSL;HuEuh z+ERW_4vy)M(LE2i0tS6v2+L_pG@p3@w@1;tB9PNRAU7*PrU$_L=<uy0T*Nkd+7e_7 z-RR82=*+|D%mc2ooJRLNjP7~J$sXPFz{s$Jk>LO%!v#i$8^{~5M^9Taj5H$uT)WYk zhtZh_)LSS)4P2_UZ->jwgVE^B19E{&rPG#3n|WXm2jBCc#=$70gmKh*N@`AGWpZ8# zc+ArzR^M3)d^#wGI`CDDU`3!yNYEw~Ol*xnS2&o$u5d7<&J_-ccjv*jGZe>Q-_EfA z!YTW;jPBQ!_iklWTv7|a!eRe~Q)FM^P_B}V=L!dpZN>ISnP#Rn45IA}RK8My;1v#3 zzA|FyUEy$#mxEELfsu>2JcV{^gMlS{9szvd9Q4)(*a!${RGrvc8}hj{Ihci1F>)(% zt_BVK#7CRNDj=PmYmB)caF%^25z9`~xKue9g%mL?1PM4oR_PlWqn>zf9%*Q3fH<WX z<UQ~J58iX}>LEvGf{aDk?FbS;*n>P03bqDuvbhQJ$>z{==RsBzJ3gJmrN+UGrMyIO zKzv?2$Y=P@m*1F@Kt!;n685g8zNZPIX#u+U!VqLP^c-4{*9eDd9puzgkRNb`swK*i zI%t7v8t-WWUpj3HnFJzb&_A0?m4g|7>amO`9Hu@z9@Gg_w9}+OzBNXqRFKc85~irv zR)B3mNvMcxE1(G#WHYe|wS-HLgIP!mBTmuw1%u>W^FS3iayfuy5O_1Y9}$6DLgc~E zD5nvj-5Fv?a#;5=av5<j3h9A7gOY&JJPU5DK&DGjuRpMeG&D3uguNN^l_4lw0f@UY zB$rE_gIP$KfOF#W;z3smz)J}%fnHH#PDG$*;wUA+-UUT%G`MYsC?&vaeL$hi0=ni2 zkzc{78vg+Mh0*H_Mz1qS%eTd{eQ5Mhq0vKyXnm+qDwiw=vydc4$qL(GMDTbE7S2~h zl&IN6w&2kY4l=MLYtr%v1-BfJURglIiTlM|vK)*;lAuI_IOixc&oDj{biszcKk^+8 z&^{PQ26pp?r&FjKqLS=o9KEujxI};S%7UD1_*SN&cx3^_Md{5o#H`oPz|prvZJbi2 zZMtGGT}4pErO3e~B#Ti~A^RKM*ND~k;KQ?FHx58<zyU8N9FQ9aK!dCV7qsJ9eZMQP zj()4}L8G(;9lL{#1#;tnDg}2Pn{hA-8DYdPT9-2=u_V!{vLqGJ4TIlu%n!Nc7(7Hy zwd;)^?|_eur$=EQ8*lmu8XLEo_!jM`pQexGkBuKqCOkGS<usVa#;H73O>k_S%46|E zZ)|+_==H|rpKm{U)DL75Vf3h<p>ovEIok#zI@;x2B-NtP=8)t4Q7$$H4c>wd0EA9? zfCkCI1DSXyk|r>6nQ|}^zFQksf5U7>o>~H1j52C$44(xdW7L?)tJYyI0?qQ^8#``Z z^o59<ddZ3awAJ+Ba3FPlguDpA9JWWg*}({TZjX$czH7P6IhciviEYwBk_5(e#TJ-z zsKx&*iO37pq~!%fixR0DVHjy>XiC-~)ac6j(UtQWqXYY}JCu>f`$o^~fe&<zuAHaV z%K4|GgZ|)&)X_70M$haSJ+r5{L_Zm6K7ov4c3{rz5$z#n4xoy(z81V?OO=N0?!h!G z&;vSff=Ni9*ggg{D9{4}F;M_sDGHk?fGiaqxQPPLh%>>lcRUjXN-nkZn<xMcSrc?D z{Fom4P868M>N_LW(vMCQfG*8`$^;@`FfsgKVrXDy>|kb`z|1&<nQ;L#!wP1G4a|%? zm>CZ+Gn`;%xWLSKgPGw0Gs6pJh7ZgPKbRRCSQt837$&eV%wS<yz{0SCg>eH5!wwdP z11t=uSaLOrGK(|w(!r<E>XsB`rl+TZZrx5!&B;*!Es#?HA7Y!DqL5Un09r!;ZViJN z<(XCS1_}z*ptEcp4D<{P4DyN<(h_rW91LN+g4CkS{1jUwD8E*7bfVxZD<~rWg2`4k z5W5#lP6d;T*hVJ`D7XlBbfRE%qQKMHH9o>{bfO?0?KS{9j#H!FKn%8cbfN&1A`R&@ zQ!_eIpbwiU0{0=nElTum#OOqU*XXsvjIh=Q<)=Q3?f@9w0YK{=03}>H9Lz!*ga%MR z-7`=>jo^lWGxaZsn47I2a$j3=jx%)6JnCW7kaI#I<t=16C(%cmjZPFmCT2$`3PvXi zMkfkJCkj%FFk7}%Y1j_^i2^g^Q+2>gfkr0^Knpp*Ga{gYM(}t)-gSYX?kQ_=PGWL4 zT;pJ#C@>$LC;(3jTm_SN!Q|*fK|DDV6Qer{@(bcekMIW-d!tAAqc*iikMIXAswej3 z8Co6TKf0qJ1K$53?i`xY9R;N+nfdxSwq!!r@1q=Sg*H<&0(TUETa;AkPmS&<fDBxX zp2a_U7XRp3{G(^_$0z6Kl@vkls3{(uC}3m|3gHstU=|Vr&D9{z<r%#Nc=RlOeqUeM zMy&XV(X;p)7>PLKs+3EHgHecz#q4m~Q2=V$Ql()#^d}0;M<)tsaQr@L69qrTsBru~ zXc>efc!3vay#d;m0r>IzM$|cXKecrZ?A-mH1ng%Ene>Cs7W%MM8tvSDlYa8g7Fr&W zL-5>vOWB$ceD3~;KU-+@-2KcFl!LFq<pN|S{OGy+$hYy1p1aTR0KVdL^xXXjL-36W zNN2yBAfNp{2+rL<pBO~M`a~kn-A5`GjPyO}cJ4lkwdf}(A%(pua@dn`j|9=@?pq*V zc3_TqU{76=0uh0Z>)d^?cM**;q&|m1q@kf9WECi6uP)K6Ku6ErA012|J)Q?XDg;@j z0GX8@J)Q@&&^<n>G)<q3b0e0`K4J@A7KiWN4<U*Viyl39-y;h7n0eIW@_HHJs}u1? z!{~rH;iO9WHYVkrQ;4YjlOPA1BTZgl9EtDgXDEa|lnsk`qQ-QmF+#5P!DoqoXoxX} zEu)v$5<UWSfCkJdE=rT%5p(7au5<TM8>duhn+}O{_mTaL?(0FiN**-G3M=mzSKzv= z+fISOizl(DD6=dvN1-G$Hx<-20<U;KxUiCb$LWAZX$d-Z2OB%&+<ht!euM5;9v%E< zU>FR`;Hf+qJ$haaq4RP^2fs%LzvB&!iMSwjbntt0@OwyIIy*Y}&B#dXwu?bG_ziB9 zp*OWh2fs&;oIy-LQa)BQdgRRLku$VDa)#m&o6*7V(ZO%X!5yQQ&Vp}g8Xf%ZyF<hj zLnPiM-6QhSS!xY_gBqt)X`2qQ!EYnvmEYjyD5Hbl@Bwr9BrK^%#E>@l{eXdifr|mW z?<p-QzqBMCG`$BtvO@~A7Ya>G5#%Zm)@1nn_3!`x!VZQIhO&btNEn12AtJ&Ko)Ctz zLk2@3NCJdwK|}*1LkD;l$pS`(mEhTCkmy!0YX>940Y-)sj0{&9XLI~^xWUNy5G)Q- z0lu=5`6ry;2tHy3B-6pfG=Yg_1{2EyrvEU&xPpn{1Nek?&{^IbtO5)Y3@!}db?y#1 zsc9u{nML|(nMI*_nI-Xt`q2GwP@Y?`Z+w1UYDRuZd~i^FUTQ^2a7iNc;#`AReRpb@ zV@M@)jHqOeF_p|QiPd)>4e=ZzQqpLMgZH2k5mKXRoY;^WEmepOsnK%WP#<4Chgy!i zLTXOOqRjLRimFbk7z9e?R51utuu#PyP+3kDgGPg$l*ZU-u#*y6qp6*g&>AgdNC_=a zbxM^2a3lmf7Zp3BE>!A_QpFsjSbeIPV@xG;Ok(w2sFErTsAZ5LwG09UHC4O@3Tvtu z1PW|$&mWw;IY2E&1|b%w5I<)D2%9G{IX*EZIldTl9SlsqsJJAL9U{+MoSXw^rX<3d z<wgjmF@kA=V45PB=3pm)hkLjo#<C|S$0sG{WFxsEB@yZh9*7c-#N_y#qU4-xxH%{; F0RWdO^MwEa literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..6bf38ddf52649c8defe8e82e86e9d9f890205207 GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zaA$cbUt}u&T-9Detn|tL)wiF&toV*(=Pjipir@B-Ze>U9Thq0|UcdNrnm&_oY%G z_F8!ch7FE?<ry0KoR2Ehv3z$ps|pgItH#i<&H1Ssh}~<%z`)>e*NB0^(q*afy@W2i zwH6Ev4Gw=T7#J9wj#@G>csNe7;&2Leb9W92@;PhAz|den*Pekv!SN}WeCq--X0Izq xq}2_??)3(dcfCQzEcF4gKl$*whXlA9#XEaA`uVx~tPKQ-{tX0~bu<XX1_0xHPPPC5 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt new file mode 100644 index 0000000..798fc84 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt @@ -0,0 +1,229 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:26:15 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Placed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs | 531 | 0 | 800 | 133800 | 0.40 | +| LUT as Logic | 531 | 0 | 800 | 133800 | 0.40 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 903 | 0 | 1600 | 267600 | 0.34 | +| Register as Flip Flop | 893 | 0 | 1600 | 267600 | 0.33 | +| Register as Latch | 10 | 0 | 1600 | 267600 | <0.01 | +| F7 Muxes | 64 | 0 | 400 | 66900 | 0.10 | +| F8 Muxes | 32 | 0 | 200 | 33450 | 0.10 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! LUT value is adjusted to account for LUT combining. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 642 | Yes | - | Reset | +| 20 | Yes | Set | - | +| 239 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Slice | 263 | 0 | 200 | 33450 | 0.79 | +| SLICEL | 162 | 0 | | | | +| SLICEM | 101 | 0 | | | | +| LUT as Logic | 531 | 0 | 800 | 133800 | 0.40 | +| using O5 output only | 0 | | | | | +| using O6 output only | 487 | | | | | +| using O5 and O6 | 44 | | | | | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| LUT as Shift Register | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| Slice Registers | 903 | 0 | 1600 | 267600 | 0.34 | +| Register driven from within the Slice | 330 | | | | | +| Register driven from outside the Slice | 573 | | | | | +| LUT in front of the register is unused | 493 | | | | | +| LUT in front of the register is used | 80 | | | | | +| Unique Control Sets | 32 | | 200 | 33450 | 0.10 | ++--------------------------------------------+------+-------+------------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| DSPs | 2 | 0 | 0 | 740 | 0.27 | +| DSP48E1 only | 2 | | | | | ++----------------+------+-------+------------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 22 | 22 | 0 | 285 | 7.72 | +| IOB Master Pads | 10 | | | | | +| IOB Slave Pads | 10 | | | | | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 4 | 0 | 0 | 32 | 12.50 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 1 | 0 | 0 | 10 | 10.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +8. Primitives +------------- + ++------------+------+---------------------+ +| Ref Name | Used | Functional Category | ++------------+------+---------------------+ +| FDCE | 632 | Flop & Latch | +| LUT6 | 248 | LUT | +| FDRE | 239 | Flop & Latch | +| LUT2 | 119 | LUT | +| LUT4 | 79 | LUT | +| MUXF7 | 64 | MuxFx | +| LUT5 | 52 | LUT | +| LUT1 | 41 | LUT | +| LUT3 | 36 | LUT | +| MUXF8 | 32 | MuxFx | +| FDSE | 20 | Flop & Latch | +| CARRY4 | 20 | CarryLogic | +| IBUF | 12 | IO | +| OBUF | 10 | IO | +| LDCE | 10 | Flop & Latch | +| BUFG | 4 | Clock | +| OBUFT | 2 | IO | +| FDPE | 2 | Flop & Latch | +| DSP48E1 | 2 | Block Arithmetic | +| MMCME2_ADV | 1 | Clock | ++------------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++-----------+------+ +| Ref Name | Used | ++-----------+------+ +| clk_wiz_0 | 1 | ++-----------+------+ + + diff --git a/proj/AudioProc.runs/impl_1/clockInfo.txt b/proj/AudioProc.runs/impl_1/clockInfo.txt new file mode 100644 index 0000000..8610948 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/clockInfo.txt @@ -0,0 +1,10 @@ +------------------------------------- +| Tool Version : Vivado v.2024.1 +| Date : Mon May 12 08:26:11 2025 +| Host : fl-tp-br-543 +| Design : design_1 +| Device : xc7a200t-sbg484-1-- +------------------------------------- + +For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US + diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..80cdb01 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/gen_run.xml @@ -0,0 +1,186 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747030915"> + <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> + <File Type="POSTROUTE-PHYSOPT-RQS" Name="audioProc_postroute_physopted.rqs"/> + <File Type="ROUTE-RQS" Name="audioProc_routed.rqs"/> + <File Type="RBD_FILE" Name="audioProc.rbd"/> + <File Type="NPI_FILE" Name="audioProc.npi"/> + <File Type="RNPI_FILE" Name="audioProc.rnpi"/> + <File Type="CFI_FILE" Name="audioProc.cfi"/> + <File Type="RCFI_FILE" Name="audioProc.rcfi"/> + <File Type="PL-PDI-FILE" Name="audioProc_pld.pdi"/> + <File Type="BOOT-PDI-FILE" Name="audioProc_boot.pdi"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="audioProc_methodology_drc_routed.rpx"/> + <File Type="ROUTE-DRC-RPX" Name="audioProc_drc_routed.rpx"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="audioProc_methodology_drc_routed.pb"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="audioProc_methodology_drc_routed.rpt"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="audioProc_bus_skew_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="audioProc_bus_skew_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="audioProc_bus_skew_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="audioProc_timing_summary_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="audioProc_timing_summary_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-TIMING" Name="audioProc_timing_summary_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="audioProc_postroute_physopt_bb.dcp"/> + <File Type="PLACE-IO" Name="audioProc_io_placed.rpt"/> + <File Type="OPT-DRC" Name="audioProc_drc_opted.rpt"/> + <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> + <File Type="BITSTR-LTX" Name="audioProc.ltx"/> + <File Type="BITSTR-SYSDEF" Name="audioProc.sysdef"/> + <File Type="POSTROUTE-PHYSOPT-DCP" Name="audioProc_postroute_physopt.dcp"/> + <File Type="BITSTR-MMI" Name="audioProc.mmi"/> + <File Type="BITSTR-BMM" Name="audioProc_bd.bmm"/> + <File Type="PHYSOPT-DCP" Name="audioProc_physopt.dcp"/> + <File Type="PLACE-UTIL-PB" Name="audioProc_utilization_placed.pb"/> + <File Type="BG-BGN" Name="audioProc.bgn"/> + <File Type="OPT-DCP" Name="audioProc_opt.dcp"/> + <File Type="OPT-HWDEF" Name="audioProc.hwdef"/> + <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> + <File Type="BG-BIT" Name="audioProc.bit"/> + <File Type="ROUTE-BUS-SKEW" Name="audioProc_bus_skew_routed.rpt"/> + <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> + <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> + <File Type="PLACE-UTIL" Name="audioProc_utilization_placed.rpt"/> + <File Type="BG-DRC" Name="audioProc.drc"/> + <File Type="PLACE-CTRL" Name="audioProc_control_sets_placed.rpt"/> + <File Type="PDI-FILE" Name="audioProc.pdi"/> + <File Type="RDI-RDI" Name="audioProc.vdi"/> + <File Type="BG-BIN" Name="audioProc.bin"/> + <File Type="PLACE-DCP" Name="audioProc_placed.dcp"/> + <File Type="BITSTR-MSK" Name="audioProc.msk"/> + <File Type="PWROPT-DCP" Name="audioProc_pwropt.dcp"/> + <File Type="PLACE-PRE-SIMILARITY" Name="audioProc_incremental_reuse_pre_placed.rpt"/> + <File Type="BITSTR-RBT" Name="audioProc.rbt"/> + <File Type="POSTPLACE-PWROPT-DCP" Name="audioProc_postplace_pwropt.dcp"/> + <File Type="BITSTR-NKY" Name="audioProc.nky"/> + <File Type="ROUTE-DRC" Name="audioProc_drc_routed.rpt"/> + <File Type="ROUTE-DRC-PB" Name="audioProc_drc_routed.pb"/> + <File Type="ROUTE-PWR" Name="audioProc_power_routed.rpt"/> + <File Type="ROUTE-PWR-SUM" Name="audioProc_power_summary_routed.pb"/> + <File Type="ROUTE-PWR-RPX" Name="audioProc_power_routed.rpx"/> + <File Type="ROUTE-STATUS" Name="audioProc_route_status.rpt"/> + <File Type="ROUTE-STATUS-PB" Name="audioProc_route_status.pb"/> + <File Type="ROUTE-DCP" Name="audioProc_routed.dcp"/> + <File Type="ROUTE-ERROR-DCP" Name="audioProc_routed_error.dcp"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="audioProc_routed_bb.dcp"/> + <File Type="ROUTE-TIMINGSUMMARY" Name="audioProc_timing_summary_routed.rpt"/> + <File Type="ROUTE-TIMING-PB" Name="audioProc_timing_summary_routed.pb"/> + <File Type="ROUTE-TIMING-RPX" Name="audioProc_timing_summary_routed.rpx"/> + <File Type="ROUTE-SIMILARITY" Name="audioProc_incremental_reuse_routed.rpt"/> + <File Type="ROUTE-CLK" Name="audioProc_clock_utilization_routed.rpt"/> + <File Type="ROUTE-BUS-SKEW-PB" Name="audioProc_bus_skew_routed.pb"/> + <File Type="ROUTE-BUS-SKEW-RPX" Name="audioProc_bus_skew_routed.rpx"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + <Step Id="write_device_image"/> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/impl_1/htr.txt b/proj/AudioProc.runs/impl_1/htr.txt new file mode 100644 index 0000000..2498e46 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/init_design.pb b/proj/AudioProc.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..b514fcb30114f4f915b68b0f0a8decb6419af558 GIT binary patch literal 6184 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkktu?0VhCaznpqg=nVOm!adNR6 z8=2}^m>3wbaj_Vhm>C+ebFmtl7+RV}u^F1{nj0BqFck1q@JwK25Mbi6<B|?aO)E+* z&dAJ5SMUr_C`v8JFU~B<FUm|U<`Q6)Vm2~1(PB@|FG@{M&5L3)wA3{+HpyTpV69+< zn9#|@wSdtrF*7GMMWG~LAtygEMWM7fwFt$qN(FV*Vs&c;)nYEsG=-9k%wmO<%%arf z5>WURXXKaWq$uQpH0PzK7AYj9DrDv<ROXi!Ddc1pmnh_?K}<w;n~p+yKFGe3j8u?K z!Ko!BnR)3TV-s`o(-ji)QWT0(bMwnm6*5coxCGdwSPYE~E#N*elIN1u&&bbBE!HnF zGD$2gDb3M$$xklLP0cGQ)(>_JGE(4@b56`tFHuO#%u7)KSyNn)nw*)InVO<dkXVwT z7sU<=3?mbZ42A->3N}b+oE(~=5ziHiH#8J<6+(&<GfPrI;iHgPnv$8XUs9l(mYGvh zl!{>Krk0eZWG3d7=)waPlzR1b$qda)Lo+lpxYB4DnuwSnGgyBO&0y_k<mzFhWx$e> zu0drHnZe)5$fe|ypO})Gq7ar@mY9+cD!@SIfy-S;QK<(idsz&Pjg1gRmIIfaera(L zI0W=l^NLH74E23oUG*a}b29TP^vl3z85tOv=o#um4AzJ8QW8rN^)m|~C6=+FF>?8x z!o}m9pPQSQmtqAfo&{K>m`sheI3cE$B<C0za~bAj=4HpHq!wqU=PBrx<QG6nfPkX> zWCh)V#G(>~ie&RdBLjny;-qvF3lm+#C{`0)L$Ez86)cdFF_cT$1!4lI@J~%kEX@Ix z*q}1JxG1?ewFG88vx%{}7H2_DVxD70YGR5JHy3AderZv1YH_?_6q})uu8FaE215bd z;0P`?Tm~oS=M|R}p_*-iW;Rp}+-y+g1~=Q4iystIpt=$4Pt{^jm1t;cuEmy;T9%od z3aY0uJkMrisB2<?63WqBDglW_U_UCP<fj%Z<mHzrWF(fQDmc43`YPmPCKV+XRqBCE zw=^@uYdX7;p{}Ko5hx7cmGDJIF5RHi#1xQ2q4AWQk(!)cke``X0<L=%((;QGl2dbX zz&uduVm2~2)nW&g&#B2JM&(?k;Dm*%J|w>eC@xCY&n(bS&dH81&#a0!K(O>uk_$ks z6Ofc)6sw`Gi76=b;lBDXC|Y7NTvC3iCE$igaefgv%_isP7UZOsr0OBH$qWoY?J!9D z48k@tur$}RFg62u(Lm3@0Ma5efCv~Go124@Ge(OnluOq!FEOXG3KW;B#R{Q$nZ=p8 z3aL5Zy0;h{k432kIf=<&0Z>db8JcUc=Rw?P#LC5NXk-}0YGkQvh>}JkxQw7?g%l;` z6{qDF<tCP7=I1HEe3Jrdb*UCBI0u9(6sIQV=cRyKfJ{af2-BIl7!AN?8-bcV@R$nW zl7od&d1A2wq~)5TP@Y+m0ctrzvW=0YAtJqTaIrzkC?gIoHX{Q=Q$1sF3NW`sE}Xo% zGy{rK3lfV!fdTa)I0SO?(=(G5@(W5bb2F>J-T(yzv$2UK+zOC!rsf97#@%BCXTxHU zX%Q~Y3T~M>sS44m#j#*Bk#Yx%6tjhig%&p?FO`;L<`f&%b5+wlhr}o4Cl;mXRiq>f zFdFf3ae;G+er8^Ai2$bsV-%Z_fvyF(0SYg%o-=akx@G2N7H6cUC<G)H5$RX+A>vn1 ziiZ331|`v1IS>UN2@!dQkxSJjKQC3G7}B*-03}#Za?eXwfYe!unRz9};D!Q|v8fgt zM9ydc{l&(`WNIeBWWngb7{zLAp=)f4ocZ=Ma!I+Q7G;)!a$kCCUTRTdNotBha!!77 zHn^Z+G#YH4U@^AP1$CF<<=o>zQ6uqj@ua7g#6v<3d0fE20#OGUg6ktAa}#4dV^d4e zxPYmtk)EZo0jP#BF*O3!N`}Tp24>iX1s+nR6dAyzh^wqbDL)P|a*1IrBDn-uq?irO zEl~@_21c$L8rA?vnOfg3wW6{(EHfoFKi)U7xFod*QUySZd_!<02`>guGjhq}FY=-O zL95UPk$*sq3Ale=Fmm~Zg6pmPqRjNnyu=((2MJXCAq9~Fs1i&~OaToJfd*$Vr4>?= z3lNQ6W1~UP*abI9j6t4-mneZ;ngONY%38HpAv3QmwWuVuNTXO2)Gtykh76|_WaeoU zYeGtTOA9UL{DKlAP(ut%M6nqg>KdXN`e{%UQ}`Rsyj<L{#<HFPcnlN91(jj&a*Lgd z71DNQ<zgmOYRzNhQpDcG4KB^iO)RRk;)1k94Goc6qLEzT3JQ)2CHW<ZIiS>J1WsCs zdC9583gxLqsR|{q=5lI^9+!fGr@vFEn}V$!l%e726zZm<01^z*v{FFQ%>{~XLqkL4 zO0bU0UA0)jGcPT_SO?TH4o@t~1C3jPInG6yCE!tFm?(G{Q?*#ZwWuh+s8}I2FFC(7 zuOzhy<PcCt-N*vDqt3#`WM~3P6JWvs#4?CtG0`;vxd5KKyt$-Qi;>#=#ihx~sl~-< zr8zm3pmKuA$P(F5UM_B=-aptlP>l#T?&+XNYW!ThNUlV#5)I8k$qACQj4VNHc+%qH h;xIHYG1s#+Gc+P1b@6d=x#p!P1f?eD7o`X=0sy1zIWqtN literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/opt_design.pb b/proj/AudioProc.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..cc8a4af272dc7b2411a24f8dc59b0199c054e35d GIT binary patch literal 15461 zcmd<G<>GP9&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^E@TFDQvmNiEJy&x>L; z(KR$Q&R{5DsbHDF$RNPP)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mh zkd~TQQd*R%psrf1u8^3QqMu)+kdj)KnG6vE*~)BeV4=m9oS&PUpJyb%#pjt@kdvC5 znpcuol9``p#Kpx?k!+r5WMEJd#b#)(YiweU?1%y`1NZzA((GiFVm3B3AjwY442A;M z3RZ~6W4LsJO7rqSo^}axR!A&XNK_~&N=?qsOUVSe859&C|0L$6z(Ur@2zSV`nCKcA zA^XXJONcADB(Vq_tg6KdA&JG=;E*yjFxKKu&d)0@DN4-DD=9W&<zjXTa*kp%w9qv) zK#3eXE*WGumS>h^D5w@Ilw=g8CZ-f~39w2r8JTD?rxYa{F>^7RM6ntf>l%SV7Vb-D zE>V#0(lYZhi!)MF6re_cv@#o+8)>l@6y;~7CYOL>$Uwohs3^avIEvL!*Tl>aIj~Z= zoC0!E6N^(7ic-^3i$Gyhl98$aGP)?WAit<YK?6d^rxYb?f)h<{eo?AIW?ou;Q7$+Q z=t1o?f!hhO2NY;<=dNMoV&MX(W7Ht(X5{K%49Uz*RnREbv{FbeC{?gkFfgzJAtMEA zh18tHg5uN^grK2<o`P>`Zhldvf`+e?rj<fLYGSs6t%8xcsj;4snVEvMLV99mo`S7{ zrJkh$h?`cFnyOHcQCXasoS36vt6*Yms9>!C7A?yxDk%jE8X6l~m?6h<DABPj#U<gK zn4FQS5R#b-N_3uxpuraPu3V}v`FW`d#i=FW6cpj&tWW~ciIjH0fy!iTs>KG8i()ml z&^0zij=C@|Ce>mA7I;Rn<+66oO98n6mvg{LRiQ?~IU_YWySOyhO2NoH(ah2?*(j9@ z+3%ZiMAjrmu6|sRWuRwhfi1oa^bAdLMwywZo}oD?nxRo<Xh>0<`4b&yB3wc~`RSR- z3jPJ4@T~$TWNfkJ&BenNkO9gcs>R^sE5&SRV2GNAS-6-C6~JX<US>&VVooNCaiHu5 zFO=hnFpiCj#Zb>s!AOZq!5Ng*+*9*Xi@*+4aLh|lZ~+(i3c;x*r3FwIqgWAxmMomP z93dV68A`}NA`%BVIoSYf%D|B<j1A1p^$g5GdZ5X|K+nJc#0BSBq?~MIY>Fi(8(CPI zAgAU;V#C}>!AONm2^_Er&WK_h9O}WKqR=n3Bqy^NRMCM7bd*q!Lko3RE@xP%8$sd< zuaQKA`z9Qjav~#FAMQ+P04nP+3Q+?+16<`OwL{+nEnxJybfE#G;E9r5hHnt05Rm{` zxR{I-j5N8_L3Lq~LTEusVo54E<w0u|m&B4p1?T*noYZ7cBL-W}C#I}o<6<!a6)t>S zJjga-GY)6Wfeb*_Ohn9W#F2O>Fmm<cPQ19%F0KlN+G#hGh=>CRsF8w^1eX}`F%^WC zDJ;3nA(_HR&j{9xK#Oo90-3xL!Vp&|n^U2Dw!~gOgWBuxIx!I~Fg&>2pn(Ajic}&p z1O3Csf=*%MN<<1|;bJmY05$W2QcDtx(o?b4Pfd(m5h3{{i8%_+8Ht&B#R?($3QnmC zAw`LK#h{jaYKlU5YEdevuc_eZ=c3?Onwykbq>!J6q9ik~xTILYxhOTUBsE1L5uzU4 z{I@VR(qhgpC;@d>48TMbyRo6Jp@lK1E{1ml0=YB;O2PdV)nbLryt34ylGGxNVogxL zL$z2TCqFSop&&C)qgWHt0JgM1H<b-!s<8!fL)el_9Om^@P?t_2IW;E-ZiI;?!iXqV zV?$jNQ0jxb$O$d=X>zGUQlGH`%uw1TFHrLZOXX~6M7!h#3ORVzGvSga;7LUP0=Y?* z%%ukjB-LVtWN2ytF;jDLib3ND3Pq{8`DKX6C>CIqVm2}}hr|RI7YEE%a7qCaQJ{!5 zwm^xSt&CjFjGlQ41<(e#4yccu2Wf;U<R^i;x5c1Tr~vOcrKW(o`emtk;I36ZQsZ7v z0oKng%~L=OoIv``x+$qirRniG`S5WPa3?n<wIne!M^6FddQ(FKtgbhNq;mr!P;(HT zssf42w<ZckVq7BNwq{~pi9$h9enDb7xT%k=LZ(UP@k2}hrd-C5s5OB!Skc@?yS!kI zwU2<i_ee@*kE0qw$qNo#+SnojhljD}i+qam1&*Wu&K_U_TOM&DE~S|&7_oD)2A8L% zV(m2Aqoo2hE)_^BFjWBSK@Ej80}I1c12a>UK?5>dAE2ngRs>R^hBYz4*7~qS9f>pK zlELRg?D3pHQ9Q#U0vx9x!Vp`;`VkkgW(r2aT!K!aZtkE`Ei)I-;6oUf5p=jCH3d{; z=7G8rWtk<F(AqaSu{b#~B{fAMsWc5V76__F!6mn$r3s|$W9DKs0=w179Hm<KMoT_M zTn3QjW2S)NGSsAGYLaGRYH3W3q+|q&ZY)X3hzhkSQAx>`OM_V7Voz2bj9jjea06AQ z==ExTnnHO-W-@r7II$=d9J1JJTRl*PWo&5*i8O4<1C;6@%`^}T5~pTnC?mEJ#HBxT z1tVE5>EMjav=W7&)bz~alGGw>qiUe)5#H{Y!N`>o9OR=Al$x7Z07{DBh9J1@798YL z3{8(kP~#w;OwNZi7s09!Qu%2LFpfepq-k4{4{9fX3<8CNsfigR9GJNn5nhI{pmnzy zN@5O0OUyQ0R**<BSHR;<`X_5+${UG9C2MysBhvkiJ%N`|RBqx=XP|@(ja4j7$q?dF zvxS0@B$s$VesPIHKv8~jYH=}WJRQ%tUm#jyvEVX;Bo+$=9HyWq6!S!bBtw%#b1GD& zMmTyCMpPIsAu6FbbLo-hXY7fjh@wP-JNob^5KrO~h^2xN9~X~XW***Q{wyL4W8-46 z1dYV$a%n@210^-+=sswi9#ZUqM*=}I!5N7Ksm0j(1?gyM(2vUpk_JKMlVCP#$}}`E zO-nUSMy;928^<%o-n2KS!WcAB2{V@1=rB?+Qs9ycOD)Pws|1BPG~<JMLh!~CwiqC3 z;>kHb4{jJ_Dh_HS$_(*(93!TEj9lHgM@(@H_tUASh(b$<j$HPzglMFIKU9fGd*pR6 zz>~dLT7|~+Y8ASn1&S`0HZ)Kapm`JA;UB&MpwA`c4_~MP8h*@6PgMvm&CN|Ls^r36 z1?Y20+2R5?w1{yrk}T8D$W^5~PymN(yO_AXFxDt2K+=c;c2J|BpzI7DY=e!yDIkP` zpkr?kA=o@-Y6^VBte_|r+Xz{)f<g_~K!t`bj^KF1#&wOYMgcJvi!DHE&;$(>Kz>IN z#3F<ukI69v1xJknsO&E;K_3N!DW{3eAh&YWC_qPEU{;~gMA!`S0h%rXT$s(6-B=`z zMA&SE839NJU}M5;#@EvzCQ?D>U^5@L7)S>wv~e|E!8(cXGsqmUTA~S%jzO2<$SFqy z(5g6dE>q}AB+NxNSk@q6ZD~@uwx?HTnWUCrKz=#)!4i~~U?P`!cxFzHLUDFxfkJXl zesZ<~XpSH+9lRP3EKm%Y#wbWF%FItuumKH!<Q0Qw(3lMkO|;kw%8NjAbKtpZ1A{0w zV-sCNW0cVz+);?>NZLlB0cbrKmIj-F37uN8UPP}V<LBZ9H{leVb5axY;LEX4Vh_vE zC^T=NXeBmG2h-{+qWWF|M2Ds*moTiq<)4&RTAU1S7h~%iy=UYqQY{88K|x+Ms#=WF z%~UPMkzT;+pexJ3Z1iPi2tma9P|(^x9w}xM3&g@?87?W9dyDfStAUgAL93%nQuV;| z@t`%Vpp~qkxp`zZY~~6yBLiOA23ja=WMTs18yZ;}7=u?*n}b&!^HlIaRyAW8Xo99A zm?Mw^ZP=zJ4=%BwRM2{5Q12YNk{z^W0kj5z$-)@E78YZndK9anxvm8$XTs-b>$u!i zixohtb&J6h%i)Pdphe>lj&o6F33$CQOcXq=ty&CSNv)8Ymz-akSCU$knxY3<{LN%! zfxLtqv?jv@G~fg#pu>_ZCb}jl!{A<AQlNo;Xrf6`C@xI~4LPQj=H!5SMp8^hmdJ*p zuJ{L;1}ehggX&8dxx`&7Qj<%;bKkHP?y%5dH8nFfHqhcM$Vtp|%t%d4F-qb}KrHQ6 z&`rzCNmWQJP07p$jeLTZd&lP&l%%HU6%~{y=oTbll`BY6&@C#cz$#Z%P!YvpXryao zVqpZDX@v*;WJW@J8|dLQ%pDVUT#}vv3eJfoiJ*l5nZ*jF1)!M-&`Lbej0%gPk+G2$ zdvbnJYI<s36uY4%Xn70Df(6Q#^*>|cQVz)gt;R1d%_%7cEx-Y-#RtvzWacS=<46@+ zvzuC&A*YU6OkACe`WgATsm1ywMka}+C8as~F8Rr&pv@Y^`oWGt3c8@hJeein?HiCN z(=RCiMSMw7DuSh(T2h*lnV46i3pWO|mq6bUmNxW?O7n{KGjj`a;tln2q!<B4sX^o# z3&to`BV9u?lw?SH?n7jkD2&|a!KD@sDl<S^Z6K@pQ$Q;=OY#+xGV>CPDisook~1>P zQb8$+*~rWczObLo*h1ID!T@<e(}zJ(ze#aPfP9py03LaEaZ+%G7F1RWM!a0yuo_Lz zz!0N?Gc+{SGq40#Xow2V&=^v|S(sz3;GPc(PYZJKhZp6Sq$&jDBqpc2I9Z|A<e2_8 z#_m@myncN(DEunUB?|RxX-;u!icexmat4NvxwtrBF%61lvb<}{B?d}KpgKFk#nnxr zC?8x$fh$gCBSS+iZpbdS(vr-a;wUyF16?CSw2D$0n*j<rpgkOkISP5HC7?o)MT*(T zz!HmT2B1<JUQv2+VK=R~AT>D?)igr`ET$QPGArD)!O<8M;}U_yWl(-;NosIOeo<n2 zDtbn>M9sHmcp}sgmVS+}HAV+n`h~TC!TX-jax8J_7r%E0SrofKc4)$Ui&7?;phmGN zo+viJ8^s?7MG+;*B@W8?sR{uZmBlGZ$W;&z7Z<Ex(lbKwwV^rLzP`oCr2uMvsTM=_ z#AYNGD<q|+<|(8@HerEV`>ayTW=4kaz6K8$S2AcrT|s_kUWri~XwB+CHpB7@O7v2a i3!>N!&2<fpEkNZcyyeZu#pRlpq7amtoL`h8zz6^UH{GZJ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/place_design.pb b/proj/AudioProc.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..da6be56bcbbbdfb5b7d62fe3c8c7670e9447b87d GIT binary patch literal 23068 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$Vp62jZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{rv+#DY?DQWJ|o-iG=X5{j%+Ocutt4US?pG}pBN1tQ!mJ1&`^(!4y7NiIRo z3gww4849Y!3MCmusfj7YTmr08OhzVJ%qd05M$BA{CQ+<L#=1tJ5P+ND!X*mQnU<NC zS)7rY0y6@v)!Y&}O1Zc=3=~|8it>w!!O>}Hf$XbPE~fyHr&1M)Qqxk4K=E0Uk*WYP zyC}6Fzo<k(1475A6eVkdV>dUyC{-adFD<_)7aUo7U`s6wkSv8*gc5vlTsp{JPApbP zR46D)P0r6t$pl3?$YxM-NX$!tB~2qEBqK4BCX0!#kr8sD7=eMB&E@1<np2VqNjRY7 zl$w{Al#`kQjws}utWXM0w}}e5i4~c-rMU|EX`mG691vOzN^OQlTAX0zX*v1jkTl0; zY@lmqXo#FxoVkR!f=d#Mz&T&FSRo{_I2)Xq4GoO7xRdkqic5+TGxJJ{jX1d20zl<R zQ52h@g|49iDD}gOkRUD|u7Hfh;#39IVn`{(Y-nJJY5)rtlc9o<IF~5I7zNL~%#zH+ zoXje4l7pHGava>$6e3Jz<6<$?GgL5A=TgOLu7Y1`Nls>Qi9&Eb*pt}odC$mIq*@%3 znVYJhQLJgDkX%rzU<(RMYlYOD#De0~6bM&O!8bKGzo=3{!`DgEN}(V%F&iRbt&pCW znFnL16{V&s6l7EuXC^1+pa_;_7L}Aj#kd4`q?k=C5XF}amlVvc#SphDB<F+5(2`U= z1yJ#6U|<DC=xk#{Lo+=KGh-umE>;6Q0|NsiRxV~EGXoIc(8$uz99-U-gR>S-1rMa` zOXOlwEf!#bmvJ6kZjcBBg(guUsZgWfoRONGU0j-LrC?}jZkTFjnq<m_oPXCda<OoM z(*tT!=wsySW<(Efu-~i<3>1(<9VVz}V61>W_+h?RfJXv23>2&t(Br|#3}iM~6cG{N z077>2NK6cc#AY-j1tSwKBTs(?a0&rc?)nPOIr+)i$O2BKnK>yCfg%MLa3cX*u5;y5 zb;-|5RVYp^0hc}zF3t)inYo}M52@UNR7J+7T5J%xC{|+&U1L+^GAs`*DTi_e!;-R* z0_ko=P1h+F#wMwz#^xyLdJ~RxJ&}>C4`;g8Gqc2=w9U*ZPuiv?umq2qv`vwdb|kUU zY^-1;!zG0hkFcW2H$Npc2U~Q8p~ZqNmo+RFj1_Pjg%a9ECMM>VNoHoLD51RuM`(95 za&_PeZ9{B9ZHPOZE%hwSO%X+iv7UjU5iCTJigF`U97Tu;ayW+(8_p&QMv`3O&ahe* zl9h_}eG`+5@{6$raxhvTTX9*y0@*|XhdIMBg#FRN!HmlU8V;~>2eoxE#KOSD0+#kr zb2Ccg*q4Y@#=^y9q+le(CE%WupOlyb2^>(djV*Ec5Mdx27mE?7xy8@L>z0$BUsRBj z2=4S?GtUz(k_@=?Adv(z0Yx`T^cbcYn44K98k$jD2!L`hq5%hON>HH?z!g0xP2vP% zL(@pXNSRA9v>+w1Bvl~<QUNBVrh&R-!9GC>0f{9U3jPJ4PBW<4jnY7lMGIjUE+<$B z8z~Sp5hc`(QcROmQq4?ID>`zD-T@8ucw$4{Siwk%OCcb?xI{OgC_g#17~Gl4%u_%~ znAnna3|gQ&b2-8S-I$<(!!gW5hz)ZSaQ6?rC{l3FFG|H0(CI{&%fZEFq-O#uku<o} zASoW2g`6N+C;-+E3$Dy7$w)2E#9FVWpv9>-mnSSvL534&FlyYIq?#KhrdcGTlwxaf zG-Y}ixjJz*Wk4-Ej8Y7DZ^+18&%zwk(u8(N4Gr}S49#Hm336M;5M(k&cgVsVG{^(* zgU1pd2}TM=a$GW)0j}Vg2dQ{qLkB2jSQ(d_TYf2ch)BUFG{nzWp*SP4AQd!tlvI?O zn5_fqi2H<wC}idpmn7yTrxt^xl8aJ7#U+vk(2y0gsj0CR2gr}0$Oa917#Oi|F<F{L zu^StK#w<Y1VfcXCBu1`CCy*5iIi)3ex!?g7NGHQe0n{-`F3Kzcci~lwbred<^A%79 z@=FqP6hKmWspTLO($W+lu7Zqqu}U$Uni-<IhM9}e0OBqX3+gNr6Xfp95k{^!S4emS zBo-IzfeQc3+{~&}khuz~#d->0N5i-}pizRP%sdD`wIZ_^+?!G@1`X3H<mQ)QNI*i$ z+zi!ihM<r#F#!$A<)xMsE94h}qN5n%SXM4(pU@Df3N|hlpU@B#8Hh&=jZp?7y}2~~ z@)a^N(=!y(67%v)OBBEcr-5TTGfx3DDhU~shII1GOc5@MVl_6>HA9K6R4x_&0#N)Q zg@iLW8Gr)@6bq`wV3U}Q4ADFX9umn*ErIxki;Dx6n4;K>jdYESK@BT-x;V<nm54tA zFs#*4NJ-5}Ex`zC{BdAnh~#6C(+oj`fe|kkcV21<C<c;Kb8;YJY+Nk(Ibffdo1+9- zA(w$)KB$9TTA)ytnV$n5X;T14fSv*<UzH~oE9B*uC={d?fd+q5Q}hHtgX$(Gh?p>v z=8|*?4p0b6P0uVYNiBjUN3dC7OU=v;kkfBHH7wQSQV-6^Oe;ZHTavF3kXewLlbM%F z&<VxVaDptCG@28j$q%Q`i>P5OsN)^v=!@_;y=)C7$<}->Z@+wn<ixy`OmM>$DQkn~ zi&84{5_2<?^@~BXKgkM3sp*;dc?y|%C8<SeiOH#;l0cy-wIC-m8629RRA*|0R9b=) zmZb@(DjJ6Qa>$P{LK+#M0cP-ED|o=!&;rCaG_tTT!#3dT#HGs>T$-DkSX2p`sld`q zKu+kOnM~N&H1g1j6PK<n34jYR!i{W-7#AbSGMgB=<}m6GUVy_x=a{&5Fx4oa6qyRd zfEon_$CQ-R6b0whoSb3>g&GBgpj1e60wDs8GMFlTKTyj7q|_xpuS6jvzce`mtkbh3 zwFsQiAqKfZXH^swYPbe(i0a}9(o?)#TX<^}K+Pis=ltBHOz^BP5n)<`ti}KwFi0XM zCg>tiNpuM`orYj-(9sQ$92YpMN<h<DP!out@ViX`WG+@y&?L~fSlt$uT2!gv0csYy zL7IhF4Mq~AquWqgI!I2y$)t_jAi;<hr)aGa<OUIT=M9|Ou)7CYl#Xt5LTT6_I|2ur zj&4J3bm4FhvM?Rp<^pZQ1w-0!pbkKOo`NU54d<5%YM#T}aNuGH;Z#TxM(`D=T84yx zd*8^W6JX;HH}oPFZWy`*ny(;5HgY~4des>@jiD&C@iIp)d&GE|kph;+9efN3b(Ac{ z($qA~#L$A`Q8Liv9c@O*u+GI;SePL<C<D>L!-C5U9v%u<2Ru=O!6e1pIMu)mb@c=} zgN0aw!35{LC!`&ZnD@jpSO_v1bFdJ+2o642=!F&xhFtp4V89Fn<kcfa!^HnYPRSXA zr{r8xOA<2)Ovw>BV{Z%^0GHvC0<8qiEKvY2AX9M8&neB#Q@}l$jB~~wWE^gzP*bjP zikYdonSn8FGBDjzZZr|Y+-zJd#(I!JZdmB#7neW-SV0Zc9|&^v#X7$bftE4sxojaB z17sjR!%zd;$kfm{)i9Yxr6nx`8#F=)FD62X4QyitBMB}s$D-6kh2ZkU0tIMy6Wo); zHe(co7R;7h=CEKkR={oyN&p+CCK@KD8JHweoSH!sE*RAj-2#}HNgHr#Hc>EA;F5!n ztAd(%SjKq4>pf8>ZE)8A#(E}*VOp%lp$53Qg}I?=q9N+6GdUICzy>(c#fK?)jx0Dg zF(*eM7(CBKOf`U~_%Kz#XBbLg8>N_}85x+FQa&X~yTAsm+rn&Rn1dF|!;6nVVv7$m z1tSqIp`cXV#A49a2T%d6P>`92wS@LVD>_WMjA2EGnF6K}C;@AjW@MCNW?+#rh>Hzk z0+#4v!<_oXhB-;ahKX64MXE`P;SdjSob6>}1@vM8HNg!N|ECg>lUcZ!Ocac?xHLd( zbs*~qKnvJV+5+HdR_C15#5~>50&ER~a3U;X<6;4=^)Qm+k^ox;ZI%&gb5Ly=a|{t~ z<ltg6(F3i}H<IO&2D=iz5E~^xu!TVwmm?k>XxlMRlADpSSz?-zfu$*q0dZmqWG*fa zu**R`6#*_jr%*R{1<$<V)FRMMA#Bd|Cwga!2$vA%fCJP>lqGp_M1(M8mx?l%BBqgO zK?Jn{rCpTA<qGb6;n=;CQCS?HUr++wiidv#53{j_0n#iyc+(hYv4Xh~$VT|2Xg-&Q zYB6XkAG+)bHpNz>5bhUjt6Hp3qYwgRfF@aujgc3~aC33G7MEn^CYGe87%_1%fF|$^ z^vo?yAPfTo1MobonIXzNY&2R`>BQv#sVWVz5Bwl+^Fd8l1_ma{W)?}P#W;D3>y5B3 zJ2cQU#J%3r#6Zu?0yMe<T`2{g%EY=*3hSb83v-kqp!rN(cNxLSIun+x6*5y&^GY() zG9l|iP$$dvpvgZqMFFG>wC_+yAqYAp4i!{zDatHMErQ4eXJ-}^q^2mOl!6yW!85*o zP<|<R$&Yh>URq9Oa!D~(_0aU;lA2zWm;&xWVO5p~-7b|1+QXNZu8@|PnUf0MTMAkl z!enBMwyO+jH4|i^3TRyum=(opVy0^f3L|)84M0md=3J((c`2YBA6Rl5Xe$~@axqLw zOHML2PeC1PATzmu*1lnM&<ykpaWAZ+ZgRod-h`EsphN*pBA}%cD8ps&@)&sxlAK<X zIks&QrZ|TS(SyLqSkJ-;6q4X3J80b<c)gshf{`IHS%#SEA6{=5DHy46DWTP1&_qqB zj-JHGm4RI+thj^}mU;?q`9<J$X}O@0oy6qi(jw3@L(r<TymSSm9kL1q&@Hy5d7yPH z`9&r15JjL|!fa+}pv4N_d<iK?%`Hu$K$|bkO;EB!5?Xff<Z_1;q>waV1YS*y)a1e9 zTa*l9WMY(Rm}+QXKykAeR4QWR4!Wfaa#HfZx;O+aCat+F;V}t`MJ%n!VHtNsj_sL% z22v%s#L#jjxD8*5wF!@NY!74<c4LNR0Q(cshy{0LAhQhMW<n9DVFB4wjIGs5%;*)k z=K-1+0PPG6D9X$$QGhocK|9aVQ;Tu6<ZrQZse>X&7wi^r=M9@y@EHNDQcT7c$W4qM zR<2G~E`@k90B9Wyd?L37HUs6Hp9giTLXARj2IxElBq4<wt{MfG%%ap}kZ%-fz{@c6 z^Av(Jt5Tt6VHM-5L2@868zziZ4CX?={GyT!lo@J}U4|8gSj8x_D>%QDZgwfSCKi`q z^#jO%$Qa~T%KW7eo=SVWz_qxUA<A-boP)C_dZ7G*JFg7O;>icCCN$<Ugw=$`kfsb$ zVKgj5fXLmyCVG(Fziyd%$Qv^i@Kk}HhQK)$yj<L{<uIT<)S#nkkdCb|HiUE`AkAXf zQ5A;Jqbe-Sv925*dd)%H4SPsq5K$2#_gqj$F;TLHVX~pAsj;Cc<@1sQ+e~&wD;%`A zG+_OI@X<2h<7ZHOo@|nqVvuM^`OM0|dc2OyUA0)jGcPT_7`&e?Jh2G0Xc)qAhV3(h ziGmY?YBBVPCxz6!<or_5s=L$_J<!=aOhy*Srzo*-F_~F{MqErl1Y|rWip50N1T;<v zpEUE~l2R=OZ51nk>{BW(O$KdxO)JgGse~Aca>Nw+sbL`VK(!v+yeEUAEE3@219!8b zBdS(N=j0d~Af1zA0b;`oAJC>zQ$15l6Ue>={H0F^BbO%Zm@CM+S)fn^9k^Dkprl%? zq>!1XP>@)Zn3I#51M2*<nwl9Kn}D0Jd5#&Wi7B8HQy?1Slk@XRit=;fi&IOAbztKL znfY*LX-OvhJR}Z7BV8jC3uBZmb&*`!Xl_YQ&4VtjhaDIOJ97-2R=}=-jM+h5!_38K z90fBRC5dz}a*4ZEq$Wc~6JaNJfkp{II|jif86f-1jmrg#zZ7)KQj3!Ei&GVJ(=u~X z6%tERGV?)OtWkU)5017Jy`q8=m}87ke7cK~YY8J3<E=nj<WbIPf>$@-6FV?QEe!Mw zEQub_!ZieJj2PuYK9Rw~(h$d#$U+jsGM+0I>RF^TfDwX7a!3KFpj(iH6wFu@6(nH~ z<ZRL+T$4*3>d4G|47X+GBRL7XbsHGDX2Ie#GapY(8iDpNV~I&4;)kGc#-xEUY=|Ev zCe00S#H0t8S~#eH2AzxuIh-LSu_O_+2RSJ-544#nu_!qsvn&;q_nD2%%-{zVu^C(F znpl7qKEcPo-wujGQ;JIh<fBx`0u&b~&?Xjet$<v78Xy;+U^cw?L_TKB*Z_R&n4yt{ zxdFCaUoQuRj|I8-!;A7uQXw4>7bhzP&>mZO!C_=-#KXmf;G$F($i6kQGy}C<4UH_! zOtJa))u8aLIF~5Yx1~A7sVP2*CCM3JKch9qVIC&iyS7|npo9dPzlm^hbyFyUOhkbz z9%ds$LoIH|_HIy#R2;=-WT0yVK7A10i16Z4#%6#*PJTLgA_26i6l$7*B^J{RK=YCW zO)D-)P0mcr0qr>j?GI;>Vm2}~z+##qD6hgz8ytHy#kfQuaS58_OARi`FG@^LMN7U0 z#we-Tz?_%}#d;{<;3%Kq=@)k9m~&!s2FTOM=@+G+X+*Y<2U#pbPRmn(c^Ji$hSZAX z!7+>=$t4cT`>EhH11U+!l@PMGjZwXAVn}vW-(}=d2+07QPzpK4I3uxGAt^OAPXXG5 z2KTO5rI^i(3}HKuLDfPs=#0yP{LH)(qfSPyHb(u7{M^)H{SqUS#L|+|9DSGk<WkU> zcCmi2V~~QbLP$|!W(oM*V@R7!zoY=v5H2Z7MX+>JOG;BR6Z1-R;l>mc<!9+T!rIDu zMWuPg`kA=}Iq`=2h!!-o(VUW85XEk2u4`y)VTgS40v{I_=-i5+)a3l46ahv6-mRZ) literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/project.wdf b/proj/AudioProc.runs/impl_1/project.wdf new file mode 100644 index 0000000..fa3c912 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/project.wdf @@ -0,0 +1,32 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3131:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:466c6f775f506572664f7074696d697a65645f48696768:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:69705f636f72655f636f6e7461696e65725c3c6970636f72656e616d653e5c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:36:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3961333866303638313736623463393739643765356238376430313235393531:506172656e742050412070726f6a656374204944:00 +eof:3419141839 diff --git a/proj/AudioProc.runs/impl_1/route_design.pb b/proj/AudioProc.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..53e23e22690772f5a58db53b67f724662583b598 GIT binary patch literal 16989 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$}cTRjZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{xl&bb?Cr@<5(;3366QELKQVC@4xz&d*E91i2X$6d?a3=B2>G*2oB7*s_@D z8W|z`%8pA0*@p7Wk_-jaVug~7qSVBcVlDwzDJCNmE#{P>WFuxSMw2L3BV%17P`JR| z=)xrma$8zvUS@GdYKj8X2(VUjOJv7zad8+ZxE2-V7Zrme+0p{puc=&40XeCO#i<HK zscET2Ag`5Vq$+^SE=n!PFDg;cfY9+NMai1rIM2;5N>#|rOUp0H1xKMC*is7vBugO{ zp#-W6mk?KQNn#N=EvXhOgd`ScgOi7$fw2~Ma(-TMNl{{EUP-YLCl`BAekn*@6q})i zuAu=+s?6qc@-59N$%KRzD2`I|5|eULQ^0ORPSOgc#USZKh1|r7%-qskh5R&7AUg+y z7J~xV&|HfXtUN6zzZ??GY{sU#My4jn;p4-_!xfML3Ln*CNIqgVG%!SUFbfxxp@NYh z7r#?!W=@JiP>6yHBu_z{Z-^Z8o?J|-#R4qw#A(2#2Ql7I0Zq3;je>JVYI1gQX|9!m zu~AZ5vWdB63Kz0r>lnFMxWKMLbx<!OR~KVQW^Ss2MzN-qLUKW=f~|sqft7)Qm8q$M zwL)r6VnK0g3S7{{OhHe<H#IlEs8T`0*Gbb#p&&IeTftVr*x1-Y&&<$V!CE0bF*8rW zR>9ERSkKbXLcv-gttd5Bp&+BOI5RmhN5NLX$if6BT9#Q<QVJF{G%_<aM|N@$ap7sC zU?k2Z3Q7j4MGBsInI)NtIhj@9<OWR?D4`logsE&?EJk{U3Pw^~5^k9l3L*Ig`8oON zl?u*?q=(Ju5VQ!h=CXuD7|1YO1`W@+3m`U>jTDT8xda1>QWd~4sNkHFnwVEwfGv1^ z(Sp~6%Lo>{MhX}v49{>Sri=lnUSkC#elFh7f|SIPRE3bt+|0amY+;JCj4{$PRzT5> z5}rmWhAAeNh6afgmocF70;7zvz*)vvnCqEZf(j348DnH*q-S9XDyT5Z7-LJUWsIQ_ zav4*}CFhw}l3J9Tnv#hKDTUzD+}y;XN(EI=@y~2%W}?LkF8_@zxXfx4!u^764fHGw zj1?4W6hgop0|NsPC)^|0R@Xq!(8L5J1L7DN=~<eX)Nn<CDhv}tGvo@xA1y(fahX69 zG$b>i7iXwZU}l+SYM7R4L~#^=N@x<Iz|us|5?p@6qQKZd&&UFM6c}QS0s~XzDDWnt zs9@n@GFAY!2;Fn?lM-{l!Gj}3d!PlXK9?>eP>mH(H4o3wB&L91;bJlYH$tGbCBEP! zvbr>ZR+lgn{ZdO{rYZy^78heHdvI2lAj5DOgc@tfMkc1FW@c#==K)YzF@SjhXEAI7 zYtg_%6S=8pG+g}ePh1&bs$e9-B@~odpj%p?;Fy=95R?ioma(O-Kq8D}<6<!dC0r3M zA!ym)S&~`=ZaOL$U^A40>cZ661XM#{R2P2wjtUB38INFF7zb;0;fGeTnQ|FJN;Z%m zFx`)uQxcO>jm-=U%_z$$hE@i~SULr!hBzy15^4)0BW$&WsU@h+gpV}%pap_4mmxF| z6fo1p@C<?oB2qRB7n7NSkt~<AOKMJHC1|)sAvim=T*1GfBr_LJ?}(V{gN=*D43um5 zxp*O_gWK$(SljG=M7WiMi_J{W5NaYyn-g0W^hQenMqCDv1OPDuML%l%r=}$uS)>{z z5A`fSK_O&jh`r4UD|Zw^2o*v&YbsEZKuHe6Gw{QRNYLO6Vx(Xs$tCWblb@VTT-FOl zOW0Oi7O;eEq=3Vm;TguEXdz+4Wd#ih1xN}5jizE0XTviryog9|EL=?H;O>4vesPI{ zM}AI<f?H+<w!)Lh;@uot7{iQG05yxSnMZ@7$Ux7~z#P^dGSGu`tg*I-a27=%=c70s zHEEbwm?bBtrcpR{G4M?xceHGx$E5?wCgut#31N8pp2%SX3-GW3WNa}lGY>R0oQf?a z;~X}y0QbC76=0f&XJ`^R)@}(NYY$5;%1o;SO_+dtUnvTCsU=u@_&5i+EETYtF+9VT zn9eOL7qg)OcqrT@wIIJZ6Evj-NqATT7H2QW&_Dsh0F+QQG&8p_OG`GVQAf~-ZXH2l zQY<J`4Hb;UxJ1AOVn9)Ta%yogI9zdz9^y=~hK7(r70ry{8MegC-hjf^2s|DG4qI>u zTZCt(p_EI;wYVfRH?br&1*!~bm>oPXY-)~Wm>pM_1xGu9)!0-QJdFsS{@%mLHH$GM z16qPBfcn3A=?ZzJxk;%-#R`c<sR~Jnpc&5mJO$8nHn>PDQb>in6{;aIFEOXGII~z! z!7aZ?AyFYYAGA23Bo*AZPE1ZNEdtFSKox_QN#v)c=_nLHC(KLp6rgkC5Eb#okQoN> zggCRI5zOb{X#!)^`Fou6UWP`HG>H=S!!t!j6449>rATA&pa&>L>ViW>!L=+kuS5Zw zYjI?Hoc#?$V{icDFbXB4Q;brLjVw)*XfwD#zhc#aOAZ`xMGDT)1fHT0T$&6jH`7XU zaw<U?mC*=kIYbmF$Dz(^xu7*nwYfB1^HM<V1(4Ao8nmKgSO%X5msn6LD9?j@30?gJ zSyja<#bjX&U(129xG9R&&|KF7w0s3#aMf|Ss}?JG=B4Eq>ws3HgeMk(78*e~&PACe z;MEi`QE)k=S`1yjqmY`HoL>r>*-TB*1FfB5GO|EgbYsNA#bjXtUdaL?48TMbi;1oY z%328@E-BSwcuAL{P>hlgSfrSYP?is&uM-2Ahcesxl##1QwHST1iE1&*kg{qqjy42X z9dyzW%toK`MF=8Rm4KGK@JKP6SfDKO0vGbo<!n|8Mxb?1237`!RtBapwt<zYF^Fw! z3>m=&Ej2QN%yb&Daxohj85yy2u^JkgTAG2^qM3tNZShp_K-PsVXXFxhtw>D<uT27l zAZU4=0xTu4nwl9K8)$JB<Rs=fW~3&j7^QM0L+gi>qGSc#w9K4Tg~Za7%zRME1zJxP z4|Z~jUQt1bf^I<)cFBSy1>K^83ha_a1r<>ohDN$ZCKg60>$;{f5?TOAC)Z)FE41U1 z^bAmNPAo~x$xm0vELJEj04+NMEo#scV3lGqG%_~QVo%O5N=;AAi()sl)HSp)Mp;Wn z`SQ6JOkB#K=0j0xacNFTF=%NRXvrI>;gFf90FEiuVn{u1YGH<)$>uO|busE^<maXq z>z5drB$k$x=IFcRCzpbjG#2XzI|eD}DufgzW|n{#IYOdMzoY;Z{Ut@I2$pVYNoh)E zVqS?Z+?ax*{49M(SPIcAD$Og_&&(~zi8s{8m2LzWr3RC8EEuC$jdTsoP|~6oX(bL1 z7Z)PiL}8RSS4qr;lNh=B8KDN|rj}&nr$E+yfg%JsKO)KD&zm?DV&+pE3UTJyBLws8 zp!5r74tM2Jb;-|5RVYp^0rz<$T%2J|bfkrz;Oxa@Y^ucuX+B4>8e8ZZgO-uNYfE=7 z71%miU!<smE_Ov(FKdpxUY5<sSl7@PrQ)5y%w>d@<AWdzT#-%2Rsfh8pj3!kn7F15 zXaRsTS(OMdN)1-0SujSi8R;6D8W|ujmI@-RWDwyJ!pz8+CB%0UONcFuTpM8}1SBcK zdU6W7xrr6=1&JjY#R`T77*#l`V%)Vkc6FEq26lCz%3rrUu_!M-KQF#4Gd~BimH~Uc zPqnO<z$Ffuc7?1n3`i_W%q_NpR*FoPhOk^~q|T+P5L}R&nxc?il$ergrJ!r51D(<d zsVqpfQpnBBi_cB00B3JYP*W71y|cKS@vP;BgoZ*XWVs{W#oWw>rto3})a*5hVl%eT zHAJ;-3L}>$tTcfnBUl@*SV2j(SV<u>PoW^OC^07|H3!rX0XOJOkQ;OkTy_wR@kyn{ z@x|Gx<vOqtfXuw)B5;MDm=j-=T3Vb6mjSo&;)_cXOG=Ajab}ECKSpwCqq!?RH4nCA z1+A<DxBI~^Ge&k9GZ&*V+;C7B!`D`Hl9(CXxm=-s#PAYE`o~a#lm%d}L2Z_%b2)h; zLP`O&^j;yeSRo~|7`%pGPr)x=0m)Paa8N3Mx=WxYBE(^!xM4OiLf*|1#b%;wXkZ5F zm%tO(G!i`?!W9HDAL0pUjA8g0IXba4+)<<plCX!u1rnVGUe6D894zH2U?~#Nn=~-B z5FcUdXP~RVER)bx;B37NzXD@BiP2QbRSb=!g8cH-B8;R17R4PG5NYTbB1{=(gg}&m z(-|m6arFO|5o}8ikZUnpi{6OsR$-~hCHY0VIiLZ3$K;aCvdof7&^TW~Vmi3e)6)Z& ze@v!^TCCu3h+;K1)-^>b@B+Aux!hpeqG07JA%j7qDU5JKS&WT!QOvBT;iwI0gwuc~ z!#3dNZ9F8LFuGCX*9*y@<uCE2C7G}tIT#5YLj^1~7ZsFXFA*0qa%H(CW`eeHmE?n_ zWmCZ8_WnhQ$vLSCC7_X$^rHOI0?0nMyu@6{{z5&4kc`Y?Pz_R)T3DJ{1l<J&Sy)q) znx2`TR}3CQ3xn=RN-xSx(c=<elVY~CG}B^(Y*jQ8;u7!(4vKg35A}0#42p~o@ehb% zH!{?<GzK?U*(%r|13<1^N(e`0!geL4DxjDSGThu4ZaCN=BSUl0t}?hm9$aeSps_yC zwo33e4uzD&l0;Aymz0?Y+M|$Il$?=SmI|)wjLghnjV*Aq#>4`p4gFzIjK4{7Nq~Hm zst}x!Sdi-C1RrU(QZVA>;x+(pJ~J@TGcW`Vz`?j6wy}w+AxIcB^afrU0vd`lHZTM6 z4UJ4KjIj;Hy&4pr7Ubd&FUl`TRS3vQOip!iLi4M!35wTEAY+f%y=yWAyerNn3iWPj zPH}3APhv@O2H4Zkp;k~FgJP73*v0Q%TP`tBVge1fN4U7UDS#@w%)E4P+0SfbXsE>v z*|ZEQgNmcrj0|**3{lE!FD_+l1}Nm@r-R4WL2DbJrWsgbG0gxp+z4+%<1($dAT>EN zF$cU-0&1F}0T$B?LHQMK+WSF~bj7$tAaMzry-5u&$uCMwPen_=2IeT~*O2`5`*u+H z8J>RqQcH3&i%S%o6O%JQo<>f;n1vON0?HU~jvZvN>;l=75A!gZC(*cETpVQi`O~0? zWl1h^P~J~f2*{``PD#R55t$gHByKY^P|1bE+puXN3nOfG(OpI^1<=T%YBA&(gp9;u zg{0KfJOyYk9z0pcD#dJOWT*u@2Z4u+D;c!8yC6R^uf(X6k*jS$hZI2tY-);LN^(II dyP>(Rp|J(1IE8nm`M9`T!5edu^NUgh7y;PFiSPgb literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/rundef.js b/proj/AudioProc.runs/impl_1/rundef.js new file mode 100644 index 0000000..52db31e --- /dev/null +++ b/proj/AudioProc.runs/impl_1/rundef.js @@ -0,0 +1,45 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "init_design", "begin" ); +ISEStep( "vivado", + "-log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/impl_1/runme.bat b/proj/AudioProc.runs/impl_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/impl_1/runme.log b/proj/AudioProc.runs/impl_1/runme.log new file mode 100644 index 0000000..0eeaa6c --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.log @@ -0,0 +1,753 @@ + +*** Running vivado + with args -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace + +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Mon May 12 08:24:19 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:25 ; elapsed = 00:00:41 . Memory (MB): peak = 1680.555 ; gain = 325.840 ; free physical = 1461 ; free virtual = 14195 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: link_design -top audioProc -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1' +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2097.836 ; gain = 0.000 ; free physical = 1036 ; free virtual = 13771 +INFO: [Netlist 29-17] Analyzing 121 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2015.3 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +INFO: [Timing 38-2] Deriving generated clocks [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +get_clocks: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 2743.359 ; gain = 552.930 ; free physical = 452 ; free virtual = 13206 +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.dcp' +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2743.359 ; gain = 0.000 ; free physical = 452 ; free virtual = 13206 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +14 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:29 . Memory (MB): peak = 2743.359 ; gain = 1047.961 ; free physical = 452 ; free virtual = 13206 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2753.266 ; gain = 9.906 ; free physical = 431 ; free virtual = 13186 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.15 . Memory (MB): peak = 2765.172 ; gain = 11.906 ; free physical = 431 ; free virtual = 13186 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894 +Phase 1 Initialization | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12899 +Phase 2 Timer Update And Timing Data Collection | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12899 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12898 +Retarget | Checksum: 27a691c2e +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 27a691c2e + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12898 +Constant propagation | Checksum: 27a691c2e +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 2f081e065 + +Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Sweep | Checksum: 2f081e065 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells + +Phase 6 BUFG optimization +INFO: [Opt 31-274] Optimized connectivity to 2 cascaded buffer cells +Phase 6 BUFG optimization | Checksum: 254f45935 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.22 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +BUFG optimization | Checksum: 254f45935 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 2 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 254f45935 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.23 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Shift Register Optimization | Checksum: 254f45935 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 27a0b14a7 + +Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.25 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Post Processing Netlist | Checksum: 27a0b14a7 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.3 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Phase 9 Finalization | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 1 | 0 | +| BUFG optimization | 0 | 2 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.33 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +Ending Netlist Obfuscation Task | Checksum: 2105fe3c5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903 +INFO: [Common 17-83] Releasing license: Implementation +34 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt. +report_drc completed successfully +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 213 ; free virtual = 12873 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 212 ; free virtual = 12873 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 212 ; free virtual = 12873 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 211 ; free virtual = 12872 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 211 ; free virtual = 12872 +Wrote Device Cache: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 210 ; free virtual = 12872 +Write Physdb Complete: Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.17 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 210 ; free virtual = 12872 +INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1971e65b5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1d834e537 + +Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.67 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 254 ; free virtual = 12915 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 24479b66e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 250 ; free virtual = 12914 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 24479b66e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 250 ; free virtual = 12914 +Phase 1 Placer Initialization | Checksum: 24479b66e + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12912 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1f0769a16 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2d5cde647 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 2d5cde647 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 24e71af8c + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 214 ; free virtual = 12879 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 96 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 44 nets or LUTs. Breaked 0 LUT, combined 44 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3127.879 ; gain = 0.000 ; free physical = 218 ; free virtual = 12886 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 44 | 44 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 44 | 44 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 2d955f418 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 218 ; free virtual = 12886 +Phase 2.4 Global Placement Core | Checksum: 24d73e065 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883 +Phase 2 Global Placement | Checksum: 24d73e065 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 23d657603 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 22513e1c8 + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1ea1af04a + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 178715a17 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 2d4f2065c + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1f22d608d + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 146f8e4d1 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877 +Phase 3 Detail Placement | Checksum: 146f8e4d1 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 236af2095 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.794 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 2004c68b1 + +Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 1bfcb37d3 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876 +Phase 4.1.1.1 BUFG Insertion | Checksum: 236af2095 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=0.794. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +Phase 4.1 Post Commit Optimization | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +Phase 4.3 Placer Reporting | Checksum: 242e1e100 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1c155315a + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +Ending Placer Task | Checksum: c4fd0a1d + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876 +69 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 3140.688 ; gain = 25.594 ; free physical = 210 ; free virtual = 12876 +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 324 ; free virtual = 12891 +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.25 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 303 ; free virtual = 12870 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 303 ; free virtual = 12870 +Wrote PlaceDB: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12865 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12865 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867 +Write Physdb Complete: Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.41 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867 +INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 17894a90 ConstDB: 0 ShapeSum: 1558d429 RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: a8773583 | NumContArr: fe331ce0 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 32bfc479d + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 32bfc479d + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 32bfc479d + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 2d1d4910a + +Time (s): cpu = 00:00:57 ; elapsed = 00:00:48 . Memory (MB): peak = 3387.594 ; gain = 222.895 ; free physical = 239 ; free virtual = 12612 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.803 | TNS=0.000 | WHS=-0.144 | THS=-22.944| + + +Router Utilization Summary + Global Vertical Routing Utilization = 0.000182205 % + Global Horizontal Routing Utilization = 0.000165235 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 1211 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 1201 + Number of Partially Routed Nets = 10 + Number of Node Overlaps = 11 + +Phase 2 Router Initialization | Checksum: 269f51fe2 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 269f51fe2 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 2c245566f + +Time (s): cpu = 00:00:59 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605 +Phase 4 Initial Routing | Checksum: 2c245566f + +Time (s): cpu = 00:00:59 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 238 + Number of Nodes with overlaps = 126 + Number of Nodes with overlaps = 68 + Number of Nodes with overlaps = 32 + Number of Nodes with overlaps = 10 + Number of Nodes with overlaps = 6 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.534 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 2abe36016 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +Phase 5 Rip-up And Reroute | Checksum: 2abe36016 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 2efa28e2c + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.613 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 6.1 Delay CleanUp | Checksum: 2efa28e2c + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 2efa28e2c + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +Phase 6 Delay and Skew Optimization | Checksum: 2efa28e2c + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.613 | TNS=0.000 | WHS=0.107 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 2486ccefa + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +Phase 7 Post Hold Fix | Checksum: 2486ccefa + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0942403 % + Global Horizontal Routing Utilization = 0.118209 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 2486ccefa + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 2486ccefa + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 16786fc76 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 16786fc76 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=0.613 | TNS=0.000 | WHS=0.107 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 16786fc76 + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +Total Elapsed time in route_design: 51.57 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: d2e3295b + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: d2e3295b + +Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +88 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:01:05 ; elapsed = 00:00:53 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +108 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 306 ; free virtual = 12583 +Wrote PlaceDB: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.43 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 303 ; free virtual = 12583 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 303 ; free virtual = 12583 +Write Physdb Complete: Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.66 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 302 ; free virtual = 12582 +INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated. +Command: write_bitstream -force audioProc.bit -bin_file +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance. +WARNING: [DRC DPOP-1] PREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +WARNING: [DRC DPOP-1] PREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. +WARNING: [DRC DPOP-2] MREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +WARNING: [DRC DPOP-2] MREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. +WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 13 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./audioProc.bit... +Writing bitstream ./audioProc.bin... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +119 Infos, 19 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 3774.523 ; gain = 323.512 ; free physical = 224 ; free virtual = 12235 +INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:27:35 2025... diff --git a/proj/AudioProc.runs/impl_1/runme.sh b/proj/AudioProc.runs/impl_1/runme.sh new file mode 100755 index 0000000..66c827a --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.sh @@ -0,0 +1,44 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace + + diff --git a/proj/AudioProc.runs/impl_1/vivado.jou b/proj/AudioProc.runs/impl_1/vivado.jou new file mode 100644 index 0000000..3920815 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:24:19 2025 +# Process ID: 382686 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1 +# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.vdi +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2194.837 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :15605 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/vivado.pb b/proj/AudioProc.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..fa7a23fa106a03a7eb8f5144bb0f84f3ee537fd3 GIT binary patch literal 112 zcmd;z;SzDJ$SldsOIJ`WR!A&SP%YNe)8i6gm0~tBFw<g7&d<%w&okoSVhhVGOH9c( zlH!u^&CgTtO{`QfG*U3Iure~YGB#B(GB7fYVly<?H8L>EU?^a%V4c9oAi%`M$HnEE Pm!c4qnw(#hBESd$^jjJM literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/write_bitstream.pb b/proj/AudioProc.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..8c5a7900054f2d3bd80e673a3aca954a45670c05 GIT binary patch literal 9862 zcmd-|<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZGT_oHFUl-QjZexfDK05W zP0Ur$P0KGzPE|-OP07p;D9TUPOUf)!&`rwBi%-kUNsVGP(KR$Q&R{5DsbHDF$RNPP z)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mhkd~TQQd*R%psrf1u8^3Q zqMu)+kdj)KnG6vExs2J^z(R{HIX^cyKhH>ji_bH+ASX39HLoPGBr`wHh>MG(BH29A z$iSc^ip|hm*Vx1y*%1X?2JZPKq}j<T#cXV7K$4x784Lxi6|4}Cr*Y{7mFDGvJna(X ztdLl&kf=~ll$xBMmy!u`GbkuP{z=SBfrdVdp|Odj7H2_DVxD70YGR6!AQwMc_(!oD z8tH<RA^XmbOVTqy!8x%cF(*G=A+uPav;bsyN@7W>o&c*9i=mORkrsP$eo<<AYF-q( zp{1^&g)xd-?YLx+-CCYmlA)kltWc6sl$w}Q%q74o#bjin#hg-<Y{bmPXcEP0WUOlh z3Sqc!cd~IcvH4~e7lZuk?B?zi77U6>1!sRhH&6HYFn^yAM|W2Rm(=3S^gM-tqWpr? zqLR$iVk-r|)Xb8M)FOqFj8p}v#=QI@bd6>CIVFkdsR{)Uos}SO7N?ddWafc2CYKf! zrRJ5yr-04ZQ&4cu&r8cpFD(Km4~3+}yle%S5yh!FsmUb@8W77g6>>|9OB9k)6+p(7 z<ST?ZJNql-7b&>=x#(c?0-AD=vy=0Sia<stqgV>HPY2}2{Gt?)7xNWTQcF^cax?Q% z!Or&7_eVIZw4flrs05rLK!z4%<`sjqg57SQr=Z|j0`_!idU|ScNoooxii-<UlQYvQ zL1q=FDnJ9W6dVU2Bh+0}GD{R(AUO#U=IRP*rFqHVGzbko_fSuems2u9-pHv0Sqsu1 z;o__S%GL@9Bh&J8a`MYTkz8DvSCUv^#l-~*xOjNHKtffaEHS4v)leZCHDY496qL&| zQj1by@|ndjS0e>GhLNag2yC<w0i%sTVFmI7G!{S!rz|rC5^SjP1PNd+uAtO3NF0H* zL5m+$m8He0MGEPqnJJKBD>uI=RUtDkEx#xioXqr8iv`%Um|cRLjl{SZ1mvUyT!Nfy z0y6Uy0&)_;C4mKF6bB@LbPY2Y3fL;xAZ0}-Ggq2tUO{PzLP2IhYECAo)UZ-;2@U|| z!c4G)YB9)1dHHZ9sVRC20dUnI#U>UC5Vhr*IXMcMxdlb}WvL1UsYPHH<|U^hIWUcj zK`Dt#FDEsv#4WQ(KP|H;G%vFx-cTQuF%wHN%Tl5IVCVS6l$4;<;?kTF0Zu6rbr=<J zF(~D5nUQ9XzGJkJrIkUf0JD?<sXC3c!9lMI3VOfPlAO%qk{Zvv;*!L?<kT8yc%|03 z1P7Q{xEfk8MzOgBcm|BdMnNj5sKgrU`cBb?=H$hO(`anq4p%bshI6zDnX%zah1lq& zdr6hS#h{eJrC*epo<UksMXDB~A}$7{JT7w*Z9*!n3`y2H8YB4X8**a=QDBiABh)Cd zM)L@$A%Wa*&_@(nB*zFfO06@jTq~FZf?VAd{7Xx4G_&#{GFaMK3K}4-wg#Fg4K7eC z5!{SWfa=86>H>En^3zIE^Aw5`%TkLK3i8WSixi6U6*AFU^yL|;d8uWoMG6J^#l@LP zIjNvFep+cxP9>~e2<kRu7Q@@`pk6_mLP<tuF|_>$Z`hY7f;tarsYOMpDLNPym4G@T z#mPmPNvR5nc?yXskS=LrjzUptdS-D6$a&!IR$>~64YmMgG|bLasFe_#Kn<*t%tTPX z1lp&7bj7gR4en`Wq$+@dRUxsYq$o3~v;^!~!y(f|4IsN34j`k@_eX1@UKmZ#nZ*jl z$bI3I)WM#h2YpKhk)}y5rKypqce8U%XZ6KjO6Qj5lw=m<WWq}*tmQSx5Nzc&l5SXW zrhvED21|lUZ3WP{Rc2ada$;TyZ3=KCw?T?jnik%mk&9x5ynJ|ppPB-y22zXk6O)rm zb4zm)OF#p&@X;PnZ3lH>CA|94Q79?TM^sJ4pemzSA+abGHD@M*hKv-F@=G#6162y3 z$N|@h;Nl-v;S_`Fx};Qv(qhoShJq)kTBERv!C@o3!U0=pr~s;UVWT9Vfh`Pg7LQg+ zkZ~roaTEUlT_faCto@_;7g92f=HEe^e@E+J)Ui+WJbaCX>l33pxE-IIlb@Wekerd4 zoDG_#DFKf~gJ!YPk)-odOB9OpON)|IQxuXa6%rMa^K+9j^T6Zbi8%@dnRyDT#X1Vf zsW~|cs>ON=A)vlWCaC5qQAp3vPf>t$6BG)H5|c|n6MmrSFmSD#lbM|gnu^FRNK7t) zmCvA2Ts;N1{33;5A5Ujjc<oiJ1D-cYtw;pT3+jMs5pZ4O?5Y5ALP@?ta(-S(QGO0s zG$%hX1ys`KrzxZ)mLwt-?@3$?N^x8|n1jwx?XW@dp!mGhijv@x#FA72b}5q78%1$3 zD1~up5V9ja-bg<)Uf*AUS&C@2MqykGN<my|#F;I?DMh48<Q_{vMrCnka$*kZ6kCmR zMrMJ6Pik3e4rr1iz$M68*U%I+6AYi^xHGboRtgt`QUaGQmLWpCX^S)sMloCrN)cR| z1Z_b|UBoLkir`{U3gJ>G(sTh%DPomUC4q%<iGpU@(=ziii!)MF6rj`CT;MryO9NBn zsdaHKQ3D0nqN4nwVjTrTV}<a<BG9B;F?fRA*w7MXdOVZMDIg~`u{c$s2zibWWLZ%v zc+yq_LdT~RC2N99B8<5~m@CYXTmiAn$iM)3-9R{(CTuPqZSo)HA=tdU9>h#@Qv)q- zNHJPkl9^KsnWASmGSD?LG6yx#;FI+BTw*@Zq7PK=f#-IM_4M>W3oKX+jm!;E%mKU3 z(9p~jb*+IY%!uO5l2l0fi7>$!s|lc$0`LVPNRBAV2MzmxoPy*CBdkW4Av?mJODv!$ zKRFe$+91CGG?k6;hp`zpBTNlVkd3h65_T?1O$4t=Ny;qAO)Nk-!Nd}q6HGuews2pV zbBQ7Ahpdi)8o_9;#R*?A6UAa;po<a!eq0jaMVT<0Av!^IBP0}=3=NTVgO-5k>7%T+ ziDES|&^1JH3}q(fVKLE-OWrB7Bt11x!8spPqk_iuf=iP@K@J{|geE;R1B~QsXkmhq z<~+E>f>J@52UOug7yUq%8?j0;Ss3G9UlYY@Xs&AkszKoCw}Fw%UA0)jGcPT_SO?S` zf#q5-#~Bo;po$453hrd87DKbSLTX-eeraAwYEfzmIK?v=Ss*WVV&!5sG_*8g;bJnh z1Z5!uFcHOKqKg{y{#;V3#h_+RK~5?tSc;K?1(cDPj4Y9j#j=J6WF#nz;ECx4BUh1X zaY$xvs)9zbrj<f+L8*c*$bZ%fsX2)S#i=O}uAYK#YHof}rGkd9lctqIL26<)M8aAj zJux#6#!f3rO;sp>Rnt&|z=CC&MJ1()Ibelc0z6X8CKiYlPQqM*Xa$ay0%&2Cfq|8Q zft8^pI@{RX+(ggR$k>RJi{04BSkKhZ$cUAT*~rMmh@Feo(8$Qx6ucJ8+z8}Zo(dkw VS_eKZF4w#ig`m{r{Gt>AMgVcTl7;{P literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst b/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc new file mode 100644 index 0000000..1cb779d --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc @@ -0,0 +1,55 @@ +set_property SRC_FILE_INFO {cfile:{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc} rfile:../../../../src/constraints/NexysVideo_Master.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33} [get_ports CLK100MHZ] +set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports { led2 }]; +set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS25} [get_ports { led3 }];#[get_ports {LED[3]}] +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS25} [get_ports { led4 }];#[get_ports {LED[4]}] +set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports { led5 }];#[get_ports {LED[5]}] +set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports { led6 }];#[get_ports {LED[6]}] +set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports { led7 }];#[get_ports {LED[7]}] +set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN B22 IOSTANDARD LVCMOS33} [get_ports BTNC] +set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN D22 IOSTANDARD LVCMOS33} [get_ports BTND] +set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS33} [get_ports BTNL] +set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVCMOS33} [get_ports BTNR] +set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN F15 IOSTANDARD LVCMOS33} [get_ports BTNU] +set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS15} [get_ports rstn] +set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN E22 IOSTANDARD LVCMOS33} [get_ports sw] +set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G21 IOSTANDARD LVCMOS33} [get_ports { sw2 }]; #IO_L24P_T3_16 Sch=sw[2] +set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports { sw3 }]; #IO_L24N_T3_16 Sch=sw[3] +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports { sw4 }]; #IO_L6P_T0_15 Sch=sw[4] +set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports { sw5 }]; #IO_0_15 Sch=sw[5] +set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33} [get_ports { sw6 }]; #IO_L19P_T3_A22_15 Sch=sw[6] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33} [get_ports { sw7 }]; #IO_25_15 Sch=sw[7] +set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T4 IOSTANDARD LVCMOS33} [get_ports ac_adc_sdata] +set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports ac_bclk] +set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVCMOS33} [get_ports ac_dac_sdata] +set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVCMOS33} [get_ports ac_lrclk] +set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVCMOS33} [get_ports ac_mclk] +set_property src_info {type:XDC file:1 line:202 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS33} [get_ports scl] +set_property src_info {type:XDC file:1 line:203 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN V5 IOSTANDARD LVCMOS33} [get_ports sda] diff --git a/proj/AudioProc.runs/synth_1/.vivado.begin.rst b/proj/AudioProc.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..4b25e95 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="t24autul" Host="fl-tp-br-543" Pid="382026" HostCore="4" HostMemory="16297152"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/synth_1/.vivado.end.rst b/proj/AudioProc.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.js b/proj/AudioProc.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.sh b/proj/AudioProc.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/audioProc.dcp b/proj/AudioProc.runs/synth_1/audioProc.dcp new file mode 100644 index 0000000000000000000000000000000000000000..967567860f0df040c3c4620829aba751d3ae2da8 GIT binary patch literal 153645 zcmWIWW@Zs#U|`^2U~^s*rD^(H{v0C%11Bp313LpJLrQXiUPW$BXb39<GtU&ojJ;F5 z^KUuG96A3qcuh?ff1y~rLr232{R30wPnh-3TXQsSdT#IasP(ZwzO`=9SmdWPzv}P# zGyaPsPcNQ+*pAsWO?BbVh*zfqs%DnB{o*^mpWCa5FD%?QQ02jdIh!`JEcJYT+9Nt@ zo8V4wcjMXzi)`h*`wK3}^ZmZ`H*&sSu630ur)dQ9TBS&)7TyaoYYLfUN?5v>cKM&@ z|C?^X(kIBos<oFp?P2w?Ab!?l*Q_Z40rN|Da_{?AT^@aCKc{w5%n!bg44ML;ihghY zer5OTE-#6XT@M-JbMxCh&Id$J`jJ#}*4M)LZbs^_#w>2*JsFC+M;Jpn7jnC~o={M| zl@_tqVyn$sugjN9wg;|%6u0W~oX3Z^HU`Lu7-v0}N`Is$^*hw=uw`1u{I*?z&yFcM z+bqqg_ntM0r};y~oa08dr@y5I3h0@>ynin6<m$CC^W~<hDOR%bS26#%(Aw`c*~I*f zzu|6`Z1<=Y`(EY9?B>)GaIXIO#r9wNg=4u2Pn_@RNuRmedb4M`b;yG<mdhKK`7-!j zDl0sF^>5moCx+S6zw>UDOy|s+{!gwV?~9z0mEx0l)m+QRw<^+`?Q_jJ>UKQbGgH6c z@_wy{#NGXWpQz0}7mphE&)Ia^mN7Cg>}6(P5MbbBNGwgs%nvBaPmV7xDNWKVgC~O8 zNxu2F4S3q#{}Z*zYnZ;|oV)p#E2{0H-t7t*?TOQyCeCnMp(HtJj>-SJMU#$QN@d@F z&3W>Ajf;mB&*WAYy($%d@a*>Wo72;-n0#>0Oud&~VUWb|J?ii*VVgB4CtFXD2|2uH zLiUaVrthB`+$OZRtIla<m7SvS-(I<_>Xs(|mi)t<-@?jfJy>ywFX}auhme#kTPkl( z=pU60pPw9@?{i~ff9t`hvs|ZgPBI>HTU^oHTid?2^uq?PyX#CAs%KneZ;FVN&=x)| zST{NN*1o3gbA?Op=~*q9vQo*g%Hgo$(Wxu+Pd2~0WLXw;bL!?tm%lHMKP|Or-DJH< z=O)`-H;DhYN3vJ0{?FQjKNGS(xYx~+(&~6`c(^6?lu`1|wT_c>CiPABo8&k7+@xbN z`XwTrrVA==a{rwsrg7Apr>!{R(bPv}f@boSw~k(YzFQ)6*;}Ua-8vbu7Zxq3bUbex z>wmlb#}(V3$@}t(B%T|bOq_LCr#+hOHmlmc%|ert`%i8@{^VBjr?-Zd+R2sD3ymkt zP>svmm#;bBVP?6ktK_rBDHBa*?(XWb`JZ*w9yM}%C(E$sGcquQvoJ6SV~O016htPk zogD9f$Ux-i{qLIZ7OUkocCL8C$sYJ9plOCg{IqpzF9bwiDm$@n@7ta~o>BL1eYXDo z#pcd2!zV?THMYe_zPNY)>*Fnxmwh;BA-r3A2FIKO6N+b8H@8_{4awE?T=b*j!r2`M z<#<ktar$ujNQMcym7F%X`n<_vwMY=J&#}jaVpnHvTmB^1P^<g;anHE2-GAeZbh>o9 zRv4TyX~;VC#K)7z$DP4=B~MI)Eu)Q29G3)x@CN=9{|?%)xG7F(Kd@W;$<gBNmuK45 zR;|3`R?+y6^F*e@e!drb8|s8F{AT=nZFR9%jAEoTf8o?WN2Y!f4nOT5V`yJ^)y5_H zUfDOrCMS-EpQJx;wZG)#QjmH4Y<$CUhYJTE^shMgwD{>lvAAuk{rIZ$-g`V0H5Qp2 z6?kFUI)}Qsbu1}8Nujwqc`lKKE!R_3%gy#rniQ<EN%^$gbZM7Uyv4Iu>WZ9BzMeUu zc0+9igL>)=w#8YqTt#Dz9(JW))JKh|_p_4{eHj@TJQ&d<svxl_F&CvYX7pg({x2e@ zBFxaj)ky&aj7%&+l!BqLK}P<f8(M#NT<N+v^IOEv?>hsJ-gP`Ib84DXS5eXJNvpTI z>8t&>6RW9ts&V9+K=|S+X|8u6OAd+8ytA3DZC>@osDxejxaTpi<T>%OmHk{B=N_(z znG0Uk|E_dS`Qt4lD|Y<*Udx}%T@(BZ*D&5GkC5-UbGV6z+b-SLC{JR8b;^SV4^Jik zsAW6<I|oIxFm+|PJzsF9#`NfEnY%&Lw78yDO_9EJt7q1gJ6pf6oUr>!(ADL?B^~R( zsg;RT?{O^4Rr{26W*5`C1qw^;axZT`IQ>zZf?s(`-R`J`hjYulrY6q0Rki&8A}PU6 z_XRtQ(!#%(ykD`T()LPxLX)OmtK^5{oa?4=@TRw3{BQr`gR18{K3xfhO<(<+?`qm~ zq%Y7^T)FC;f@zksV1hQI!M|HgQITKYeMOCio=s6pju|m9T;IdMz>l0?^olbQ3sT`_ z;o4ZvgwUf`&+YY;GuP)n%zgUeE}Pw+YrXDGI=i-Q0)wu=Y=_mknOy?f^(&(eZ2R{9 z(-c>c5HUwFSC^x_;v%f6-Q0OQ6c;>Td(<Ya*YUvV@AKJD@0K6;h*PuIeKIHi+)PX3 z@@vNFkK((Ei|nGLEuVh5nCv$H^Y<4MrtNo~eNL`0&U?%Kj*rUcWDM6my^-;D=kr*D zvM=)I<>Y&(ADwh@mSOUuCRuUW+eYhB-^53|$<|lo`T3pe|8alL<4-^BH-2t!Ja=-X z-B15XD=G`Os<2ro=HGv3`kRaY^S+Dqb3CrsnL20B`fbYhC-m-wXZuS3cJH0z;h22( z!Ly0!{Svi&pXYd7G&tBNVP14(#k8dJy&_+-t$fwWzZNOCGE4t5<Ii~!ZocAKkC48; zkl3Dt-BmxecCK8vF+MgpTwg>pXM#!i%jj37oh81XKc%mH?z&6s)^V;H%deZSa2H!f zu9?<d(K=Bmatps`p+`4w)(iU$+h&K$XkH6q(){Z6XHUpK!S&foR5+$q=^T!kDfV$! zp<(W<CM6jm$?TPRahXdZ9EB{eyq0?z8GSh<`ttg9^Rw2K1oii*<nH<r`0DGEws~QP zO@HyNet-Q+{fman-FxB${S*GS7quzL{l9tV0OK=RA<ZC7<~mEB0}CfAxpH^r-TPh< ztns?Ck6F5F?_nj@A1O_*pUIxPJe89v@t&Z~d4__NB^tqtj&!>ndim=6+gGx!b_=pD zUf6!*x1ImFg!%<lJr_DE?2kNub^Fh3M&9OMoSL8NL|*CzvtPTOt}>;{{#!MdepNwo zZfyAbjGK=|<Udv|uWo7b+B<)R*&F*W=A|FoZY?xz_S&1Q6k8j%#dqWP;4LgH{@JVE zypxqq+&A~{Bi`Ec7Hg-M$1E|qFj?i^WotVjPLAu>i+>2L_g~VksTWjLnL06Of7)58 z_YaRM-EG=&!tUH={*()6W~)6?t%z;^kkxfB(0WT@fWN+E=a<KsjyqO|>qTW2Z1#Em zDwJcN=f^XvpU6ipH|LQ)wMUE5gON|9;_Ad2KAzR@pUxG~WHsDUS>Sv5!Y;R|?*8wO zc(^?BZO*C-UH%~Np64F-mFJ?{`fi@JzUiJMWT_f-rryjs_C)FDIx&}|rT13;NMfFq z&3=VPGRS<z%=+a)>yOFLKXswwy1A{y<*6%0r)IvhF37mNpmA&Inb+c)mw2pF%h&52 zy3`P){qa@haaoHe;fFdF-re)>VAlsnJB0|rKAx7F=_z4Po;$XLKM`MXLUN|4<q>I- zmlHdeE}dy^5oEGpzWpArJ&F?xE=&40OSd^}K9b$h!ftZ)%j3=_Ti%@gQfL1KZGLfm zX`FJG+?_iS;qlfl#GRI0$>vHZl9TMpZ2sPsXnJU$Qoh9eq6_<X#=72HufnXnyK4V4 zThGYTvlpx`uUax8co$RaJ;{lB%+j;`?Q<F8b?SsU&9D95_D^h0{_FQ9+|q~ceemc= zS5aGgpFMitzS-Ahaw_}&FuskMfAf=a6G#3}<L1@zcPG@b-aFy(Z$YB->(|_S0-L0a zW>o4<RB|*m=gpOgIIUe8wq(P$Wg7!)7Bo6@?Xh?J<e#6h#&+=mYwJn&6*pdf4Q0KT z;TbAc@n3o6E4_R3m3I2}HgoMYoT#_8xh-*v!Ta+{#X1{n*-fwTynQrR_~cXWoQdUm zM;>RadGsYBcw%|ntcPp=i=RDGc`D+pilwoiap)XL{TVJXDFt=29!mZRH9qkmf0iR# z{dJ$v;2XOYgoMsKP<k)V^}JHN^1t?st|cda%+G8~|D%8Q$j)E;TdQ1)3Ko8!?;-9P zHnl?2J;xyFqt?3kN3k;wep+8QG3D{o73H1gip@_~3aduTIWO(n>&d6T-tm=K^<-7` zl$qrlg*B%zR}}c>D#zbgX7OVAq}T6a4{f*Gq<tdq>`ABhLFz)2nl&H!mj1CbWw?B4 z;XRY~^Y^pfn10e+xUTXA!@te{89wP1|Ik@+eUT&Y*8K-o-Mg@TdHGJ^mulT78~Q)2 zD^O}L_}IATNq)9y#pSskamwtwDvf`zt<hg!wE2}mV*WDWlkZQLvpak3jr3cxWZBCe z#jU-if1mcPIk0=u>Um+-8`d3}tt;JK-@9sAy!@lHg-Um&{iJW%6maMlYUp>C?~W+0 zwf(&Ak+16hsx^z^lSBFQ*9j+@GQXbXFMY*k$IfS88h!V)R#$wk+2XnQ%<S`1EB(%9 z9lvxq`a!}{9<zXn<y(bc8s(hOZ!`H*)#2UudalT)G@ZD;>o0uWvt#<Kf|tgXZ#1^u zPE_AmE^9XF?xPKLZ(O$BNnCv6FkiIFzyF&KhgrV~Y%g-UH$^gg;hfi%mOAZ4i~7_m z+SVx)eL7r||E8nf(YkMm=%Xsj<JBuOh0UI9`gbOI?@eXCuIi(SXV*;QP0P1f(-yj6 zx6HPSiR~MA^*HT5wdu|ZEnE9pi#b!>?9NPRnNYZ8!{Sy)jzj?`iIxe4TQ{tRa$NGZ z7liNJ>i?hd(Ce2!{%_H}EO$tHdH;1Q+1_Hd)hXuIYpid-*<e-1`2W61`_v7ge7|+Q zH|fi4JDT{}$lg=@uWHC*mi@gdA%}NH9lL6jcSV<@JTR=l>xy%L-8bD+U*{;*Ei07x z-@WG4o-3jTe>vOd9$RLSW3l^iVz$h;35Wl^{*YJ3XFW^TRsXS8;5F;R-+uMoxYxLT zy%EboyIrRQT73BfC7S|dol`j$CGX{MS$9sLWdfsOii3va)I<<RC`__Rz^Ky7S-^>{ zWdh^El#n(M$15V0!|BA6*F26Kk|3E$fs)T&^EhfGPF3Vk6utz~qtL>`p}N?J=Os+| zvTHhr(}@NFqu3I=*b+O1DLm)X9d^W(KK1+eP;#mJKGVke|L2R%oN#yk|Lr|bj{N`G ztN&~xFW>6)Vwtd0b?4bW-0ab3)Rql9Hm@u~nLAO?s@rtS)>})Kv;V7<?cB2a)|Zb# zlQ*8(`(%T;tl9m<V%ajY>Pv~=Eo-`L=lq>K_te=3uJQ-nHa|A<I{QCt<;=;GwCwi2 zD&;)P|HR@`I$y=dP~R96=hvw-)bAHYH2t09TXI9dr!!jC?M?rTXZ2^4bk6x5cd7c~ zINMS3NOh$f^9eQ1Kib!)R%(>2KYaSe(+!e*@j(?A)sv6b-bp<CW6zz|(q#MOaO*cG zdV;DYmX>8Oy}R(_*1}HX)1Di{ceH1eeda&@^nmd{%Z@#qo%Z)zKZ`y8y>`O(r`Jp~ zT=u>7s@+u*9d}}OIe-4u4cB*NypvYE7sRK&yt%|-Sy*EUYbEQ67{!8<i~k&c%31PG z`|{@>DO_KI7AWpp`TA`E@4fv;I8QvaG~C##peSRzW_$3nkf(EB@jbY2vh~4U9_66o zWj^O7yDdp;oZ@zeBS@<7aBR&EFJ-;hjT-(^7k7Qxl)gpKJU?nud4O_Dfr*sCqh~(K zQTy-NxJq8!Wt8jkI^=!_tHM&j>^yJjjcU0rSz12UD+C4l<U|dUp0m%?E!5Z2icVVk z-}2oT`{P;vi_h%(-SA6hZSdv!%BA1CBHv0dK78?zQTbbn)I}@hyXry3m)*AbMV{He z*<ewroJZyn-xd2zlIGr57h|#o85?w<<LGYV-W})7ADQh}&GXvs@>*xV>a!)i9&5k# z?$~In+*0u6LWAJ>cjrx<O6oF?gw}cAc`d#1j`^c^UtVPFJ!78aRPDy`@Wpo~x17kY zUTuQc7i~>FKBvuSiQsBSFXeT$6&v5pn7%kA^T^(RFLtbsRaTIBD`${&_sd&JH=nP) zJMNf2Qsc4CJks)0JX_hW@)y@%%Wr!NrkXu!`_?0H=;Ay^<*+@IGLKBFUU&F;fu&{T zXTKUgKfS8_|8f!&r9XM?V2s(L{jGPCgq{Aj%9Q6v%hHn^pJ{t7D%aCDau?lcwE4u9 zZKc*F{5Sp`I$M=h^=PB)F7_==B47PvBaY=x`dU|cG+8n9{r3f8LQmFgpWgao8vhfY z3G)=LH|Pjt-Byqmdveu*yY+yrLO07Uu9S@m>sccg-QH|5=jG%{R}a4wTWy?w@lHv% z-@J{=i>u<-%v`<vzq!My^S=x|Ebp(0|FKf;Re$4@+H7-{l&|v`HCIiq;##ui-f4x@ zuiKiYT&)&evgV$;Lh9EzCe2mXwTc9;X5VGq<T8QXp-ePOzA=TVR^(ZU@RP|3%1t#K zOiP<;1Twxin@qUT`GHOADesi&c?-OarB{?5Y+U`N#YS?;pMU4qU;k@odVD_Py6?{q zb^n!oFzwr~y#jr5LNC5wW^&W<Uf;j=^Pi=e%l4c2dg}XXZWQuTp7z7|z@)P4?i}v@ zJQ9UZZ_S-)e4tHo*XkIaAgMxi4@;qE%~NzYrY2WO-Ku+9x@+|vyU)wYdKwC@aGz`K z-#hhUy!zYv;PVXI^Y%%(eMp>tp7%u7p2O#l?Emi1^td)Vjd88_->7|`uO!{Q^4sB5 zRNSlUMduese`%;VvHoe^0`|44EsI$jqw=^V3=7YxS8dvREdLej%VmDCTfYdKY6a*2 z^8M>_tK-Ua2mUOUmc<Jj1mCmvL`=?mb%p!$x5cUz!HY$$E?wEn?7O$}@NY@hJ-ama zF0q+AuR2Kj@3Ma!OV&Is+dobJ*VdYXN2PDC2pzh(D53q7iPBca$t@;HQ}17y{(EoL z&i{MuKkfgncfZ5`_TPH~eQnHcdn64Wne7j^|G(+o)rh;+m#(<8&-&%CpkX<G&BVN{ z37b~Rvuj#kS*?(&TfTI*)mO_^%fdE(vzj8%SH^v1Q|WnkNw+lznpCZ?T=QQSu=UMJ z-V>*nO|#heIcna8+h<oiz23;Q>^G~;#!&O*^?YIlNedd7XR@Zev4676StQ`nL8eEI zOlmo|=La5mRKhEEHsaGvtpz)pS&uNW`tXQsIPgC6ef`4yj)CmeQIQLl`<~KK*m`Nc zDc_rmtRiI_8tfXG)Y!Rp)IT~M!5!G#e);|aZ+7k<nF|_zGqK*8@Q-cnZoQe)^tm<O zJ}_UwQ`0QDTJPj{?uh??YGMy))r;@`*!(x*ctxboyQJNv1>(_iD=wdTuMsp&`PC7t zh~+5@{MOs;HhJ=W^-2rj6P#&weq2RTdym;0t^3s9v-)rDrIPw~quqCNg<2NdG6|oF zW>nVuc$Z^$;f(2<?_?`H)%niqk$I$9TmQP7j)(NbsP5vwj=c>9Uw$(x-#cfbr0SP& zsbj0cQo+rRUdnO|n&DerEp~V+Kl|xs!*ZDq6#4cX>+UvAoAGS^wPnBOKd$><95eHu z%*yI~@9jtG{%`qq>({rQhJr2O2Rgnp>lSW(e>#FKXxkB!370x<X0G?sIy}9w|Gtp< zmfhK{1z$QE1Xr4tr*1hi@8u(w{)1(|)%L1Y$j;I0<K<g>hAD{K?A;YsooY|<2W15r ze=Q8Qq_J{EOq&-d9=pT6KlY8ERZw#>lj#gujR(KJv}{lQ8)uvP{Y3)rDrVCix&a56 z|Ml!Lk9GLYCl(;8k&yX7`TV!(XU|{m_FK}-8p6W0!#1GVy>QO-)wi@?@<#1wzW?CI z0rMTo0nO%mHE!#(<d;_mI<j+H=mi|;*?v9k%7baG^Iu9nJk7fLOWN;K*6YQZ1H~>~ z6O=S(v3Wh`fWYjTGfQ8yy*c#MqMm8VoSbjThc%A>48NgsI`g~dgrYh1eY(r%<k;nu zbMrpTs5{UR+4#$LirJ#ArnxS!!sbj~BB*~zF7rtGKf8a9|L<(u4=S3^@=9z>ezdD+ zT7~G&m2PaB(T`*&T<Va0nOpWsxqkLv&#KvTg=W8RmQ-w6Jh4Hrn#pZVl}>F_EN_rh zA*V;?kyM3L?-Uui`QQ9a;<u-_2=s}u_x#Yg+;L^ymqz8U>*^oem||D3<=q#4nfxDa zd!EW$?905)!Qmcxpkr_2w1_!&r<Wh;jM{c2XTqV5D@qeS74Io~EO%Gk>}PF~|86%2 zi(9G*NA_IpPnXzurd)CVj=!aUEnB4Hr8bJLFiA4@$UKs)uvGB6gP9788RJyBZPy*W z_-~tVaPUXJR+(_<@U<#IkB5ogcUgm~ZnW(7s=6W8AR@Qz%3X!l_O+$fpG4)hU13>p z=<u{%a!bB!Sn9yVADzXVviakNzZ=Szwdd9?XuS42_UYb|@XWn8->>Js{MYpB#`~dB zf7gG>+#Sz(=;FG@^;;A!cU*C^JgB_=uR>~lE#DH8Bw>%tBadgqFA+TdtNGdAvgQ07 z?%d7AH_kjaj!IfvUw8N8y|S$w7P2wN>O>-rtvjQmu=Q#=^Oj$}wny_{{j3Xq|7CX7 z-uqYLx8A-Y&^L`GYo|@X>Dg(^*pHmb-}d5ZlA?#D!n%frIa2F#Yh$XfeBW>FZ~k?* z!qJ9|yIeth9qS#t7$@!$n|YG4P}IXx;hpooOHXT<11uHnVvLmic{^IQpFPo>{yF%B z4_`;E!qU?}zpj1#wL#$LDMrONQ}v!#I_&0d+^JB_Q6k;-Li5B@c^|HgaZU+}9zsue zCbV-j-ra3kxk6#O^8NRmMZ#QmKBx2^Cci6iyvNz7+;YIR{PWr`6Pse%&n$9EkUFt= z$;2D3b@2<z8UHpa<h!QXi#*|)U_Z%K;l4a~k?4iRu6&yVUGg2*Ir7;HKJl7xoTJF@ z#C)!zQ(e(cZz3GKl=_69@SgZy;dqZ}OTWVOW*y-tB_e{ttoIwAb#s3yo51O)$2L=h z>3V~X_!E^U(TXQJPpk<#v5qZL^~7$)09_WB#q$&{sGfM}(x}H!Imu!9!7r0pcL_Yv zY>H_*Q>S)f>xqXUj()6>%2)Vo&i6CcvhFNXQ_p6#WdHe1c+=&Hk#`-Rc?oVg#%>_4 z@%d8n^NIiS&PiCG{%*Ir+%ntoROYLL{Aw@PUYjNB{YCHj+%J0STk}4~)GiTvagc3; zK;N^U%rlm^?m61O=3e-W*LP2-t8AQFV(Xr3=(_1fu+)qjF|#DrT~=w!HtT#CclJoZ z!L)=&%g#<YJau;G!*^$|995mu`Oxg#kpkWHq#g5(Pakld+xhU=xhqGn&ed$Uwh=y@ zI&WonXHe1%hov%UKFq?w%Qf3APfj_Ul65LE%+%0l{W860k-~>tvR@@VGkt0hI!oeN zlJMarIY~3-`tn@f(wVr=%+TkKTASpZEjx98-^#N0dACe2tumPJ^4`wGd*-DZ8D>a? zF$IfzH+3dT85`;d-9OcTK3c6U_3)LWzY>!oJbYr;Xe^VNCKk+>-P4(<YGAm=O0Dhb z@gp1fW<E`FG%{S{qt>Q+>d1z$nG#~U!r6z@HulVvxMnPT_)uC>#KSYY5?2~O-SBLt zgqVG>`053niIygYYr50wA{2aiw3n{D9TtE1R_3WhLDQ!jX3Z*!2=nE6y}C1Tp{e1T z9chvgRlYpg8#)sW%|0Jqm6KGU>cOMOwvu~(QzPf|CQWwz=FWq66Osy4XGq8hsI{@i zC*9y!v8z^EIGQ1tubNT#@TdG&Ne9h0nmuWm@1FGGzlWto4UbPnoez(mf^f6`i6al5 z8yZ%$`|v!k?0onvCTWM3x#69e(ld70m>XI+`NZCtFuQ0+xcSouX%i&w@CTjSuHVaf zyjhc-zoqk_XyU6wXA_bN{ANfrnW(fq;O$h5I($T6TVhhjeFH<5B9O2T&q7(Xwg9e9 z#UoBh9V-nCS;A*XEYk7ec_;=F7ItQ6YwXOf>wNet{?x;L_m32O%uniIFfeRknjx|7 zC$A3+`wWR1UZG}wr&otwCL|pCop9<PZ{n$g@`<MoUQal6&^hsxBAatk$Jq%IO@=%^ zE~zt$IL;e96<7`uKRm_xNt-77@uf!|T$mzZGeeCnd|Btg<(WzUW_&ZW(Bt*lVeQK^ zPpC6?W}N*4BSXU<em*>YBFw_~M1uL|2?;mXA3gFwVX}lwx{-awDIT94XMA|}83&8| znFRCk83{K>pS{u=AD;B#yB7~%oN)8<>jzp+UqABTx2fTeQeU2X37dIbHhJ(I)C*e2 z>(jDxhQy;-pV%Mwd@WhF&M4w&H8iaFr`jgX*!hs_z>xy+grprJ1_p)J2}vLR%$||I zxnFTva8k$DDX9uOE*}winwg+<=kgTiJIgy28Lk`=;LLi~-ryI^w{f9bn?hi)_{BwP zZ5slE#Xl}qyB4;yL&MatW!kJ%g=1HyIB!_lsrc&35rMj_qz-3O!y_f$JR9!`ITvj2 zR6KS2h`_ttqz)?s!y_fLC6o^O@@$kAa`tIIB5))zspFY}VN2-@iAUBxJR7Ce+B*1! zooDPkB2c&P)wFHrj|)`fC3QHM8@4Qxp21;k{#0O2UJ}Qm2@*}(A-1;;2!tgjb*wiq z5X?wCrPy)kh(J|hQpF@iLz}A;em=}?YwUEFQfs>|rPh`%t=6V4sn*smqt-Sdqf;>? z>Q>W@3}NR9TRI>9I(fk5#+J_5IopmN7x2hUs!&xj+#@$J^})`L&WCq98avrl)Y_)2 zEYpkYoKaK}os?9eqhx5KG;vpjX;Q+YpB<eKWji|`I(BwG+}P3iu(PxCVdV59AMSW3 zRoqWac(ibO=g+SnN<V%%J>~Fby<oongFcpfGABvgv-0HWpY6l5evS{%_a$s<_iSfM z+%uWEtAaB%sl#)UMAB51wg)Q0&Qm5eb{41xpWA+Py0gzD&2|s9V7|b=Le5+E9}&2h zpVaZ$+(7U{ep1ID^HRa9|8?KoE_%c|@5l!u|Ep_xdGbH`@brJ?@!509*l^DQW5fGt z@`s&mIv+O9JMuy4{E-hP=MNl_whiX%|GKYrY3|3;k2U9~ID0HSB9Nu=R3JJdiNnam z&_!Bm28XqYVT;JDT^ueZhV{MfM;1=XW_Dh)uv78Hg(CvB83{_8E=+N@S)|#%f~`~W zmg6bKJqHiC%xTtaZ(tX8e$u?MTYy8@S*K-X_X3V!zKeotZ3dje&U;!q6$=jOFbj$- zo3nq~Pl+TgpIDag8ATnN44w+)B_?(3HYgQrNIaz|ba;xhMdJ~HG=--E;Q|LNl20it z3QX!KnNq2^#js)LyzCPl;XFczU$d=jf6l{r=IfQ3m!}^r>^$;;!Sz|IxH{vRuT3=` z`&cJQ+~YX8=Yxhu!_K&Uipoq{p>wysRoZ0KuydZKveJ3gwvLiWIj0NEGhUY(rV2D^ z8MZ9*;@P-@@yu77U^c@>A?Gy<jtIy|9Ee$eV1nSmE+J=u#YY6TN*svM&pD+~5}(BJ za=Jv)59S%KMWu`$`8FQ;aLpm9B1Ygq%=!~k4kojAJ``*@aAb8m!?UWRZ9NsO2Y1ik zz_;G`h;rb{zHrH0)tzyk(FGp+l&7*Taox@KV?xio>934d#U1&4nn}?2e%Pef8@D}} z!1HrDNSgJ>gr4-N5|3h1JvnlC6V{0vrX0D<+WFA4`3!fy1k;SyQ>BcQ9Kw@0?o6%f zxWb#T?)u#cf)fu5IlF8;;KEVJP`p>Jt*3%h#c+?nB#C(}tOnO#XP$b{*ni~12lu3k zm%;~P)`w4ESE&<hnlAk5UY*w;rIxsz_a?<OS|+KT7X9qKPvUFrkq8|D<s|`MZYN!= z-__NB%Xdrl%8XvQL&xG=FZFv>a!l5p)Nb&{j^p417jMO*4?H|$MVdFORCXO~oX928 zEG*qB+Pv68REsUWKr4u64oAoui8)RyT?{Rz1&1F^c<<L^oHy~#f?)Hb51#l7=1R{9 zI{M&@?_JU6W{$4`Rv$b9{HHR7%YJ?2lQ(gXu~JY{lckH%q0c_;t3+a+U-&M<9B(VT zOv2*o%YvoM`Qow*H?>L6?F&iT!M<QcQo&9)cTL%f*DrntxyLNO$REP>F8M|KsjP#4 zJPdbCT`2GE7IXZ<#jb<4f?vaU^nSlk_hOfmwQ4i2biI`7W<Ry(gYd<TU5$@>`ht1( zxR&g>bg-1=YhdK#eeI{FEaX_XP$K<P_mbl?AFT@5(Wtqw<iX`7OOhUZSyH5`HiK&_ zletc)7Moq}svsVj1tDuo9yBfy7GXBmox9@5gZE1(i!i(E&s`%?vEZf1(&lXIUy&ho zI&(T-gha|0Ml6;(*%9saYPHb;!M3bWwj1fe_D2(v{Y$$JzHEOrm9J0bO1z=P<_ip} zZOy{GA(|Gf7tV_|D|7#K>U?;{wOORunUyz;XOFvy%gY37f8MTxb@Tm#dCb0k@m|_I znagyQ$d2+Anp&%SYwdWa_S|r|Y%rDSJ>Oq1!vg<{>qVP)n_0yg?(kS<9CB?(=)(Ie z?i5UQ*dLnoVgBX!AzXSk89TfL&%6)ix^v{pnjn_X>_-pG^i`fBvFBXLvZMlE2j!^} z@0c>CBo%!0(Cs>yt3P)s&(W_Me*>33&}%*yw)5enR=3q66`x<$i!g`t|JBrD*FE>q z#Lcjv?81CiWk36pJ{|WR)-PkGnx3;RnYW3-kMmderGqQmkF65fQJ68u>)_7LW2^5x z__647=)#VB3-=ePg{U0ve~~{)@E^;s-J7HwJxUnUcZqoREB$p&WtcAacfA&So#C(L zTI_wszor|pZ-4hCU$rg3@)!Rp&1ug=y(~3WM9gD(>2$QS*fe&@<$|Q8oL0PsTqc5Z zf_3t5WK5do;=}BFEpvzAlI~KecONplyo9&^Dd~LnAbXkjRyn^OlhD@>{U$8S^t|)t zQtGV3cNJpSS>E|@Nz_XG2W#f8XAdM7O77zO;CsR6?7`FCo42Ucu$C<K6TXvkR`FWn zvlpKVq<zCPtp!)R7a#n1P4r@$34hG=BuR0P&!+9N=DG~eMZNb+#jf>kV4uB?aeA~) z?e#4I%MWIIT+iZ5&xl&0TDWWZYZLZ*E!}IAKGa<LI;)v~R^9~}o1T)z&mJ6KsA?r% zk^fR{F57;MyK5{fB43E<a@O15U3K}v%tg0Lq~5V-tbF!h>+;)MRsJZKwEH=WaNXtP zTig9Zc$ar^$Et;?4=T93BCK{v$2e~6`LnoML_O(g#!f$H6WP0~FAG?^e191uaMeXy zME#L;=F({{EsA&77d!fh*e*~Nbo5wVB9$~HW2>LDi|E>mstV7#u4VBBPTk@+o#DRx z)+Xn_556vD7ghh${$;|m2R|3Jo3h(0*)FoIu+7|ij`d^d7Y&P^0++^%$+Mhgq;1z( z6rNh5exOqIQ;p<F>B~l1a!+q|eD+l-zjMMcaJh@zG^NVq<GuFkuf9x4f97$qW8&Gx zKkgSUcI%O6lr3a<U{&wkAybg>@Q%j3Yrb;T%CS3s%y;kmDSXMbLEg6W(cz;;G84@- z{8-Lj4BmB7V4sKoYZDfWOU72b59`0IdE~+%XS>|8<;6v}vx+As%)2h*B%3)|Pu86& zB2H1~I3q`lOsP0~@I?cqz&TbEBJ@`EZ06pf;&L=Hq$8}$CFbVkw7-c0J`T|)?FLL$ zj>S7pEEhLzUtMx*m1WGf%VuX4OB&-Y$ux<*NIk3gr!DTH3{&)r(6fp$?Qxf7n*1}W zca;=ao3uNqRmB!_lrEY(%b7uF??TI#yBB89a$dl{cd2E|rVF<-S0CKF*fJ*el3qdO z(NB-2-3y(w!*A(#lXeEds`%FrZ%wyjXg}A}9rG)|`%%`kvTQ!_{a+^PF<#&OWuxC= zR@2%=#Ro%mYgb(^xam{9MQ&aCOJm(m@0?q!FBjPQ%wKI%DCqb5fT-B%)p57xue-SW zv(pz=i!X64=9i~GxKJ&~;2W>$*CKfNx>pd3&t=BBZ2}p;)?aI$->{D{JVYthX3_1B zjp7sMt?W47erv|Ysx=Q^bmc{LKGcrMN&3+zF;#A#>ZQlZn>>?_aJA2p*qGiG<FzE) zo#Vv5eh)scoq?9c%I7BNU6EO|^o7z{#eXeo8GIM>OahBL&Mx+Rut7>8t4-~-$q})O zM`t;wNcmorNveGzbXHNTNspENw1Bx=aH*7%V#X{#=Qkp;%PtF8I!=FWa)j@a=&a`N z?aP|AwWb;FHer^rV_)Q(x$Kckj{4b4GK>6Po+`O@`?Qlu^z)__^|KZiAKcBb%d7aq z(F-xEnn`~$=bd8>{B08NeQ3Tb_ZF2J<&v$>9?V>rT~b*g>cCy9_o3~=)+nKU5>ZPm z_lPcdUBX$>>CkP;{$DS4{pTaxJi8cWtgMp`zH}>y;1vAo=Y3!y_hEYv^Tx-!Wdu{* z%$uF3yW~jSU1TAc>$d%s$)42<ZXZZ|pqSJ<Ez5I{?t<H{QJr6m+&}%|lMT}TWhqyC zK{iV8yu}N@P|lARn%`ZLIW#46rcpG@`pb7`Id>S`UA(%bAv<c_Wr1bB-(Q&=yt~}8 zCGj$sZf8Sr)Jn^ish9so2{B&$((tU{ufysCiD`|^bHlRv!uhti74O)yVC$^IrW|XT z+bub!%U)S^spa;3xsc39lbYl%WpYF=Yd^S9^}~i%xr;I}pSH-!*qJiTdl@*F+h)cW zi5-uUUdAmsZpwb$*p`uRzEtDGFH2U<=UBRoch=!%_A0N!M?aZu*IpKw;D7$b7U=`F zt1WGU7CzsiGEeM++uXK<qN=#%iam48GFP*1kMw?I$&q_;633rq;w4h+tY5emWT?iR zyAU?Ddxz1&Tr1w`mR}}4E4b^duk9C;by@qtg;>__tDY4Y`mN98TPU_AwwOa`fozxX zL+&rT{DklL#WJn?^^#Y&^8rs)u=k^=j(eA;JrwG`7gj0|{`E`WwZpCaZ!c9U<uu;A zn#qx~;JZot>!Pao;vEYX@t1J!n75e!;K!2=F%B2==C%be|MG}#OJMmGQOvP?fq%)o z9ZQz`o3;lq-db!K^X6iDlz&0>)~h>aEIfbkp+xI*#V!0YYPvCE7wV>}t?PNgefD8e z+rBF@>y%$;&*j$Ze35-{XR6<c>XcKnYFjI&Z>!1wc=F+lU+Xij_DNK3`zU{|Yx>ro z^5<SXeD>st%(?&1TJ|h|w)RG}&Yr`ozun!w-QxQ1L$-!<WG)w7`|;~y^6xpORc|&F zyY=VyeYO0)XWB;PWBvcKyC2QY`Wa=rYGdl1pUKC}`}6vyPTgp8YsUFf*G$=<b!(D- zZqdzIE}dSJ68S1@gWi`#OY<y0zhL#g%c8yD?6h4|b$p`VmS}55D%$3*j6T@)Leu-M ziuN+~w<X$>*R*WA@iJ`6=5<>R`k6-_l(?I|GWy)Ei+js<iH7PposW2>7NKdIxI9`i z`R>a~>$@qFzAkuLwoA0|+GIKY`2v0=73Vs`H^hBO-PfC?bgUytQTwWeb&KAXpr?Ny z1?)QHWjZn8{^IU6A(IWuBz(69`))e?vPk=Cg{XDYzHPrOPO?}}(%Y<MDw!TQD{bj4 zjb!(W=3#8dwQqiZscn5V>l?FG!qTY7vvnS)*S<O>zEA$5k@Z!rZ^^rk`)!>h>sx+% zrCLP(uESna{SE9F9$mQaq44H_+#I{?FEc*sCP%xO_Wt->nH)9i__jYEw{QIP@QuVR zkyV`Y6XqT*_!fNYlBV*b!#6j6iAnEyU@7CSUvjGBNI{uR?v`JCR|~JT%WVtt4cTb4 z%c3M@hT9zbtS>vyE<SJh{m;i*H*@oT8N;$StP-v|ZswNiQE#KQBj;>Ox__(iZp0kb z7pC5KwWcjGe_Qf6b<Lc68!z{UZsw{w9b|q|E3<uWUZm*iMY(0WHhP8m=HGaEEcp$~ zt<>ex-W!B7cQ4IjweBp7dNpnH<d>!1cO|S_<F-ZxRBW_ge7DSf$0wsQt+ktOGpVhP z;9a}r7SpF-&-f@IE6tdDACr<(pRSp<Pj5r;v*Zo(v0~eTpE0lOQ;XJ`9=f5*Dk0PC zz1-i-rqq))`=lL&b*KJxv7YcRJG-pu?;N$rX)@Z$`xkWUO#QjXv}4~!)7^7;mvz5& zb9FV9b~+ywbvArD+pa5NJ7>*x3(lRYeN+FXQ1nx-YaYRGZfOS|ob|%Y`tBz!vng`h zuB=Tv{6f(Bu8ZYw(YskIXQ%967QH6zY>K4yT)nNgx<ZfKkSv)yRcrpUdS~OcA*Ua| z*<LbrZWilrS=|jmPi?a-d^ZI>J^k=~=C-+6rrFC{%T|TH;V<c(o24r47#_VcY|2LC z%!yO8Dkt?WsVrN?Y1Z{DYNeW1B)9LjptU;5=@)auR@JQeKT$1e<+S5BZkinTGL1az zc3DUJ>ZhV__9pfzrj{SSKKCz~diRJ}|7OFItxNMHe;c3O9#i|svyAWT25pPLW9ODi z=W_RL{``V#chk?G&-Aw(*!Dm|+I#wK(KF?ok2BWg9^^Eew=7yL=H$8MmJgE;*-M<g z?A|Y7{7YW<>><Mo=^>|Q2#WXEq}R;+#A9;&M*Pc2bM1>p-^{Dn%Hw6XYeb&>=cj$h zy?ych$ex1vQ~1-xFQo4bOZ~9+iTw+&(_*U={(EnJTe4c{&HOEQgUnC<bG2TxP2*3? zm;7G_`*mu3mYrTc%{=A)#N>Y=$9`^(I@Xsfd31mDEx{xIoK4kl-Z=T4L$h6u=eB0} zCT`iP6G4_I^@=j)E-kX0{UAX5znN_Q{VtZ-FD`sll#ac3SKZR&u-A5_lWNu_%~SI> zHO0QUP@;YJl*H^u7lN$sTI@cu@V0Z9d}sa){n8hPyY<g(4-1y9y7G!UJbYs&pKW63 z<5gZ|Go7>7l&sdi`IOH#Z)tSs!F2^0TVuV$kKQb4kK3G`xzB&r!wY}QPSw<#O`e^* z{LJ<#n`LfgEI-5V7XFDpb>*Cd&9YJ1S9fcjx+&A1x8>KV&aASj&cROZZPmS2pZ|P( zeBL%aMt+~mG2^heJNfQDlM{O<=W*R!^Yf1h8P*f^H+=pX^X41JbcKv9I~Ts+P_-|l zNBX>&MM&mVkCQCBZ!N5T6E$Of(sc`y(|(qM$=tG0Nz3Q-Y|fV5b=%J}?x>jMmK#Ak zC&fO$P+E5CPsX+x{+G*6oir-j>s)?QQ(fo{TYKKNV83HGdiiuW2R}RBr^=_hCD<=y z<Ln=|QdY*C%`1DsXZ^Ioa`(}N*}79#-&|YsJ8|Wlgzc6ky>p9n4o<T&x$I{tn7-Y* zWb)jiJxzBXUQpWoa$DEklhw<wmP7}heD}z$y-vvP)q~@cU2Ya^JF{?l@$Z)Ye}10z zlic>Fms@YSeAa6p&)ehg3SXRk^JHRuk8XK}`?pVpW$T^S?|ysDB+hWo-RBp+-T9_E z`S4AydFk&qK9sLpzGNFC+nSOWZ{P5^HLKkG%eQxG>%q>4Zy4`gxmhdqL?(H)OqFu? zoS(CH&N43&^A(gockP4C<_S-_zeN38xH)GFOZ4*#*6&V+&1>0YkzM+to5}mFMD>M* zf4AN8{5HWk{$^JA=5|@HR^6?_Zv@--ZPDG`v$i}V{-FQnk3C0jF6Og+o~AlGrQh;P zP1z>SZx;7|tU4QIlf3y`i}TaBWt$}AXZl-xsr!A`@YwFhZ0%*~ijlT+Y>%=Rh)t?l zS95D&e^K@J=U*O1+C9E-JTm2^`qFgSDs%3Ws~*0wv`g7EVU5f=GpjAy+@5xaZQfsC zkM#IdnK0Q|{@J_~;rRh!2hFU%B<-79G$*$(WAf6Xp1lWtFI!q<S$%P#xX#qoH^bWF zHU;-h-I&X_H);8q^cB-(ZY8aplb~<C#qxgI<-n;4>py(na<y&gsyTaKUC^?=t5SV- zA^Vz=)u(Tiwaaa}w6<%bEZ^D<m)81hx-PrxvfuVOZ=a;gY2RIC^sUYL`^}eO8_i{- zvX@Jz=UZ;M<hOm+-nSR5wC}!>s6M{1-D&Gwj)o`Q=k)A4TYlYYPyD2FuQVe%eba;N zQ`I%Uv#xVpeN)>$kLPN;|4FCqXCG;|Cs!rNTYSk%&pLR0H~-JV#m12zS_`&2uP;^O z-uM4+hyS^`C!6#)$$!?|W$Zg^g72?R=V<Gz_CL2h32vYF&L{VWTLORlLg&AS?KhuQ z3e#KtSu)<vDw%8j*|_$3ucl}kW}bS^XPceb^~a)c+xdmObAMUX$nUzpFx~0xJIS9X zejHk8`!-+i=k{Nh7M{N?{-k=_`X6okYaQ=R-(U9P@;BDXRK5?3gMT;G?EAgmxw?42 zxSp(emdob@U+ngucktVo|6|(r8)-`2(>G7?j*rOoPvR_F=q-QaW!UEKm|s`C%;%*D z$K1+aD!n>EIQG{GFY}2hlVfHjY)v>KoU_UM_nK3^$8Q|fd%N{gZ|EjXz1p0m(*2vg zV}9MqyMNmL(o(&>`Jn}Cx_nDt3ZHIzoHNV&eUzw-e)9C4UuLEr<Sg6i{XSAOCh+XK zf|t_-+P<GKyf1a{a%|P|9e0gW=bZmy98<OW@8$G4{sG3ZRcmWcvdmwYw)0ES?@5LG zdUsq}8eY7+z5DpzwVNc{y#0^lOc%<%XHuyBY{!*b-%Lg3?^|E=(lI<m{QQZ$b>7Ff zuRLg8@;N5zwVd3$k8fRMc0Av`g=?PBpF@j#c*J*<%+XkC!@TF#(isfGk`GMvzGhmN z-}}NH8>PH<?TLV$*Ou;Jm@N4~Y5J*K=i1G`m+Gy}+wEDwtzVWYyQ(3+cjCl{U-e{v z-_@^9dc!u|E&b`&p5%GS=DW7s%`NwR^i1dBVUzG*^G+Gp_?zzxNj2tKHTg~2^lQ;) zH(V8cBQ{-b^JU)+(XmxecIyY9zLBeU_f^!>*mKi%eR<*dU3-;G*=}$D`q!6VAF=+y z@^P(;rAPZ_>zzMy)j!qMi|1c{bLZvLN1yeJUmkt)<YMfjH+gnbQ`F-XKkYxhRQKjd zb=QBVV{c8HTeC>=I^*|GnHtuWPv)n5|H!FV{Zk_P;iYSDo+SD=tu1*u_07}dH+9qF z&iTpR*FGm#@RD`<`<0tNCT`!k@|kSLRB!e}e-EigK5t~7xB6$8(H%+WA7<sf-uFwi z`0qWuzUxcrjQQnjJ_o3uEY<tFJ$T!PmwT=*U4MxA(DGZ)7oKb6-}NPRdCx=n7mCw^ zTHoqFtx>I=@%`o<Gj)-Fy>f*w6Zf6@cIHoPvgGQERnsR$#>RzgaF4y!Q+fKv*9NIm za>be9hC<Eiax;He+|L$0y;OZ-<=clruGgH^PtJS79Z}}Y8ywz~`{1^-`EKc*64hO^ zXT(0+QSQ58`Oi!(>&i32h2MDWPo}8%S85-e_f)-6r`Lbe^B-zfyU%RoIC8^ndRe-9 z|Fr&%&wqZ@->to_Xxqu9zBixpMo-Gw>@A<B-XDF>;`Skbt(zwoiyyhkt@pOj+BEW$ z;}<3S!)19%0dX_e{wcVzbLDLRnYVv<t`FYKegDk<Czo7X=bmhS|1-Yq<sqll7X<co zR=4t7?A|fyl|}W`@(S;#Mc<_4ca@zAP0g{MpRGIf^i96$aoa=sHeUbfw=y_>?s^gH z*jXt*k0!n0wL5w{GCuO`y(ho7ohz-W%c<_}f9m&Ebc*u--05l&X3nhD?fwySS99J! z^tLE-er$AD=!WvxTbV0kPTnhi$!q=emDV@A_@h==*?(GoVV<sb>zvIk<G+gcxk}zi zf4F-uZ=d~!?9NqL-zIwJ-_#7BzOg^H>bT#|Rb91@F3m1Gb@l3-;C*X1g!pZ;t$DdM zWL?VqkGAg1ck#V*`(dY2<6*I=eq-LTxKG8?{W8lp_Y|ME+xJex+#|K^U)^-S1qJ3` zcb6Fp?JIbhGP5Cm_S}gdmU^q7s?jpKus&whwB2vN=s!E>@%Pr!$z6xyF2DGw>#T3F zzV+$z*5U{~cQ(E(&^8CXbDy{l{jB*v$HQRyVP2_o{O!)Z%@&q3EMpJ1CcDj#yls@$ zb7Juf^{dxR%;rr@QPiIket-S8zNegvHujYYx&+H|7d!n>@3t_^xN$*3dxFaxQ7@%~ zpHu@6%H$n+khuJMxKVgusLpitMM`HLPq=eU{J%Wv-j@k1JuCh>Ffu%FU}WGy+51wF z7Yf@6${^_QZa>oABO?O?0|U_BBXdhjgN2uW?A)OIM`>ZhZ1uL?_jYq^t@U6}eH$<Q zW$(FN%jWl8Qjd7}^upT$Up2ecve`BZS5M6FzrB=COk}o`vFn|@NCT#Ww{O^)uD445 zej(lLYTLPAay`rn+9wwFXBT&vygqN?$!?(`bCdb`gXzt#H9OPVzMFjcW-ij+cGsd( zU($MaP4oP>GoM(suim`WxN+7e(f4wfe13CtZksXD>8{P=%T|J3ng6(Bm0wTZ_*{DL zJgaY~)qc+pyUcO(OvucbrO8J9uXld=^>493u?G9HEGI`p{q=b)yf?Yj{|buUnG$-# z_!y&&;%-~@&ug!8-}qiXZNK25-pCclGMZjJty!_rBD1AHqT`M1vgty*W}W$CJ8S*? zE0do~-qL;K$uQUV#hP^w8*1CK9M61-JfF7uz~zbZh1T}w@&3#!_Ul<!9c%G0+LdiS zbG6pq%IrNt`^&|m60Tq1(EPt7uO`ND>2t5wJi$M93oLYa&>YCHT=4&FaaL7R)@e6C zdFg(;HuYMic>1d)26ktx-6PgZx@67Wz1`b%Zn^wQuG9tAFK!fb<cd|wvL$YqzP9#o z!t#1q_A{$2J|<^u(DuLo^^s@6$*pd$7TZqD6<fQE?|1FJt819QPhkCh?cKC6v8g4t z2bcWN+#ptT{)L@>DQ}{BWRgT~=B5uE`_%V3uso7DB(mt5lZ)ngmzPiPo|jhH=w$G2 z_4<iZo|g$X#4?tjRZhC9w60cuC6lXqg<p^E57oGdmu^LD<DUNhh;#EQmr2Kc7QNYH z@8GpzX~*G(dn~;iO1zHUYC5)Seb6drBagYVp%b`e9-KLx+IpgC#)N4TcYm3@<~YNg zR{_%}J+7+iVKck9OGD%A6HBXC_kA9`X>t!p<9Wo^VtdhkU)O@gUyaOM7P86A)0k|Q z+sMJSe*=?##I1zFn|DmYf3H^kw?{j<G1k~RjraP6eN6e<GxlAY*`a<pJ>>n_GS>4f zXFStVv-WsyXIlLs#ch7bJPtYiJ(g)tcZOdxYkJLBaMe0e%jAJNx5Os>TkqnEn)h1z z<xDf5ulo6A)=V4IyL(-1Z_nIxr-63{2V;=Sj$^qmqy96$TDSE0O`q^{6%YB{0_VE$ zv0RPj7rQp?D$~NVO~wmO|Ks{U&Enb9&*D{^rkJWt|C#@W=j+U>WvV95aXU-1Or#7& zQlCbvFUkMY5_m^mwPNa-<L};i$vQ~!O8-dBbbpf<sJm?4l|}g>A&Of}RFV#zD_)nk zVj{P;Yv|;|dItYx_N^0nXr#8G(PY;54I3{{G)u94c=^y>y$yBT%roByU*eRTsuvop zVWsp<_W0Ax6?+%)KQq|f!M?Y~es@{b)C#^gkF<WX6t$_88)`LY?)R%nuS)!u+QBfr zZs(-#+v;0ao!u;_>Js+jN|%N1>8%P|iW-u<nNM$2I=pi$=k|1V`J?Vu`6?g&m;XHU zGHZRIP3HF3CVzI>hm>r-tZ-qP`C@~0U;27$%ueWJZP|9#X_vV6SKZ)8N{U@AHv^8O zq~3}A82{(M)yN4iR;`G7#yLTzL1W7MeM@XO7bmUQsH1T9AJ;?!#=VOltW(o1)av~C zV9!In>cz5eS1pOTEa~gN@R!2JIkOI2dEs9xsD9)(<JQeLjZEJ4C`-O;ZZq^+{#jQ; zYeJpxFJ>2A&vJjEkm!dem-f}MMvAPy^7ljKeAoS2SCf`y)$yww4J?&#o%XDFOIpo( zR#wTX_tx#1mo|4=&6)5x>fg#G{aJh4men80&#cS6#+!VllcjovmVAoV(S%<t7g9Lq z9{FAIyk+NvoLaj-9A4T7<NSYBv^KIG>ic%+-*o57CEE_A-CF(s_QtuKG9@c@t*d&@ zX@~zy6=^e{EM6M9#pL>)Ln|bLH$Ua+6ns;DS!(yGt;bkq2dzufH2KGStTV85)w<|e zD{3cRyz}P0&(!Ihc~#a;ZAGqUSEVnT@ZT$GioC_#Uh%(5o4@`)%-{N3|ML^I_d9-T z{S9H-(p6(MeHTw?_THyqll;DIQ&ifXQs-U!LVd}ZeZEz}98Z_bJos#Zqd)&K-a89^ zEAZ<r{+aV>Wn|ui2_mOI?Du=WS%1p9**(#WX^)~FB<)YJ`cNRpStIT;af@`Y{%j5* zyLXcps2z{~{`R^K^Q!NPpYxyO|NJ<$_|>xhe-GRJDoRS82CrE?@81hkw>=B@-ON9F z=zXaehvUDVC69P_Zqg5U&bwG@XXo5m3+49pJv{PT_Z{;R>!R~lf-I$$q`ooqoOAKP z&C`wy4ij!?ZY)zOn=UtZ)i%Ft(JLM;;Wm2_({X8w^xavLCU*3Pp4s+VQl43=Yuo)- zLK8Et8zwA`{L=RGV{vz>tn+qt@g-_`pKa%PF1xv2t@v`!1Wm&yH&s}!dS30;jB`uw z;_z+!wkY2E)uZ}0Ki4_i58Iut(Mmsk|4{Gl45ya(dGS%@GaksZOnkc}^;i1MBlq8{ z{xn(5X;O71xvMmG^Rt50_cjDHwmDtmG_vJzx%l&>QAxm|q$-V)TGxP*u1nV!XVx#i z^m~K%#}Bq@y!&r2QL$R4bajuoqFe2JRS*4UDb~*1VuQ`gCOn;(pOHEzHt)H2WT(Tu z#c%Xiipt)0c0VPlSafM>jfA)A>>5U|RV~(&Jr49vC<_p1dF)wY^sjr$g%X_?FQY$B z4fKBM`kw3G)SeSxUU^S>v?k9#S$unhY2K~6vhKXEQi69|GoqK5m!6aS5%&MsycGpc znj9PY&seLU)e_<J6Ir&ZA?E9eoaa5ZE#(&(zPQeAR_eb!)7J02aVlf>wKXydGWKo7 z%kPCBu$b_^XTeH$-B6L{?S<B}tv1HD>B#Jf%QI-?xZeFftdr}}C7IISZ!b)*h-G51 z@>ZXqBErBU{$7J+P7;HvW7+>;C9N}p6V1<5DM&A<i#6wX%zMrDaQLzQ1xtTVIIRBn zZhS|U@$vjtwubs5k5rdCN?TqE-3fiR^VH^T^O);@Sn^*|Jd~@X#jUH7J}<E+kR!so zuVBxXnFiM@%-(fw^jYE@Q*mtmPn+U|BYs^mOa1(dS6iCS+&p)YbYftAd34nAf1UH* z%@eZ-+xWjGd6h!Qic+rS?ft2%XX}gIy~MpQaL&cQhI7~d{rxWXC(|yuc?!RymYkd- zrhKb?g8GD~lY5)Ji^T5kd*{77r-N<hy7{~b7jM)h+onaO*R(RNdo85tw6g58_b!gr z)#Bohr@T5Hztn_p#=Z0fYneKvjvd|Vy_fllOGtUfmvdzSSFT;ZnYDJ3-<Hc8`kvhJ ztXH?m(_Xgy{gX5N+^ZKJVV70X+BN6m+OX214U6;CqU28V?~FYnc93U(ZEDrblOM&z z?61!{xzy(9p=ZyHPjI-}KiW|i9rn?5_KG)m3}=b&e0+X+vM=wl(+LZXW#yiJWO3@& zqqS3i>2IC+%^)hhAuuK0V7W{CLsQRLFIgpyAKc3jcvv@@sd@!_rqhh`TQp>@-itAN zG@)tt<GbF5Qze<XQ>q?Y&UIgX_7YR#_CTdcr`|vLUak6VR!ys=<eNs%@@UD4RuA_W ztWo@<zF*#n>)nSP+RV~b3(d9V3|?JY_~-JKwD0$H=GFGU;Oa6jZx&Iqyi=>H%sPc< zy6~KN3eU2gy4aU)Xnf?qK6^%teGlgc<r!1&{L3%RT5G?F$;u!{?yr)^w39byd-Z7V z4~w+U$~o|t`+`r;!ZXY6u1zTU_~NVcoZkZ9a^(-Ha3s2?=NfMoFJ{jDyyan4>1y-W z9lOr|Q8;?L)3e*<?50)s<Ey(ml&hc3^X6T6bn2?@Te_Mb>P$abxNh;YyECk;`<xc% zA7QmUTo9NgsKS_Dw1eeWiSC2FYum%7p8PDkSy?8Kwfkio)A~g7>+^#+FKS)<n!a%U ziT0Ik50p~uuD(0uR{oi1pPOp;q~&|R{GDm~{{F!e`<6}JyTR(s0)fDIQ5%he-(MX0 zSK^sulKcGKo+B^U{yG@_Dq>F8>qYJfmn}J%u7*6;PoK!T<%Zs=Z%<}swt8(mdm&)z ziJP@^`#wz<z2zTsN8L~U^g*SCKLd83{9N$LiFq2cT1}hFygNP$H+LVkHnT}`l7D@{ zC%IpYXXCpC^Y~6|bYPd5xAuAD$4&2NafkSCU(PDdyKG(By3*Fw_xvmuNxkG^GvjzJ zyY-^bCRv52&$wTFjjnbvEc-8({#~@NE<a5`P2jh7YK8CN|B_#>OkhZxu61VnT^k!q zhHaa*9%$HU8yZKe?pX5iVe^f25zQOM-ES9o?bMyyq89&3c*^^iGvkHCHvX73W6w@$ z)yP*e4E~}GH+NNE@4Wa;B=Sm{NU!p@PNoY?&8qoA;q}2H(E+(eG67$faW0Nrt;xP) zXO{HJO~wwd9V}aTy{ENrYI`O4`=Iy5e>3&gKI+g}Uw!L~`OCHDDu29hs8lsBKgB3? zSS=|3yC8p*vE7_$Y1?eLtldwU)-Ar2H{)mg$2G=UrqXQ3GS2z>+y3@ovpk)c<IfSX zKrziwdtYFagU;j}D}~fOCm)}1lUNex&o6rDVYKbD8n&5wr)6IFz41-l&(gGW(p&M= zvbyhme>vRC*Mu(*nO}8S>b+C+2Afq*D^%v)wo~@Gv!IH9CPTFM*6kC`zvgi=%X~ia zf7^H0xURski{Y1f{^=_{bGgCec5B)8&ki3R{tVPK`8UajCvV@q&b%2f{WL!7+<dXu zB`W?)e`mzbM#(b<+moI?54Bs>>HPgh_V3eAbT*xQ^~XxNQ}v3g*`$wrZ?EoWc8(CZ zZ$CTYubuNw0rwX(7YALPdDm5S&E5MqrE1OoT-3TStv#VW(6uaBvEtr~OOd-iO76Mn zHnA*l$u9||X7hN);N_;y^Va`AWODV;>8hgz!few|RU~Xxo%}y0Uvu-Ts8`uPm!w6! z$XnL?_`{t&;b*6Eue|!V?0YBs3zIM#ciu@43KQ#}@O*M;(6u<#R&XpcHO#Oq=8JG~ z{SIfTqjyyfIjeVmKYPSmt9oZ<^qX5b2idcKKYsJz&NPW|u1(htwQJt)N)LUp@+O1Y z{p6yi-EX38`aD+a1#N2SwEmp9@%<g+&)ULQv%j_7etgL2lff6Q<X6m}<u9K(%Jk-o z`csqR%2x4?QZYM%*SZ9oOxB&0u=a04zWn?-58jF;F@5`ahg0MEyt;3*XGv~8dwb>r z)ppU{Pxotczh4l{F2Swopz_!!?F8>^bNNGN%Z?njJN4g?JyJGjyMxZR<;h+#zrX7; zxg4ExJnO~#skQNY*6H!ZMO^M#+~^y!C^4>`KVG^d>gwDx>W?gE$;EdvG4*`z6u48g z;^NOVd+D6$J>eM{5<3@HwC24Li`abX%vLp#W1_lG-|Fn!`-`j1De#4~|M3ecI?2JU z2fSpju2Zu9@xZU!;*fy-rSsF6r#xS4c(!z-<BPi!{gQX>KU2XMR`6-#xjU~E4bBDz zK2JKqr#Itd@F7QaQOj)pG*ib#Y}G3q;%Y?RykwH@+HmdeB!_bc5>D@Wz0%IK@yl|V zUY4INb4<Ms8m!BHzDHCke_Gc{qvFX0*8aQuie+bPXJJ@hR(FN#_>A9=C8Ylg#6Q;f zaozKsw0m2~_4t?_ti?x8Zkg>CyUi{qn<3(unz(Yr5C2;^5?8EK<PS%F*tapxS2AAw z*R@k?p3K;%RB*3{McZo2gVa|h^@hKW_<cWCy6N~yX8v1OE-JH^<>%*$bJlEP*N(04 zSf0Z4^5r8x_6=M)rNxQg%NCfPtmPN}d}L;mc>uS9ut>LU{IaiaeZF4F%r~f-v}2S0 z`Ny8_54*+Q&7M}LeXv;j%DkID^A^813ijQ<twYA@#H-2u`im!=Z3%q*+vxM2|99gh z&y@S^O<VKF_GzwGmCB)jNq4m!{ue4c_%}`s{K{)pIqR?4GryKYihdr`1?A1ZnYT~5 zu+Ze~r%iq@7I+uA?^oR^_v_ZNr*~hnAD?)x{I;&UW_Fix*Z%`kbl+b5K2;>ctN)g{ zEoXq`@y@$WH;TgNOgeV)!0k81w?7)s`?!QVe&_A#qWQZ*)_qhs820-3Q=1=Bx1BVv zX*|85T)s!fThZU)2DALsvQWJZpI?1izTKPcn6;nsxAY#JC;pp*7nObEJbLQkH;H!5 z24(45U1|OdC+U*4Qh!{yd-UVX(^NMZ$E`oT;g{~;t!3+4?d6uY+B7!*&}A2FH~ps= zR3x>mcj@N~auOU1xpx-4l$auW<^A4!`u8_hKB(uOba+YFQRNd_%`V@iBHPj|m#<!R zFmh&-DEE1BUmM$Vceec02;=_Rq;8zJeE<6edv&9j>V3Q7AEl*VuDhnR#(Jlh8Mpr5 zRS`^%>z*6wPdPe+$4f2!u&Vj%PyXk!b2C?8^?mtYU$WuuguDZxEE|;OoD*AX8JF;T zK~{OFPS@E^-pl7F7qPAPbJ<`YTJh)cp{Yp)o);zJ^TjfcFfl8a3oT;4R;RWrbdwPC zi92VOKDNv$boeKH;oOAKy5%)i=RzEJmAI~$$Mj>SnL@=6r6qBj{y6W6`!V^7hr-`E zUfSFb?`mDxt+f67Q^OOVYul%3JgAaBe1GHaZOSaStA3kayJC3xV#HU@=N(`F?^j>x zn>YE+{)AndjVh0wzgBVSnbS58X3^9qcl~<|SuCzA9Le0UC~wcD!p4_Hmrm4cy>WJ# z`8xV>TlHay-8(oXEWJF9CQEFYSJ`j9??*xKS3ynZM`HaKe}3hNJCXS;FVUAdg{2`U z?2m=r7Yn6yeVHd4e{VRqrXzH&m~X|>`&(C=*@WEJWKnKd{arF5Oym60rFoY&sS2N1 zuzp|kP2ssE|28Tv{bAYCp1EO4c#Zays#gihR@;0pNI&s5J$bICF6*yiy3Gmg^V1BB z=X%afpD^?AAIZJa8k2+cH43#8f7#8*YL&3Po7L%~{Hc4U`#M*TxA*f7`91wGt^3fi zsR74&Tz~LvRjCYgoo*jLc{OYH2F*w|4tJC9^$R!Y|J8Wvwcz5v#!&O6x2n^=AKO%2 z_}*`W_JXIW1x_9QC67Mr=$&}(-S&;{cm6!mUHi#J;=kaOl2sqOPVYM0DYn#m?#siO zJ5++F$zS67nSB1h);E9l?AcryuVTGe&|ri7=|>aiOtq<Jyr0=8|L*8LbAzoFKC72c zvA?)o__fz(yRV^hFTe3g>NI$l?bNlw?|*!O@2>M!otBGSdbb;1l-e1iA2C1o<4N)5 zD{Rj7wzU*9NQ>RLeEo5za}Rgw>Ql?k2^&X0EDT&8m)j6qqx<qna+zAh4(F?Z58Q8O zEY%MD9olezcG$I)Bge&6cfGi8{;tvg)}dK7+&6E9J$hBP>sr%Kr;DpZb^q%xeR%O| zrTzOy1y!$<EZ$E!>7T5zWwnf;#RrWUI(ykXMEm`B^w!&6izz$Hl~enJk#XkFRS7{y zIArf7TwvAt$ib2)ncLlGU1J+5o^PV!oTjeAXQs`yOt3@r+Rj}XIX-!!N`cKS&oY0y zw5nh7F-~ff@rbzfS5Cm<6K9xmz<W-neGxL&$^VNU*uHw9E)#QDG+XLwtPkfuOV&wR zpTBOK7V_=0zOJjy?i1C0kyah2997@H_7<J{gPD7eP@ae;<9?60)lJ(x-v9WqS2uvW zTP*HBPohX};EGhkl8dX4w0lm!ymj@j(!<61hXpy}zr=?<xS8MZzxA>V2e(XnhOqd0 zM@7vO+S>bmrtjZ<?*+$Y(MwsU()3i?ZU<ah_dvNQdG+*pfxn(uU$kdYC^(j=^KxxZ ziIenx9wo&?0lI7SGVIIS4ls4?+<ocw#a-SfZ(7fOzSLB>@bOZ^H*;R+eiqc*Y;a)W zwd{)c`8z}Y928jY_=a`jJ`3}kt<96)h@V<4nYj9$e2voQnRCsUJ@#*Do{{;k-1OXy zCyx_@Q-8_7v0$GP{O4LTe?ZSw&ezf<+`HLrW=3?UhOLqMai1gn#{{o0wz89gCY~>` zU48Ot)=h_XlP*1WJ{WT1P|A{rhMv#g1vvk-4r|@u`8VlN#^TC_7s?gBibP!c_->x# zmPX+cSFxF{bIr8A{aN|&c{z_=gmB2AfUT3ftNNz8>x(?i4=H4|kPZE0dnM;Q>tA{I zxY<mR+%XmxpI@0&@UGu9PKKSwAhkzeLRjFu_buM4Ng3HE6u(~WT`v=yJz=8o6Ls~u z?j5-o{KP&9noLXI9e6#{_)gox;JN$7-<bd2alzKzC~R-dbZ&za-H#9b`u@@&Kz8oa zJA%!#)~$SMxgqays8DFciq`8B=5No7zutFPQb2lZ>Fg!4MqEjL`yOhP`Mln8vs+vH zovglm<d6EdcN}c(9XR_0Pt561d}^%T@$TjBe|)k<J)b37MWQO6FMj0|vbiiaa<8Jt z6wm)z>S}3Or90ABy!<8<uNiA;9T7ISd6$)l!M?C3d;MoF_HPtkAnzHuI&SZ#jc52p z+RBeUUDQ)rfA{_i&c&~Hl(lZ&e4sRP&*~F1ey!=-73=0DDPn17A=~ZLskTgQ&lSi2 zw=YGB@zw71c(dle%k{Ibym~f1-?TBVPJ_cnV%?V6S`XGcMu{$I-tZ#%JL`9q0}=9h z`M2+9G(Fh7q5YfJ_Wq^^@h{yCnOtQb9#^!xv?ItNYL&=RMgLpRkG?b)KECYRx~r#V zuU2^SOMl|Jtg9I>r%q_ychzM1vC4<?^?eJM=TC^8{jaW^J<6r%h4F=V*|OeKyrmo? zw|jq-72}#OEzP28y!T@2W$o+FmlP#%&icQKKlqEqY>!HnSglF*7lmFu@r{<dpKZS^ z=fmBDE`RrQah6>Y`#gWeuY8T-eiiNW7LiOT1`n^6GyU1KD)Pv}n7J{3ObWEO$8^n4 zUyw4Z+=%Z3=Pt{$vTvH&oHd@EOffH?uXKOM&7&SiuWJb_+_7+ExPSHIj5=wPtsCoa z|6S8>->AsZRv)+gd+09Rb+-#vC9PsSv3^%Y--Yc9TcXUb9SZn%w*HDtcjHUp)BFGA z|2%MiN|)X3x|T~f=7{}nsA4h~QP}cJdyS1q_y0!+LT30l2mC4bdg0)Fd7hy~emnn~ z|5F@x2qgzU-InD!*~4NXXXIk8i@OsfK5dw?K;?QR!-t18&pXUn&vB)eEDgMon&EP9 z&r}hmZQE_%IqWq3!K?P{erLJU&6U<C`88MU2z?OReyL96x#10g>5XOmvCiLOQeviU zJZA6M@KC_-QcXjrvA_w<hXNv>a%YCmnEd;#7yD}F@;6H&zgV+4UVptL;r?5#$rFD% zT;9Xe@Yp-kDMXB`k7>HtE2+KnKW*t-`uoV8%ef1-YcD%~L&>S	Xrjvv!`_AzgiD zj{u{0^KI=lXX1QhWVlq-R;*WE<WjamH>x}$bKYhjHT5s&3?6O`{NcQL<?m;yU1uyS zX79OtrskNe_JdgYy#i+i*-fmuCsf_o+ZL3naP-9tqb>O-QbSZsEVLCQyz`E~yqMMH zQT-&*YbNu8KJS`^KVNmU_vtO)S@!s2?{>vaOr;5Vy`^lYtfVHWT@j5t5dC(8$*COE zA7?8c9ok$`b<k5GKA=JIcSFLu-yh6pmTKGFE3H)dE)gMPwqC8e|Lh-wyN64yxDqzU zU%38LL+yiwE!Uyja!pzMx7YnUpLC+lSiPZc#=XmZ`?q-H{hYp*SJS}OQzu-0LAY4U z<5Sl6Ht{daUZV8ODx+gzUq#q5b)T8J3HO%VewDlC`tE4++NH;&E^Ym>iSefA4>y0; z<rC|fe(kE4es@%``D^~Ej3<lIG=nDCelzc6RArV~W*Ay6EL3=6s;~XGWE0=z(>MC> z`gc3uQZ9~v&#CRg3nG6V_kDN$@j8}U#s_t4ExDcMt@qwj^6dG2%jF?6)bF2p<S3_c z!s>>X8%tTyuc?vho=IOD6I>;#KjtiY+VV^}hWD-e>?WO!%NI$A2sX@8ty??qS>Vo| z_I_p&)17l3eN4adz(1|W<wH#2Mxm|=isyb;D<-*3Si_a(f6y!DhVhc)6)PVGCT3j@ z<U6u&-Rkg-!Ak{a^i6*FL@)Gx-u84!*7zQaj7Q6p)pDve<bS7o?>K$D=HvCo4R*~L zMyc2Pf?tZPS*uxii@V3Z#Ypmj^mV&jNfDz%73|N{V!rXtVcwL&d|#6}q4I{!!`T}Z z{;``rvk>y0xzDCtvEpG;>GbXI8rSt)@m6{BX@bV)&M$QiqGIAqPtP{^D6#KNeXSFs zp2uW!wQPQj@>jVZHU5*s*88fwi0L-Y`N#Jv&OGG#YnMqJJiAmMIcG{H@2+j$lk~LF zaPRemKnI_c9sl@)<v)d=`4v{~awaV_pHE1;LUr-<_Y>2ns-NO~C)jn<@pYdqx4#r~ z=!ai(<&}l+%BTqU+D0Fjl->U7tdhvLo0~*T_M~+Eemrxsg>Fmw(L+72p9D9szTN!B zi{s^WwQCvsgO*rzJX$kPV!EqX)`J~FAMCe3Ui;`?Oof}eaRztjGV=-ZHk;;Ox+L0p z|4W13-`OACn|~abBk=j`i_52@W8|yu+sDSU2-^!K&n}NF6*P=-YUjFgWOwxLrs(Ad zryo0R_C&|{b;hgvuQ(Du{M>4Fv-fH5`*&ZD`7&Hx_sV*|pIWhX7~4_LSKCE*bKfd^ z$NA55wdxEJ_O{JEMUqWx&2BgbCMaJ#<<O|ea`e+O%k90j`zJrVW7`&NXnOwC)#=jn z`T7JF<o*s~eRx)_wxpbM^QAr26ZJkjeiV0{Tj=&?%Sy#MNBQ07#cv#4CwA?eTuxyT z(^aO(lUC_w`_{C13B`6@S5vM%TO*~p@%Nmw-({{^F4>Z>?d5{6FAJF`{C7PT!(zMl z=du%<b>E%|%IlM`-BG)nefzUHtK*AGP8B;HejcJz{pHk;#~l+gH{DwDc1zN{q+=b1 zkx~m=HrMZWkzYSg{QSYbdrBLP4&+&=WKFF7`;WD2<{QV)0dKi4NGYgX+j+xPp>Ey0 zU&^Ns=H7Dr;%C-!eS;$BI+>5#ly=JpI^Jn{o+CW(AWt@%)srn9JH@YV$XDN0_3Yl9 zutkh%Yoju4CgoS0*{;<y<-5e;%~{J{vCW*B^X=)~qC@}g`8!N5y?eCc!h<{O-Z(#5 z9d{_aL57{>;N8v^f!(Tizx+^HDsLvES*7-NT9L9@gi-|eirK16=O$0uE>s`5^TL+} z#kyH(νN^YJrUc)sQ<z5nKCZG_)`*XjFIH*NR6)bDESCzia!KwkUh>J8pe*B>z| zExvo>ZL({^*We%Q3nullT<2^2DtN~|M$UZA!P8UM)E6#@d7_xg7GapWF8i6Qr0CK0 z65<K*G7K}nDe_Oc%31UJbKJpHzneytlio!?NipAQd#m+SX}O(d{pB3}xbKo}lTL4w zI40;2D*mGIs(?{N_&wvZFJG+8W;)X&)g-h<G$6L@Zs=S8?qfgy^qdsr>5UBS6Hel+ zdsKGNKxOWm)(II#uS1tHTfU3P-Blz$Kdn`GPT!?|-dmCv#5cvSTDb33o6iaD>JQbg zHVCI1?b+((o?QM&{OMnoPR;|X#2LchnC7+IpH{Z6z&CB*(-`}8Yn;U{HrLE?+h{2H ze$K}-)mBc8(%rYdcC%ht>SrbQhh<~b`5hug%Bg&3l6Lu9TvC1%<8P6iwmE!qXZ2mv z8@~)Rg{EFpcsNIAN&MGN@u_+HH}NkB*q$*<#p;xf*=fzW+%tC_U)%p*lV6EB>XeA< z@r~EqR&efj?Rb+S*K&0B<mQBZ3r`>BdLQ{CYkKO+XpK*r$6Ma@={%`<p2hZn<phUY ze690}-8%((#hU}~9qxE$ZYw3U=taAG;W|ssDLZvPH|{j}+~)Of!SAF4mkQgpwgn}v z4hcT{i{t9aubb>NerPSq{JOr0`9SUZ_ffmf`Rxvh+`35NM5w}d_DSsP5}iuf<oSgk z9<vi!scI>;ed@dCOYUssD9tx<tUdeTeEr-x{tH?YFYY;5dh5cbiknfju^Y>@GJ8E= zC_Sxd@HxR8_lSSmFSC%A#g+#gmy|o(v{|;)X3q+>TdDPw?Qz~|m+kI4XR{7{aEdCJ zA1s>pv(a#k<FVI*99f~o9NX8OTFb+`Si-jA%=!7zb48jjMxOk(&9d21%{0yY``I%a z#5V2Q@;)I#LZbYqdgQi)F^nnv`59G=KJ)rI^%i~jx|c0k)3-qOpWCC)7kQXW)-h;) zJl$QnW4p9k{jJ{&4?kotzbnO;nHbO}@3UXxy1ieNvSh^3^EoqeMH4vm?%6Yj%$s`R z)VmL}pLzavy*JlTnPLA|`MZ~9+^SxXS;*viy(8PW+<c!))ExH%>0C_j@22@@ZJv-P z|6;$J>as|lh;`}rT^?oS<-HU<@$BJO^Jk$yuN@9v;ZtqM<>axX;%{5`dDG12#-Df> zIjznv%1(M^VJgUcp!n%-W?``}mvs(S2+WBQyLC!>&-=)`yB(GpuTAUT?)s?ZRms7P zdTD<??8v;w@p;`T_Qu^yXFrZ)>3^-!$+7j*$%240%T(7c($IbUOxgSF=F*Cz_O(@< zd%bS{aelW=V%FMNj^O86lir+iVLYyO+^T$Y(Pf=$Q?~H*+aB3j*4XxMroX){Tky7J z>zhr44=wt+Iys|@EB>%h;(^(J4cAVbXW$d|e?QM(nF}}0Rv28Fy}7Ms$F=wU)y{mL zC(D%CcJ*gu{tA}3B|GcR#cBPm7v3L85c#0*-+MrYEjLkIXW9Yp?}gbJ-=9qH-n(^b znd<ZG7b@5GI7zS2tY1-ba;l<`_UV5T@%e&!oDoJlmY!L&IQe#krRuF{@9E6Rq4zHT zKDoy3%R**8i4V<3mF<_U{1tTDLPz%yqnOIM7XBHhGEBH$SZi(Pd2`A-Smw#`nd(<6 z+IwzJH(RL4F3ib(Z+1;=yvhc#MYnxzlegwB`&FZ1wVG+}wL`x@`Makn9?Si2+`z{D zUMiySx9{idy~V-zq{MAao~A5L?ePjXK7RGfk{26JMFvHgEjxNJe)k!R{HE;_Ud`21 zIy=2vX7|oDGhV-a_wl_qqa(+g3klO?mG&_QwBKq!C9!5hi=mp?g<baxw#ON4`P47$ z&3Hhd=*i8eCK7@NpB`$PzUhBp$(z+X`SW+Qi(bFcoyzsO<(Aj1f`Xej?%sBJq`N`& z;`SoNJuW+IGZ#fN?^}FT=HQ3Z@@v0+v(%s4`|fPOv*l&`S%hL_BSSrc3hvGBEIXt0 z`vZ?h?v_bw%wq1;OqlI>e@dsYSLTmnW|w$UHXrDby0$NEy;t-xlL;!4uPmpP8Z3-Z zUpDuh)&ssTjF&z!R+Si;_OWstxii~RdhPY~+lrftI=8e=Szdb0mf<iX%e`vr9m|e& z@UEZweolYdz0yZ!-76n`)GPnGGIy~_W%41T@<pv2k34lYPg<l<7W=#Qz~b5aq*vU( zvpckH6aVq$HkWnUH#z=aU+6rWf0p-Miy1T7cpo&n$Zxx)XTK^a#K=01rA0Y}v+hLT z$_s}MK00`3)!8o<r<VIHSeB|X+w#aP*<B1dF3yZcrz|LHo~)Sl*@43=j%Pw|)@PQ> zp=t-@7HFpysV(n4_SPei=aE>~f{07bry4}v4y^tC%5IJ0{mRnFO^kUjW=t)p-1CAp z{DQ|MWxIP*Z#Gt%m|R$ze2dSZd&8<VYW6+L#s6i#yFc?O>$_t&Lh>R6KG|^d)WlUj z6EwWcKSL_^;^+PUX3P!SJnd_WoR-3_MY&z}n^Pau>S_ClD>$rwex~}dv+uPv2X!n1 z3S-Xm#{Tza^xE>btY{&_CP}ZmlmA^1a69Jc@9?8e;$7?cjBOh^W-pu}yeWw1^`S$% z8cG+;$Wr(q8(^j>pUCKNV||MHne}IR9rpZJ;oq#kS%BZXYvzn|ygQHP=KEcGUh$Uq zqUgUR&Z^a^^)C*dyK(mbQz~E3cdPk%5=UpwnsDQu(0-0HOTTZh{M2|NE9cJc4N?4y z1m#SOn!APeF4&YGao@`N3Gd?_M|=Zi-bPh%{|}vey*Ww7uxiQNW|19V7IPbYyY@0Z z_L{cmr`|0a<C&^M;-$i7Z@6j6=eBzt2a{W2d|%_lU%PIHUVP8pVCZ^aO~lqEHiCyg z37?tY`quN^ogD#5U3=tmkExq(E(($k`@%1HdrES^^-mu+7Jky2v3|-=rDo$BrK^~2 z+`ikN*b()CZRJrP%|@*_)#mMHKU{s8gWoZkIrm%pUY6}zH96qOj_7YL?%XQ2E13e* z9!<LP#j)X#R`aoUj;6lNy)BNuR(qYBs@P_4IUYDctt27p)r*&l=k8YSz9$#_V&$s1 zoc(()S*{mWvr}~{G*xnC*EsanHS}fcjmD5=qBYFrb|ups!Y}lEJ}O(A^2j5SPtMFV z|CG0)$bIvjyEK<?zFFAHl;^H8_g)NV<WJ7*gNvKE^(-$hy0gJf`JLKj^I0!4mQ9>| zc>iC+-#6#4`f%;+#`l+YpEwrz;n?l%kw^Sj?9E#FOSX;U{EHOlhCe^n$J?aw+@0l9 zR4TP^cGaPbmqx43AJj09`BkwrMX&jeLF#87V?&h|o<zr2xs9DiUhHrRcE0=ej>n38 zXOnqZI|HrKZunVv>(=Rgld$-1wA)3?O0%%5V19basob!1hThGAdmfftJkEQCFH-w6 zTWsHlrYS4WKUjEBscYdP$Hg*RWnSkj)4wfuXC;$N(|1+IU3=VTM=;!Tt@E_nw@05- zc+%p^hV8Xw+_TFH79XBaJ?-)H#;|_T$<qZBTV$T<DJ|F^79YBF^(yWO`5OIhQ$_#o zol$k9+0RGRxFE7neXr!p<=zh((vKxCUA6YGZ=Q*RS9e3*?wx$w-Mk}rdo2st6vyc& z`6c&4wAqosr(U&YdU8Bh?>|{JZRP>Zq$z(+3Ln-`=Y3KW*~qOFGu!LJkJIHG%JUe~ z<c>IYZkTv!SDa1F{FLgq<yz;x1jL`js*78#+}yeUbA*GJPp^MR*RfxdC#_k?C>cHT z-<jb1R}6BFR7Oo@*0l)qS*J0hC`WDi9D{}D=c$#PZCuylJj3g`B<~Na#LBlCe^uYT z6V<+<$LM!Hr;m*@Y~82Xj|>+oY?yqaseH~cwMm7(QU-;+SAKVOZ+q8LEHnK|#!dT9 zfn7%hqOy%S{EcFM-v2CrTIx<}$dP}x@rN4tzkWS4YvPSwhdEQH<o?`n<7jaEGOviI zE8VXv-q<|B_+r-MUzRVQUb<>yeWG7v{luOqf$N>eH`>&ie!g<gj7>p9ip|5w_wjz! zri~M8<If!awd#L%(fksf#nYbKFMI!E0pkzZ*B&aX0vbMMsxFS)!+wTi((#U?QXAH+ ziz&BB_GFSUGhp4|`|+Y~m+4L3z+YM&ySvTO_e5=FEca8W+aTl3wKnynnC2X%U4cs5 zO#F<d1u0f?a$cBPJ2y*2v#wIq{aK$$lhesBLC3FGXy+Z5f5sE;AEKc8oc+R;yPqza z{wPz)TD1H5cME+J$$3$$E^XMl?t$>~P~l_S_C7rR##FjL_2H3^Ps-~;{;h1R-8JPl zOYs}tQ$}ZJXU>$G*fV`E=eI|KH=1X<3U;)dUb}6{?==_C1&0^i;|OlrIjh}G>Vapu zo5eKF#KORL**%ZHSRCtataqpi+J1e{ej|nH+1WZ_35!a9t>oeq=a#!a(}Oqp!JbvT zF~_#v6xIBcce{Dww0o@St2Qr+E@1k&=ho7&rkrCO+6^CfuRZzN=St;A-qn8}D61S= znyPWIjeD78>fKL^4rb{-?Tz)jyR-29qA6Ph>udi9yx`2|p0sYywLh}%*RHbf<lC;G zcWiTg>3`$NE53ZFo7r2-tI?c(a8cp?D%V+?yn4hB6<(OS{=$xOA+6mF-K)87oSuH~ zr~Rq<{&CixCQD5{#a5^JO-y#Gp1k|f%+2m8EIX1m-cq-$Df(@;Z<qU}AH~zMnX1>E zN?RGpYj63x#aQ_0&-o@_<To)KKYh64iB`*MRWqI^Th2{XyL@%3#;iKsO=ULUyu}`x zwr^i5C3~Sn<Py8zlTEQFk5{b=RAVc;d-^&5mz~FQU%h=%eEUH)<4%{9yY^lI7k7xu zr7V-#`R46+=kv$CYRr}`&{{62t?#hz{$$JhEL`~$7%T7lZ+IM1v2^Y7{&~qQzVm!b z9HulMOa4)M?M(Zp4XO((lqRP5EStHK+3vgUj)db}2}!FxlFv+E@;0SFgIhGQ#N(3M zQ>&l#EQdXr9&eRAdFuA->MIKpzHghsexT+R|GuCdixOtW{*P2RY}BQFW$_EibH9&S zSoI1kJpUH*W5Wr3lijndf8DapOH5<?)o0S{9W7B4wpZS)EAHB536XxUr?p*2x))CV zeN(O0SW8yO((1MDy9sj3Vs1}ySX!$)y-HV=?U0Z{)tB1S8=|%@QjYCqx|%cFQ)a=^ zguD+i^IY7Ubu#<!Z;&!$*sre}I59gkpW$T0^z&{1=1Io;|9kA2Zfy1B`tHn?ziOr@ ziMF>&mS3GCe{yH%+;~^N^CnXmwh8!bh?INtU4Qkbvu+`b=E3LhCb?t>_I^xpSoHtc zgV1i1eHY4^mqnf9kv~^7eQ*8)wpmGcisrpi_+Y#-K~g`$OyT8<8*_5|?r(f_-CHBT zH*D3`Tp$0IWbZ0v_L`Jp9<KlIKDX}tcIVBE1^2h_5j<MGJ#m*-{r)L>=e(y)%>H4? z5V(An#*Y7uPnP5g3rC(?U@5)La{}wl^$+H(czyEhx@jUM)A_8|zVkVz+THM%iRt;l zW#8D+^BgPrdMkKNOyLPuRd0Oa6!3h(o!h0io}1{EbG#Kdcz(xHR)HzZYnruDY5kV6 zxVh>KGG$vgZA(4V?D@=j{jB1-KGlpiaY+s4r*5-uG(68Ti)Rz>OP_7>X+qX2`Wg1l z(H}ajwV(XtOv%3y5wmE;oujtBN%{u!wPdgV_^>j>xzeM*&(?y==*UE$f+=$ZFMVP3 zTz7ukEj{6-rW^;Y&Q>q8Uz+o^Fw|~Ekf!5I37Py2IkVIDY!TR)c$hm*OWUtV^-ccF zb7@}twceYr4Eb31BtLbhxoTxG^R?9e(*c4p9ZF4Jm(&fjQZ{y~&y*5dYkk>ND00`t zYdUVxyld>jlHz(!y;;F^j{8BV&YMi(4Joq^TkPB&QTH{aC?@$gi_5&7$q)W<iacu4 zTVT&1vnorr@bi?dym@L<gy!vcQ)*~l?0xx-Xw#}GZ#VD!*K_LFo|(dW6B#n&+*h5< z+t1AVy*mBaBPQ$YX)hgbWlf)Y^R-2_$12f{t_dRBd8~Ff<%PJ(m@e9sxP(7d*rC?* zOrEFIf7#%!dc!Ykc+~ezy}H)R^uZogo$0;dPMq#-lS8%R-}^W7eG*Ew_d5LFHe`pH z_Sf*M%N9zMO1Le4tYE?-@}(<G;Pbv|LSGLa>~&vO_jm?ZfcWYM9_Jeubx&cNebCGB z!WLH9`UVY)W#_|^sx{8JyjjA#WP_K7P3*EeCm46HmfjPR=el_@fB0mFu-b{)doC}u zu9<koR(T%Vqo148n4SpSO?oh6_UG7?p7i5|=~7dlKXEAd_SyHyYfhP2iScP~#1C?` z-eqBuTkc@N<7@JA`t!j5v$OO5Ru}hl?Pe3NT$$EVCGBk~minaqDA$Q5gXFbR|MbH? z$*iz!oig>-)^m6B5@(c2upe2V&Uxz)FP}ovzrc`pOZN2c&Z*3v{V{H}`-;5>?>elm z@wk(5;!NhB^|Rid*NEiEdz2jAB2zl|^4t~LOP7`1a5ngReP7hN7mBwp+ALW1;;8z8 zJLdvl&zaDC`rc<oqc01BxRehUOse3PP46f=!#QP%z}5``5B@xoT~sb{+|7P!_rslx z%ftWh+`PLcep|(y$bQ2`&$fPuJiRFE@nf^kTYaU!_q_02QMmt{lH}LP^(*vJ+&UI9 z%FeCfWli~~>wN7^py%WC(~DH4Pd&MywTnS$g@5HfyDyFbmpuc|pH8U>VhxyN%Q>Ur zw0Lk^#`-#0#hY6KcFxh9yD__1=|z<4@@8gH;Xj29*>Cl^V+s_0a>jn&cgg;&|4ieM zeI`*^uk&}_FB6E&J{+}0Sfl30RJkI?8<pH)_uOvt@<*Sq>&;@R+3Xv;J!->|5Wbn_ zf3{x`*Zx>3*;|sin>%&eTA%Bm<vq^DFn#x4?(fj|=xW-MBR%`{IWGnn*YQNmZgSFO zIW^Bki#_kU;r^8SZr`~CD=cavFFecZTCU2>P^7r>>p8u|qteBfqWBJNIeGKc6cd?( zzT&L7fV+{`-rwAs+_p2|c4FQt!`BDWmj@~rR`sWA{NRkWJiWa}PU_C#ecjLG<>v9( zM+AR%T5qLme>nH7V&w4!lMf%{zsI{_=LWv%pWgZYoVikVUit*CgvyG`AxDb;>z!hM zdFAwMj;1x%ADBgJ>pRYUGWwZWA#Q#9;tiwc(O*A?E%#Tt@Q;hfafe5}66<U`uh(mM zrrSFnVp#Nc!PSj^x1Zm5|0*P`;D6`3nb-Vx`2F9@^V?}cy`vw`ReqrxPcz@HJn(WW zQ*ZLNZHrmHM?|IjN6-J_QQB*sXwlj7WZ}~FGIkHQ>F-YDsMT^2ebVsjxO9T|#Cb2p z+9u1*{-M<yoUgR`?fTwizE|^NJ$o(0xIW~XYEKIHzvi-`<f6fL^?rq!`6pt}+6ukz zY5e}0bHB#JMXR3bGAinsU5Qd?kY$;5s5m2gPb;6Y({!7~+q=B}wMi>qJ-K9eqwqEj z?%ARY&uWj)S*9!{5GouJ|CKNJ!p-Q6XJ^t6f5={B{JQ10{%>Ee1G-!cl8gPOHf;Iu zFYL;<?+^1BmcQR@!u&`+=&4z7%ZbTya`%tjFzWT)`a*_7Swugv>EPmBCwFmNIq5j* z*nUT$m+@MWi$o6Gkd0CMf6U}7i(u}{JN(HtqCCt!FV7YV&+6y(o6Y-H@mX_p{dGgB z^OJczL{*av;@9i1<*m`G{Ux@jB=o?ehl*=Y9gNM7m3r`I*;S9TeJctVt+=4UR?j`_ zX*o;PF=k$wJf6w#`@S!>;9js!!J*RWf4FCAsJf=cL)Aa`|0dL$y+0S!XPzZv%Kt}! zfkpny=O+u~%6GVaw5pTLyuZIEX4QASK)2I5T}*E`imJM-*p)4;xp|SNtns@|PLEv{ za~3R|s<D^#eTMZWmzvP$8$ay)c3<&ej1~8+(8=2W`NB`T=rBjO_A*AD*{mYJV1-n? zl)iJ&#M8>vT=(i_b6+lCJN4oH3N=-UbHBI#%I$jKl6$j=r`GWDyyGI5odwF*+_bA= z+56#rX2>UxlBpl3ZZ}_9-<Vx%v0bFn{JX^>t!19`{@SR;>^uLk>%!xxhRb*LU9Sl$ z=?EV(pS84YTb|jGmuqi*xN%`UqjBrfPfOcP%=WKQ+`hm_aoq`qJ9F4|WaVE=%NcGy ztzPiW<x+yqWpi!u3H#!Y+*4$3*J51%J2+bK;Nn%4LCSyL9$!EC^o0ecr!S<(Hw7G@ z!`rw{^G5w+sZVS7iPdGT>yrQR`oOf{bJ?~rvu!S1)mfX&S>4<bF>Oimj0RrKAAk3p z+Bc<TkLHEKZ%?*+^JKhDcyaufF8_yV{rl@9{`TB(KF7Pl@0ys}O0lLjtN%_b`&}u& z`A;gt1bMe<b2q>9`z%`e<u=PRky#R7{AD&=o#ZbeRXHVeZd#V0`@%2B*SSwLQIyMA zm3nRQ%jAZyzTt~*9X0!MeW~y{XE7G%?q#RC3m<%)@3FsC;&H*X4{R%3;(i~h3}<Yr zP}=juqtg6&ahUI>FK<piv#MFgW|3IdvyJur&%XQXC-+aOw0zGTS*@}2xlcn{*3H+t z>sU6ZuTy6UV&lubS+tHNMg3V=#JR0)Cu;6Zjnb6&ZJFm<`r*C*tC=#>^qlryext;B zLt;vkMYO>hzM4Sh^Yz(#l{0#MeyN52pCH%3*0f6T+1<7sJ7wF?%$nqJx6m?uZr0r6 zF$Om`%G+JreMR$o><gDiZ}g@wwZ2>~?t5G=Wl`N_)4<S!a|@?^>C3o$cvo)ir<l!M zi!T<v)jvJu`PrpRC+ENaXzFrQ>T6ZmRHh?ru2pNwi|6f*=Y7a+C;Y!I-0;rV+d-G^ zBrdTt+j)3mpJq(bgnfp~cW~K<_F3r!%Ds$qK66d0htG8KS{v;cUUg%MRo$`)VoCy^ z_iybm?|5!3y4i{4G2=hI&HX`JHdWXO&US6dS|%X8#mO<}t;L}!XZ9pc(9zIdq;Y$H z*Xpee@;_6{4*x3Ix_HX@k8eYm6MRLJE^jve;{GNfde2Q!y-uT(r=zz|nzW|q{gY|m z{w;9$=>DqVYW#mC^+2(Vg2he3g8ye&9qAW5;h(qHHqA`!gI(nIn)0R%bED5rFr9i# zN6%2~W2k%3fw<Obt_u1N&J2IgF5;BEeR);x^1GEqipOj;BwDyn`qd;%y!JaabbU<V z#oaA+>|Z7R9(r@ITYBr<rgy53d{lg1B^~U&x;WzK#+GY-0(bqmlvaN`Vyx*Rko&98 z<c}&t@7I5(cKby5rum-=J@Co*$@{iCx31G?&$1riH@SLS_x*|=pO;QwKDYgESj)~^ zI=oy)fv*}r&){<9Wxls%Pp`O&K<6&@36~3n-XyD*39R~hR=Hp2<ICt5!pj<u>?(5z z_#{#|BjAUa;@s3{eV>)X!nEg`d#~R9@Cw)RdD6S42)9VP$Ef|e^q<Wx<$pz`{A~7% zC4c<8YVO%;NT0G3*yNBoe~0m|Spq8@re1$5Bq`~hoz-5oX7`PSuOdFqW8LEN?bO0` zf%$I9yq<cC54JzE-d7f}M1I4*n1VL>3uZGP<T3tT`po=k-z}DDjrL}Yim$A1D^y>( zHOpGggxU4_1Kqmy|Fxyk5-;9br19ZeeMG#7X0IjdKJD=RN6$NKOq=$)>rI!8-R1dm z6>Tf$Enk%0X#Q}+>D%6k3lomLJknU7UEUBORF!>dzQl1Uy|kQVeA9i;6wFf=krCDA zIPtM;0sCArt=YT2PS!p7GwY1oE6*f%p6)-plQT5C((gU3IQdDSXG;IUT|Nrat{7eq z-P}95_I{hS#cs#zRUB-edg>ZOZbrY#zG#@SX030{9#<b9n}S{|yGET!?0a7+_Gx8x zDzAPU%_V+$t@7eaU!@#ZZ3_II_5Q~D9C7Z&N;A_Zt+tr8*we5oXBqS7Jv~>a_MLs% zRllp#NOa5G)!$e@Ps-U5{m9^UpwVWglf4VoCg-Ti<WxJV=1$2z(e&(3b;iPs<HgU; z@7Yv5Yir+12|e|Mu1bbeLgyk*t23T@=yoQ`yliP_a_ptcll<R*P0YBmbN-zjG7h({ z+zMyB-WcX1?l9|jb4OTj*;mexAn6%~1y8KKTq?zwnu~w48`a(5`SmfQ@4k@m<IeDj z={b{E#9J_@-JX2$qie#nOSej=Z`{Ali!sA!=`NOq#)`}C@3@hDUAsE9jA2_%(bX0C zufmg8PWGy<Ied8Ey1O}<ON)7TDErrJGB#elaiczigI?&&NIA{(k3P(tc|~JucfN1W zy=~9ld>7vFis9xjo3f}x5#9^C3_F$bL-($5JUc~ROE>L_mBcOW`;RtS@A|oVp`XS2 z$<q|uLsfsS{rX8cD)z0o-`d?7Ig7<E?Re`k&*i87Jx%WU50c|pHu!LUnkW9P#;u!W zn}=nmY{ldYf?s--FRd~cnzVy2t8xECxBYrTAG{}JNX0Fl&iqbb?W2|bPj&r2-alqr zVa(nix-nF!L*d-awCee*zlELjEuOzWu0nqM#<OSqdzOdUDJ`5;G41JU?$E}j?C?qn zIi1b}>KAv3Z#2E(_rj#nVCMxNCyN_*x3Q$GT*`IkQ<Ht<vIA?B$`lT`-+$-$ae4QJ z4a?@<&$uC?`0c}jx-&aItWQjg{<h3Jh5z#e8T)?j+5Ms%e>LW)ZLAQGD2&Tr^6?*& z6t7<QQ%=kJm$wZIw2heGz1XvKFT>Q1+}RtNLuYmJ&r6qhcRev|ck}11S(zF^5??hA zol^QcbDf!I>;gsgM5}FA-ZuO__PSe;EiFy{3wzK;=|-<>$sXD7GyPm&EUGDTiG6lq zPeb#0?uswYY*z0cZk6m^W+^4Nc}<mKsnPO%+wVBpZ*-a;UtzxD+2*==uV3GmpWXK1 zd3^jnC3*WLPyBUuURls}zCAedse<R#cYW9UYp2}h^|^5RaL*op=N)sKPtN!Juk*F@ z`H!&5{70PUe0K%Eau!=TbAwuXq~qo8pWABd_f<(Q41VMAeny?;G`%&8Lo#2y|5hX+ zu|D%Zr{e$SGOH`CYc?OLRT2O6?a}N{LVbGWCC`f8ce*T$QoGR_D$o5^)Blm+D#m#$ zJN#H5oIZD7P+hrs-RWiL>pP>@o@&pEaNF%(^-`oVIqQ8_@cb4}tq*S(aOo)jx)!<E zYSkjvcFli_Sue59^7(nj;o0eL23yJ>KVVL*Sz63%^Z1JQ;Uh8!X9yj>yvo$-j7s|Z z*(=^O7XSIubKsof+HA|}18a=rRvlUq$rE|3?d7c_X^XBz-@S8L@9Ue{^^tFbpKqMw zIn&d4@8bsy8|J_5@46q?6naxnzIVmxfKR^PrGmJZyk_cFTer|JvWh|6;l=(-OTO=3 zxc}AtUEZn|XY#8K>ut$jHOJb1mifyr=a{>%1y9ti_x|wjiGO5tlfrZ7#Kpq?W?wvF zRCV5eiv8zhu=ZGC(IW@pd0lLWCd*#(ajVoirIs<T*MX0x^{Pbf$C~839qJb4f#<WM zi*(->t&lvWcZ1>Qb;ZAn`;INtx%KA#7mWkny@#0f)+(>qu4umD;rr_MISaP^HoH=l zF#XJ?33cBY4&A*gzpA`2`-3a9QS{`ltj}sD$~TJd$V^JTe&cG`%g(Mc3-eb2_KBBU zobx!&+EfYE&)gnWpH(XT($<8<Cbe&M>aK<i+pkwIt$%mzxtM6yth4{artsY;dSEiO z{7AW2TW0fipQ5<+seT3zx5u5F+_Ck~$^8pMmX|IxE~v{mu=<Q!%=4VeYihL%=Iz}l zx}?5$zWR@VxZpqk+4l?ob2M{1e9-B<gCf(-c^X1tC7YQ_?Vfs?MaGo$onLAaed0t* z&E_)ygT{6u0@`x>da}+%9K87|(p-p1knduu!twai#sPYZ?e<vtUe~V+34Ch#&02YK z$ThALo3?5^WB<s>&9kZ`-$yp*R=d(_>4Q>V^y+T1b1gY8FgI_qNYO;m-Km{L?cGV- zB1eNv_3P9-YaACHO}O&l?z(TceI<@({<XbVVd6IJzRv2eTq|~jn=MtFa&FsftxuKn zM5F`1evdhn{q5TBDF0`&%Dykwipm8w1)fk4fArDd(nJ$)-(R(Fa@IOe{Cz3r>-^}1 zM>=r|SqU+^Nl^=16BCXd3#`a1m|6MiY|oD?F$H#C)I24xvK=`$wPwooFN%)OH~1@T zQdQ>>T*?3BXt-C1Cgc3MY2lv=|4wM##@>{5P|CJ?o~7FbQ?BiGGrYL3J@n14p8iB{ zW&MZZnF{+2HVY+)Z#M{ksS_kGd%o`L|5Ke)^uMo^Y<KC9oPIM-bQRMd-KO)3QQ?=8 zPK7MmnjLgn=cHb;(8{`cMFvlEHdclU?VScPNr!bg7jCQWR*HGq6?&zi)#ta4>#f*; ztz6M_xqV)I-87r=g+QwN-Sp;9myW2)oIQ8OQYR{0bYl3|6{$Y+#ovkZCOP@Xd~{;^ zR$seb>`ATlqeZ{B|8Dgzw_)|ac<Z5)-MjQ#B{!zoMpUliyJ7FMm?1T2-=#MSX_ML2 zuNAM82r7$|lC|=<o_aoK&Xm(TBMSoRZ=C*Ge&OPeC|jF1L4SWuDB+*<SM-UU+{s({ z+pYRD978L9x;h@SQratJuqH0wU+v)A1&8*V@l~Yk?6NhRw@mBil=cjz)`D*`&%90~ zb=7GFPGNm8Luk2XQBC>bRf$KY9hxP)Zt0BoXE-c2<Q~6d{yD#8=W@^LJ5J62Ty}np z_npn0oE?xMBT>ZV@?La8#Qte)k0#aLd)P74+IdN-;k}9HCKm2GoZ7FkMozQeOQY|_ z!z&!YPuzEi7<==7O&87k%wE`Ov^gSZk?!gKSflfu$t~4(7Z}~;jqcq%!K(MQMEb)K zpMd$wtCY^FEcepZ;jdWhV4dZ5&e=KfVy4T-)(NZk2cOzLCEoQ_|C}QXwz6g~DnwsS z*v@V(J~P)Qsysr&<55HP!&AOplD+~`9oOR?%omvu|K`|Uu5BMY0~n`Hb-K;VEGB#F z_y(QC+jFJw@6!9G`|--5z1~F=3YJR?&3m|fj)C{-^IT^?$Ip^Ep!MJ(W7~PfCn~oV z<Y%|7JwD6OOsc<*)u`{o+}UP3mHG0cB=>ersMu6ikg}BbtCm`c$9(=@idWC>+IB4e z(y<Pu#a};I%y#E)n|JQ3GT+W02Y!21ynezPeD}nh#Ki~ZJ@<GeVYjsH3jex0$5vkC z5z5HuGU!T7_X<3Bsq)*3i_>|N12wx!ww;)ex0FRIu6A)J`{ifRC;7#a_E^j+(=K5w zdmXc+dG5q`=}8T`D;kp)2OM1W;^Kab;-e4u6;E`Ze%)l@5ruo3%sYaNSNQ3seT%tu z<Kxfn$FF2J{8wvYeer>tSM&eVyqjjX)ThPg^YyKo`*dxrMxFT|)4LrHxlcwkTnZ1i z_X@d@s$E#;y>U_0gR_Q}H#j}6NPYQsbhmcx;&&Y(`-Oha%H(*oQjEEB^ELh_3Ck`2 zCWkL$jtXa+@>-?LGTd)!v2-JsK+d}N=KT}7kG<wge)GzsilJfuT95Zx$C+9r?bq7# zMsOK&&AOZOd1Zp*rp$-+%Wf<@^gQ8#S@6^|e}%5k=lmVSvm>x&#$Giem238#g^VS# z5^MVdJ3V%Du4XKd-q)}+XznqlW_1N0<K#~g8o#c^f7ZV=wf~mOsz<u!m7N{DGCzVA z&xxPLdN}qV%Qd-x&B8K2YW80|`6Z0GyPeB9ZmrSd>8D@rXS4O`Kgu0>xm)*$_0=k_ zjJ_vN)AS=16FR3CrEQSD<FhqJYUVPdVgv80ouV&a+P_Q_TaY<z#yK&=%ST*Xt)}Y# zdn@^Ae_347iP|%NTk{{(sD%gy)h9OpWWBoU>z!zEr;9J1@~@e_;~M*PMpcyud(PzU z%y>STX`_L?ZttdijlExLKdYQ*&n>&oWcmKARW*0P>15vnR?8*{^!&H+T=?VLUpCL5 z`X)<yes20$%e71~Cut!Mi`_3Dxw9HefBfGUY+m6|x$I-Whs){Gkt<`IufI|G(C%>d zwXR{?{n^p%^-n^k=3QLXVl&G`C5NfcwBh-}{#TnqBB!ofyv}UO3u8YUshO3fUpe02 z=r5VRNT4X=Y??xmQ@^iwe(|Pn2?Bz1er11+jIEWjRaM&5ce`bR$m|E7?y9e1SR1SJ zd+~Is$(d)iILT~^%wH+|F<CSvh0W2w@0|0C*(Z-XUhUc`Fg@XI+oXV3axZ$X_pk8S zd0gM0KkJ>5Lx_x1m)|n~68A99n;YhBSU8#a(Ql*siwu-hQhpt_<68EtpunKv>A@)1 zm3ugK?|iJBBYxMVEsr7VlsxM+{vUOZVs_qBzj<u7{=(U|!DV$5zh8<En^R$XwX9%s zdAm)=w{6D1tP*Y=ZLjvLoxqYFzB6>v&p(c<@81h_-CN7@@Q>F@wwlnr4;^f`-1MmG zDa;EmG;Hu(*!L+({eH+TW8ujx*Q9z^Ic~`Ctlpe;;@T_Cs<5SBJcK4RzD?^ixG0;o zP}F+8$D`@n)XJIO1kUc9-SWdOC2rX_g;h^1Draz?zV$$Cc2()_=*$;+TV>aAE$g^H z=f?{@-r)aTOG?f*d=7pez9wwJ&$_nEJrW5{zA}fNn7Q^nEnoCHz-Z2iU#-%=)OBnw z2Uza>!g{9Sc+Kq)!B6);9Y6jlmoHT+)o91(&&xg+>|7nl;yLA^jius~rzxT)t3Rz) zala*7(K_c{jMS9-YYM+->YW#9+WxvY<-qY(HK!sgjCY9JPO4z>-fer!BktkdJ!;?C zbLY8hG>FVhE_{9eOpf$SJ0td(yK&K%GV)Xth4!qLP*|_cwy|Pw!{&|6ix+gNr*qDz zU8E6hbosec^ttGpe2XSd^YM6lF1~YqV0K?tnQZXO$LEBzf2pb`9;ylmaG3qTb3=2G zJA-Im;hMCceSx_xw>I|p8h^USe=PLguJu86IzC1-6Y6?Y`6{P+TOSu?*DCnu=cxIl z|E<pNgF9lDvB|f@eOtIWe=^73$Sdpp-e|DR_nEz|YVr2tvjQe)F+CQ4!q?zAvtHV| z=)vMEy2Vq@E_iouib8Ri+pI3{NCN|#ceZ}7#rclDVA8+z(}BbGkh|s5YYx%nQ#wl~ zrpxL7iLhu4oM>;aV>FvFaDEPBf%A>^9v921*n`-v3&b1DJm|K<(BN^qh2cs8`|SZ; z%?EDZG?;kP*L{Xj>AQzd^nJ8*N^Zz}oEF!;^P|)TU5_IryZ86puR3fVdTo9p(<|LH z1AVPbrvo?j=G`@UaoOU@WbyKi0$2WRp8PM~LECF8U)mDOmX5}{n2QCBW#O++em)k_ za&e+&g{0LC6?Fmkta5u+tpLkiOSO(B{<_rTP+88Nvaa+9|4D{?PKJ}p7Rx*??r}(r zztD9_GvxdBr8&6|f0v3~557D#(K2X~&V~YkKQH3beEoEGCbmR!XC2{D6uMv6E!&vo zc=PeWtDenTEu~9TyYCq_A3bun*{ESn==JOO9a3NLS1ii7y5+<FwsNy;9IpaYx2;u` zI%o90tYgNcpZ90)x_Pnsb#TRlh$Vp=ChbXPN&WXwg>&u}`)I38t8{}|UE4Lh+%%U? zyY#{Der(=@{WJIk4<7f5nXS1|Qc=~c<@ppByE%uVjtPDI&wR41LsvS5yRCEejuT5) z=H5Mb-FcDm&QG<jZ5=0U<tHUQY7F_xpJ2xlc5L}Z#lqt|3uS9x&GBjFHS`a3F7P+z z+NWJ?JmYqfre^NLGS5w6zY=29b}!oN5i!xf?c1hf+z-CaWZxzjZL>g|%U-AAoY9#B znlDmq#XcFeKbCFPU;ClZTkxE?X!WkCp^r@e7XB<#IN$XlM~8>|R>ST}rnUti0v$|t zO<C+?A)fwi<0-H0|J;6Gzv7-YW8$KK=ju-vCdF6HlMJkFme^j#CRtFImn*DmP%ofg znSMY)X2<e-DbMmh{PpCnUXWgy#BaQ2+P&QDmWgV|7Ho47?)dT~{?6)W^6$Rip5T0M zBX^K8i%RiP{hk@?9fM|tAKfmz{JZp{_yd+VZ+2Qvl=`l5t*7_H(t8`Ov2<o7PS&2L zxWH*@WqEF~{;vWy$LF`^-(9rG+i87a<~=8w>7h+WXP-*u{cfc@^MKF`E1M!OuSF-; z6hwZB7rJyXL9<}K<@G<ZocGr+-`UT}Z2w+j=F>@gH@1CKnYp&VSm-KG-6@uv5nU1) z2j_Ur|1CWC*OjalqA%8VRDHATZ(M2Zs%E9BTWaBQ<EYwdm30%H%8x5an!Ab!UVrbY z61Cg%bJOy-SN80jy*l64&FOIwd;bRg(@t*~5BaFXvCG`~Eg<Wik+t{ko39oOZ7G^d z?=$UEE}Xe`OH>N8xLvP6$%g+&IK#qkrTm;AzAEY8Rr5e?&W-#W|GR1=P1%<}IQiaU z@iFHm?>-p4Y_D*xI{w7r<0<Z`XQvlwzj$(xD|{YTk=g&si>Y}J?*t_~-e<og5GA9O zwd3xML#Z5kMjMa*;64^4ANz0WERVBaz8EC%x$3PC3_K^l)POI{HlHVD#xrBNO?Hdk zo$-J4?w<I|wjbGTku%&M2L}9_rMmc!my-EJONO#dTNHyY^Z5!ZZ2qyl{vG42sqOdX z<n7!Lx@GdZ9~HBWdW0tEC{FF!^*<x}l&bXe2K`5IVeMCDel6?2H~BjEj+cU;IgZ<~ zpZzGxlRT&0?D%Shs}tu>6`t%D$(=kgEB2e|kNlmn)6Pt@zAGW{PV?rLb;fs9;*Y$E zT(Rg#lzEBS{`KcdzeoPMwz}8r=r+a6N+(U{>kIK0Z|{ra?@ZX%F>P+oYXjd5_x{Wt z(SGIY;odKN>hnWyX>ZJ#D=yzBEyulgOZ59N>reJ>&eP4()Yk3T-hAuEXN8Nlm#gzb z?yvNEW7SskCFZ}0zTGVQ8B+^N9P0ippW1w1>WtupB287no})T%6SF4#=3C6~Uh>2{ zcD~q|xW~^EPKTJSVJkdlt9bv<9L`g`{~W8XPPxYTN8GzVVzJGY?WNOq3IDE4oYkG% zzog=R(ygN<db>Gt4;0?!{KwPYc`#)87Xede^@>pGRk|Bf_2%VVFzYs(?7vu|Z>pLU zf7?Y@E%OzVo!-2@T2o!WiSx^WTUq{*#|$#<9w(m9w4dyIFQ@8oVEE^J#g@x;Y=@Sd z?Emx4+1Eq*yw?%^x9|6ybbcY@_kF&;xux5@^CuFD_OCW?yY=GEqveNwA3l+O;pn^< zXN#L(-F;#&Q+kU1g<s%_>x_+4G@hF!iAqE|q<4JOyZW)$Rr=fOkj+cVUT9~eJGKai z*M=m`x^EX(H_`JTn|@!Khqi7WSN4q!pRaG$NPn&x^5f<i!|3AGN(;I^D}BBexwYJO zx6zFTW&W0T`A*w+?B(9>H_iBJ`f{fwMO%2*vM=Y)V&DDZ=#JvnO@@WvJJfADpWYTW zI^5e<A9T)#hyPEIepTZ(t^a+(eGxr*QzK5UP*!wO(aBU@w&?g{;XALwy?^vR|9<u8 zQ?`lQ%)8iCGS}%=Sf5zhR8e~B>DMK<BDEKu*xo+n@DzFJypmbg7jCm{ebT^a7kROM z=H?mo^@ls9LpZVuA{*E?yoplSZd|G-^GfK0=&UCT=0$(8yt(9Rg}v@VZ`FQP6^V;C z!d^B<*RT8Dna{EK-Ps4hx;63>QqC%8pKY-yvrkI;o3v|=!a^H|lIx7Kd?qY1FIjGw z8&^5ux0V2#^S5G|H4{^qPOmGu+H!J7%vy22rb>nj{u5SZNa)=-&DE^z;U}3qVGsAG zxl0cUK9`zirMx=0d1qfiZQ9eC$P-;NJQf!$Fr0PpaQk%S{ZoC`3a<*X`Fvd9rJ&=f z`3$C~w><hjMb`Dq+&%g~eJ^w}t&+1|x-UO{)yj&Kk2da(%i1A4<pz7{xo=B3(gK3h zCq+L0azy>oIv*h$fomrBo1bb6tIa&-75>R*YsTNE!|^(;fA48$I#0FL3z25t@_H`s z?8jy|S-juOU-)wEC;xA2XHN;*$GC)fo<z$xj^(^tnC=BlZO@u?y7$=peWm|iJ<fLA z`E&J=Z);{WWs5LWXjaXfbJ+f`v)RLnrN1s&IRE6lI``YBUoB5Xq^7*HOuwT2>zUHu z(;IZSXL6+VYkU@0=RXsr<L4B%rtYxGl-C!|&0T+XS8u<rhu4K4OICPpx*#Jz;aF|p z>0r$x%!dt@T`mjwe=q*qnY&gD#q!6aGE{}zKa};p>QQ!k94xIEvTl!Pk7DNA4LLtn zhD_|)*H+u(u&w!(;?o@|7t*Ya!#1$(n;_{q@lR^qf5u=(ODWx=mOFgkrrmngG56{L zo~BO5<4LE#zB=h>o!hspCE4@8jNEFmnSI&w9(r?xg?~@<6T9{M`SBntX0f?KoEeQ~ z3W;x05}OvUv&iENUUx&U@T-se>=h!i9B+>J+<ZLoOwNs}zGGod^GYi3iaEtS+$fRe z^KgNZ;+(gRhV_?{p2p8vqF%zb=1Nq_>y)o@_Kcf!#08J)@;+a4;K9$YHy;WKu34V7 z#*sD0$#1f)S-O+Ot=rujrGCw1y?Sm=>Gul}vx;}<{H+hZR;%+rYx5QVW|tqkHs7+% zd3l~MRypQx-ov8*|DqkfhkZKo+&RSfwFiIJCFQRh^w-~dJpZHl=`gi*>f&>+O}=uW zVY*D=cHP7mGdj2AB(Hg!P*f$q*yY?Zp8qQr`7D{@&)GX=+Vc2c72!!YLbRSApRw$H zZJ&35O+@c6?o!Ql+zU%iW?t@133-^Cx-ctK|MK~S8}XBc3%h?!c<r`OP$O#d)_$vv z&f+dlUp-wEbJ<a=c50i|zSZmAuYZ<uyHWhF^fmMEKj+-oyJpK-26ba0V>T|Uzh|7+ z$XXru7T*`iYqzENr^GfXsWU(IB<sJN@5%SCY@EVdl6CN?U{q1&E49-_Z`lvVKJxkz zvoOzke)Ppa@4&L}cbWCB?)S8GtGXY~^I-NVuhOlGr{A;MTu+)-m9d#IBhKdh!U+{J zv0i<#iI-zk176uaF5tUyV@Z*K+|K>KukW&*Y>}4#w^gQp*1DT<W%sxnoq6uwYTU`G zP=C=ldXn!FE2SFSTh$@T-#3UbeB8NVL)Z&}$c;8Xzr>a3H>Ivq+J9dz{r&A}MFNdQ zjE55c?Xp=u@0=a4&LYWl*^<W_nG1J_^_fYv%$fX&?UGKO(UY>|NW*g?#oa2~^IKPX zx2;#R{JKQLR!lpggoP_$Vw{pe=4GzLWLqI7xtTkBpK*OTV(i<PXx`$Y!L@TIb5!cw znR`vwd3;ED=J8)q_QJ70HuBmtc5y~7>=W2{`r*MzT}cadn=k*bPW|&tVA0dZFF#LR zWIpef^W*tf-T#E#as1qC@{;dPd5wGF+lkMNrkU4d<r{7}lkjnqON*ha<Sz4Qh7Z-2 z3tNvaV86oDROCLhyj{{??uq(;-;ZyOwe5_&A@_2VMq-2WT@K!5bDu<6+<2nRcp*Kk zTJ<sa%B>nvp2|H_3~ov8t8_G8^dPG5SJs{ETJr)Y-p6&>b@Kw}iLzuz=3ZCGcXcmp zS^vT0?CZwQR>Hf_=oxO=aH`1p(Z56UCMvZ!3jR8HXj9$Fk~wawy{rB$kp33aQ|a|5 zea~8rGiIOSc1(+2$2HIUz#40DzM?heJ*h314Vu)|-+FE=ubZ-=YEjGWRS7vWL!aEx z6XRrhe5Py9b*Ux%=2uQ1ejVpv(a~~D`lf1k-*SVfO~=huEi2p}hHXA-e$b71M$tmW zeQOHm-F_%$e=O_zddrmoxtmt6c<xxil+E<a+EMb)ek+$w9*#R(cSRfg-^(Us!&+M> zaaW^w?j1i-x1E3TR1VDB_gUiG<^!8&D&)KF42irq<JPLuR~8rL-ZOSCx|G9JF};K7 zpx5%yY}2ioU)XJxuDuGFS-ftC`rI;B(R-)PezM=XX?AjBLVS#h;;LDFJ2us*Hc2dF zJgC<*_wp_F7YW=vqPM47CKQ!CWno_1(UmS0$>R`hzRXXC`D`<*9#>JN&=xV4o3+0r zwk+tFd&x<7p6(`@FFL#OLarTqw@2ug{f@LHJInSb&yO#?v?9n==t0@7>svijW90Jw ze-XByzU!glPR?4tQhw&Wv5d*l*ADpqjQa68D(X{HI?LfehJerE{&g~M)uZE?nL-Qi zs@KfEocXfTtI$Y9d0*q|S)4M?n-q6wI31QvxvG31+d{}V#A0$w$}=8DP1p9PTtW@P zk!;h7)bBZ($6hfNSoy2(=r+r0z0eQ)132A^XB=2p?VI~_Bcr}2-@FAbT1{Is**5<B zG=*>JK@$Z7UIFD}<(0qc*w_y>6~5PXiVJ!da`D~$gW7lB$sE!A&eqSKQGd&@w@7vK zifm0A=5M?1o0Xs2edD--_lyIVgL!Y?GJS1-<$}n>Am3^HdU-!4|KHOSe9Sj|J;M<X zNpATd#-O8j`*t_3{i(HvW#@--71pll<-f(<Y`wofaWf8h@mIO%&DBes)5Ye@-_`EZ z#cF>kppe06Z9{l`s_d6t>%Pe+ia&ZJS1);DpW3ec!#>a1&OA-qH@{SDDaWw@n|Y1K zDyM=L^-h(1)otKD^|Ag|rA0Y`{iQwG5-;j+F&oc~cXP6<&}o0SNBFz!ZHG-+otKQ? zx=j6kmG$I@gRxfw?|!uS*c2|aZO^pn)4!j1kT$*h+^R=MEZhYDtqPx^Bb}V#y#LLc zc<%Z)GZk4cZPF2ZU43Y0N}TnM^M_SZWb709RlS46ZI`b4>Hi|GK(n(b^4iCD>R114 z3(P%nuA#Rvo8M>IC4c7sFL!=8{6|{k-WR@;(e@%6tqx5pDK9Clwi95#e~i!Vn!$l) z?hTt4RIt`r&aP~c;^6U1m*N+W``#6FvaVCftfZv+t;*B(w=Z{EZvWEEbEux-y2qWa zOKa=d-AYawitWBRL3nSd!;KT~S088Jk$*1q@4>tA2lEd|OgYLsH^ot!_hB&0=cDFz z9&$N$p1%*OJT%UaEjHa=aWe6S!lBz;CY!%EU3+0!!~7_5k!REFaFL_!Y%{0X7|TA2 zuHVCZ?$yTA#W&@zik&JuUp}cY_pI{4KbkM5oR+^}6!vfp|HJymx!ir>$DFpLGO#Y> ze{=iHS$2!Sjue$6MhUNH=Q%!l;2_<^ZPvDB=^52)iv{gBJYO{-erI?5eJ7XgM?#_w zfBavvqL2SAuf<=E6~3;!iy1^p`2^2I)%DkS|DXR(N=M_w({|t8awd086;s-_p9q`Q z`Ihs^hZQZ;mp$sMKQKEeUT?u;<;J$CB!(6ZF-gt$3;Z}P8OC`lEi*T8P?`MUiK(xe z$R*RW$A7Q<Ds!6Mex*L!*3%15{IojxXphsi-yECMTW>#(sabtkhoM_2QR9{Tie0uJ zjW)fU*23P%KhNZSQ$kW|+BuiqB~N#~ta-T0(`xFmRL7Opix!=-H~p|LL9}N2r=nvl z2lmMK>dMK-=M>juJ?EJH>syO}aFOT7z?)3AZmG_@^yjEtH#)=jV^In7Z1>v^cZK+) ze+TU2IG?{cVa?Pgy&I|16Ym7MZdcG|tz5R5S><6G^Cg=dj8obVeyn+JThH-v(G35M z+b8&%@NAS=vWj2JI5CCykWo(3)?2ss1x|ByyLaPO%AO0lCm%Wmx|bb(GM7W4jqT8u zJ&%JgUrSm(BQ!K~Ys2TG3c2;~*3Z=oo0oswl-oOR9;ahV_MKB7ACw<o_ioCwX?!eS zjF&m(^+grj_!6VU9>d^q=2p|K_bak@JIyH)XFD}(YjoTypDhi04l=*^vOm&qfv2|B zwST`<Oq4&KyMJA8+uUW0i63RHPw}7mn|*QfDUE3d-hch2c<jihy-Au+=X*}rsdrmR z>+eG`zKN?B<`h|p-<MdH8qt=-A(47H#PxAj+`SbMfkpps_ne#?a`eWgWtYqD*m1`{ zejcj4>0yv~WZkNoOA&XyDm{d@X2yh{(BMuC-ov7KD|W4z>s*<vh$UuTv%YD4o@3&6 zb!N1cK|+H?rt$H%xYIjd1YUlz$moRd(hnDIoqwbIsQ%L9e{s@GKc0ThH}tgqas1Ki zDW@6NEV!C||NN=@C-SXcF)B$VOz(4gQ@ry>v-7GiM&ZF7Oj<j?zI6+&d3w%J&*fO~ z|E_Lf3%3PNPuA$K-jhB<X!bqk1)ka0ogTBSGm!Uqw7Ea&SC)NqiZK)SZ$qAlyhkFU zPmW*h)cLTBMVf`5t#oRs<)pT|!8{)|7uwluDdw8^w%5qS`@g}VlgGU`$2h)do0Ok< zKIve|#1#b!s;^Twcw~xrZ2VDu@?OZKWop}d4lZ=pOW><t{xhk_YWp57=L_aNI@53S z&nli&AI($z;OL6L>?6EO=ae#d++0^Vp-lSY`a6HhSsV}l@Z5CrO8>J}{EnLbKSX|~ zf1Z{s<0Dp6zh2}`{>AOh%=5o9X?v*Nzj>@m>|4vU(1=LSlh@zhcyU1Xm7l9~RdWmL zRBdNoJ3&(;Iggf?-yVIN`b=eA<kEn^&h$NPlK=lKV!U|aXV12@gUPkxZ+@Jp4t;vv zRIjK%^8Nm1^{-Qw-H<Kg>Mkj8Wogg7Y-+H+adtM-6ycQ;%CpW?%vOJ9@;`9#9HW%; zmZ^EVb!suetjmwAVc~ccZJC^}f5das6UNN{7R*tdOJ@H%9LBKY)B*9%%TL~(Zja^? zc(2SErm-!_sbJHV_&e{|p4{`a@2vl`c3C&~t254y{~Pq@uMhpW<hZl;m#VjhOBXQm zdh^)aY)`$k(cx76^vQ*(+~Vs6Z&sB0^(Jx7d{`P6uDIv=x!;nxqVoc7EvU$kzIox| zM9D4rDGA)Ma;wg%&3MZBM1EnDcu&JVweZ>34^4jR`>NeeTzSVkE!SzgUcaz?ek4)M zImyz;P#|dGfuwVr+}bNQZ(HVDv~E}OtLw|0jUTQ$Ym{{B;;Y1I@0sr(@!1zGet7M7 zF^8TtYu;V!X`6g7On6>z*SQC`pK8?~49JgQJt^%y;Zz8xX1#GUi}3ri??N-|chA-j z%ANB)r2GF0&%=*Yg+3LU8*6M~cr7-2<=pP@-|oTx*+0+mt-Zl=Aam2(Xhx>2t6VxV z_FKA>UJ+-|0Nhx+yv<VR2rawq8tF@odQtTJnpUS9#anV|%w@v6kYa*|WL5-M6Sd zTD_P(?*60u`xp*ba`t>;vgA8dood)UeR1pEtbbcHT5>Nw>TLIR=@a?#lZC_7ut{f5 z;bfKv*)#br2)S_XOy;SV{K>hh>}BLuw(DHq&z*VSIpbQKPOFY^O3>P^tKzlwE(*?A za<(HrvAx>MbLsqZdRN`JYf^a1<3x7;Y`nLa(dFQt30n)^@VkGsd-sDy%xv4n+G3WK zhL4Od?#Wf<SjOirt>PsS>bw8k?VVHR$R6oY)tw*MA6qRnGwTX3OZ%$Z+Cr8`?ke#b z*qAHLyy1I(@6%JdADLG2w>?kR-Oe1{#k)#<*?dXy>&L8E=HGYYTqM9Qc=Y+_C;_GB znL90HKiM9TG~u~+H}QP+XU&AXrmVNcJ69+y*eCtcc1G;|$s8TqbvI0zQmxDTQs~nA zWUU?#L3W4pi>fv{K2&27IO-rFkRES(Vb(^eCC{Drm^0praIFewtqR#Q!Ad8v^T4z< zJ)g^eufF(5;+8c3t#~*4%hvyIPWa|k+P0zc>FnJtoc2PpuaAoqf9c9yv9DP8*u4d( zxcPhT*FInIbw#~Q%i^||Y@c@s@VK=^80O35^<4YsWE*nh!=_fZQ$?k9Hz)8dKl00V z=dPzy{&94*y^&aFl(l}wF^gXezsw55_O1R|v-i~|Q`VQ+*W}n3o@^<c>5_4I+ZN8s zwZA1^YCb$CyTjx5vRy~i9wtv*yl&Zc^9ynIS$v214hC@Q{z?Dla-xa9KF96sCEvsR zMqiC@sDCcte^{2LDztr0kHq1BXVnw79haWIhOy(qr3;VwBskxFxF&zl+LL3(E{$W6 zRWk}-HvZf<>Flx6J5~qPU&_j!+WhMR&%%y5;vd&!UODOiYE8X>(WJB4-{mZ$KFoXB zvuTFr1u>Tu8-CTEUdMUe*3oFv#n)GVKAIn>qGp~J_rK|htiq<~^w%ZUTR*%?-14aI zO118S`sdQp|9-B%+5h9^*Ujf7_MX4^WafiA{M{iZ<-a$^S)cr};<a9cXZo!N3ct5- z)~?W>x_GtojMcMzUZsbu`s(`Zc;fM2(;VVHax}!K_`9^UJ>;mLlE<m@?CtYA2PWh_ z+L}6HrvJC>-zj|Zdz&hXCHAy+SiMSoH0!Wk$5a8{OI(?utCZ9NBKUG8zunzxv*@Sv zJPuP?g<=l_<>K2v>}(27zPDO+|HbzC(UMG$XD*w?y{UWJ=EJQmGcNC~m{WU~>*mXj zlrjmX|4WVU-8--5HA|FN^=&J|y$go#d4Ei9YxQ`|ta3_)Y3Xa}NfY<<aJ^hqP~5yg zDNsH3FOPD%ui6d+#<0b2=DF9(#{cX{&yiSKuBSJ>)g`*o{Mm(-vv~JJwnliWWUh$1 z@_WLYJAauc_<yi1tMtm_Tg3nIsIi!jnDh_vjr9wzG$$(@&3+|1by{I%Q{vn+4=-iy z)w&{gssCi?X?;P)rrW(=Yie&z{Nj4{WmbO1z7=7%5w>iL_RM9FO1y8o=g85C=UMj7 zEY7%aP4dY?HeUM$KW5u6&$CWi9?-#Sn{Xz2Wy0ymPrtHF%GWv8Y&9s>d|oiI#>Jv? z=jjgNwg2niM(pl=Sh&$>$G*3G-bp=^(qA7Gy;6Rb^R&sW{L~2^%J17Pz2`j5)=j!R zMPib+kFWLXjsBcR&g6V)jxw+LP?>IhfU(_yJL}9J$=yu0-vzJLsrKbB3p5lv_h4P? zsl6GIr}Ng8xU;F{p8UE^K6S2s&W!KTVe?t}Hor*Tr`5eEwx~mrXBm^Qw9MDP(r*?_ z%JI3o=5m_j9AoE?6CUlhzj(F&bAMxO;%df*Hl_62rt2m34VX;+nw&VA`*^>+Ve|X` zg;(t&9R7LjZFncK_>T8P@s01QEa!%Pdr%m7UG|9M;kW~;6AgFw@RnDv@!9tI#nXWL zy?@s}Uu1Lst*2s>e4+iM2R;iowTmtg<88XVjw|=glEjnj%bs$|uYG&6apJ`vTCZ=L zgk3F4W}Myszwm>0#9ztz`)at7x-Yp1asRim@nV}jz5M`x6_2jO@n^e#XLZhBHX-EY zue3Pzz4P5(`}qBNcRNo&GcM5mYLjqGpp3x$nUD0#6L=4CNyq+leYc?GI9I9T;S7ci zcRzD~D!dq{_H~sK<8?RB;|s-~2iYH3sPg^u!_2_$eYQ`mHoY}*@aeEHOxM`Zqqt;Q z?6hx7uCBT>ZR5(C{fE`<HojnNbq;u`lPvK}N_xtq1^pXMkLItc+}Iu=WcWteYr&4g z>}Pv4OfR^kdHLOa=FB%W`SSHE4^5gbUwb#%EhKce{E^RZq^4br+R@Tvzf0%&ZRPu= zR{ulSY>c}8SK@4G#-Xcce+U2O*AxGHIxuS5#&5*}u^Ib!iso~z343YqDgKIH)vKgQ zUoRPO&fpGN_^j&q<C*`=D->RR)?;T1e;&@WO88jd{Q@7Bxq?f~t|&NN+<)}Ns$ju? zjbFE__k^}~6my7vo3h5}>i36YNuOl+t(i}UPK|i(QMZWa<mW2usN1jEJ@$9aKet~+ zaeLWZhxJ$4_8u=b39u?y`6G~J+Zm(dht;1cx<uyleEq7pX4C&>!4I~azjD7#o87mg zNOV1W^z;p?rb3q9cPjK%A4t3lVv<q*$#Ht7vt6Gi%b8{Gp3Qs9vir1K>bIYQw^|=u zW^me7<!7&a&1=HHa>pfa3nDh=G0oG@TC{ilDFMF83(O`(8+_dA5f^kkUt4s-(G2hE z)pDx}3Lj_YuHMwnx?syenSMFVkj|}Plbc(w95#}hs6F%czRyRhE*(+{{v~<7)M`dt zzg~G%^ZNtm?rq=Xvbo^S6OWzqv$nlw&AoVSv-6A}ox%rGpDy0}&E~>-ZvJQK`eEnh z@f|wm9%OPf)9b|k<z<WO=C%qyIMew<=!0wRiT@f&B^^GqFBmt36&+cZyl?r>WW&HO z(^D6=KC({O7Q2}zQ}fC!rdN$muFdz#jQX@~;&Vs;w~SkO9y)cM4O`B?;%Au8#i!ep ze?IuIsebZ<F9j!}-|g=_$Z=2lci+yFPfp6rJ@HYQNtS)GFRRg?tB*I`)OZoTpqN9| zjq{79&7UW){)I^@w{JSUHtt6F>cH!&tAgt46K*}c;+Z8D#dkUTgZ&jz?F5}P`Sou0 zi7Ss*teE&qUg=?;s@7ipsH%x-^Zn2H31)vdy~^WTv(5xvpMMb_j#nu6-ZDQU=abje z+bnhIK<iS;mc^}w>(>4D&WS(8Gf(GshF3}4q?|54g_B$-=9n7T{N8t^Le<#nX^QIl z;|pt}e(s;yp!-@uEZOV+Y_`6$7yrr3F?+l@eWT_4hQt8l^2)oPLN3i})qd=@HlcFY z#D{+`d@^J7y3xMlVvpSNh<h8a?GyOhIO)w2gL&TPo`g@__xs`AH3tqK-~USeSlx6x zy)wT528o8l$?>}9Bg(b}tGPc7^Il&6&0u5e1CH9w6=`LPWvc{vFH}@*Q}O+jzqBmv z>b6%CHcM=iOo}aF+!d(j)$~kun_AYzEm<9B=I|VRH~sR)68)YlSu+<j{#{yk{PD33 zmy|5GS}*t}^HZw5=9X10*Xz4ZyLYg}`CsUITJiY7G?hO;wz-!6bas5BZFjq!C(+>J z-7Tk=vfjHYs3{fIVeEhHVOjUY>lsgdTc>?0UHbL5`&CZ1W#TOhyR&V08<zOJ70Jr4 z>F96ys2B9$yU54tYq`oRH6-nY?4M0r>v$^ZSPlE-1$s;F&z!r#<dw~eiEmsSBdwTT zB+XIVtnhh;htYxPC#_RHal~wYu*GG=n#Pw-vZ`tvDNBVv#Gm`KtgB~f`w4y<{u<fv z-+Yhz;^rRyB+}45muvka!Ity!o9=zfZvIzf$MI;PsiE5uBc;h(f|qXFUE?uPy5BD$ zJMi`9xtr%5IXs(>EkMAXt@GcUokmfgLZ`^xxXo0P^U?pe=giv+50-p-%)E8C!J*6h zudDoiv~S<>pD*eoUwsS?lstLkg?gpqr~lDQXC&BW`Q8lP>{XYQf0x-{ahX<#`L>)p z!j@-_CUe)6&%2Z@d~P$_<FteOzNj48z3Pj{?2ogoGLD~}wa~QO>R1%3T}|2ycB|ky zGsIJqzh4x#?y1k+_vauNOX8cvo6~mfDw-v_==4iJs~NLX17n&?0{?ZrJAb_=K&&)V z^z+YHm;c?|J8Rx=*WNAgP=miPfqjmLdf%?Ke}BtQo$z&L^vs(YS!Um*|DXGnl+5z2 z^XOUc$kR+uo`;%$ljQgkU$OSoM)d>sYQ}qnQrLLkgvJJ0$}Q+un<RfqJ2C0LvzFBC zC#Aw0-|T%|@}@wJ@z~mxdA{d0@+7NdID+cuPG>x%RJ!bRcftz~;S;B41ekDq72sGR zB<=XUJy?vJ)6~OkN$-z7?ydZ5?F}X$_$sq0rrfqa&&>2}kb~u0v$-p$FO^e%5utx- zYu&x6oMF7{{?&cRXAj)JdrIXZwcqCYiycpVoip|K<BCf%i9g&Hr?PKw6qD0zzbW>V zQJ-Vm+bhfsE_bKsd;4hj2k$eqb!%1*-2ZB!gYb`z<d3X>y>G7XnyX#;T4-Tb&fBCl zZTmOQd>+-haYegf=1$IC8tt8WM}KfF{P^^0psSIkK>w5k^;g~>*B37O@PU=}*ZC5; z;4@p~pPJ`JO)lB5vhDura?XEs-(45n@I9QMF)KgzZ0#H4l<yO}4L)QaJf-vQX=U*0 zio{vp{{9V~w{O3E^F*fm+2TG0^4V55ChYar?K$W1@yFeGgU$N+yH0ZOvWd8_PMLJ% z{Nc&#zZ?)#W!iJ^?e~K`il4Q9%-`MljA#1ieVpp4M_A9_HR|mTIwIQM^JC*TfrX3i zrkyz+<a*({*kKp39mQS2>3Jz1y(MK;nW{dN`g+!=uDp^Zn32}pays^QRr8b_->Dy# zE5tjTKP9r^d`9N=4NCs{n$ZhHtHkXrr=I%2Q1UYF)6zG;1*XJlzcRX?uwTx?E~)5t zT)=*Y7dL(xS${b5Nm%SzMwa>GlN^7g^*+t^T<s<4Iz4e-QtuRlhmnUe>Y5^LF6KGi zd?}^1_N7F2mR{oV)Yy~ylf$?px)#cv>-JEtZd=Hw?Y_}4Y!;``5r)amk5v!!3BO7| zvfMK?bj{ss&zqm<iOiKVn_}}op_cXQ&s{$GGa7GivtGq{sxl;|u%z#{z|0A^7DT(< zv8#{Q{3YJFME6zcJsZKuu2kmB<qQ7zt>;N`|8+quZ`awIrtF^<ESa`N>}$((`5!;0 zUoUOvp4j`qJpYVNs>aL1^FK5hi*G(@TG{@E|8Lahuin!`mod)VoY%KCK=#VcWXW<1 z!LI6cJsw-%#$C8y{?@tkPUX_18=vm8r$jB|xPS9ygx-$WhkGr4pAt&oJb&WyaeIYh z(+_32d`y|(@Yu4rZT7hWt(p%W`y}M+gN-LKM`%A2*Y#zoKhAEmQXzu>l}d-otb#6< zmadlSHyjVH%eQkX2Iy^E`)0!4LwE0OGud9$l&fp7ZP^p|N2e}28m(i$;vqffoYL}Z zYI$~v=2s&Mj`puITz2UH+`R%9HXk^uQ+!LQS)(NQdB}R#YnO!6PFJq*JJPYOH1JJ@ zWsypLk*rkzx09Rv-}TsSbv<>n?1DRse`LfS>kpX@X&1dt+`5oHuc1mM>Cke!7wH$2 zwkjR*&-0m}cPM(hJd;=Y#76mdt(PCaJ^k+6+N6K7R=c_Od)9wfXK+^f_pFV@v%<}C z<;%E<(OG&Hl8luZmZuJ63Kt97gykved0uJrbFcDysb?f}RH$ojO~BU_W}ccqt{dNn zC!9G|vo-9FV2mM?Thu?9?6$y$Y>_kDmj&%kkV#Dp=b!E@+VtT}bHSO_tJ;z-v->jF zuk1_TE-13V@Jm>UruN-KU)(zww$9!1pxN+KU;p&&m8Pm*Rt#2}UBY)ZSM;58Ntv9} zX?Ie6ZdF8a%0jk>F2A1e3O7X=&&$m$>3Vy_`bsX_jgWZ^H@kC`e4BRIyB9HXNV8j3 zzf=$|S#o&xv^!D}?02_ZS$|rAL5H*Fr(f-s7at~Z%1NI!KdR-n>x;sx!|DHBQ`&EH zpRbCU&;4Q2^(BuFa`Y64uWFli((P!&zZq%T8TW5;>su$u%XdVl95{4{OY&9S>?Lyd zv(AaXbWp#L7Auk=!V)|&cGAO(LgiJfc<t_~OlG}itf|88aj7JP|K$<8LwDYAd-*Qs z_A_x=dSfw*Vb%_{5M}!ppLmYV<dT`o^-E}{#l^_l)#n!fTDnWjG+S@=>_x8@gtYj2 z=P^vWUA1J3TzB;CD;&Y$jyLz2q))53u|w>`IoX+0<%>)Vm%ddyCjFVk)cV~1U)!|% z1ft|(+aDObtE;ccy7Z>K)o+V;%zH+*&yl-}Wilmpt?*d%opEC2SLUkwcQ*$dzf!_j zn>eLqqH%)2wE0(BJJ$;TvDutq`E){ocJk->LVJv=OzT)wl!Q)(R_cW`z2E<OabNC( z)w0X2du#%FH$HzT!zHj|wP3*c%NY+hSTh*a>^RRfZSr}GAD0unR;JFFDgNZkkAGi2 ztveLEc}|OM9Opi^%s)k+R_^1y{nPqx{2tXCGY*}ZUw4X8<H9v2Z{aQrvx!SpETWGj z9K0&CxFhJk^4r>_O!8r8mbxp;-E2OmCR)?KL1_m2BbAt`Za-_QKfK<jopgQ0nyx0p zJ4d-Xs(&q%J?-FWsLLaIy<k`9i8P}JKc)+&E%Wx5SeMIxR!s4hvDX{U2~*^K&dy+o z`}Tu(KGV<Jj&Y}dGZ?<{4Sg2Ra-KIU)&1k0(|eZl?oGez>ab4hTu9I%WlIJ1+cyk! z*6+WV(rL`^BWB#S_S5_=r3PQ;J$kWb{{qKdzt(a3lpe0>=ChgG;#T#h#7KJonUlqL zC;chkm@;K=0w<rM0`oUBrUzn9JE!T+3uf=~+1vEt#;e?Q%;`V6j|PYbFy615yZ`C> zzQ;{YvqgfEoS)d9DSp%a{Oqx=BZ~4HC*3RO3S9C{_tNa3FG_8ymyEYdb_?FjT+^NI z$~2ez7sHG+r!#f=>Tdn-wf^6HSZwCDwrdNIr6+Hp+)AI5BFSZEe}725_WsF23uU#I zeK`}Z99D1oA0SxIz51@iQw9N>*Y<B#o}LqRX6fI^mQ|8J3~$Unm;dlk*8bCLyF2+R zSgIwvvnDX#(w*GM=CPBF^U8|sS1vc?`KAga-<G&2)-Jr_w%6*?tta%&t3H?AkvUq$ zZ*k^aoZ+F(%Ay;2)b-b-862-Wxj87E`Qxeu^L{ix`qN&w_>@F|ae0>0M6DbLH^yhD zLO*r->A&EZ=yBCH{78b`ObNkOL0Q9feOsHE!@sZ>czS)UoW`-d^IgZx!+&=FUMd+_ z@~-V$?33){AFXC(t-U-?ZQ%!#14^$8OkF%Ky4RK6l<>-3xj;s8v$<A6$;u<oPDlM% z`Bm!Ay3>2z9r?uf2fN8mFIoTIqV~QXx1d@{q`ZjL*6_E7Vm|L^jC}67F2hN);_Fra zq73Df{jPtrR1>x@P}lqN_<v&QJH_kbmu@BOxLTzBBZg&0y`7h+&T3O#E$uqSRv*Kr zwc<TDr|)i1X8W@9uAt?UxxY>48WnHaV8tKV`z_SC@TTt6g5Q^as_%8!<Jfn)bK4^Z z^&`JISBY#9jT4paoH$vyakjPc`oJ^Xp6jkpGzxq<Kg!2p%DE!_yX#UWhRa6U3EG%+ zzB7}YEbMn6d)oCUq3a(-y>bh>cunc;%NLr@p4)FZA3Zz&(wV+UrU_amUz{>^la6|Q zG@iIqDl>UGe|n4XL9UfMXFTZR;8wVpW^wCm?u6ZYb7j?@e(8u5xzOME(f@$;^`>2k zS<3lw8H|<obN)@(dHi?5RlN|^lU>>DE4F`p{WoIa|Nq^y;sn;*vrFajTyw85`NQH~ z<%y1ImspQ3HFjV&FrJkmslyj&-NU|ZPL{B@tK<JdF)uy8q#0s$ac^bARn{cgU+3z* z+;CZJ+B1p$t33mbt};n5Nt-=c_P52cD772Y=f>?U5mJ=g$s@8-?8ZSgPu=!|4$bT8 z9U2#f9;tdhCEe?%s)|UCnAGv}>kE?wPwCE2w|(=#T*&mrzE4NfI&{L$KV~~;b@^;u z{i@uG{ORp+6O~#1n=d^uIj6_$(W#bbYeWB6kC``^+AH*2FQ34(kWbL;OXmCz%S%Nq zg7yhY58r=#+<M@1NpW@+3)8RDLAxqB-<Th>Da$uMv0qh_%`lTcNc>DC!_QL3sEExu zOg@hvxM%)+T({{1!|7k@^&GLKjIRUl@3_C?t?rI#bAGgB=5%tOxRWw<>a$F?6~DOu zbZVIUG49!!T0HfmjZ)LIOPrd#TiN$-&b+7<sTIrNuq$oSyxl^Uhkx5S1(#3i3cVhw z5o_r@ovF!pd%8{3KNIQ6{7b)aDb{vu2wvE<U_%aL`|c&4zb8NC2%Hk9q}3|BZS9=U zIETLZH#IbJtS?&oI-guxufK@-`0Cu-vkz6T{rJe?$Fv$=i_W7l`wusF?Re+*IicVX zW5C{kM8=fwdw%-Q4{ny@xa|MXTYcZonfeyT&EpepRL=O{aqv=PL{3GRp@plHf`WpP z0rawFGvh=93l$~K;3W$_eY?N0@-NGAGyS-@Nv`sm?xv+&g<cPr3p&no%e&;l;C{*4 zAaFsQ+4eG{l}_7l`EfIb%0FJ`rNL5}v0Zq2geJFHzyzD)@Af#dZaCv|C}fuvTZ_M$ zYO}ktpt1gm<yn&_+PyYsd{W;(VNb%B>hk`(we{XSNA+c|ItmIUZ@>7vBKF$Doz41R zAM|=n(ac!zVB>7QD?0hQ5n}T_7Wu@sG$ds`ti4;bW%&_Xs{-HuSsz92)NndmoLams zxs>tG(~Sqr7H$-qd1Rtn_U#io8@Fsp(71l@NA1K$2eUucTRt7BURBm`Wy(a8qh9RR zFDJzsd*?)pzvJnWd%%{^dr`-y<*u~G^9)80%Wcy{@1E6PezfGBwxONOn!mrJDqn4o zG?|{YqQ&LFci!c_te@n0xKn-9BucniBLW%tPG?ShvNGts<DqQ}>tz<)e?3|AYnTx` zcUa5c-EkK^D%Z-ql4P7OXQZ`2dS;l{oE9FoDZfORCdt1pnPv6x{((s*XKv@r+__LM zdD$+mE$Pa|Z$3Udukb<lz@nL{+TSZLi8xGTej69HJ2<mM<iY~oeV>}=O)y$}UZ|jW z!cNm87CArS+qzxYqC=BACrocmX1;rA#o2(H0m9bj9$Ft4l$yCTGcoXb=QMWXGv3<y zQ>qu7P%7a%l^mHm;hcy3)CZ|gB@`bPZ!~&!VD+)i?doBEGM#2`*R14y9a`M7Jn3rN zovnBGYNagEm3p4CS@30FERSD)fQj=>mtTRMwjaJn?7Mn)rfvF3nORj&6Q|soT0O<{ z&F2Icrw}dw1zT=L-=6ehbCvmv%A$3pH|Fl~2wHu8&(xg#iK5?DZ&;Hfv~rTpz5_Z} zij>TYv`@H~Dtr@PdegIOee2!4Tj$mE#OzitEn|1R+R67dLcZm^=Gy6>H=T1AUDoCF zzkKDh>kZHSb$xF(<@`H1d7a2E_o^J>=PRV7k8KorRgv>|y2_7d90psq=lIF9a+=PN zn4Dl8r4an2J;mbTx|nZ$&WE>uo+7K@{gSg=tnAgsxGMrNj_WQzR&{&J(aU?R;qJ$D zwWCk|97*<4_nvTY<-ry5;sQTjG&plf%$eJ%<<O|pbS7ibmbhC}o+|Qa&k(!#qO)|j z(S(CvKhM|_%@vfDE+Bc~5BFpb$y&Ai9l=+5#I~($URt?^G3R++#?2_%$y038k7_Ni z*c%aH(DK;0BF8h|TI<{!9kC0~Uxqxo{9=yXv-f*z&z#$19NKf?gLZJgrR{?Zne{D} zr_XieO#k2!x*+TQ^(EgIUArFdDK+cN<v5;gnYK<vlj<B5eyxm6ED67Eu(JIAFVhLG z6K8%uU9kDYie-m`TYhiQ{xJDleb<|-F|%~GirzC>7_+C#%|BNnFrIgFVnb^8rTCw_ zEz<bTMgQ)#UTpR$?An&9mz(2DvvtFkA6MSJ*YalZuIQo%7v81)w99y&ZuzhKmyo5& zyG+Bw${mxRJr&%e-Lxe|@SDh$cNOmryBu`CIN5OW_i6H7E2sCRd+;mD@ns}Ry2Y}F zu6il?;=y6=58X{pNg{v0JlYX?NYhQv(Vg|cj<&sAN8g!!^zT>@vftBf*CTV++ndCj z4PswjFmJWuE4VR5Fy++Ryd!s0Dj&qlcXwJu8nH-=ncbPZK;}c2a^2y;+IdY6PBAlT z<(@DMyT5Xm^B?w*(^7ZbU+LB486|&jKX`4S*Q9y9x0Y-1N3-m8O}@Eu%|hX$FD3_E z;B3FqvMF=z<F`IdGk^0hRdp7yYm9tx(Zzgs?Oom(EqUt;Lp4uvS-lNjsa411eQs}Q zL2G5y4Neo&?MoPqe-|Cq?LBeGPB@OWl0!(-q}=rq*X*0MiIuHh?<YxI$vOMwpm@T8 z-i6;azx>s_@vLXxq6;2Z+;p@aO!4RW?Jbfiwbn*3-_q?De@?G`iipXY<CD#_EA(Gv zg}UV5u1|Q+Ub%m98{etsQ=#eJq6SXsTphXWf5Kjgs(d!N-NpHU?bPDtsk&#sq!t`A zoObc$o8R$U_oXhe^a{Nt^`vU+JKo-yGPadnlE0oFIDRnksqog-r^O$gkMb7K&3YN` zkP>w8H&bQT{i4sg+qxU&pA|6_N6P;SId!Mr=IjU2D>si_yV&+bh&S%r*BY%=t0s%= zwQNdWw>)gZMOR<-Qx;WcT8|eBG^#%q+4CgirozvQCwnJ1ylUF%^LI0&=l>^jI2M@| z-Oz9rvCZV_@Y_<5n#}Fe^yfkl1GmGM{f9HPlyws2Kkxs{YQJA;{j?Vh_Ojf!G%gfA zl-Mi1>>a<_UbAI~y(=d91)gr4J^Qd={8js`8GN%ZpE&;9<e39|LgLn#(y(_?pZ7eg z_5ITOZ-J+V{UjAb8LlPD-Rqfm#a;iXlOn%CVZpoKLALR7()A4+7qIQMJ11Q+zoy!B z?X|nNwcd1GySVsTqV?0qf{DKcbY|yso3Fa@QcpT%&mte@oZlZ>_d8EpCLnO=kH9K7 zj<ufR$6Y1_9X^-w|L{s5*2>i^DV*P=zaHF?Vk02&vHv^!>B;{kP1k+CoH${l!L@fc z_i&zTR1|r0ma(pCxi!P&b?PO&S#e9JcWo5bo2ePZ=iIQQd?rWMtSe7G2fk`An#fcn z)^52)q$aI!@vSA<xrO?@!qOdX?loDT-haG!h-F%7)_;$eoDb}u_Ajb=W3!rZ|B+Qw zx(zgczYpeKbgg4|Neh#Vm#5Xo;I!xAlP#WW@3-m9_1k;pn8g-R4YO|TPkfhlT3-)7 zXBYW6{Qh~-pYy}6%*y=ITo>?9H&N}}-33ymvv;gzGcoi&$R$3@swne|W>7?E|D5T& z3d&FVK2@pM^PrxMsdbJ2s<3aT7j*2*N;>qmDC^S9xdmN3TP}1zs$SRdq{B67>m<%4 zfBD_jd<-4_uBx?oA{_gGAs}l0bI$kj#fv>w+w|X6_|MU%xkTM{g6z2$dHMy*78;*< zF!5SVa?w6Zg;iqL!ry<X)%RKV!C-m(PVT>#FCW{dUBa#1T$V4Hel}mV`xwv9?6|Xw zm{Kn`Y`XK}QqZTzpZ#-pa-F}Jyrut`tl6&4IQI;#sB1>6Kj?%`R$p~-)`Xp&n^k1Y z)&}ig&Ya};lP!9Z!i20zRke)<y?H%f_Uf8%=vZiXI4F^WVbd+?j#GM1gI2uWthn!T zrLcQ;%Bso#9<AK?#P!3GHkqwDY#ra`UE6766S;c#qEkK#1$#I71tq*)S)RM(rrPgB z+x(w;UjH3iEB<OlO<Hd$slXWYac0FH-!`w;hi<=6TD+b0=;Af}kN-ZiRF`-f$f4)C z=TrWA>ql3%+Y7V5`KR(N?bnPOHxe}U?p7Ky*gv@Y|M}X@)2?n<7vmJ|QvJGOZ!i;| ztyOT@YEQv7{eL3Xue2KL*5)#HdG5B_R@V16$TnKqccqc+Q+c^E@w*ID_S<~=-Lm-q z!^bO6uX+*v(mh*lR(#b>@og<<ssiq)+2y`4s?NIE#Ja{!ILh^JW#KB(%rM#0ANc<E znsD#QJhQm)MicY)s>?}o4Sl9xHXZ)4@5;niVrw|2UUlnxuKvVr|Fc|u9=79KLMJ}n z)!pQ_Pd$3+tSN_lVpse#e7ET6z9XmRzdQbH*Q<PSY12o+;nQXBH5(oA^~{O)JfwPj zp-cVK;}c#ksu%vD^N@jaJ!ir^xl;R*mXmB-x};Z$M=WMcV4CuF<;gowwr<*(7is#E zarcx^o<s5SFD_&?2_LTL$>|p6=VD%eYr*#rEdw5($-Amg=`Z=AoPJ0%;7~?*P2ams zg*!}i1nlO|dL(^xLCUnu=KcdIJXTZk+L>3fxc<`R<A1hpLH)uz9S^Rqn|pHO$<Mz^ zYhrSW=l-AE7?77(&GGc1_kj=f9BikHb;D~!JYV>?MZb9`CiXpM!EKJfFTbRCtdmpb z%T3|Aml|6b`m}j<LeoVCb6Kq&5x)1|=kMG4<n;Rd+T4A+EY45byWiSea>=cVu;0hm z8y1)sUU}gkV7^;OU-QS=D=*K@D_+y|v2_14)7iy#6Smqn%PdlR)NNS#eB+Xhz1xmF z=bQL&p~EZrmAo4i@=wn?8WWUnk-ASMtwKxlM8SL0w3=_O&)@FxkrIfS$19tEqfPGQ z<Cs+)m-d}nSf<<4ynEs&)%e6$;ff{+LSd&r)c+N!o>kVKaFAPOs_o~Sr=R?0ni=sw zD)xBqmrveLtLIi#UE6qi`I$(Cnyux3r(BFEbM(2kQjAg7XD;{j24)xWu6~~S#}|5g z7d*aTWN^xn``%5j?XoQUBGpuvnl!E69PoL`<jersiJIOE59TX!vt)a3y_2F@BDOPH zxAvWL+ScNO5*7{D@6KbHt>MkqVt>@l_QV;f9SlYb3U<$#a=YZ!w~H!orllEj9I%sX z&)r>jU4_%p^PyGVQDuIq(+s-HRXkpQ@h{p{*tMl?kM=Rmr6GJJ?bE^?EdtW>k9T;q zN+eIx{(fb1(v1qCwtNq!Hti6LLx-&22FJ%KKREedTcAV(=es{X_8Vf%mo#ktyJFRQ z-orP&Wq5wN3w146#?-Os_RU>2w#ICQALO*-*6j)wwPb3zf2rn{`-@M@r>~e_cD&)m z-+FaUwKP$=Ya7lUjSxKcg>y^)lS3k6ySv&}UTy229Qol&n~unW`(m~0elaXy|F-}6 zR35|Tgb6Xn)@00Bv1k)pY}pK<;sDN#t+Ky%ZhxLsVDe3nEv>zD{kNHuJlvusMRi{8 z(5~xzs>&bsaCgtoE$WXSeJd>bvZ?XyBWHsz$GwhJ8@V*R`5T}A^~(mvgPV6pvF}KK z<6_#BK3|C2V($loMeJL+R%<6S8_S>9SUy|9es{R=v}3wwJoZ)2P+d0ZSnq?>jc>V_ z+g3Qm3s1V)95lV>Xt1N@;aQhCe?-nb{8w0&<?OAK(*wnBeZOb)B0PHXBLlvl^;Nu< z<^O-&nCiE)UHDs>v4&f9%s-E)$nAO;Y?U$&-e-9$-(AMAV*#gKyWb9F%fJ5v!jooQ zOT4vw^W2!vUj!;LW}4l2AmqUPP-w{ui{e#HQv!}mxsfW-Jnh$yW0(C`+}om}tEZ-U z`AnEdtF<iCTNgo&JfFa%`{qZyGxQ=hFI;s@tG7|^;DV%`h6i_TGTu9V>dl(v>W+&p zRNLL1w>9G6(o0s{2ZZ{bT3-y4D~b7aj!SXQkr%E{FIoQOTjS4sXsx`woAjw}HLd-3 zId1+GUAWHTlR;JC`5vAZnKs`XceP!*d1TcE-peOHcpXSl>{RA93y3e!v|se4Y31}S zXUc7U1>0NQto)OqeZ#;ZlKF7(lzHDc7A*fTx%vm!DuachRq>uvD^5*U*?iabo2V~; z<LVXxjYpQPvu||W<Xp*SQa$UpoPF=Fmo?uuivC}AuFUzt3&B-C&TNmpvo}3^)r+&M z9x!&=wV&AJW4=!7V^P|Qsg9eKp0~$8GB#^Hx-pqaI3llknW@wB<+*QX<c4|f;SEsG zTj9EzQTfPKA>ZTs;^RHqa&6wvc*7<X#_l(@^;e82*D)5h#hRSUtxYEX6h681{SW7r z8&m(^V(wh*UKRUwalf&B4tK8ZA2#2R`7uGSKl>Of%s%J#{rBdd6Amr<we_Fq-xt{{ zbsx<Oz0hK?enXYG%pv9<oSX9gl%;r`^5~kkR{8AwIZk$$)*cJvdCe+kZ70xqZe>Hm z<b%8d$3NEoD+@066gS%G{PK-PYWtxDx)xtFnqRFr9x?S+WV?>;hrO+PUmba!@sPLi z)ZZ|(Z%s;~<s08W;ZFD&|LP6n>RzR3>-F0{UtPGlxi@=R`_m7R%M1@Z)493D_y0cr zj!L=ojCzH|?>--$^GN@%%y0e>hUWqgu5Fk4w`%5%#m6i^U&v(8**`&0Wb-MlOK*4B z%{gaZb#~2SljjKs!ybK{mBZ;7`}XrA=c0`6d3s;o2+ibEym(xC<vDl7S$9p1xi}1} zbMF0Ot^d4zhMB6qVze|jchW+o-pkzy-r-v}ue@j<rG8mAZRXaU`yOn&dg{7<(Y04c zgsWMS(vGY%k$7Zl%CUat<)ZBST$i`65_lKyuhc1cV;|dl-e{ekL$14WzPQV>*(k}Y zrLFV#ZdxnF#&&+DzNyE-YadR1tX{P-^S#C1EJlqap^(@69dh^VoVY~w&mPuGTCIMI zt+E=HT->|*oWig7ckXDMeHHr0IN9}T&atG|n?CL|2}zr^bIYPNuTLent(q%ezM?tr z?ZT8zyy|MDZ&dlOmPGG+8y&T;F8beXRXYZ4_w83>OzZA%Tz2c6#<N$?&e+Wnw~&~} zk-Kc(S+2Q0EHO_@xOwB2dvE$WyXzNERDPT`Bj>!o^Ys0>Jf)^tJ6ZXyP2RH8X34}Y zAB*>%&Ht3AX>RIW!Tjp;LpI0L_4B1?RA#(1t6Om8L+*deBmwL4TZZQMz0w6H{rmkW z_x;sxi`eX}YdiL@eWR>(_WbhXN*(cB^{^K=)-YV$SSOKV8Tp0r1^0&%;gAWUo9?Wc z`cyPvsq?ydyC<`i+L(*3md(Dt^uDsOM6=s6oi98$QbjV@#6CGz30`d9s`A_SOLCT0 z)y!odPSx=$gcR8-tls)y>Zvq`sk0PV#1H*w>f_;&>6tn^se9?x$JyZmE2sTsd$GxB zK~KoqMd4G!To#wV^W|9>pS~!z_3jP9mY5G-v*f1ql)YCzS5a|k-&LM=2?_fH@)E2^ zPMz76W0T{$Ji`5v!R~dOCPk0??Ha^pDCV7V&njD_pCHk!WB=H|ZhN^z`ninCprp<( z^V7ThLN@lzepk@<v%S+k!s}z@Mb>KT*2)Db^ZM4<N+<D&CWSKWV&A>k^^!IFnmxir z0*P-XG<0QTsP-E5o4FV#91oqJ$$853<tbxTHTS)~R))_sYqm8XTomp2E9{5GRK*D^ zUW@GrN;#f)X{M>d8_uA)or)7bPu!Wls+Wm}|LFHg+Zev-F&_++YW=;|HRk*lpHC|) zRiqlb%0sUIVc@KJul<81%3-@kD{Jq$hYnY}XNffxsUDG5yVdpi@rLfa8%@tUjwrSK zTPIQaw6AVYzp&larSe*r-pQ{p@LuTi{l(;*HMerhBg>DzTF7?TLUJi{!OSv2@4Dq1 zROFmZ;ufze)(RKroM8Mo;xfzI`#%dzZLS(|aV0%{`bbwT_||ltcOSR?y?opKT1bjl zVL(mf8P54H#7~~(^l7NMyR`Y0RBnLS>WWz%eCI1ad>324+#~1x#=SXGKW@*s)uwmA zcIts8<y%{0@>b}4JEc@vTY9p2{luaVA55)wGqh!V@(GPu)2d~AK2SHXc=ghaiuU;% zl_c}$ofO+*#Q0yD-7e0{P&?~#(^p5PiA>3Edpus=oTN2<U!Jxad(;{|29BP_RoOe& z@^#!<@c7E7H!rSS=~gOrsNTx%;deW6|D-i}J5uAK=JB#kjykgM&UWQXe^wt=o3~P! zkFQj?TvpagC&qbY=;Aq6OP4nFxu~&h46|i;!uWShNcM|#zx#`GpGKr@wKdR|dH$VG z`}6uw?shBp*mqcL*<+)l@b2uf$<G(p@4e-2&o8FDw>i$6VdLcUe=1%W9GKl7cAR1I zXDOMU2{{$3)qniD`CjhzvBz$vkv~3U8z25vxwbS^TvlP({YI-@ehqKDcQx|JR$aQb zkY~*mmOl^pCtr^`dye;0ult$>cXHS0JPG`@qV&@vzdT1x=_TTS&S@LVsu-0&o@iyB z^t^Lrkhu9bUV~?gLh5q*r(4ZqZc;VY{#Uq3yxF|o{x<(9rS<n#JzHO4cC_U~(W-)k zAM<XsR_e|wl&hL07+bn^e&Ubo%=tm9Ql`8X%w;Q>d-T~oJEbhiESq_fXJp?8KG*9i z?wrz^T(5caN85tR`%i=}+&gJ;<%*=~lM@@C&P)AVDP_3hKC6_JSjf$F59itcTzYz; zR;$dnJDeB)n9scTHAL&z!<4B%T<z;OyiY6;oY$fuvzhDi_Mq2|7G+$L>iy+!*Ij+D zKa*Wx#g6U54>_dfN`AUxzEV74|CQ})a;7?4Nz^k}MsB&V@s>|z;*rgkJ4})}xjOV# z?^!die1D?4^Uph9-(9^I6a91DyC;(ml`1{?wr1Cn1zk?l^)sWRR8>w%wQu~JbMMh= zt0Jx`e(6^lyyV~Mw;Kffn=?Cd?uL5(+^<U&PBnzCP*K0Jdf(4;RV_UsLIR3T=KiWX z%x%v3^;;iV!6_6}ek*PF1bh4V4Y8UN&O8$T{7}eDXh*|D&Q|S%ystbR8lzXItC^Zt z{I+TL%TN|Hm~7IpysP1EeOgdhP+^_Uj~!;39{Xdn_2xDIUL+g0K+2bEikR5vH5Gr% zTs8LhNQ(9@UE3PMd0tZ1Zqm~o1_rl3@vQmgx=7YUX5Ih5HxJ6I#C2O98J)bG=EJvC zC+%&<m-78BC2g*fZ(cX=P1h=T`g=-c)F&D9?f@p+q^)Tt`C3z!Ot4*cvglkwvF{rl z%cINUZaQ|v&OS1$@mTpC*Pa9IC6`$*oSrh#EtYw~an%Zo=R2++XL6pDP{|iHyTIVV z%LDJZLyn&NdspJ*|7^!=_L@GE#lPLxTJXz5U_NV<SZ(L8j)EP{5f<A{E&Fk5*R(fF zk6PKNG72*t+M)cNS%97Ai^c($tLGVaxs)0=F`U(9_&Md#>XkP7s_ob2n(tb(Y29Y4 znrWSX4$SO1^k-MU#hJI0?wmSM)3NQ-y2UDW*8K@j@9jHiIeCJjs6G3(KjJ~rIb|pF z?I(N>T`2f_hf12+Cf-|@mnRuY%kn9Hs9~P+VMWO%n^gOj4V(V2*?-~wtuU>F?`tQl z6lCU`@#?0<ZLwv(e^TAn7(DsM+-GTQ7OXYdV$Ea5ApHkcpOZB2ZhDt5KF`Nm<bHmZ z?dz(Gb@!jO>(@N&%{wWu+gx8aHIDyW&^ME>?6Xh3S#r_XFo<K`@As>J-TyT0f>fo< zXFpCqrgOHBta>$0o|~O_^en^1gIRJCaq2HEn!TURzPlp&-cm2=^$HUuQ?I>o;ptwi z7hC)N<Nq(}trvdny|hJqH;=pW$1iHLwf^@@ED&K~h>R%P=O^I({*c+8cuyZaV>da) z-3@Q0HuGuUD(J52=xY4W>*Mopjj{Y;rzxf;Je4a~)IU9a{m6XI%fI)APH|(9iM!`E zXY14Bt{1EJ-wAsw>b|;?)kH`~`ETpW)wP}1Dj2;_nqNJ1*?Jk%iG@?wRJb)QI@C6~ z)$Pv>K~I+bY<cDR?^g%TT$<wjGQ!ID$kF_7%(HJ~)HGhQu=Qv;deii5%vL^8PDXd* zuB|NgFJI_c*#5ov@A7qXXU>}<Qx#7J)*pDd_^+g%R`ZE~y=VVF5qRz3%cjN{b|A0h zzo1`FsYp}DoMp2Er>=M+wsx&Rb&SuXls7+qOFw;lJ|+F>ljA=&=@%zXD(|qYS$-}x z^Uu6jk6vE6ANibp;|8l)`>J_#^`#oUSa}cJI%CYa;o4~xR=)0x(62M67iI8Qm1}3I zKWE;Q<27YRMbfdR-MfC7b{i+<Oi6eX$`aLjgKdth!80b$*y_fNl!S^Cp#oc9$3ENp zt}6bSbDQGrc{}VLC$oNevzLke1;f5OGtOr7n;OLNdoNe+Jo3tJFXx?YwfY+UHul={ z80z~r?YbY*w`TF|3%)uV4_=Kfd*LQt-H@?BDQtaFm;U$o-g&}-Ns+~+%LDuW$9&K! z*jmUx|2j_?r$Oztr3{y!S{v)H<(;0g^l5FH;+|shzJG5V?=P*5nK;S)nfn_(W>xN$ zY3$3{>JMssnH|3U!!hB-ai3Esyz&vAxLosYP`lNu&t}_#LUkP8Mg%cNecdqQl7N=c z=em{$O}Cb%ZMo0>;(PY|yJyl<HqWpXV2$yeul(oW?IY4xZhBsOs=X?6=M|3g3hNm; z^_IVoJSZZ%{`n5a0P*Du<4iO!vjyu0dCBbSx_aQ;4V!aXZ|aI}WVv?bbn|h$21&@L zbXFWWrOMd$?SP$OhS*Kr4R#BZlunjO&b;(7Lb=0%Pp(evb81TG?IMP+O+h@$6DLh> zQ(Bz%bNj8o%%=ZkW&L9{dc$uybS~63u%0Owx3z**n(we&I^(%R=B9HQcAn_H^y+TP z+>0G{6Sd`T$7ejAB(0IWtYK1cqRr{QZ$c)_(Oasbc`Rt{Yqm~R%ZR>pVu#$`i!7eU zI76?*e+6rba)r(-g$)naOfW01W?JU=sruQWlr5M3%+mEd&)IbP`E(sK$*6#?-D|3J zG$-}Qw3bQKER(P(NjkIFf0<O7;=Df{(cR~xS3Ei(5$Tz8G4_A#95p_nN+*uA1;=V8 zi~Kp@_1t@JbjYg2-11{VQSbFko42vQ`dm59F3{(Tw_;99(y6Zp6|a1lFFWC;_PuA7 z`8(x0gH?LJHr_n={mQQYM->G*gxGsHW}J3^(yRDrszLS1Pu!=sum8MJvpgm|$8C0M z*Qa8QAm^ugh0Z=sVz*mPuG-<y8KBaf^=$6P>>a0tBj45TyK`YhA_MEDTLJq!?=BQz z(?6s9S6%DPU+w#4ZfoVFcfUEN+aq<d#^>(T&T00&TB29B-pP1-m%l*Fi_uARlJBOU zeIJ(J$Ygt}ZgYg+^T5{5MuU5K*WMH_N|}3trTkI6QQI=1p4rt=j8(3_0-AFej3=#H zX8L#c>g7gkUt0fv`KUVOFw<o|eX9tLS4qW!8Fzg<dh`pYKiSZlrGI?kPSb}>d**AJ zADQKTw9+Oxb6P0xO_?hfZ#qkK=?1sXV`GloQ}I~t?wv!T|NYMYciYzZjbra?ksyl+ zvvS0)uQ2)d<ly$?!ZvXRpFEHK4eL+DE_Z43JvpsytyO~3x#jb2yb#Hpp7&HmqVm$Q zquiguE*?L<)JjxWiQ(@W_j7*hE-j4YDSF>|_vd`erLziqert-n{eL*^$kfxHpXol1 z(aZSWxpnm{X0Hjdk1N0L_@QW>J7?3{`WpX#MN<?`>zv%>^^O0g{!s?$f&%-w91JQy zJ;Jv=NoKPX{q{}e17B>*xi3#%2WN9^^sAd^^SruYs`i%dr!KY;KW@&QaBimGZ|9(o z=2nxtmG4~AS(mGG!vE0&A)A9g-7O>o4c4l*%bl4jcfob*EyZ~0qwBVL&Rdl-Ir7Y@ z{VXn47aH=X@it|(c%Fan_|Vp{^oVBa6{+*)rnZ)nADWc?F6l@e)qV2Nf$zgS!MEvm zZp{+-&E%{Yw0xPzFQZ4Z?@0Pq=-vzt@?@R(hFkyIg`2Oou9#i3$z;!b<sU~<zs(X! zv*vl3JJrPFsN;11jfLf_)GM@`!)<uigi0(=SHHa5x}57<YTmEL@IvGH{p%lG-B@cr z+4}M37tbs<S)FZradLjfqOvKncV#}Ej!k~{Snk)=vUn%nM;|7&wR5IeT#VStn(&Bo z_I1IF-{0!Q8cL=tzPGF3<Zru^SJYkWHAAM%cx>+Ey14R1*UHCB4?M7c&|z`qQ1ut3 z82-zbUkN$PyL(hz^?Bb_!_r?aLUaCF#NX?Zk6m#sNU{Ia&*QEp-@ac}J(0o4X0vKb zvCMOsEd3ydTXPxO-!0wkpSa^Kw}#&bvwcropQg*U_xC+lt1X-7Uwr1!hea}zoAzA! zanI65EcoA*R*qZ^iKWudz9%S6d2)3!<E|vnMJYAkgC1{l^8R;c-76KF^UJfgGAN1e zvGl!Wad+>YN!R6!x(?nts=F~!M04Jrp5CukPh8{Y3UlrYI=o(_<nFCSe;pkzv@L9r zob36q_9bT}XXWpdGe`aB_U0UKe6(5htL9CwUw2$jyjgnX_Kj6<<L|UieZ^~hmC^p9 zgcZ+{_5~tW51gIFdq1<~W?cWD8=vfM>^ZEO=5a4i_CrtD4K?%G9g=stG~BKoJgT$M ziJK?ET-PdVXWo^=a|CW|{h{JLcR|-%<sD`HXIo};lx%BJIuW^n!|+tCb;<Tyvjk>- zduXY4!M1Idac|3-AQus_%s-2-x%f^>6z$!;<)pyk=V~(b1%8h8vS~9Tstl(_J7m_& zy4=|idZ4>HDUfH+&A7U^m2u(%|7>4PoO{vQc5YZ3Pu-J_q~E-6-t5>jG51ty#tKUn zvx!q`uN(|)k+WL9He{LRoonk9?gpQmt7UdzdcHKfgY~}jciWu1mUyv$td7(cU6K~O zuw^~th5XyP)xOu=HC@?PzS>f-X#R#9+ic|7q(y#a{ZqHxb8>@$j8cl2u;{O{=9fB2 z)2?mL$-b_0+~C@}jLz$YJx!%Ox;Ed}?wR{X<3#w23sdBycL~Ywa}subl--nc)KT}b z=_9|1H?(4fDu0~#RkQzNQ~BbpT#j67lAmWV)igJnuZeAuJ}E8!)_!GP=ceM-ck|~j zeEeMCz0JquzAulWd3f|1V&y%bxKA-C)=FA#YjKZr&g`}BQAX*U&t5E?oEGHCFlmd5 z(79V4em@xxYg)$t>c8^BKPoi1pX>jng71l&w`Ct*x@gAhpDq9IeZHynCEv13cE0Di zl#BbXG@o6ysWV#t%}zIC?!xxXYg;+l`dU;<ULQZ1+n~Pu#<yFxFRZUI#kev^FRJ|L z#=L$3>+0IRss4eyN-p=Uh4*sTNqCxnwG4b|`b*@YjoePtjsN3Tvg~l39XOSBchh@5 zjfuQ}r}E|({aOCad&U8lfQGE2YD%$(@3ypT{x|ijxW}()(Y#HKhkGSj%^$Y&2Rfe( z-M8gK$i(N}ECFxBqUW!AWl(ni)6U813m^SzmH4otQBpnG>(fk$_V?W@kG2#s)k?2P zKmPKXfc2J3#_kta>`2Yrq2<3=F6YH8p&Z+5^_MQOm<SuDn5L}Qyz@!k$$c+&WL*+) zzow9AkgxY{g`xWypErhCX~&<Ru({Q8U1Z<u|D7wNoCL(rTy79;FQ}Jh^;$gjsCo6% zt~XU%g<Ve_@L1SZc{^eE>&Xn8Z=PQ^x$4!eRr7bOduO!p*Cv;{r|eFAy|Y`U=II&s zJEDamlMcis)~<WG$flF~U6sW0HRbEow@p)&GBwtjCA$5R(T?4>wj7xi`(d-a+=S$n ze%lTz$*+@TuRj>c>f5!QL4CnHO&%^5qqp<sGqRicH`|;)DROtEhC=I}Ti$0nSI<6Y zBHeH`?x1V<Jsa2KW^755ZLWM<+O^?cG=tmxjT=<b+TS@CE?FIs@#dM9%kSX6ndxk^ z?pE+VoFe;l`$pIPKJ%#gGJ>{trpa#?)z_-(O|+b1<rAf}H(`3J>7|lVss0`FU;Rr@ z&@cVQIlsvIMei(weQh@nSzhI9@G6}0R$sdA)xUn%#V^Xfte7BHyfxy%BRPk)+chp$ zJ-M4xGLv2GUXqpPMT1s>=ksbGUpv^o_(CNwXTP%kx?Lr^-b^W2nL1CPuA_G{i=FnG zbw?h?_%C{xep8q4d`QKQeE*gY8(0;mg!b(UaHu;aBDN__=B4FRi@a-FB#cf?wtr!- zn#i}hY;NTTqe;6{o*pZbstLX2;%)O_(!+Io)S882n2pY)DfT=$tg4w9B)#+J`>WgD zCNaF_ye{Ojz~s?J;rkMgk6(DJykzC3%DR7-x6bB>ZQy6@-Ik#`r}}VP#r)sG49h0m z*DX)CQ#+8konw*2tJv?{N{9Macvl{}Kf8WYn=T{AH`Ux)0m*7tF7dO`j(4{$d2sP# zh7*UXPLZ*pyn8YSXIAT+KgzZNvY!{1RH-l8TI2V*{EPK6*Q5L%Gk+ZG305*ys{eCs zVaWG?UyQ{>50<l>XIm!wa@7*cy@s3rvEC{)Ir2{Dl>E{>$Azvsu~nhd4BsUM7_vO9 z*8Q|htgm4@yTI*37nZMzIeuklwdM9Z(js*c&X#6Tvw8Wdqf@he-|}(JH+w&4*1fYi z<?X%-Zd<OWX)Or6%yB31lgWd%m)}mE_vl4)vTa52`57;Tj|!dBk`5L2+0Vb;@5oHg zlIn+{7tcLWxAreN{KTX{cWsT(w;L~uE!8)7{g887y}n#e`qTs!zm|ih(SF;~Ha*^a zVHfxIpN_@hGd@q!-M(e31`F@rFBMPy<L$j$GQL|cyiwV-^~XB*%_}%;)q1lfT{eW4 z_S#0r^O|3Ejc(UD^o-kI@pX|<-kNA{?SC_pr!(mM|C?F;X}R~MIc&lejwd)gcCcK& zlDzJViatXg>m!p@7naQk-62_fvo&JE8}lhwQ=L<1&lCu$lX_zM#m(wK7+=S&bccxJ z9c%4YpO|3qEMvLaFGI7kI|1&qzI=EurI~P<MJHpcj!e}LS5@P^6Mq{V*kilWz~ueI zV?USgeQmz;WYN@#8zxpa6>iI!-5uBw`RYt!=xd98U(UH1er?LIk-4KZdCsPfOq-3> z+YVoKcU;kC_>}SNGVMc8GE$mmKHvOh-}Ah~T`q2Kx|H_s5MA0LwB5mCS6TC_W!z1r z(|SMuZ{246^Srx=YW0thWz4@0>74Z0x<WpzJG#of^hT%Xd!b8#8)sgu_Ig~aJ!yB% zhWZn!A09eP5WUJdf8yq?vM!2S{(pYU+cLrY@u7<HJC5D6)gN8HnQuHXev5DOo8|W$ zBQL3c(Xv>4AX!jG<k`%}UIG{Ex0f2tUTrAB*t>S>%k;1Nh2|E#`(JSUHp`^%;T5On z$?Q!iHN9vzVQ;^ZE<^R^Zc)bTr7IT&AH9F{&QJa`GYsP$s&b@fyPf@X;Ew-yQG0_J zhU?D+liVLiE&lfLG1sSeh4-G8FPCCl%ObCsaJwdaxyb4q=>z^-*0P>Ex6k70jEkD} z{T-Rh)>KUHDwbus{rOM+F0NE91FQSr8xOD;S|9$+x2L@QuVHv))z@vV5uD39rUhT| z^7wFVL8Ui$Xz0Z5z**)N{kpqsl)MW1uPuDR+_2XDWP0#S(}^$U{JNR0yhgNR{?vDe z9D*O5S^K+m4*%K0Pd}c$u}+xJ+3B8oV?|}+6j7ElJ7lBsXXq}-TrX*VOK1JNubmHf zES=8$=EdUd5B3MsJe#C1?_l30E;WONyQx<)yZQT{)Kk(L+wv|XZFNka!OMOid;e|2 z!*QSWozAtk&B;qllsSI+wY+4k>4tMxwCv2&>%)Vtn~5qr+~eX}Anm*8*3PcU4(0p9 zgTxYI4#}G-T74BSUS3`QZ`!g4SGnG|oJ;vHGOgb0#?`8a<_qWa#}zN?Wm(9~9=C2= zVMA$X-K?h_J$I85MCZt`v=;t1jF|kP%t5tPM7QtX`!xq6`W(;qN_#O1-BZgE>sHa) zp{1>I@prua#DlNu-p|?U?)5Wpp_Ii6fj}nXeH<PV#+Hs7F3t~gDEd{hzUQ6L+-)y! zUFUo7-lm#y+02{wXRW>SKse@S<Lv#53-4_)Pvpy9F7$ZuD$V{=ZfxB86Ix<#FWk}M zHDk^Zb(#2gH_q00OZv>WzWq5hSnbipO&xyQyDXxM<SvKFzh&taGu+oandO4qL8dvr zCoOn3b6h=e%_?ib;tTP~+pli3_#jpqedoJ$&E;vQ*s8M(c>bN#d1k#iZ}X;;AvYEO z>M1mS|8PpF{E79_+!a?>MM!zON&RdNk!sm|WOsG&Or0C?P4jPDspQFcF`r9lU*q&- zKE^9$oEH+W`gQqVow#(JsrnPi_3cTXDJF`4+wb>&dd_=l`BeLDpZC^HDSo`~=0^ty z-u)qm_UxE>b<634oU*}&n<qWz+O2z_>Di&957?MkuJRcDQY(>ubA5hBO?K(dKmC(5 z!)u?$2U*Aqr@l_yvOptgdfkNWa-5$pK0IJ}=|{_fSsRbu(OrAtxG;<D;_jQ~0YX~> z4j6n(W!dViy_)0dvEZiXvZtF8@2-7(MabCr(H|vlbupP}X{EC015<Z57hJdFGvY|! z+r4Z33E_xOhhiUE)J@z}E@$GgY}3&lD|>j(ag?8P<bHYL=a*6$=P-dq=YN<?V-9`r zNN|_fzvqHpt9lQ7$mem_VwLo3J=Zl=|H)n9)~DxL&h49I7_BY(UB+~h<%5WAVaGV~ zB@_?)OiN#oq};VUM0U#E{YTU!oR1_LY%h(;{yo9e;dyMVQit$ju@wGodk@U(ikSYf zrE%iz$7hmncB?!QlldU?f%U@)!Bs2?Er-l6ZG9S0@t~>mYh{1={#Qp7Zi_}8T-sJs z`XDirFTMTe+O@Ns+kU+Nc|LsIMAO10X1Caj^|fy<Y3bXgG-qAX)OX!0lhaj0-YLG_ zzU7yJL%Tiq8I9e~Y*+>TE}j2&>fFT@f_bYA+SE3j>`U}Bb<RjGdfQ&~VB?RwrXiob zLzGzNWzO35(el@h{Y%%*Tm9zWu66TnwuMab@A#Ep?K8!3*2*)&&ot6v-#j|L!|0RP zC20qh6WiIp{5F@mvQh7{;8NzMM}>R=U4Ad_6hwDb&Hh<-^Ht~4TQ{v(mi%p>QMzCa z?~40MwhfWu$2^j?6<AJnP49cm-Kn_6`J!*L*_@W&JV%v^m;R07SvkvpL*x7H;f$$y zkN2p5ijsO?^IpyAr@_nB+uTa6FU7@PnDH)gVb4GP*Uq(f)Vy|8chzU!+`3hf<JY#O zyl<nqzI^dH?zb(n?@skS1GDqi9KWWhu$5TdopgGY#f6W|8B3?X-edV=c{1-xlZV$z zex3;S*IQvHT@pH%G5bK)O@;e2)b7hTBrML4`*^E5&1>HAotBn!^+OoqTKr7*zu|2R ziH%ff;{U13eIUz9n$7f^+vojrw{u$E6@32oVfF1~KIdpnf$%T}^Y(OSN7;Q>|7A;F zT=?vmc){ydvnP(0dP%9PCRW|rA8~LBxAnR{`|=6b_9@+UZ+>`G#$}$1eT(hi`f~pq z(JJrj^ZEOZUhm!}7nJj0HT&Xr9#h2&EpIBgw>&8JT^g|K{qnQ#mEt46?Xc19FyrZ+ zt>q$}`u4Kv_GKl#@Aa;joongHU-9Ei{Jric?yC>ay3q9R==*7Z!rRVfWS^aP;G{_N zk<^9D_u8+HU--1zjyKNoqQHj5>pcs$&gX4-`usYluClXz=w`mt*8=|U-=4UvIq_Cf z_AQm`lC$Q8-{4{mxUZkSdpqx<b)5TVq|23lf3}g8=@kDZ`DWcEX|p1(A8`{Cy;QWQ zL!q!^cdgk2_vc&9&KiX-R66GoR5#<taW-coy~FnoN97eSeZEj4bfXvBgS><X-=FT1 zUy-~_zcGE9;d7y^mOdY?3;K*wiEYQ%Tv=ApXrlO_Bg^6WUXO78lk2@+@3PiC{@!H1 zVY<i5Ya;er7<+xIPu@MF$!PcU;#!W-ve)VJyj}aco@{G<KS$T7H}e{A)wc=>Iq&li zpZ>{e`?u@tTal_)JYPybu*cM#h;(F4o-UeTCaipA*}Rk=OV1pVJ#ls4r~F?`ZvStW z7;RCv-{VspUcYM5JH_@Y%k$I9{<yo&G!{H^!tD60-udB8U$&{f&$~EdH$%doz+E3W zowq9|JpCj&e`@sA<S<i??|!L0uT+m8E#y6&SaaS;HL3E~(`h32zRlmT=Kxo25ZlXJ z{L3{wkFcs{u0P7uD7;KN-{kFI+5YFhr=O7w-I+dLuqtczPpS0giyU9RuSs3Vzxs3g z3#AwF+-u%BI_lK0)rH9H+?kPDoUWao@pl$OYt2IS$opqsOzZRs{OQx0R+G3rN#Q_A zp>odt3nIMl&#^{rz4KN%O{^pQXvL3fk^VgA8Cp7B&ogxfxc|+WzD;_%%YFC!HGHO0 z6Afi1OHVr@l%FnocGGK*um#W9c|I|__XQudI+iHw&dfHI!?ozc&j+(^&iiN@(f#mg zZqoYYOAr2>z4&pbrrO4RhN0D+*Akw7eSUQ19o|R(7gU};;Pmv#xxW|BezjZjXe&p+ znSjT=eV4=<rk<_vozBr)DSB+d+=P!uT$zurvAxydCF8nCboQFuxLeB;c0T?1`lga> zOa0df>Em}Re^#{HZIwG|$u-aDaz0C4>7tMqEUU}KGjG|NEt78i{KBYvqWy~x<^Shh zeX%G~FIDGO?WBk~ewxcO4ymkWzx-^DrqJ6bf6Hs1o2cwPEf5sb_E~~`>cypfMa>V^ zzxl%FP(N*lQM;_<rr9fHW?xsg4CR@3igD$}Eg8>l-;JAcnAvyvtjCYfN`}g?6~5Tv zI`hJp<p)B-p84JV!j~VWmAL&+W24OT8cW@~1+g<253F2uGa}=?&C~qZ5pNC(?0PO8 z`baH4I-+jd(aYv7l`9_f^i9~*8W<ID_SHSrd(zz8ol7RTD(Wa+xPREz{96BAiO;+` zr~W-VZ)PCBYkdS)!NJ9My}zxx{(1M==Uy_?d<BzkTTN`?it<eHSARL7ILKkcr#Vl~ zm)dtV{Ms`w!)T(CpL@rwf@_negfMAUtB8p@dYnv;l+~L4FJ$J?=e`>?T)j+|_jQMV zEC}7LHsw{Oij2~qo1Lv*SI&Rq=RLjtK|!NC!z82d^F}-k3{IYY3^pH`MRTeW<DI8R zB-`(2UuNe0&HtVl(~SPVzkhDp!0IZoC_1wy!$n@&^LB9FW^EzvlYa#aKFieJ(^KHR zys_!v$$aTIw>Q;n`EOFgt!(zaa;Dgw4~|pcbg#X%H9PD}=gRL^jrxueN3NS4dLYeW z`Qe-OgtVd?vf>}qEg65?$Gn$b+tO2ha9!_#$8k3%w9LuK-t_Cyo0ois`;Ty)-?d2T z^>s^$DTjm8is#Px!N8nQ{cqZySs!`j;|)w-y!5%VkXJ3Kcq{kk7koYzD@-<dM4!=c zVc0Jl`Br@HyfpcOXSW#V?NJiQ{upA|@Td7Hr^B!Iu7(`%lNFxD;``hrR;iufcw=@v z#5yA0qA%7%iXnivddI}M=XFXA+5YRBib?VIPdGgLb##HSb@8&eC({!JEfsUBA8qlN zYaz9Aa^9I=W(u!LH|_IU%75jhkWLKm&SLc|4+=Upj-9$}d|X*o_?m+g-;a!dsA%P% z`rqu|=Ej|^+w+8{R_Hdv14gxNUxSjT@Gnta7WTPr%a(<O^&#I|)e~nK#Fj`MjXQV# zk?c0EnR87pCw-aC-*}F3%d+KfrhOJ;^)>dL8uMLDjAt!tgr(7ooW*Tvr-l5zbFLM! zX2?$Qd6xN|@kC*%lML6o1>C!|mNaacn^Y9YEb?Tt!v9#-EvAp}e~7VP==W~=pQl?} z&o%CCJE85kY|-n>mlxHxUOIY{ZSnuTTaFeb-Hp8+Ym)fxb8_ov-|5Gi&bqi;SZieq zKG}D1l>kHGvEA2}*)x26aDj#W&W+pQ=03K?kB%GkJWx(>{S#um**rbUa?zrV*}JP4 z8&|$Ov`pfNM9h-#6ZQ`KgUn_4R11&sKF$u-(@3aW#CdaR*_D9p{52gR@_fz(EHjrW z&gisM^qU&=-8PT$^5x&E_VFoe+23dW`tabBiQ)P$kLp^aZs#8|UAKMr{0n>&`WTL% z$hmIR(I8Y<)vEcj^t$$MCCM-Q#b>|X!K_?$nkmz~=I|Lwkz95@{sr|6rC+Y>KjER; zf7I;evePQJT<3UX9?ZWtP03L9@V*}%et}Zk|HPY3_YHlSI5W~Xyg6mz6*;x<TP%J$ zG%`J9%aImYrf0A%iM_sjJ$u+P!>tSb74;nCyWUN|sp_g9CYLm0q30Ii2VuDzMc<@{ zDYyMAm?x#q@TTFL<IIojw==kd^#xhdsz0xKeC^~LC((n^KJU%vX|#N~^-X*7Vb@uW zt^r~ydyn%-7zh^Yzb;Y8EDrJc;$)F`<>!~#I~U2Uo$c^x=AzBgI!EWGy-RM>GXDJA zL1&NSrb9oHURmn@QOI{n+4E=8eU@LAt$GrgY(L60PQ7<5*=%@EbjE@9z8}7ec&)8i zRtXll-<oltxO&g_%iRZJa^J2`%gOtuc>agt`}INB9Urd9*E8%te`j4xQzYks$4;jf zEZ5ev=Q#SjBy37~e&>a64O*|3DBoJHCTh<eyWuNK&7(az&$n*vcr7y_doiENFE+MP zP2bN4UO!w`apa!QSKlY^J9Zb%)SR{6ovTOp%>iFU<3q=HXV%P)^>i!Sb?WxnAD5IZ zZiSxTH+RvwBkEm^2W%f%+wHh@BdBfbv)5ZEMtqbl)y{e<aH&nktwvwwR7TiW5mwy* z<&!E7(|65Jj&u_1WsJAxoL(+aD)`vk)%dQo(Zf~Y%GJ#A>h80|7hRs^IP-uw`_>Dq z{{G7`k#Wg9d0I9mq5G!Wry5R=AnQ|CxBmR}jxU$Z`bT`>%6Y$g?mIm?Fm<VFhYXW# zLd?AOmfq`3ZK@L|hV^xsDwHm^v6yQAN1bcGuX@?voQrLRt9M^_)qfNdE4$L~nU_Lb zlkwc&GcHu^4D>tpJyLYx(d}`&-Z&+ztM6QGRdhl*X_NNd#E;Q8iZ@#CxXN+Da$gtE zt4-?v^jxPNOn-83Lle`fJeA^wf-Gycx-{iR&Ek`4Q55d=Rw>ybsruO~xa_kycXL+u z%u7X+WUdHJ(exDf^Y&a@3fEHAB$fSkDIYv+)6Fkb{poc0`O-t!j5$|vYtrK5UkWef zI?on$(=|$X!@XGX-m0grEazAmkKD1VbL3|_HSc<8&iBxpPc8lnIlDb7m|OZpJ<}nf z<iq!wpSArTigO;AB=siz%hfj%{{`-SeZGF-ja?IN$aJ{QKl|cc|DPivd!H~JbDSZU ztykaYCBKhPWBV`f;{SRNJ%9E&c7A32qVuLIxo8%D*7=oX&3z4*Hx=!>eK~vL?X!+G ze-<p~Wu7N(5p-&GyD<-cqIGc1s^xhvGM=!Ul5V%n;9;F2Fz<Dnr@^uZ=N@)*EnH(z z!W)|SkZY%j(TlKY?#o>gGT!99<zrIkw^`cA#dtCPY=5HDX?C7)ZJpl*7d>2b8a_Om zEfw<ozR9Q6My3z=<Q11aW1Dtb*Z17VE7d#ipKU(XwN>Saq%sfFjL)wtrpQ0N>}&XG zo$R^L7b0n=S+6ZW<o4pH+RrI#;`xt>Wadlt?bsSIOLj@>k42B<?zaCcU)dHv{ffvx z?TR&@3<X(dPuRok!Z@|%y!iyJRgUQklh5m)z3aI!&+5t&Z*yU_-Lv=iOpEwr_HJsL zXZ*8`Z1K{2xP@j3oS6C4Mv8sPWFx($rr)^uGTY)JZ`Crp-?jQ}^C3czFY4r^_MMXa z9KY2M25(i>Dw01J|9h{^wMBus)rY=+{POH&e(T?=C&gL8x>6pYc|W=JkMgKp_bSQi z{2}mcVd>eQt^u3<Ui|*;D`KX*)neK{MyBs`t=+FJmkinA%Ke8cYnk7-8;`UnFR5Hr zcx>+N=bdqO%`Z!`%%^<__F|pAVA&c!&UM$do?V*Ua8^8eLgu&TGbU_*STEJ9ij?P^ z*~~gOWx0m@-=YFh<Ak1gi#<*zdWIXNSHF67{cy>4sp+jfvv_vPFZ$JJDsOSQ<8^wq z=+1~;x;oAmp0PXa&Yx}eVv>xUS$&Sia}nhvwg30qKgC5|nprT(CiO^9i2BW$?$dQH ztyiA^E_7Yq?bR0ZN;a2uU3ko2^0fJWb?=>RF^j^gVvQ|k=XdK#cv!FdmH3CxvToL? zRhxShmuX5Sd6fk;?c2D^YvrATeqVQ4Ze(5ACeVN1>GJOzn|^jWXJssiJYP~eOMQ0U zDeJ?J{;tnj7UFa;GE`-yr$vSAxyM}=ht_>Rd-r2m(N4|pP6xE^RV+FXdSc~evGv`) zpPUos3Wo;INfmt8$<=f0#_b6*h815J#TKV*nqIy`?f4AG^W5&PCr>SyVURsha--uN zD*>Gt%};8NChw4Q_&Sk8R{CF*)8*MVrVG8lm=r8)5it6nyF<dL`@yd($FimO7u}9^ zTBEa}eBSaYn_h}l2KMkAC=dQ2D|+E)jmMnElL}KFe4BgM$^X?KtLF#36&}bHSI8g9 zvGm!nb<={Hj_#RPm%TUWU;h5XUawm!7q8AeVN+EyVd=AF`=?9DU757vwz!T&Le{nl z&a14deYPS=2SZaOVk`uI%l?@2W~=!IW^YMZ#)eg!L^p1-V65hU=;$}$=GNsOgmO2# z1@efdxNh3ux&3i?^1E$v_J?CPu#3;%vuyXBw}sCcd49CyEm*aB--FL9Ijw%3Hcl$) zt-1Li^3vsk8Fp+EXBVoO`nayY)bzqY(qQw4{>#h6_g>F^lUkL=s_K${{^V8u6MF7l zZ*TQqT~TZJ^46Bw_d2gR)P^?aPF}h4nxzA)_OE}p#oxuQ+O=fShd*6<&vGRCIsKDv ziTmVvx#eEv)o|I9;s2zWXYRo{XP;eD=MX!3;D^JsxcXBM>wTnVDeuh8{dVp4-}@^h zzx469{!{Y5d*bGv(&X*3%bzp8@&BlF!(VmD+CbTcYo8CXiT`6dSNch&rB`#^-tA9g zOD(Gz0t`RQ@(*zS@mb*^&x6$lNgRv3;tG#nG%oV#fAXI9{lY6>nkvp2PuIC}f8x!^ zrvGoXX3nWUuzLnaQuT`!XCEJbxW=?{$E%yxf~K{MpQoQa*1l?f@A?Do2mep;JJF^0 z@$<{-U73B3A!{uB-$;FX@Nn9p3zyoa=d%7uI=Sf2$49|2D|mx%nrNP7tq57WcJ`K{ zC2C#OvmQHF1?>I1!7(zxenw_$O~~?3Eld{oSVSu3zENFpk+p|SpY`dXV7C83v)%t1 zN&IQE+O^5zZ^uV|$Hjf@k6vqpOZu@*&)B{AtAkK5H&2zF>(9eE^8z|I%um|dxU8?@ zkdw-V$%O&>tM>-g?W)ZaW8ijwdgG&4&2sN<29uao&!+RSs@?uR;SuNI)HTWyat;&b zOi!G2MLKCa^8!U*%d3_4UzzR-ipRBzpNk17ocm8L{8~im6+!#IzpJYz?q%hctaxue zTW#^{!i%r>OsVX>W7J)I>_<q@X|9f2q8U8}2TwM#7gy=O;?Vzp=WuRggE{ZiQ|m7k zxBYdCJ-~h7+o1@r{6A_|e<#!z&E4qsF!Jx-qs^x_8Et+hc138mN`~ov;f48Cmz4f( zT9bS2$~mFV-}9Z*-Q65i&e~YKS6mr6{ll+&T+ja*3A2Pgxq0_rQ0eYj7qxS?#`jpw z*euV%JU^;j<56(T!O3h*=bX}yyj6}Vce=P}#xn7bDS8t%uP{kWo!XKVla{=0BEzev zaVtO8m9J0Le7(q8!<VgtkzYM6*C;w>f6}UZ&TnI~&Pt^}%y)IY9IUtS4^Q9C{o?EI zPjm0PW%_M#@fz{lQ?7rT9=CG3f=`a}>;C^<6EzkvF@5{6m_a^g$<z0r&lncYuv@Th z(}UJYtkW76x1Cxf_FetZlfI8Se%(R4_Z<?us%o~&n5oi4Z%Xm*tZhAZMdDo^hnn2) zpOg&jPyO;m(R#DB;hmWg6*m(%uXmr4WmN1R{PpJy>(|pGRV~WGp5%R9D3kNs`;T4D z`HKgwzb*J%dxm+FSa5Xv>hppQsW%!Qh82nmm(QwUWzF;bb1z}@hA@vGmi9AEcJGx} zk#Y@g=9dsI_IX|9C3oFsfzsRlJrYKt_ew>3CG4{wx4vI9^Xo*{_JSLin}6B8-)-eL z<!1Z8;-Fh0w~KE@t%=_fpYr&!u*js6Ci{c8UwqLybJX_3gNZULWZ#RuOXA9X&21x4 zzB%sRm%8?ST9>P~Ej@91_0!yy!4F(ku}9jyvuzEZu;%*vH96msB03bs+}Qfd_<|Gj zzq%aisJpc};!4Ty(3AEu&t~`hY{}_bs_m65XC3qG6i0s<Q{SA7IdNfPc>$vTla*e3 zPK|Nq@2zC>`1<}g!!rl%oTy;^_brMUfA2hb=y&1Cg}6f;3iF#*#%EpKczK!b$;C+_ zFGPN<onPOV&D=VTwg2XmH|3TLFB&RNJovhHrS8I)hVS_v%4?V<>6OnAUSXkh-F%|o z8-WY&?p7VqoA~aQf#GI}_M}b1doRgt*SsVxHo0%xTNU##*HdB*Sw9=6X!r&(FKG^W zCGbf0=Fh52ll!K%>hD^<R6JQ=RkC4ymb0G3#N?GOKbHM5lx@2j|88Gz_0wo;8x7TW zm4}rA4W(pFoy{07-x0j9x2oW)YR{%~hjTXf2<3LlJNZ_A{r&mPB-_@u6U-0%_HSza zd4cbQ)BOuGzSfylxx1^FHAe-dm(~=xI0f8I58Rx8iT!y-U+RUnps1F~2R78V|C9S> z(U<m3Vg5wt#8>C#?^JDW*bpu9>PdINqwdO8Ms31J>l2dHy=~?H%_`7I_;AYTRFt4j zZuczlUtW#Bn|aG0O#c=T9`M96Kek_RN^!)5t?YMAW^m<ae2SiF8KfEU=!bhjyxBSL zBPkYgX3I*Gv||20{%zOwYM~jYmr2B-fWG-F_#?NzcPKX&KJ(1)^YnLK;!S6jUd?Dr z{`m9Y<(0M!>*P+({nTGHrRrRtuXfu+%Uv-cZc0ygUEn$FwSqtAT-wL$O#E(ANk$xN zS@thn=z78?DzoaVgIDK<w?8(-A3fjni6=NUd4Ywzdh`XG&G&AXG3ESNVeIy;R`yYP zYDvnotUM3n-G*(&r>+~!T^#e*$!xCIDLKZNpeyNjb3G2Rd|6c#c<GFt;{^Yd8<Xz0 z{`=)9k#j%#>G7m155Id!TWA$$9N4yE#X(lb8>i=;E!%wM^p*6(^3HvXoD7X8mUT@& z%sg+ov-BCy1NyBJoG>7L&huX-DKvt~|F_`_DxlZ`@p?ruS6d`Fcj~=QK;RIn$js zKdWYasZqVgE#$)PP48c%c%86qj8(Q1lbdnzZo=}HoTo}lmzrN);8l2fS^doXq)L~6 zCuVQ{KTmw8+VbDMI$xv{V|Dlc;OJZaNuxQ^`<2oizMI{>*Nb?zeRhwYzce)Qif)Bd zRB>m=;R;35bzRpb4xSMXclq(-ocRIW+3^dOpRlns<yf%S`!WB1>zVB*nlsNW=~veY zd=tO&Pl4_Cr!(6(CHfs?s^VGM_^MJqa$C=ZO$V1QFBcZS6>sX8q}?hzb7GUU?Hi+q z7ap-Zc;R@NLB`?n{*Z(F+@%%wRG*Su6#V;-SLc-A3(j8-H?H?ona1?_-?b_Gj_RDa zcd6>&qF)XhL-l^xSZ@jW=H2k;^oixo;g|kfx<qik3jY1={nhC&TxQO?Y9La%Yo2$1 zxZ6&>A9e!HIm%b3?|L|6d;M1J>nCQ!8?Msuo6wm4b#GpWF`INmywQc!H^=un?p)yf zXj+5z&6(<)X}k~Q8ES(A+|ADXuJK*hHEpV*XQ1f0W3ylAH*vgU+Rv;MdUM_RJJU-I z7GzG`n#X@^>9d?AulJfbI(|N{H*H>QxI>5TyeGnXlRvNDdyl<)<<<q4mKN?{ZTr3B zhOK44W!g(e_I2+{U+r0F;F9%DXuid>t>yodG8mUvmQ<^ngyhv<-+yGb;<IL%E9Lja z^WSXcJSp13IN#w!$b+Nle11u_bAwZOSyGxOT5H9zJ(q2tBYPurN7B2;e)$zlc|Xt2 zX(`ut=u~3zV_N5MV)mA)Z-oOyW<|+N(a5(vB=um;B)5dmQ>wXw<G+Sn>{^`}@$s~s z_{mFs4#8m)wcqT^jA^J5oWmyhiA|$4_*Q3o#Dl1-kECRBcvjEr+9q_fJ)vNFsDW<a zyg#|D_od1klEXf)W672BIG-&0Xw#dn#W#ygE0pS8%O75S{d$7KcE?j!)@1S=@jmzE z%mhut?(Nsi|CMqCII&gPc>K_L@V?cv_;5oL-^`<ve|Vh}u`@Um!?ba(-ocv_Z?5`Y zsT1?-zh^Pmo3@AQNr`r)7h}pV6|`Ud7P(zIWydA=V@a7^R;66O%WUn|?de~*efD~3 zeXba{Exc2fJM}!Niw{<w=E`<6Q)J1b!}7<3Hy2F0xZ|u}rg_$*vSl9^2j^sGK0ESg z>c5WgUki5zp4-HvJ1@wV$z}Gx0@v4TUfWv5tzHncIWpv~b>{7PtNS_+rZ3AkPP+Hk zy5)b}-%BzwN0QekIm@sVbjYrs;ceo0-hlnOa5bZ4^cUgB`%GT{?f7uk&%a77Us=jS zVrTW-KYN!n`zpn9{;YIXO?Npev1=jkn_sT49cOGZjuhEGv+30<dCvkaBd%#`pM{rv zUAV{k@aNBIcXfH(X4vbT4*&8y$g=ceucg~x*H=5$o*wwvaxd-Jk@~4kXWlpXOfFwK zJNEmYspss%-u!kBX1V`e>rhV5rCVw{O<xonO#91jbLqvINBgs@Bwn=5H*<5^v~_l7 zR$$YmjjcvIttOacH*Q$Vcx&og@5>6}zr4cQ6V*8S8W&4${+Isssr;t4j)%?v9g`mR zKQ~@zYY}03;;iuH*Ri)>Y3K<|J{2bzp(c5C-VZJZyIS7($<8(|dJSB+_0!H6edd21 z)68)B#9zjBs~k-7Q)ce|TEB#0>Fr~8RAU)AGo638$FBMwpK2da!S$~2`$EgbmHlTw zum$Ven6isLc$Vb#v|ZE1Y17Z`e@}i1eb@hZM#{yEqd)RB?XzNLOHP<j&s@ZQc=kTq znG?<~dZ?YfWXkJ4E6W25&#%9=dA|I$h~x7$`<r6to=u$mGNbImty!P%b*Qdd^jI{| z^x%u9g(0jOZ4ob)uA29Hu6psV4$leQ0rMPgZ(Or4C-CjT3y-%4FT2>ffNxgHY`+&A zHhj0{#P)RZvM!L}^74=Pdq#Wh@3#-8oZ4;P*VOb*Wy`w8xt4}rS=qh9dn$})GT8LQ z9$AwvsimuV_r|U_+uau|(H8nWxAM;4l@*s7(tK}SGH|H$t-O0~ws!dJ0xzit7hS)5 z>P(uogUwr^EJ<s<++$UD_YTv93FgV?c;_jaE3~B++FhQs@@uf-Ik6*?X9_u-d)D>5 z-MXywYieTu|JCmneA{eyDQVG#@F~T2Hplu#FAwGYU#F6k^E{%y{LBZF&;{=~%k7Vq z=k~s-tndD{%4Pf6IlNkLKmOY5XnicoFG2RYZ2H-am)7mPJAGH4zw@q#JHJ>680q*Y za3n9++$Uyp*6#A|yA><}&97FSUOwNt;Ml2HeP=1YeVe`}{mPV<dwKi&mgt|JVZ{!+ zL;97D-&=85W7kK$J0Ch;@~v3$U9H$ikXM@jgTbf!Q<Sa*E-XpS6Y$qCTz0_7tbLcG z&9suK;S$Y_38qfhb9Wf!?v`&Z7Sv%}(HDI8$BUC&HeBo%+4d*;?%HdUJ$@`>Wr}!c zdUvC#<A+C&Ir|<zjAC_vUVQ2wV=klBvC}<!b0;uf<T%mBRmjq_`R0nUt!s8Xw)y;d z^8Gdu7K?}O21*Y?XY6Vh-<aET>Y3r}=W&0Fw*S%I!<(wOQdZGv-8bWj=a0|Y8PcO| z{N6BgSNZ!hHq{4iuj0Dp^LU9tmchN=#lb7z9MRgq)OV@bX7YM%?&BqKsz>cF9Xz+7 z!hYGNzSSM4pHC^cs$s^fDP<XYqj0+Z)c-HcKjfJECT~j-c>e1LqexSfnWsn2r{@kI zceKr(!M5FE@2x_w)*bJU9o}zt_wbfa^Ed3@{7#K|qx-(P{#EQ;!CyU8>@?KGoSGl~ zuFv}4vhTl){+zP!vjSgicze35PQ^LkYSg1`lN33g+ZA7{FB948cI2W~&HVhCN3vSf zYWQaS6WnmsLU6an%hCg8Mz4Nz+dT@6X16)<LF$OjnjnWw3zXuYEz7R57xK?$tNCR6 zTvtQ#*Ytb^+k(l@B@Z~Ba}$4G_u$d7w@Hy44FOHJ+@qp4txWFDGSW9+9=!RsmGG<s z2{Wg;yw$wg>d6rH+VTnGhvR3|nT{`I?l^Vo^`=I?Sz>Mv7Ze%&Q+wyTJ!EfAg2}lV z=kK2Rt~1AM-RX5J(h84mm{fIr{``)|jbX=nO5FtvZ*TSxn*35JdeNV=f+mTK3cnXc z7&P2bm=`cPT(Wh^>d2)T)o-I8p8HW`n%>a9H1NvQ!XyXhKT9(=_+NO&a^39C2ID`5 zLbHCV`+2%wV3YYQn;sxy?)EC`U-GBZiF=(Zr<@QJ7TD}+^HSE$>|5m#+mC-lF6U0a z@|aIOf7Z!q7tRG1d@gvh`@_%6&Lw^}0(sE~HS8AEwVd6<`&*@mcj+=d#pU1Xe*EnH zrKx<ZIIsQr;%3Vm>+av``5^KqN85bY%+vZBE4+W_m=q+k@L9%oMX~gYwD-+;x+1DQ z{Gj&lTi<4zIG0bDa>*%XPx1p66=rFXcdb_^eGPeFccroA)!vM<W=p3}HLrsIO#jK{ zdADHdt!*vFQ$>my`f|1^ZM@GMdhqc#!|TG`^D-C@>IBYzv22&-^4!M54SVO@d1>|c z*4gjZ{~X=DtuQ<LF4u=&i;u>325E1+ceLSCV_;$O;i?&rc1+M%5x$y#k8tjrmi2Bf zv$su}@blos=ZOo-U4l0$>Z?6ldZ<s)NL%ci`QqhEIJQiDdW)xd@jbb<{QS2xRy%%E z-rD}l>DvC@Wn3#4GjgUn)-8)Z`NUJnTW2Nr;qB{Ra&D2G_+8*ky2m{067!9xf~!Tu zmDM9`c-YpR5!}cYal_QHaJ$0$&u$v&EaLHvIl9wIPSpA_U6Tn@lfI%VaZXPzc==n4 zHiyM^vMWCAo#nTkbEbt7@7nguJr?$fnnGUEhFmO$Uxg<s%P}d1HRrT1{&dRxBj=pc zGt4hoy2m`e^w6}i-M*`~l>6%Ws^6h}EnFcfTN@ni@l^({eeu9}?*p4w^X6B&;c?tE z%8uszd-NeFuXVTn@gJUb$?rEk>il!z_iv2_#~!gga=3DI)&V2o$Q7qwltk5@^Gh-5 zwA#)Tb)`!Bz*)}+wi0U|#R(iUNvmC_xWTEAuj=L7IqS-d%FIh_Q!`?pc4Vv$sSUNd z;LLf=;~wXVRr?jT@b8;zUORDWkf-NlqdEGuk5Yf9cFM)sc<y-DZ1qykpdjB;XOhla z*<UhC!wuyxv-m%Mc6g`A`Jz<O-gnjC-sG93UE`b_b+L{0=DG0is*ASY{wH@-?d`9M zh}o<BY_%8nYSw$%+}pWB*~nivK*T*crlFTx+5T*~%0uz_es?u?O69LSEXKmCzjZR} zPDu^l$ONyNWnaDrEL7eQQNRBGQ?sv6-l>_F9RKyJ;PSR36~|K#E?B_arTs{kqu1Fy zmGga=ulq4?RtM+#*E5|8=ZXAe4LB2R*3NIXaoXaVRUfNuBa^@E)&C*D&|9M`QL(<z zOmV}Zjtylix9(h)80U7QtdM(~Nj0N6f7je~><)+71P(vmKI2JLtxJZA+djtE`p4e= zirs%%#<ni<H|HF~S9v_r#~;33aA`{iLs-Gnye{Y7D?F*v{l-&XZ>YB`T$!}0=rBvc z<oZP_*8VaZjCWaXuGfBld7X@K{Nh=g_jdTMpVPSh*&~KTe%|wYm(TGHzTQ#w-lXK( zS~n3n{^<$Vl+14WIWbLH_9E=9n%*PUwfl<yE<F9*>p;baCHt0TfA`YoklFEf)pH$l zdB1=6k1vmQ32qML{<iAmz4rDrnQP*gtN&F<{+Pe`qRytrPQE^Cj@rj4rU&23eqWZ> zJHcg_#eL84Z8qr>zA;PB|C+1sy?gf-3%g*QzqU_i%Xf17RZip6i?+KNyx<SdG`DH# zL4STSr9H5$kJ;VE|M5%z_m!ucY`GfdpBG@*+P1a1t^Cr~e@7+mIoWr68ei@AIcGm( zUiXB2PCxc#r|c_lrT6YWKiB+&!?EiRQdf8JS{<rUEObthPf9fV|Gm_(?$APo?dnBG zZr-ccQ`0J`kT>I0ozu&9`sO^b+qy#EuJxr(i7BY`3)%hlZQ!Aw7Zm1yeKTp2(-X0) zuZ8B#Tzf|<>7eC_gW-N64^L@yivDxE{^`estZzM67alQuD>dhi_ABM~Q$7(=0kc!( z_s9QaE<82)m0xO&pO(Ik(W|Hv-;eZu?a0q+=2_7DMD+K)58rOt?u#j(VA!vC$GEBY z%&Bb*1+D&)yDB5^uXIztKU-`IuX&~!Z+w7cxAB!r4>z8^{zu}{#l6C;KNa3?;drrN zO{(!JzH$XVp|Svl`p?&exH+!u3HDoSwpaPp_LYT>Gk71bmik*260W?d#H8DZFD=8< zT;TBYws|X*3*=tBnh+poAS-hHmAu9t3z3%_%Pz#-lW6@kYkR~z?o+z!SaW7otgD?Q zw`I<fnIB%qF4?}e;8&L5uQ1P+>D(a}Z-0r(DHtrD|LYh3YPM533_8kzSLc?N^`ys4 zuaMcge3{lMwpS*#_Y3{=gpRUFD$2`05m>+~DUwuu_KlJLzh4=vXD>Sy=iZvYICJ7+ zkqV9nDo;<|b!s;GWVbCahRyVxuBv8J!aV)OTetQ(@%k<;@Vc(R%426}sMEf`>OcVZ z+k9)e=eMFKrz_+{EV{q*kgBxD^pXXvnm65eQvd&~*mB-{`HtPwRGqCq&$W~_5$`&0 z|A>8lczUw&N`H?de#c^#eA(Q;Y4(h?sE(5?+n#;copM37EB()odwbeSId9)GFRg!_ z_w)L9bHBUO7rmcwEq)RI3@g<MSv{*uf2c>C3fu73vB}Bn7^lgaNtxOwG<{kanKP}_ z{;!n#_F$E`$g9@2pAR4MNMx_z)8uryBYkqx?H!qHoE>-OHt|g2e6oXkjzreum<pzn zQ@Oq>B6&(qxdpv%V;@y{d~ct(+pnB8tGfE(_J9MYn^xt8UFR!_`ZLwAyJFdv!Y0MU z3trO`LQ<k1i0o#)m-XvSMc%a<$K0L2-S1!ap1mSu-G!jX5g+<Lc<efB^84+6j_3~? z56}AZ`c7N`%K~dhg;;a-((n9wvfudE2UMFUUTofenfv?ErZ>{p*3Z+d_tttJb0U53 z|A(@npMLZtMJpst{`~a_r&XT+@+I4q%J<o)EJ@C*>^VQf^X}7w|8xaEO>p_X&L_K$ z%`MN}WYNo0f7{k?D!I4U-o|wH>rAVuYjbW~Wm|uH>1F;dVcV#>ZCe9o`+w*t{BfY8 zb?2-}A+0KB7@sJFe6JFnpY<zJ>+eLP9og^SS1K);p=5D3Y-4k0oW+iWjq{FQOZ)fy zjGKjI%=-0dmt-RAjwLO0uD$YWLIg|pgD<9!ot`fH``E+5Klp~+|8M`=^n~9%_FdK- zrj~zO-!*+vebBr)*F1L%UlZn2yQcAp!~5AJmy1iArab#`C;Nf)|8GlJWNd89-#mQ1 ztpB-r<q=24RfghUgRW^hPT*KMS193pZ;@1@N8ru}Q5)tq^X@nrq%z}7(5_dHW^aq} z)7rK6=Ir$hcP87<@LTnwnssB|v*0hBGrq{kCj=&}(}}sxw9G$#`8pR}TjlBT1*{82 z6GZ=b`n&X2_)1;PUG(Kcs>)%h{aMn_t~0-peR3dvr^`~0wZE<k@Gp6hv8M7s?qUwT zxp~~(+tzf;ZEgIP>VKxH)RD6z*#1}Q;U_yfW<T2fx#ve!z_sZg_Xe4-FxGO@c{BUA z$GxzPo)0B?0umJF#G2Z^d@;9n_wF<P|8qCqzN>IS`|Ed$xjYwdmNz(F-f3_vOQBxr z2w&mNUm|i_gMY2$+*{~!vZ#4=-<s3yEjJl_t}3#$b?;Hn)|;DJ_W#^nohnuCA6yf@ z95S2r{MkusuS-=Dxg{U_3|^h$%{M#DG%GRjMdkTk2QlH$k2N|?ysF<GzsZ`k)w=dG zLu3W3?&3=OQ!)QfZd*93V|7^JiD=c2v%g5cYkVKOsIEan<l)s*#|t#A_Db7Lsb6p| z$3QSd(`vH&mW@sVJ^T9YUMX)|_~(Acg;^OE@xS%Eqk|U+zc_sSV1DeJm5yfx7b<M& zSgWwJJXt5e^RS$O=1)WA&At-P<ure^3S8g)BTRGds~oP5l*V6)A$}{*Cf8|dez8A3 zO+WD*^YoK}D{eeXZ$BQ{T-BYt?~X>mrFBPsY2|#WS$RrH`K8mNg>F4ze`;SA8Si#n zf9-!n&MuQ1^SRP3X0Qe)?(Q++Th%_na=Z2XTb;2x-$WJhzjtwu-m71_p4)gSm+`C0 zMaLW26+_j&F|~X-s~NCu#pTkQk2g3g{}zh03b<<f|M8U+?chh(ZrT>zT{ms%8us1) zZ0fuY-o5Gk%>To-$CdlHMDe~Aez)cD3eTy^m+T+ZF4Vg+<6rQv-50a@LcgXi6b#Rp zo;~rPQJuf@Mzz~NmAp%|B3I6;O1`h1zWTvOtp#&RCe66|F(mA08>4-N(Uv`z7te}G ze)Lz+P$_TSZ&i(p`%a3a?2JCda%0Pr36ZAa+ZVk2QJmk`bHiiCnJ(KY^@+7cUb`E9 z-%tCHYMqs=AGC4G<NCQQ58r<(W6C_ons!7_N%qNX?>k%1oQ%4t(2ygLXxJwd(6Zs~ zn%B!iYUWO^aHu@I^CNFk{>0O{dqh}|^M_3<VY-#Y;J@wd{zy%YUf)G$_lBRnygG8u zte^k?B&^A2U+?^$CHrTn<LcZ6_vLr!M3%ffV^yR4=!&M|Q|+Eq^SxH=t8d@>EfKIn z>dv>c7-_vF|K)_56SvHHWA)xg=vkVkt-#$Shi+|+Z)^G6uiR;)|9WAZc8-8d?Jw`o z`Db_@?t1UO%DwKvgksr~^REdd#3mkQD%<sWRpIT(3E%kJF9^MyHZPNv|M=~D-kscM z8!of9*#$h}^nYz6o@@QPsdgfBqSC1~Z<|)Q=O>?W`&Vr^so(J2JvHr!YvsRxl}z-L zKfFyn+Ofjphk5RUTlZL!-`#CzF#H<sy!HKB!InSMOFKWAzngG(U-kc^QRic0TKs2l z?yH&`+hbq3=KQW>vy6-FZmnMzzoe<gAk~OB=<^S@4Se%Vjy}Ar9<y+n3D5omS4C9H z7hO}`xI=^a;^aiWnGYfY>Q_aiyqx%ox9;{a`_EI%vp4%OK66(0>bR%jH-8W7Moty( z*}tbQnbF7ad8&lQc2S!TiD7{qm*2F{KldUv(dkG_0Dr~hX+JvnxTd59itdiqY?-q{ zdU{e@jNspPjxXF*d(TAuy|`h2jB}R7IxgGprPk9E7*iRfR$R&osx#_5y~OR0^NaZV z>9_bN+RQt%IH|QaceA%;J?Hwry-i#!!sYt?&6C(1Io-mVO`X&~PtyM}VF`EoiuZT? zGGZoOb?(gn{%Pm*t&8H8-j()KOP)N<L}YQb#)somd+m~MX#dauD}CtPE>Y3R+dj0& z+On*Pe>dOnVfBkX?yhrOMsfS+*?(WwYa__=<0ogz(h8Xi5&!svS^A}fE<945nm1pa z@!gS28FLRCvwtmFv*wI=X4{mMYxBQ!=XJ*!g%=-w?xXQ~U8~q)rn&2K7DT%_?I`p6 zwrk36Y0Cns3GB;fB@{m1p;!F;^2O7FyrFIT%9UIj^b0c{8Eh@^VG&`N=n?9=`uoyr z<!1%g|5P@;rX_LcMZDUsw8U%fpH~+P#4UVld&ptBw(PH~>#wSH{PUOCRe0<9&bG&M zg}CY_oXQAix+wIn|MltmXY04#cx>U(vD2>Mqhysg|4+yLA*MOsJ|9WanNhKSLG66U zn+Y1PW>r7@!;l!>X{dax^!@Wk>`p5S%(P^Jd6@2eIPpCD&M)<4o_nMPYK~Rf-RWEw zpitxf-X-@<KflYmji$FGb<W+&WPMn7Kyw>U##G&>EnNFcZhAd(;8^?j;gbnBXVq8g zoPE}HdgrTOI~y*qdMdl)wSDySGXlRJH}dcWXKBANPI=ELSiI{{-ldB&2BPuBsS%S4 zbJM>ce!0A6vDk{<rk<=)3ya?gtK56)8cRYRvS?4Ty74@D{W+=PImgXK<+M^S)uz5x z`4iuNWA_X3&6m=;X1_2DSZ}y;_ZR;q9YIYBC-rT2sHk0*J};ohrSN3W+&}uo=0}A7 zb3FK>d%k#k#COkKqQ@<jO70(F_0WjSv6sFP)UikOs9Cg+e~Zv|eMd2iE9Pn!m{lS@ zW_L`<EO5@=wU9&Ok0<Y}OySb1?-92)l}g>bzUN?HoAPaWHU7VO$N5fviafvf-tXJD zPi7o{bX%k1cYd$p`kh9GZ5st+cWFgM$eL9t=yd;xd1n7sFipgvW@-C9M(a-(#MrAf zwtfCm7PV&cz6FY3zUKxlm^@*@8BY$jr?XQ2Y3cmVoK~UsL-79<`GEd@#&99U`I;Xk z%BJen@=Us4^*-57-Opx<*0Kw=pW09Evzx%&b}8@P4JH$&Nn%g$G2F8V;NIWxj92d? z+pU64EL;<}@V9l_d;fRe>H4{9<+4|48}myfqCc8;<vlq)bME!yG8rQOX0(`1SU$0< zqF3p0RPV#hUpZd&#i(dTFfR-8QQTRfx$T?7`>FT1j?~*<YEU?Q!K6U$_mQZ_sT$r* zJ-amyFehvlV!qWNSU!D~)@#o4ONlzHiGg)jJGHkb=BB+_AF}Y|#c1j2D{dA^CEj^% zeAE1R-|fYcCza(i)!Z%r_!v+4|K^3>#jxX<HEY;ET|cyD_0GbcYgq-wuT0J^y_E9j zP2ygoe%CI8wRijH{_n6}x{E8~OWCK(8eh%MtZI|_z-^<fbt*{l4!^C($8X^Rli3RF zj)vF9m~0nU`*pU#s<ilqK#+94q=5ArF%9>3zS-ZR%*_@~m~t|K?MTuZ@yq3MXAT(# zyqUz@Aa*NHJIinSZ0qUDnQl{sYk5Pr=AS=n7x4d!%gpVW{ImaW@((M$ws74iiCfH` zvmEZ;WS&+yU%SnL-Cb&{vdaB1mN))xi%R2j&T{dsZafvaiBCQ-XZGz`U*BjNS(mI? z^j$51U;p@)sYlmcy=C@P^=IO#i8ArW84vMi{#w6lEARe`4^|34yzBkVSz%iEqRy)c zv+QO6vPpdHi;!uzVxLeRRFPkLTt?*LYZi9RO+KO-joY3GFDOZV>iTlez205CF^i43 zB)(31dho`QT`R(kn(eLn*>{*SIQA4heR{%EdF{7KzwQGU6cpT6ojoaEuF|Ap?Y(8z zu@)<ZABHaqJ|5WZ@?Y{7ciripsLnazifbomaGl>K_`G0Jzk7N1Z7$mm4@)x!v3jl9 zvu*kpc|6cf)m^&$=iB>f>!wKvt!CG(i~Z~C9Q5gk(30gGe82vz-T1*Gs9R%UgTngO zqsb0?`LAzZ-7%$3?{>w458lTYZ|R@Frf_j7)1_y95)D6icgxkOv*gu2(7kTXI9<=j z#HCrn;i>K%?u!LH$L1?@&e$#_=3KBT{Xp_;@6_81A36Vjds|lb;G}{EhKEyL8hKAU zwStZP;tJLdTNS2T`U=-RJj*%Hvq|R1{lIH)?s};fasCL~-*<E2q|1l>UZsA$!oc)( zt>Nl!^9#3YxhxajE`JeqO8d>N4Lr$n*491PDVxzH`QzVL{+#011-@H1B%E6(>AOAV z)-leaVzW6Bim%HWt&<{-pHTY6FJ+ULyLOAqR(&O{sP?n_CrQou_zc?(9wsSC2GUGC z{jcs_k!96?cBgueaP-k>&S&30>kjQ<cMn_4AD-1`9jJfw;YIb1>Hnn!p9tmp9%Nqi zC;8Iv?$Y?WCKd(3=ce^)JTbvhe+~BCk9_@LS!1I2ZSLQFW>Us8kDAVQp0c=%p;fQ* zo$1e?zc)L1H0M{huzoT+pznVC{>F@%AHtGfByC}8)^C}YJtsq@bDi?)xW7{_1hn@2 zTsK+%<+YyfuX{`P+GH0p+vr^~5h_<aI$MP?H|U@DMz?QHscdnoI+M&49_;8*-WkUl znNh`Jq_};3%ePt^jl(|a{ZlF?t!oUt&T8YACB6Delp^CS?UUu|B1(&WqnUJHo(YZ! zDZAsAkhq`!i}RPR2b%elldUda$y1;9yQ;UB@61wV+w*$n!u;kACwZ3Em+2~VO2$t+ z%%r4x;f<!3u~X8*ZutwEb5<UGCwOs=y6*B9D>H;H@IH2bwP3o}+_24OUc6k_bz-)x zZ7C<i!~l)1U7WZ2zfTLeKH=%Et-g~|iz@e|czm(?c0GuncSG^>dwtucOev5viDfZY zDrV2U8J*^P_jU8$U!~u(h1nBpnGH$;=Knd#DR9i^gO&dY-VQcR{w*?FO79p*@9$Ip zcYd?fV)?(0mnU}Ix$q_UoBfm@t7~-9uBavajS(rnq;^MaM`FmD*6&x&XZT#KQ=a>Q zyQ2NN%wyZ@k@jWLQw*2KUOjgF=ry-HXLtFpVD4z=XI0*KdP0cI^eOx=_FCQIPB6MX z;qnpnNuTT9h5q{Tb*_O{Qp(q&sX0Y)-fP&mPhB88x!Lx1y=K(hxVXZTA{*zPdB?G0 z6KCwkMe7qPlM`&!muy}<N9q5ehV$pr=dn~;y4i(_X}qmac=3>Z!x4@-&)*8Zb>}Z@ z6KI|>LvYqz_S1o1oWr*7{*(|Zw5GOYa+OuP+o?H|*cF?aq?y7aoTNRE^-no(B)mK= zPGHIwX_W&_S1;U>&YCQE^6ZTFUY_eeG^ZqgIqR10&}LXB`2CWic-U0ORZfN4@r9Yk z?&`d^I}*8g(H9Gz_ud>V&PB_O-;3sGKD{2ecaNr(@71iQAuK++uFQv$7rg)fKHljo zcf!1q-}c-4gO64GG0pxEBGG#+GxElyh5LR9JP2XswL4OEHpjSI(k`{uG}>=Q{akZf z*XB?8j!%2~7r2PYU%hCt<EGd$kCWdvK6dZU+S@Th>jKxTmcEc5ffjG9t<%LDb)9~f zc6%(jb!375%%7cp$DKKfRpSpIZ#(re^5Ts;hR$s1)?Ib#e_tu}n?1hz_Y&`lXRp3( zC|TuW?7r!Q`2qDNO?ghI9j1GBy_L&SnU~e^L%zo;TJzrBw8+)$FOD7-2}#(!K#+U& ztVten7f+mhXwhc(bY0Bz)L^S;hgUltn)*og(KU;X7cNitG{jk?$E97{xXW69%A`4} zQ`VewwK%-(NPF)4^Iv*H?ANS2Yr^ahv1;!|#=Zu{6DxM-HXPd`oN+|L^uyK0r^d=} z7*&4?M|65kh}bRlOd&DgGxL*-V~p2%b!IGxX+JbsyfLYVb=Kws(o1B%=y63pe(dtB z;okCFPn~P+J0dMs+nlV7UgKA>T3jqWD)UUtyGRC6?lxXV>8S9=`euC>tKy03-seA- zPGL~3xM<Cmxo&p#^?fUXSM)xx-|w#b$yaE{;|F`p_h|jM74-U_{$k=9(<K5A_>X_| zYYyDJD5&V?k1m;S2iacg=-Q{|7(ck$=Ql;VC*)i2rxQK78eMxnsnm3P$FM{_ePerj z^`<F1+g=+<<uj`s+gG-FdZ_Vd+n!Ku9i{rh>k}UBI==CO^ZkB{1vesNC#SKj<6t>k zC7Qwc=<Ck6GIN91@d}t4oQh5H4ow%idZ{~3S5+hEv*C$mmy*Uxy{n&By^J+FwzXlt zRo-<T`-6%Fs&TKbDcU!gtTNJj-u#Q3tMP@-$IasYi~n%Q@LjF{{_kJHLd!W1mZk6E zee9WHoG;+&Q8=+M{C8~R!{+3p%dhzS+9>k!`IJu|=J{DWKi~YNLEc?I`OW+XrJi2p zk1nzp%B<nOJ^PaGqRF+D&OeJA9CC}(T4GqcLY8PM?6}W){YLYo2iL?VoLOrcdvBw} z<}*UgcdJVmy_j|-TQX&uYSpF>UTxnjo*8jSO?+|Bv1CQY9aGiMuUE}f{XPF%?kBT5 zM_bppt<bj8vsie@x&5hN{<6)#`%gaIZ+-9Z%hptvJ_Td#7~f=Gevhd$Up^@><LQ%M z{%y@GUh&xxQFs6Bxh$*W*sc5AblRNXGf(NANmhGMHvfN)Xzh{@FSnd9zEz^dezG9; zh;;7ZT_zW|-?Ehq6Z2C%6=E3euA_NsmGAYWMESy(ne#8GtoT3QdqQe;_T7s1#|tN4 zn9g~|@F3Iv9YG7WOC%j&Pl**#`?o~?WKB={wI|+_rp&JCw(W>_Ra*XseHxFd?^cc} zZ>P-tJvlPL@;UE*R!)XR&HE<ZJZ9=2W~X)Uz`L5|PoG^`9vS>iGbsG`>3doAw^sai z7f7>+$b7OxEBao-{u(9AV5P%PT{mxe(4)Lp!r)<sfVfD2efEV7D+*Vyeo+2<;)Odk z4LUQwr!st;exiT(!u-8?=1aD#-nqRcZ{_6EColG7OqlC<s7gWM|EW{FJlEguvS#?4 z(cGulHOb7UXJ>*}__z0;ZZEmm+4-ugXx<{#gZVFGF7PiY4spJ`+roIy8<)PC**PET zAG>T~KCe*Aa_ix3YdZy{OuK2eS7&WWD!yAFkn*lpH(T0l`h<Mx+UGNq-6N*dUFWOb z(6nLF5-#6|FZTL;WIm~X@nenPrgM+`QtqlB?w+JIVcV`NDl%7{18TL3pWIlqWWthH zXV*`tu4<UgyHHZT_s6!ysivW28fV-sT-?^|&E286@_=Wwr$E<<ORS<AX+_<JZl85- zF->(1HhvmDZJoFc?;QCR|I;Jhy)dYHZ*uo;Xww}|p=-%`%)9-ZU)<Rd!rb%K+jIAB zvDKE$EbDX(PO@K6{hs~jsd}cw5xyUV?a_XwCik~J>#j1<*#C8FjrK(Ti4Gw%<-W}2 za<C4V`c|NiW0izYv>m%gOJH#7+0TjZ{?9W_dpNf@T*8!Tv&*%)-!_Y}INOy+z300A zW^?@+vBz#Ly`^6My7%Ast4*8Tu=C(@P6lN!{^dV6y>s}xH0XHM<$#JyM*_|nte)^9 z^f+hL#6v5UcnaO+Ittt$wul(Et7$rj@}Do%e?E1?jBBg5*(`~e*RPkatXUA^dTufM z;_$uq8oDzw+GCg$ayC5O(R+zu-SNjon>X;hW%kqVS|hRK-yALVPKUFznV7b-iXL<} zVV~nJk*d9~s!k%c`Qd^83ugsR?^wD!ljRe~na<4<!_>|FpR{yy7REXD&3Ijw-27$w z`Yp#UHh+JicIJj$Ol-`u-{p-N?4GX|o%1_>N!3py_rp1fhmMoyJgTZMF`2l#is2Kd z=Ht0ySxp|NXMbhvn{+_(-1R5lmK@&9rPbFrdug)1-hR6^=YQzk&p)g9Zra+;EqZH= zeikjAR&nms(S;|kn>Yybo%Ea$B0KNPLKh#?{13^C&7VJbu!8;Mm*^usjcikjzZxH~ zU$D%#dH(tPrZ3EMe7f>8^Zz<+?D63j=CasRQrx_39ly;{{i!cY1I>PHX7v9t?M7aS z%uPi_6GQpg6U@Gr?mqm)GGe)cS8|_^#4Aqj`3f`gYE!+IZ;arRwSQPReH+)Djh92u zF!pdY9>22jCvOq+`Ndq%_zE{weE(hE;*|IFgK77*tnB9f;S+VAIM3~FaBUS3xTul; z;z!hZr676!pNn#Hn{@rQiB!&=GPUvHT)WQ5C$jqkkKHQ$BkJkZlfOaq`|_<*dpvFg zt@}MS-rqC((JwuV6K)#W%JaQ1_o)4t{p8g}zP>D>u!*e=$tJ0fG&XLWIi>YP37@0` zH(z1o(Tg7~ye76Ta(?_-zu7~j^v?^%MB@k1A0?kMOx5JNKIwhTiq-%BeVcV$n_oi5 z+4b}8Q{i7e>;HN_>9uFpO(8{{12fls6zy}9x}I_Eu^fxCfRe<e4xW^z<6rnV<J*7y zv&~w+JTxhKD}!P8mJ2%)KknRWsd;Vp)1{A32<$rGyya(Xb$NwJp6~nVqKCIlztqs7 zwMBO2j~V|u9mLYC|1Z2)68vMuyu-&Yxp4hGG@*O)!serKvtxhU{r}69bMtzSjc0?V z+TV^8+mjc-q8zw7Q8NB#g!Jh}|28JS;46K*=2w~AtK#`)etP#7lr`E^f0o^&y4k`? zR=A3nd&Vr=2a6gmeE+hyQ`+mM=e@#|Py@xD?=RS{BqUib>sYZ+UCxm0yaKNiPxY?7 zif4cRfB5ULc6MC!y6K&zMizZ`FG6-64U=YHY<0ruY}JYl=RcPImC=eb;R?SfyDDnB z^Vi)0so(WAJr~XD^1Gh0B2Z@EG6$){E&SIGm^ri5T`4<XG4;FCrP?n$HJa^q-V4r} z5ya9jP$*=^G1GL@t_^w@_?P;qt8uX`)V5#|ak)0vHH%{t|8CuR#SfLI@AjYf^-o^= zL_eokUM}UJ<nSGyPlKm?i7!|yS@L3y`0R@J&oi~2pW+kJZvS1IckAj-qn+{hvtnzv ziafY~_1@;yoY^cU`##70PXFB9_P8~V?S<6+kkpynCHr)R6T@bg>IZW%yxeq1sVnMJ z)8!)u2bFaS9v;a*`<<`ctaO6#;%#M<O(q>tJ*K-;Qhl+Ob@g1oH!+Vr=I^?y7gYL5 z=DB(0w@AiFxz6>!-lb=`OT}0Och1!7ahyJ*LHWni;8U@=&(A+IKeEcjUr5!>H(oRB zmg2c^1+D2HnZ7iMW+xr?o5|Uswq{PKp3<JI;zTL)U9Ua=FZMTmo3UtTTs&*}>p6PU zPCfSD_n^g3w_qw)t4g?}ym{n{(DbJ=tji|LChffWJb{1Wz0~5Q-I3Eu?awxZs-51> zHCbCzsQKp6O=j00Fq?aM+~NMDv_|D$_Nu7fbh%2l?f#Qbdpbty8H*%vS1gfV_x(HH zI@y<gr@L%#e-z!H5~2BSqc?-|;xD%rcpjT?6nJ4)0Pjwt*z|@y8QuLmPufSw)N^*P zZ%s;^!6-RLaizj7$Ly;g4Ng>Y`kpuWxX1ZnS;O_;FXjm|-aRLoVVHcYdRI@@rv-uB z*Gm=i?R0fT9Qr!MB%kU0<}eKRnYhrgQ<(36Pv7J>d`o-^7k3&Yp4ziD=l=nPcfG}G z%P*E!J!|tgblijUMAVn#ijQlSe_`L*x-*?k)!XaNkJ~-F4@}l%uDKv9_4)0oe`nGd zzL)fcl`LJ9m-6(-Zq`TJXLJfp+-rTJu*^DS=hU|*;t~^&R{iDU=CIWc*x;YVCi4H; z%e&QGHq|fJb5Akom;c{bP$kv9S%+zo9*bbD_`a>T_I;?{%PDm7NWikMnoKk7P6t`M zcKCg!Or>MX@-=1OtDIwF9_?rO{YWOlZSzd+$jUBf&V0RZ%Nm@|-K;G*ze4t2+k?3c zm1T_A7TDgA-NkogHRJNXfvd#C*CZXXi!E7j(9>UivSIP-dlQ7#erq|o_i^JS_Mlr5 zUbU-xcJAD?Q9bPC+oJW{))(0A*F1}g^IzgExJmd^eN6b#T}^sY-*sMHykfY?S@6#4 z39Qq%e=0FPQ2OleOOBb}RmGl%T7Ow(b2BJh=W@*^zEq2y*`X%uyEX1OUk#Q%a7{4X z=+h$cESJPt8n^5?POk`_9{8i?Tk0H7HuX#EuiE{bVDMUX(?Rpt_bSn$JeAM)_bp!Y zD8=CKw0k;Nr@h_j6C#tQ*kZ)J>f6_AQq%7>StWgb{;Y&6ySl!5qfXPCGo8hM6GN}f zV|(S9kXKR5%P;#!EK}-d@i*zmb-$N3EtO6BP{UE1@X`5MOwuwD=UrMFRS7T5i(FY& zi%cn1IQPc>@U|-F7dl4Ie%ox(5ll?Dw8}Q3|HbjSUMq52=N(*i!}ZIutFA?pZ%R2S z{att6o%z_2=e1up=^5SM&f?p$AphpiM#p|rc8yAI)ny!670!z%><F#YpS4T&P0_n& z_k}dQ*dvUNS!9+ZYDvv8`_`h>;^n=|r}nGCOpbhKj{1b7yELC?tk~`O-TUIcgUh#V z{vMSz(Z6-=Iw616*OHdk12Y|a`TO~gcyBHZc(_e^&;NuO8b0%0c?h)5us;`ihM8~G z@_oBBKQYf<q59Eq&BG-eEd>V}|JT;V@`{|*TwgW4>4TF%vve$r;Uj4s(MzQw0k)+I zrzF-hYG?P`_(W8@CAXgts?)m6`7>a*`We-;ZM&Q^j+?z|zx1QVh<Vrd3+6V8&z|1i z{?a1ZV`FRPL!mu$msGseijv*->c}aRz3C-lJ}p1}&ZId%xE(dkg!yto^6UTi)eoFp za-28t%iMLTn#Z4cq)xwf>WX_w<fk?sJ8#zbOAA8MiY|MYdudvzJ!bm$f#q66rO$DX znMOAm%^saNqjNfO>h8yd?*3*4u8Lh6>%RT{*kfB{cmIH1_IL3x0d<4z#pU)E7c+VU zqORA?ur|^Q<k|aO@7~@1yU%(P_9u!t>ra=gP_sE~|JUPVb;r%;f)DdI@okYlAiQaV znOMnn^R;t+$%`k+I0kF5RI}Y$#`U>T?M?Ox7VhM0KC_#Bcl`V~X<qQ2WS=yNn8~W2 zj+vgzypf=G|9dmPX3?+4mAOuHXB^^P=hXgB;lgscOF5o-lk~6md3SH=Jzrv3z9saQ z==<F&)27Au`;=Qb|B8(F)13VN`>~5RTizS&yAa^7?d&ptt<SbJGrqYA(hc{!owiLl z5FGVBdg{xnMJLkN&R%5xrn%UIZ+6FZyIBcb`>mHvy#8eM%zqk8mmX-HNjSxwd%JB3 zN6Iv(#*EkBxOA^>nQqi{g!}1*(r}UUnVQoigK{SN)jgjms`!#wc5OoV|NA=OUtb>e zesH*^>FIQZshP8RcrPkd2>QQkwyG93*)4t5`JqzYrwfXmzc@o!{~Ldt-o<qNgV(*c zH=n2e->dC4oo~+MX;bZLtm8K*dw-dt{rT|u(1PH@Zui9RPb$d^tk)EpDZ454;r`#= z*JJ8iXa5P@UeoktNs~Cce7du}(w0X%Ztk9bP3+^v8;llHJMAv6)mJ#~w>|Wg-|-s% zdcLbqp6<`C`xJa$V)3g#I~u36yf?OVl&O25Jnf`(^N*Ny=FhA@a(<~`Yc}W1v%Bft zr6Vu-`SaH6M}5~OwtivTxLxt&6Pb6*O>dp4e&_JLZpXL%a%R(+_|{mObp+OKJf73# zwNL#@b5~b-q|JsU+oRu{ik`Lh<$qzpS?4CC<tN)EhF<Os6mq>}eRAFWOVf*H9kC2( z{xnDHqj77Ef_8LCZeFU${*2NNJ;|3M+=^`ajkTT+QVSRgH_h7Jw7}c%u)C>%&nLB+ zJ2-l$w_AVzqqOeDX2)qS1UA0?ZMuKOKHs0o%<F4U{r>n&U8U`utEqT%s?^=Hahx0- z*Fwe2?<;MSc$~j^UeSpF>8NXNm#4~3;8<U`wKi#a_$LFUx5qm+yJmES->nV{U|Q>S z(_vF+=cKdurPWzpPTqgG#L?iw;oW-sRSx!L3x>X*cVV-V;UfNbkKzt*DG?}N_CnIM zw9oaZA<wqkQTJN1EG2f%mFoU`<K>$@+8n=TRjx{|liV%;P*t-p(!^zU%5C=EuTN)8 z`rP?A=l99KTPh~7SLK{`-dB6UwKx5Hf9z(}guC*;0&-*y@335b$G}mRbMq<w&|kHV z(-XF@ePpCD{a4B!la;KF2^*hy1zlaOz}?UGs{U@^eW`%{DIrT<xJ6vQvi_K%i_5z2 za~pY7^@5Jbm)=#Vs6YQuL34`V=AUwPl5=(lysMe^wM#@mIBeG(y+_Y_XU<>ubI*ka z!v#@0PJEkoL&idK?!V)&e`xVvy5#vZ%#Kt2zxgi*{$+M7<_p`OuR1(W?n}RNy_!it z*~L5EO8YPUeUrQ{$M>p%Zj#oH_<WwwKjMCs|Km28K6x-JGpll`Xh&1s)btx~xHq2J zb@z_P^`xjCk%UX$tc>-yB(++XzTWC^V(Oa${?n^t+-}?Mdl9~U#<^{E_6J-vbw9@# zF4<=}WnWY6G^?4lTz3_A)!3cfZrt%Q>SNogCncG7<yC8y?=}f83ae3RPS7^{9P1dg znD_h)Yn3-Ax(nUjRo^XLx1MEz`5dvmk5($xEi;cb`@O(&UmQdFgX7zFGc<<?I?bLR z(f6Oz^psRiDr<y%*y8W|zrM9#3g&%Q(CIpJ&b$co|IaV&+2R`J*yq`2q-e7A%kuBv z;t#j{$;ms#yifG!{>z88+(J6`o|s`3sI+yHOLOJk74zoX8(Ce>6?*a^An%>uOb>Zq zr^0aSjXjUdTRZ$k_%^&ey4!j0_5GKWC-`~WEa$wt|M7kU^P4sYWLuw<Ffnf7pHU)c ztiSDvo5zD6mz!TL3kz;KT5`NETgdAuqxSaS)lV6_=UqK@=s0gli6htN10Etj+|KFc ztkqb<=T%UrBA;QWp~X0@`pm5ob*8}CN2S-bTo*p^S~N`W>Z=dEeEvp%pDp=iI&<xb zUC+C`gnmS32U$&Yo1(Z)q&56`(W|E$7C3d@id8EMu{At;I7d?>`NH)07xu0*tFyB7 z*0b2D&OF_0`t;AF*6Cli-Q~WZ<@It`-No`Rtl#99D*R!0eR}7~{eS)r5&oNY^RAy4 zQleO4_;AY1d0z9L9OIU^4iN0JQ&n5j-1}R*>P<@eg$chOXjn>pJ8}L>y5P*;zRUkh zr)UH!ud;ry?O$*In%*zMufN|iNk7s*$tNYxJcDbM$w}R;((HY<-uLu>#;9?nRc-b8 zoOA7&^R<lKe%mK*Y|iKkj4`>oH23oFpN01odyBo^@m#yQ&nr;t#P!WnXP2*)(d?;v z6U7?2(eIVw6!V%(Gw!C%n6Ul(h1Rm&N-6K=M;e=&7_)x)b8G5OiyrB%Q{&c$zpe;! za9bsOr1h4QsDb8MwX}Zm_07EuinC>QO;crCcI~*Y;?cxuUyYAVUi@HRT*t!eb#^aq z7d(uU;QHd!<zDNjDKAwYB=^grF`zU+oAc<3v(^66FK)S&{rGl3^|)5(q3a?d!NHfl zZ#a2X&|P!SD&d8uTAv$O4_qsF?ZdaPpw73`k2mY+1|@qgmF9ojTh>pvJgiymm-6cM zlCF1W<x-z*YEOId??y>vYF$%T{`p7G_b&@uwPcR5yZ8EU4s-Qy@4Di9wROqsQ(V3x zB~d3H<;$^}RLIP`C31Me=l4A+{w~WmICU&+V&=Aq6<>HhM)cCXx_~Ea=l!j0jmk}@ z#;#h=?Q!eP^&hD-=cP3~4vSfuepmgA&eS|@;eV%#=2ooJwf|wXpzQ^N_K$>ZHzO|i zU!8e#u1JfCliLCdk+cI9hon5>_DRdF6r6Va?CmwN&nNzQA8)*(?1=R;E2hrfeL=k2 zWB32MJ9o-|iH|p5b%^^1<oGSK=;W}R_e9{;iDdS?id_dz+1+K)pRvLvVAAcZ4~{-L z)%vAPnJbO7S?s?qi1W%5eDgzZ*D|S`3jX8uMfThcrOz4GKXtj_%<^{zlgu^I&L#Qt z7S1W1seN_!spAnl?tHL!cD4^$wsr2r2P%#MoGC*8UM*Z0Ejnj{vyF|z8BvX2oqbOu z6x5o!{-0iAqt@zmQ#9{#iHk*9>#q6FZ5RU?I*%TFp*-KPzI?Mr%F_*j?)_IS`cB&^ zofCHAH`#RS<%YW#;{{gAm-EZb%KWir(f%$4`<CSooHk_I%Z8QDOs~^@;#ToM{{5VQ zmGd{xuVT8lw|3nlc75Y_J(cr}uJ1cKr7$Jla-D|k-|6=Aj>+#?J56$??v?(tFL}J4 zWTxzSTs!62xekY^Q}0eq?ymDNa-Q+^;`-;jHaAxGueq`?et*iL&~636=}DbadaHT1 zZ9G$Q_~yj$rzP(d%7YgL7QVaveE(NB@!9hp%sjlU;YD|QQ{B7Co{G~iUX9Cn$q@YZ zqm{pcyYsCx4=Nr0hUB;GFJCG+bLDmA)k4OZ%3t2?YO-CTmF1Y{!T+kUO!wjCg{vN= zXmDijn4|msi?Hd3_tG;f?%h1C;(CnzwM*#xSHfLyj@V3ak$z`iQ+4h?qxrIRZ{MAK z#C|2$&T(yU@|mR`Ym0q9mIc4gJd=OHx6i0z{?hqLjqhaJ8YZWvo8Nm_a>94@Ed9FW zzmADIivB<ILHd^BwizYYLIfN-TRK*830%&RbYJl7)q?f8hW8gMA1+W+k9_FeqTKUz zB6p;q?md$%-5Y+p?-&Q@->+sm-|TgDvSgrS`gId&{fgQR8ujZw9GMuC<$mSN_Nigl z`6jk*d877FWoiPu^TF~RnHu|wetu26Ff}dKW0RA|KdZ@)msznDo!qf%#{clOY;(?3 zvkGRd<e&UOk-^F4^ljy%lS>XK9(cCrOx34_;YJPo`jLAtDJm~uUH0ViuApUBH|$?| z+&;XxDO}8C-nmrKrT-pGtm6Efvi>IXp=Ug$8SJ*Xk5z=$t*f&A>BC-F*tB8o#>?WS zt$dUE^)Jt6Y}B(q^!n&C77L&4XG@RHXZ^dfXX;M3pI@~kYS&urcKZH-b=qDn^_Z5w zvcGgsADg11yqw|1lfAEn-zn!TV=F#(c534bO~-$SM4cXg;r;aJOvK%q+4+~7*tUjq z`+i$4TT!0<V)qvT*{GZ|?EK#qxt_O#b)+O&zPbNi=k}v>XB)M?{Il=+%*3$amywUS zRE@&?tK0OY3yaUrI-JPVcGxbo#QD0#*J*tRD`Z3MCM;sU_~pX<mijfKS>?>^%qN#T zGtA!gZtiJM^Nvv8Gdj)>Ip;pze<b3V{HDD;E_W|9RCq|PEOuKUweieH#{3w~3u2e* zyVbw^Qk0w$cCSh6;QSs{tJTFEYxKjF^8?NZFkf6_-uL^+zX_+rfAG&|{qy~vbK&)! zU+)R+G(3L0WufABQMGiwe3^+=ti5iMGinVS-!}!7Ds`;3Uiee~RP5*NF|!1Y$%XZ` z{^AjSRhcfNY?D;U#&7Vh#Oc<;57XyXOU<eM8aZ{vbCwrRH>9;4EY8_HWvA2D#aUM0 zntEiiehNL`zwLNM#4OWInt4l%HI_7e>-RKrQrLIqLiP&7%#E(!d)v>SV0!jY!EM34 ziZdKqii{EO!W4_9A96jv@X-ZMX7)b}vmdjZoR(kRzLCZ9kdQ84V`l5(m&N;^=q=hY zSJUeB@r^Mj44YS;pI)mgTxt9E@uQuqOkPWiKg(_VA9Zc|gn8z+Dwdxg)N$m7hE<&Z zmNNhU%y8{k7KhW->}Mti-Hnx6bKcV7=fr2lRZXW^*Xz_o_xnh1`J(q{YR6{2ilx%` z4fmAInstm_NOY~zvx7lO^LJikyczl=E9%JU+shiR+|&FgeKC7)rpg-ec)xR-C1jOz zF1vDxPETLIEP#WHM_{$pbCL8@5#809>oYb)J$1^LjwssR^(AHfleIr>=6s*<nt5lt zYpU9-#1@P5cYW_oyJT{YTeI!qk?F_EGnXuibUny&m?1gyd)KokN4lJsH2NP{R;z7g zzNTiH!x=;NIS+q*dvP#N`;Y1IO@0hzY=8Dt#A#eilbhFH&@|b;A?VUvuWi$MGUE3c zGBDIGHJ4eCA|&LYX;$PuyV=`A_CB9Y;Ep%XePlZ2RYcjDe_h^ED)fB+F9oTO?01Bx zdl>vUVjk=BJnQ)Cy%}N3vmR8vnp4u-$#(4dR69GL*3)Zz50<4nd}9@<XK}1OqOfPJ z)`i1!#a0}YG0~dM(YdD7)rnzw*^*-OpnH>dY5J^F+vFJc;>@=16<vF4)6y7MwjR6? zu;kOx>sIDn+QDXrJr{q?&%g8Yn`!gL)`KruZvAOo&3jSgv+w;D9qx<WY0it~`71n4 zs}Jeq)$dl}d3{;LuE<XO%9{Cyc@q8|x=?Z2^ZSO}yE_$mUOrZz{<mqd)ueBiv{tz8 zSLB!=W81YxlIKcM^onmC%R8oo^cRa6{9)P1=bs`hA5`HIpq3eaX<kj7>7NDVz6H0c z+70&3oAM(dJl<Qu$m?q6W($tEyP{9DnBP3Q=9GUliIcbN!MR&+7iNeH{wy}Wq8*l^ zdt*y+Q&Ll-_=IBLmw)F-CNtYSH?=zE$Fp|I)Oy!PMYm407vC}qdD*3Zb8_3Z4CRBX zyCy2c-iSUn*KehB@w>G<=brWF`jmR)=bzeyn7~;Q_KN-cWP5E_1v7;o`w~58h40IM zYoBJ^7kN;g=04@Z=P>a=t(1ns7V*nkLT?(5HWlt@K2~%8+6C`!262ZM``=AG5OzvJ z$#km4-Or27#Uk|hJbm^AN%i&gu8Cnd_1eeDGIQ0xB5RG7z`TP8(*qv5Yg%yJIlFC5 zo6$r$@3pTacUm|3wmEhkjj5S-^Uvv~BSw;o-6xtp(=-ik=r|y6J=@`}QtoD-Qpe;k z^Q<H)tjf=JeJ$B{&Q;^d*=wQdUSV^m@5;-zoWdt&<E8CrXFbiV%W<k1lc}^uvKQ;T zTP*iYHQuqZRRwO&cmB)$*w4D;*L7_n<_*^`@BFBE#`N)L&acO<S<V`KE?%C+(zVaw z!@s+4r1_LMViH!C|9{*4TW(KTZ&6+oQ~C5~VkaMMSG<&`ylij8q7zvrr<!IjtZ_@R z{_CL{u<}#4&&P?+_A~k9*q&ihJo<iLQ2hJ%s^Q-q4*sj|c&Pq6_u@-_#VLQjzu2@V zB5}Lc%yq5nJv07?X@nfwvf|;ThyMKc1Ud7c1fM)HjsL@?6lp#-_X4X0U)qwBR5XJE zJ-)5$TsvXXD#hL(qW8=nb1KNi&Re_pcHwa~^WD<v21<J~4!Um2(n-E~LA-tDrTvWy zgPitwMXjA{Q2%-##~Epn6;EH3*b1NADqG3AJ?e;O=_JnDb9evWacX$};A>s~#Tzp@ zUw!za|LJ%4vqubHMa9IIZa1FyE#71G)grC=tjw{`b|kSESy$R!njNuW=l``X$$Yox z|NN~QX3a6D>cht-l|%pXliZVz+pKr>@)c5P6f=?Ct*g2=v+~eYcZm*FxmuAAhD)xt z&tJDNmQ9e~<m=u;>V53i-&50-FIj1PV(SdKZNAO&mEQ3%kCO8D0<(PX$}Z-;H(z?) zjEOk~e^2W^`g6;JwPn|g-}@Krn78es?!B5R*N-+{<5+r2vRmo8(~7sUCIW}7>V7l5 zEK1<4-#I<c>NsP2zw=UeUCY?L4}S>l-Fo+i+pOJ__ALEjsTmmjd+D8s#Y<ktePKNQ zVd0IkgY7$~>l9?^ojtSaj#t;pX}RA;bT3=Kn^MJ;d1O|Aw<E&^zN074?``t`6FOCp zi|@r8p~kC+qO|;iS!aCKyUjHzlYdFUF+F|p+XoE$_vp@@JK>Av&*N#;hg2?qPcyLV z7Mh><JEb<ou<~tERP6?{Ng2&^zFJ<KWEsz7=Xj>EDO>g0{q>(+k8V<H+_?PW*_pF1 zT7Gb~KYw$ndsn;Ye*ViPAJ`@Qm0!&Y=@gGxv-*RBhsm8|>(dKdHf~osyoa~sU-F!3 zD>NpXugczi*09Z=(X^=OVS2Y&u#1g2^Y6p}tqWW3evtE%=SWL?E?SU1|8Y)X%=`7< z9_<kFyvQLHq1`d%MdIm;T+J32LwgyHF0(Ajja#e{KkX;a?Oi_FyZHWvTV<{Ldbd=; zS*P^d#5ebO&q<oc$usFcn95+W<=c@1Q74_HRfUgD`1*yD=g0la&jl)vgs`1VmfB;a zx#ODXsfH_eQV&d>cGxIofv*f7?_RFYl?K;p3U-}i+p;olUw8!Lsj{6(Q_c(Ct>W;^ z4i=vf)-37Y;eITmtY^c8=@E=<`}gU@EwQ!uZ`Zi^<aaGDU!BQECLTDeq^Y`^P1r~} zVMCUl<)lNpccV+@Ea2Kx-TMCC`~AC){ge+5u8I;`GxLj-drxxaG&w`FJE}=qoqX3; z8*;thA#p_g3#ZzJ!fEG=LcCXn&#~BMVq-Rc7Q^;44vDTc4Dt1H?x}iOQQzi$wG$4x z?aw!(W1Zrv>5s06nl55Gqwtr1joo=}?XcUT>#Y1;>|bR^&y?eP#LC|h&2;{jQP-~* zQSsm2uy<Rg1_jS?Kk;5rUOW8q)n68i%{c-js-Gp5t?`}m<WITmxzERh?gzbPt%>|G zC-qTpOBqvEuHXD5!&$LME;{Twxp#X6L*o5kw>-U-bc5bXb=;7X*XB3*dTlX7lX+^B zl*EJ^Pj0b2U()2=)p@ced6vq;?Z-Tp?cFDylrOlT#*W?Nw!KTS=KIEm*IRe%Zul-_ z-flQ)-;RUDS;=cxb7=Pp@;Uw8|6PQ`_Ta+Gi8HiZ1AlRwTA0k=^gY7jkL&!peDQ|& z&W}Uq*56^{n-G29db7vsrTS}h=4_ZIa_z>qv;#a}tTb<ad@%11>nm^f=*Qpg&F#N5 zr&sEv6~A|3My5?!GyC%y8`ruziG@y3{KodROmBkd=4)*Q^E-mR=qKEIwBb`pX!842 z|Jl5Ead>F<O=I2Ass620f%maScgCb2*VcYLzw6zivj39tX^hMtf<9k5yH<B|d~TN` zPw%tlQ%?+80(E*a6!jaw@GutNzOX*;*-u-&DFR<>Hfp5vB)qyGn<HiEDA}RKe_Z$M zLV3Rs{_hJXs$SY4H#0e+W5*q(DN}6BWkr<YFRgaa{cdw*Q;+qrn(E#A&9_wMyPRt- zeREWM`TXD0ZB|}k)}DCe`Lt&XOzc)|t^6@p`2McM-GWJaH+L^LeJ(RgZEg8Vr`yZk zxLohDc^KAt>15jN1uo8t9mh%@u)Oh6`aJ2>y#>BoCh2Z#nbDiy#G+OvA$?AD*@s%q zEtmFeoAE#R(POJ=%Nw6MB;8xo&8qOy?T?m(_i+<WLG~%lf85UNe^r~XYKDaMWaV3T zJKt2t^!>7RxRtYJ>f+cJ!HF5qRkFDgKd*e8Jy%!9XocxDZWf;p8$T8PJ=3+S#URx( z=I1(xxt=`VTOUup^Mvigmvi^`7FQJB7j4UGJiR7??a;D`w+~et{hPa6%hXjgO3dJs z+n*`H9<HAXFHfmCv|iptJ2j)7v2V_=B+uFJr*4*fCLo=A`Q}~MjgGyF@tmJTjW?F- zW|dBO&U<l|*rw*#<Lfoc_@-u?ZfKn?pth-0BtrMK;oJPhPvh4|ShO9>SuDO;N#R!2 zllE;kc8#UtYAuGFW<;M6>kJNY@Z9Yx!gF7Cvtp-rZ(9zhy7^IYPm8rz{+_T4xbX9^ zZOab1@D?AT^V0oa8}uJ2N?ondwST|F)bLo^g5`|C)<=)2REkgBX*6e|#hc}y+oD$M z1Yc!VQl2k7Wgf$G{u+(jx%W*U-M?UcOI+{YYc<XC<b4-9wtmlXJ=7i`xV4ex<)g>C z2Gv{TygW1Suv+X)U2m`Z-u`*g6P=w>PfhF8Ki=6a{qqlx^3+M8SDIv`&F^jabl2JF z%qC8rtEW0%UW|z5FkY}h-t<=V<(h9Fo&Wt+keYTS_<4nT$K6fgOKvY~m~ka5rF4dK z)$~*SH|~{hPU0z4pWxN<D=w|V*2vo8*n+uvS%ycS8g!V)b>=Wu=GA@Q=}}(O^>*T3 zhMxX|GesG`wfJ!_*p{TCbAokixlYX051XD}629B~L_hL*e2e;`oEg>}OPVege1Dbq zV~zE`TLK(L`{zHgRK9mAgX76ek7w`HW@LwatOz_eb?VApu`kxYvSvSJ{eJaVuHzFe ztpyK1*~z~=eZ{eZeUE}0=X_!QoT*w{TKedV?RE1nzgYEWtn+!RWo2moFX73rf1fU} z%0HR9=5%kP-Jz<?K+co5!@j#)ZkKQS@aRLF@{*SdYun!Lk9b=3!XPGT^|8)R&%Qj- zo+IA;Vehqn8(MG6JQO%^RN$q&q=j<8;|X&FcRo>kUoEi5m%rvGPt$jkSMs(S{#9%L zd(v=V`&Qk&%i*&u^}n^>mOAgH(ea*nQ<`*M>5tFL-kjN+5`25(;m0LBYRhE5U(G#v znC<r(|LN8H!j=UINal08O}wI_^@&sP{l29IP5+7y+V!97Tx{J`>^M#Q?+b~YJPB3& zj)jH7^8)gB`hQ(_*;n2wSGiK?Q=ybGlZ*`itf<z12I31Q9IbHTOj)@r@yhiDGk8l+ zxr<C#m_B>|Eb#~Tn9WwZxXfVP#iXPy&sq8Dar>FO$}eW}C}e&A@WMKxkIDD{?(T_G zo?Nc%zI^@Fys*2it=(1$*5$|Ay!KrGDPrtp`}VxVZLfnFE^%27W$6be3!lo#-h5c3 zq2iL)8KXPNui7GuB4uJe+aC1gt32#|(7|&j`<l!1T=XPP8!9f??6~UePoXDGbN)U# zzAPqnan1B3w{Lwu`!}w0GID!=eM|n_nX>ll?nucM&slYBn{Unb>nF`JyXKcXVP*G` z6Fzs_>GJIZk8gR*h&!e7^<=zCx0m$=euvMxn@zK4J@BwRo%bm#&$>d|*K<{dwbZKw zFD`eUx0lk}Cmi}VUuW~GgsIE&W(nSQFuunTuREXZlDX8`Vv|L$LXA`>YKVD6eYkSX zz=i+Z?&|e#iW5aR<BiWvzUCXgY45LnSzN~tn_rfHpeW=!$MMM8sb9WJpMB2Bv-$ID z9-mal+}s6yM<%~N&vgCEl+dSgE0!Lblz8jf?$iaXhF1G~=I!E~dd)`W%*n2EKX0nO zk32HvySa7&NB5@X-_zAk6rT9IV(H;Esppf<*eu#7wR}eeck9Rah!cH_W^r|?AHBOL zQCX))S8mIcy`QXXN<0iQ{_mTeldMzb{+mBZZpR9h7q-7_`8@RAh#z@a$GG|0uLh1+ z{VQ%W=>(;jX0vSS`uF0@hK$IU$D1y<MG8Eu_<u^%_~{f@`6u813rgQ>jFM#3-gzwU z`ln5KzilR6zSi(&+ODeVr($8pEu|f*ezw`4*mSX7#;RhDaoBcU<|#Yt-hDnkk=efM zFq>piQKO%@>Mqky%VHJUMG_}Cotbgh@P^S1aVrnYFK4~imAqP7*M98A&Z@<ex;x9A za(8_C5h<bm@I<NYubQv}H(fs*czL2c$t~y0vz%o2R%J6swwS`l=ZgL2IDL1rnzH2R zXSuB%n<RggZ*`hdv4??4esbV1iHm`*Ct8=@%Cy-MZ?NKM(t)LKLFW*JtG<w)TITd> zfAO047k8h2b#Z6=UGFbV37oIq++VYR)#J$iJ#~AN(@xfX-G5u}Q0v2H%g(QApG9`o zfBJ00&>ymlrTzA`8K&E{-oMV&E)ubgy#FJq?R4L)1z|_c6mEo=ChWMXW&2cG$zuOa z?hi80gJ;a*aMBn1cjCq=o5QD9-rsy|?n95emIti1A75@a?dIvcSPkz5Daj>2F1wkm zIcR+A)5+ew*<Y%ED=c!*t2(Ivecd;^l+L}K>Rl?^|3^JI{_BU`mCdF9|4N$QJX6xk zp`H};HSnIi){}oldK+@DdcQ0`&UW<A!^XGzX;W_G?&|yGtsp01yXkt3Md9CRr?pR9 z*|R5cNzI0r|EHGRv55U2!y@9)IZNxB*Ja;JnUOnQMr~tJ+|5)kTJWxttBFf$a>Pfw z<6Vw?b(y>|AM7LI&u$Qqbbq6lB^t2*wWw}t@`a2e2WA^uKh9WjE`gabFVU-fvH8Wu zFOxEz{-u7J?t0tAS~*-pp0Ak8&D?G4q>t_Wp0mWS%0-3+|DI52_1bKauYSVc@83)} z+j%Q*G1+C#b7Z?<a-ox#g`?poMuCKXi`I*jef`xZ^YPO6_n-569p<_^tuAeT|8H7) zjm62cq3>TkzjE)=WT^w5kCigM#iz{ZQNB3a<w4se9{cOl+C=umB%2+5)6x(bw|m8c zFAl9`7W3B`nq^mPSC;3#xt-Zk{ea`Vq{?*%kKR|Gb8B6E-}?j^kvmg3qdd}_V_C8S z|3%5{pLikZQ=jl!4pxur)ndX0>^uESJ~CL%?77f<;HDOXo=O>m!u83bX8H$zyu50( zVo8Y2OY@rz(^!j6yKh-zHS2>AZ&#Y(u7$m`1GOA)9*?q;dT80G?6)qyp}g(Qq19Oy zkG<4hXL<kI{#td{kL4Chn$m_}C-xfZ&ptk5-UN%FI^(nDVJa$L^)Jk6$^LddVCByu zJ|33kYYy@kelvS|X5(hBIrF%Wte5QB`*8Cbp&MHn`A>?>PL6tN{Fde5`-|$E8f|5t z%g(yVRIT%0`|&-!6UUU_X$1OhnjHEv#(w94gGp@f9{t-f^Vzjt*Y0#N(}I_aIp<6M z-o|i||GLe?L-CUj6~tft;=}tY?WL&amz2wE%(di_1N*%*z8+ijNlI-?s;tPHV+F?; zS47;%c2W3Y)wFB%J+-%OQxvjiwXO^?VcNUcC)M9$*`y6|Zw;3`IVi*9b|FG<nd!va zUzV}<tk!3l`9N9m-$hRw+4737tZ(P=T{|iA<74a2edi{a^ch-gzmTD~@!bW1S+Q5& zJvk69b+7&QkDI3?3s+v)@l}4x)_Eo~&u2|M$G_se*!e4Ezpl<@I{1aRP<2QAZs)ZN z)Ly^!yFc^Hxn*YOuJ|3j>tqtKskwg5f;Dl94(l#2E0|_o+Mb;&(Io3}<?7B*!x`NH zj%^Hb;(RAx6elh`yjp(wQo{+~-k1gT9bM(pZ>O&LO{u>x{jvRtPRq8!{EH`7*k-@0 zIDPVe*n;kfO<D8U)b$j02A-a9((A&npYs_rgEH68RC%>uhxzvJY%6!K*}va}r%D%F z_q!fzong%S<E+!f*NUo=51yR(uAbtz=7z=>zhkXOTMnr0neE_MR}y~Wd$ic{d#Udq zg+z&6>Jl$Kq?{h(!4cv7e>y|tr-ajcOcuS_Wc<SR=pP<~!vV7eirtmX{A77w{j-{- z98fZ=&&=@kVK%P`CE@308h8~qckkD_-J=(OINocYqlm=Jhsg;uBx{7MADis+$ZGlf z_5A|Lm<>Aw6+UW}Yw9k$ze;bZ{8Y8(f{90rW5iOH{`ezbQ~Y}mm(2>sv(_^c)%EPE zZXBqUK2vcnrk{<+bp5j*Q~o=sbR3D|mSvEVKl~*mLEJ0<wDV#ErLryh`}WQ`megu< zVZ|=lYgNuSH)=ebS!up#okn--j!W}4JzTDGK&E`o%ZmcJ4T_!%CMDkWXY{Juq`_Le znD^u7Ne-cEy^GGJ?YqYGa^uG(ueXVKAG!6cJf(Pp>!Y1MQ|+b--s&(pw<+Lr>z-mA z$-j+}BFxGQt!FO}*>0KZxQ%J);|J>|c9q&UGq-kGE;?qWc{wz>?eCvDwu*mp{qwfo z@NDKSwf1=<DSnvs*kw(#JG(`MGYY@S$8BMXzt6b%z|C0);vaV|@%HFUNd8dEa3ZEg z>GcKoR>^PPGPk#c9QgfT@J0dq+MOi_79YC8CG!8$8jA-vc&_QWI3^vt5*P7XqFOmr z&~2r>h)9PfS8)7<bbsCgX1<)mYV*P?#JDD?pA2)bJz8RaqCRfASlFcXrmZ*ThT8My zpRB%bxyO*>Yr)!+46X~Rm;FB=ot9>J%JZ0ON^@s++Qs6l&rUZzZD~$#S`en%%h8y+ z(AGX;$DdvMEmrN^y7go8oXHjYS(!yE793jby7b8TRKwR%pZF~bb%i&qyU2XvvPLSG zb9~0iR@wiBn$0}{Rb0G*Wt@sXckK`@QfX*eG)L&IlE-DO%;mq^>N7K6i6z{rS37x^ z=k&Unzk?5mKA-s}NN~-u)G2MR%REoMZu%Z{c>ThUhU=FcoLc2;vG%@<$JIRrdprLc zrAYC%G8#DSFZ@0^cb0$GUo)$D>Sp^qU)?`=GHL6kX&#T4v`MTG5}C1!btcb|P5%T% zJZ1(j{wecj&zvA#mP40WB|EoXJN}b<cHX)RZn_Ia3$vZtOy%oKHocOKIMvNz>+gB- z(u610+rMu<og&}bz17UdN@VN$qQyC<Kj}VvzA2oWy`87?#^;!TH)+9!0sjLYt+^s| z>gGjhITwS<tJ9{v*P5ck^n2;j*L%I<6PG@m9Gf1Pq5aS(^VIoXv7=Y6e%iUHsLeZh z`R%t{tHUdAGM&${4`fy@xxeb}&BBdy!iDc>u$1+$5xQt)npVEJ(pNA%%w^8GKL^_; zZEjr_CMa|`CU%8}`S<FjCJe!4yAD6v`sq(@otu4tx$BJ=&z?VjrJbktZNH!SD?<gD z-h;ocf9KOVUa=q`>E5##zNiPe&yTA;nK$kCtU`B|=r^(*KNwEVxF0+xSg`VK&95G_ zdxuzz4i{_`F?AEZUGa43ahB^EKAua3#g$(&S|!YSCldY3Cd1`L+#{|yGY0wf3VXwO z+E$nZFeuzCnXlOST)(vY*#*bUhZp(RI(R4Z-#Wa_Jj_XalGcj0UzS&nnSa^hxnYsD z#j6c9e`OBIo%84FzZyPAoyn^!?CqarjHj+zKNniserua!>^}PqC0k#8iZnm;r7Y;R z_B;QzMNFHtdbfE+-D7M2UdvT5Q~PF8nq2GIIJ@xmOP5_fUi4s%eXP{B&GJVW%JeJs zx)+yKXzXQOH__=!%<i=oiC_BG_*m+9-A~?<5`S2?Nh;@FocHthdw%piyKq{O*-I+8 zDDBnrvM2J5@&yl59{yGORajm$(ei1e?osj0@3z;8+}GI=yJOzhud{u!UNk(Y)vh?@ zCKi=Y&AB%2<Lgk?#|`P8zB9VK-ego2{Z}maEB!Lh?&asl3+3z=vP7pW%ztz^az>eD z_!6eqZZn#rHKoI5eF?rd{dXkGi_BuKw7PR=4!!9!Ji#^9-u-&GqTE__BLT?^va0dT z4@yMWWW}$0y64N<g$rF?HX5B-axd)H``7K<tZpu8dH!4mi~c&MJXgKAJa0p#PPU0$ zrC5o<Ou<)yin$+yKerlfbKhz$p>-helBV>^{JYz23%L?!99Gu4d2O-Osj$xG`lkDG z(%<)+MHOz<e)jKMet*~66N_H-eTj9w^3-7GkvGe(N+fggJ09J^#-DB|?ZD8o;TXT> zq|M7FSF5~q>YEuW^eOom*Q4f(KSbl(Wz$a8t1>G+?g(Y!+V!Tg-0kMdPj$1VTi)S` zd^USoxr@RKGnSkU51Vg1ap5)y3*6C>HRD#VPyOAemC2g^jx5&uUuDdhR%j?1RCe!f zeeS<UuXmbrubjX7_YUE++2s!0b&Ia<%UJIiD{FOh_ruA{)p@d(Dz|FKEU(=6%Yvuy zsK{9*?W^)Sak586-^(SqK0S6#k^8E|o|}(7TTSD8gR7kXee7fYJi{iy_^@!>6Yuac zQ8iCS^&fYhRFxG}e|38ro#VAmt?p)vPg$kWhwP3OZ?;<|^JU$VU17Jplx2Z~Ih&aA zrwbO3l_ra@o!)d!RBqNY*VxzYFD~~z&iDCudqKsg3mc*$n-eFU3A*;|Oix(*jM_8P z8BVCl%Sd;xf1<h2b;eX_-xmUrJ7(P!dj5oC)~b+T39l`}Yaa_Q60O^`J-J%+)0>oI zv(6oR{^!ab{+e9Px6!^U*-r)6YiOVS?)kCKd#2fh57YPsocDZCyi_i~wdAjA_Ic^~ zq5q~lnKXBqS%vK<rD=SVlM-sf!>0tD3&?)I@ry^r8^7}tOAfj=y*z(4b#wd1w->%l z(pTSk@|nBLX@g012g=0kluya**!FPe<=&IK&cFT9R^IGbJy9=eo#V96PFWjN4=itY zKO`KIIKQ7Yv2nw}8C5Ty>z}LP3a(}EvpK}{Fp<&V#gcW8zgk?_Q@3l0zOBlq1xo{5 zPrj+#^tG~d@9elI9}_Yh%nmSRJgA&^&^$l=WFEf&*B!H0(?hcUpVv51T&1K~oo8@F zdxogFI7@-<wcm}0_R1u49O3wo9={^jaL)E^!HbM~n9C=1XScPLuQQffbbnRywPjuk zXCn3sL|O*2UzR#&%WQtJ?BBatyHsDj=rL}*m)!N@f86=mH^ZurEh?C?oKxHF(PHz4 zcYkkc9K3!%_FCC%&(cJu?O_=cFP}M(+daJ`euu?}Re?<}UD$=ou6~`?{A@qNfxmni z2`a*yMLKeiJn`ClEY4^1%q^Z(YOhYMeYpAhd8>npvsSX7OWa&<zN_wUFHg&Xw!<n? zrKS%m>N0IjkN>mFzV2>5S!Z!z=h4d#dF5q%fBl@IR;B-aw`a(dD{=ZE@nYBa)Un5{ znfSQsnD6wG`HsO7Y@2vli#KGaDRW3_`n@l2KD(7CD7&*w{POIQx66+F$*x$s|H1=< z+u2r^4xV{$7IR{)#esSMj<;Sf4O_;R^NKaH{shycl5(L(j}+_={pC9LebbXG*W7Qq z#y@@6eD~qQIR_`_Irp{3w%5FpZ`J(xbj@O+`IEkXv#V)~e$@HoO?IQJ(x%xLdH>yE z5%EZ#AGp9J{^W^8nQPxwckIm!FmNyuy<4T;=Je`hX!xX!v0ZrzTA!w}hc2%yI^j3D zGx@Vk>rFP_G`;Jm`t6o<-E*J+QY5)1E4Ze(&&)gMw~%Rf_<e<9<_Bl2RweM9a1>BU z+kfP}>C^71UnVHqEYR}5_RzAa{bt|M>{+Y)H6}HkmJ120s_DwBJ6qXfZhY>8-8ql9 zB5&^<bXit%OI+lLgOo4-%j=g+wAtdOxzAbY)p_rKZ~ddkyC(e&vivlYIr<D&lK!cd z0@t2%O!`Wi)lyTUqI7m2Rk$gj6r`YYVQKlYYiHa3TgX39KeDl7x|z<`D)ESCU+m_q zM+PoD@%E(6$Hz;*Wb4)S9A2s7^^!C4qOb8ky$PS=Qf_li3(uFlJguR{?4^V+&v~_Z ziju20|0|lZuq?4xK;g~1GT+asidHA4$p~=%_uj<zv*=7v&YDYkqH|9v)v7G`@Id=j z@V4eWDMO{di2@nH-=(T;xOgIC_e-p)%Rh8cyKGX!bklik^)9m~UU|AyvVv_2Yq$EN z@_#2ku?tER-#ru-HKFP+NA&@&-OplkGNp^RI%VZvUo-#Oj!Pkvk9$pfwEMKK!hPlF zhs*dHTpn%WI3sJHRQMt5?F#KpZ<puGC9eBx<?Vgurroh;^LlC)Tw134`eE0NKVGL5 z57wonMfA9KRmv#|1x!30WXC9VEH$Wd+Na3(xk)RY`HC<<4c_r|u}a9Ui*7fWre^U7 zc-&nbbE3CW)6)1v-@^KoiC15SYu@BNdO&%%U*Ow)diB+3w-^7_U9?Ee{mbWFQ#7MZ z-zp#B&)$)Jve}gNX5s0k8+!k?E!<#qZTh62&b;RCwU@ReNf)0^o+S8yzbNp5(dX>g zjtJfQPYNB9_4i_0WY=k|V4YXL%fdpvuiVb)*_s<J72W-FqP4pHq?TsM{`_+5qxwb; z)=d|Wt+o18?fgUb(1UoriQi8ubXqYSJ{ImIY8StqzsF~<rP#*n*C#U1WuC8h=$T<c z_g@psIm^YGeLpjNJ$q%>I_5u*q%KsZ*R0@nYjF~(ki4(*!{$oCR@W4x59yk<k$hz* z>KC=?xZOPJk{dKVuzgMWvDa0S+cWLw^YEXy*Zp1dZR)0TpUlG~F22_;I&fV&Ix=Kd zQ-8VFjRUi}HZJV@?yzEu*L63y_D>T(Tr)AsO}iQ^yD%f*E4$ghrJWk5&g@jrf4!{k z>IPM%ok5{jrw7)1-)xzmB%kn$cUD;VN5d(L*yrDpo$I*tqx)Yj?&V<ux^WA)ey_;) z(~6E(&-vCfXKR(%`xkYqmnrK$FD%Jt;5!_|$|yPUy~Oj+x$leudHeGhsW4AU=km{e zwV3tsyh-B9m;VdC$=bHH`OTO6fv;Z%*Cfaq?F!m=e9O<dw-((n^)kCu{N&{_>8h2p z1Fb}iFA4MgmOtF`x}Q_5{^hNmwza&z%fIw!J(dy+F0-h=_t(jNP45LZmuvZ#tCot- zbylroP~JH!<kfr09c>RL+-N*$m{d|CviQ;Dpg9wNA52)PvMz%^w_Gl7$yeL;Dn`b< zD!$*N)+TsYY^^S_k$Ki@sZx6P#MGbPcI`MQx5{ez)hBW@)(5=uF<rOGRq|uUm*j&b zhyKVouexniy=|NE#qj$@Qu`Pdtov$w*T7IXbyoO^uDfEBEPgW9>c3em5`NpzW%CA= zJ!~$wTx|}2vfAO*wJnR=x46F`M0eY%e{Y5F=QEy5s`zzjN>sAR{I}CX1=`wGm(Dy^ zEWGKFn$&`+IkFpW|L;!R&#V*pGtV@|BWl<8@W8$g6JzBb`WggBNG$la`t?G0z9)8U zv#&ll@#ebszKJg#@<aESTsXRnIW;FM?{!9A%t6t6wQJR@cId}TPdHJis;(Wl=i`F^ zI&sez9KGnF9^ocx|4Yt{d%v#Nr#F|M^aq}*jO2AVIl1PoROi>Po-)N{nUnPb>`q23 zeEDZ{jD%X_Au}Gm?SHdbd%0svt{j?PxFRz;Lgw(je32KTE-&`^Yt5UeE`9XDo1?Ga z&D&t;(S9?r_Na#Jy9<+7NA*N*yy3{GX?}ZQ`tM+-EX}uTGEU@fQSAwdJM_KdP;SeQ z2aV<RSI_*lY}$Hr&UyFw4J-DTzEd>i?R5L3ex_!3a%PFPj#$)z>L2#3nuce38{KW^ zI7x>({%`8*)mrvv?J>9d)UP&Hzuy>6sAG{-W4hHUxp;-LMt?!yugNE@vi3ZWILXPG zIsHs|ka3~pGp65Kg&(U97+te?XI8lV>H#T%+Q)k<pIx3%(LIsrIgb#}jB~2Gl_%q~ zmcC|dE1jAp#kOCYo5fY=@278Gf?DOfC*Ls$I3xXN{Uh_}vtco6{(HaW{+N{MWwj+B zH};lI!`gK!J%LLsQr_fph!_2p{yX8YD9aA*E5|#}EXvdMh})U*r1{I_+Y9gVX*`Jz z;MufqUb5ZI|5N{cX`Xgl^QcqGv*!oe&L0-3Z)IxO_j}o=N<YIb9~NA4yz~6K?bYt8 zJ?{gA_MAE)lEd(VmG9Kj)JxLb#{U<bekgbJbnE9+6D#=le$0!XTxA((y0IfOs)_s0 z(G9vO2{n<@l49%EmuJ5!Q1!Z3cEsz@Q%?I$D+~Oe$V{C3D}KScSGvXtVnPNfe;&;C zzx;ar)Qfu`XCyFWM{e5FvQS^->Q2YsDgG;7bKAAK&$jULHaM+bxO`=!&pIK=M<J^3 z-`>*+Su=UlwO>=eq*s<ant3%yK1*OK=iFKB(>}isDt+?dPchpo^Nky0F6-EJ=dNV= z7WMgh!o68Z@9ti)IJfy^+|9HV`wqTd9GY)7XYV}jrS;z#<DMJkCrUbs9p9xb7yTvq zY{P`KMUjo{Vys(}-Ut1yjouir{pNDvcTuZSo+~}Ozn+olLD8~ywZ_SL3K5r_C;gEV z7yK)K#cIBlQ1;f`gVy$EB8xW*tZ^xDH;+$z8TzB!EY9y#^|F1BYI;@bC#~JA#(v^y zvQBf5%H8sNXZ*AJC)uZ;5^ml2aplj>W;rcezU9Ya9JXt1>wap=C12>c{8rZy`yCgT z+z968-odx^V)wqCo7+U*mM7nTT>k9#-7ga&4#qA{GYHN3E4A{1i~m|fttQdc4^<Yw zC|I#9>|RIoc4fouKX3aLJ=!K}S^lN*ey%ZN^L~>Jb00E2KBaUoee<Ef%c1?&$y@>T z+ZI(m6W^kjHf`-m+x6Ld>>9Q{oM5f0pX)l~n|hnIcfl2o;^?PtFD@+>+E`@$_5y3T zz4^oo3P#PfdaRd<?2h~1@NoXk@^+W1_{~EX&dT3s+g|zJsJ7;9!TI)+!JY<-zpTsr zyPe5&`rkS3bw;u--R?P$9|u)f9V<*e@a3omFY_aD=|<DHU*=zUI<ekx^XK1dThq=R z&HnRTdHa`(hLQS>6^4tiYJ2J@>$})r2>;}2(Ix0It?_zNlg$B@$6xecJ$~FP_jLca zPw(AbFRkm?nSb#52ey<mCS8yCk}Ohf+?0NP7vKMG)2Ex}QyyGU*<d=6N9DCb*=CLC zHOEUgZ=7y8t?v4|b2(<p!l5inBh$2()V*4IK7SP}i=Vw7d(%VB=mu?<gZZx}`IxT^ zaXGc*a}L91#pvT@3*%m-ir3vfyy^#o?x}>oUoOA@(7$%!dJC=z^EUGOG#Ck4b1ye~ zwSM}(0^>tpe=0aHjhA$3%J9oMyutB=XW3T^w$#?HrKuCVrg}_v7iF-%w04R2DjT;? z*Sy|5f3U%K@&S?W-}FC-xk?_57C)wLqsqjU-Bxw=b;UBli?#wA=gxX!FW|9BLS6BM z#k-1p4^D$^b9<`ScrD8IDdIhTPBJuww?5EVJk3wWdgh8}c}KIY-%sbfbmp0o`ggx2 ze!q-&ZaDjf;na(Ytzs)aw4Klt3X+|0*imnv=BryK)whFZFFSSU;=863c{)<M>=PyS zzY;vtGKKF{<=FzYWpO)=BaUy{_Ca8hJlppLQ_oN37PMV^N|~Kwqhq1l>+}A$ky{$C zO;qdG*gv^hK7L90x9;WY9#eSIp3c;o7#8Iztn3=8zre6bN#mfozfQWvkCRPuT?|&` zQu$y0y?w{yAReKRc<#*ZBDT_=jmJWgtY+Vulz5=ME?}|n^=tRbdwQ323(iVobV-gW zShzs8JjCAYgV#(Mzc-0Ciw#dEKd%0itfAY(9QxZep-<eQp<n*}fv5Yqx1U*I^=EaO z=7XH$B3eHgjDI_`xE0+gE{!}^_41O<!{@P#s*@AbZ%V0iElWMmy(Pcxm~C$2fr{me zZe6yJ`4BVd`Z2YqP2Coyv)YeMOkKk9+IzRacMWr`+JLhmDcp8n!><ZPN!=~@#J?zg zO6d_!d8LnR(U;EpoiOCRsODUtF8alIU*26dXL;S{8X>~hoxbLGezcXoR{Ub3*@TLQ z_*L>BY~|D(10L7SRk*}|oU^Y@Kvri_!MEAh#gBEH=VpK8IvM2o;PBd9$ETf*9|LEq z*GQW>+<nd?Ra)v_;;~~{!S@%L>%KnPbmpP0{d1u@1@+z!cLHATKK1`W4j=bZ0jG73 zol8#~3VIL_+%^59uIJvGxr(#5-4QRmU(0G57w3`~yq1~kS8~{Ib>F>WJaa12yGk_v zgsaCsU;X^7!?d+^Qq$~(%~u~Sx>!4vM_5&~xJJ)x&u8w%(SEZpJ+Ry5)ho#xD9ypx z`S#6U4fmr#jWRQe6s%I!jD_O=$n2Z;DBAnWccWv5FModhtFm{l`k&I~ZSSLYDG8pn z?$ourf47%+deoXF{#t9L9nK!OIa~d_S*e+1Y@Sl(gWr#s4y8U=sd(+gs-`s|_Sqp% z-zj}Ls+2AeFtIh=hMDWLcDSrT2lI2=8C{C{TrB~USG_Wu!kzu1yURNK{Q7Uqr)*xR zOM4!i*Ad6DS;2n=->MyAKbOv#^yiH-#}CH(mHP};4jj_#{M>i9*u+Y<`=Os#;Qu)f zPlxgT>c3+V<siG4HOaJo%G>|t8TFU!p8xf{x#Yy;6OX00SKSp`J@ePTd(VzIe>&2o zy|$=H%jBGKsyUZ<|H%^nmoqqC=N9mKrB64_{;;w6OtwRlz9Ltai_G=e2ly-%(ieX@ zlI68p)astHz@0B~E6x{`Z;(Mc%*`;_$kIYVK|#ULG|@mwMpbKz>qVvC?eV{lPt%X7 zJ<%+?Xr<)q>7K7+54q<|DV_dusmhJUZ}X3aa6Ay-GD-h8Pfe92Yu5kb=|LA{UmO4A zwSBmCi8J4P&p9uiui3U~_KQUKHCgi>Hq9?xp(pz7<Q@ZOC$4JWinDza(|@elcsZr| z?Xkk|tp7r-u124C6u7jer7SM=wcOmyne+D5eaQIatG;1+pSYt!@(;ZQ6&(NHsceW1 z+H*Vem~Z0EqaF^Q55M$M{OX;0NBc?h1(^-<rN)WZ7xr?Twz&Pk`A*!-+&dbKk2bj4 zxg;B{o_L+_P2FRr4NFe+pUkdOyI${gR>Ai{dEC4GCj$Cfl<hAoPSHGnxXb0p(sZU< zioY#RR|=d6__g5H`6}-a^S?iRuQ|==QhPLKO2tyPjqeoqUC)V4kbVB(M`2rJSx;u` z!*i#S7tCYx`WmuyuK{<R^1l~3hhioC*B1GUy!#`naBuf)$C~}Q^`&0tvv_Q(`O7RF zr&{h$^K}Y4>hs<sw&eCf8J4P~m0vvgxqn$jGQa+>zRPq2SE=gNqbG_ESzNibLce-b zQj&Q=-O|lZSpHdTsS-QB`0;z6UIxQ^4}89Fba6QHXe#qtH<mT3Ys=@I-s<IXlB@2U zYIo7ay69suCcjlCzW2;l$>izz89Qrt>K^&2t7ks{(9>YO=H(66pc@)eiAO5`e4AfT z^lsi?#ur(B(=1|(pS8KX)BoElzjVfS$4NqkTVC}<AGy9YzC`Gax&g;mzrMiTJv%}} zX9%0O<ybO#miRx(>s#0oSNzZGtYA;6`$S%+M`ew?LZ{rk&!1g2!Cq{mP|+vm)27cK z|L2WjJ#>8K_HyB@JNz#`&j?$?o3vTgKv`o-cBJ<wD_hQ2wkA&-r0O&qQYs#u{=7c# z%<Z51b>2T$T=Dqk*1%;i4F$8S)&_o-yd8GFa=V92uKA=t>3iMh^nTSmW%)qq!sN^K z_0Ni9e@$nbc6g$B_<EJ=U#2%1HQ)Q}b?;b`@W0YSi3<)*kuDN74eR0glsTW3fqmN3 zNev70GaTkwzjJ7`)X2Q{&Psb_^xDA1!jjP&({E*!|I$C2Q)qgo(Z%lKofjvKQ?Iye z+|+j|KfU&LMa32m9ucm8P5WP-k?>Ca$ji3hUThgtW=#7j?Evi=+7X)GpRLdHy*o2I zex608+_dn{lTxeG%O#f0zi4}7*FxP5swxK3S(Xp)NuNoJ{FV^=;I==%-kAl<&BPdb zgvv{Ab_ZNLzG!*by(e!h<X-<(Uo0QH?vCW|Z&uxzch4?;^Xwd_roT|Zo|tFrMUqrD z964~KKi~YnVV~r|2A9<BcHUQBuecQMzxA!sxm({Hxhl#Fwmm<wpgN1`Op%|WI^+Ep z&)H7z$e4c3L;51e!!I?9qa-aqt^GaYX`Y8qcVgKTlR)7KJU<-g&S^7QQ2Mv#r&LKy zfKA}0y^fQlj=p)nU{m9C&IPkJ8(-*--Lmq~8S8x<bL6=uS{~ZF#^AoWDqGmXF9FRW zvf9U3XQk+-3oKQ?=<>w%YR!cbxAkZAqxSjw*PfrBCB*pt7~`s{OK;Qeg#R-Bq^7as zyxsrP(`H<;jodz=Res&<(}m~#z9+4@@`k5&x9vQ~;1gSx{d}1@@#e7?rhDffP2FSo zsMX-e$;bo$J*EFYPuwlCd3oZ&9j{7WzBxI`a{5{4gM886vu=1l`S()u_`4Ym(Mv@B znx5XZi$_T1!P*7o!F{{9YR|23_}ezMMtZ|M)zZRQFK#}XR{S-&*E#4+sfzo2v&{+` z+fLl?o3%&wu8pT4gSNSNR_avOy$g1Du&fFF_EqcSSIx<D{L?Snum8R^;?su(_V+{^ z7f*gsAIPhEkn6vR+62u5v%h_5-=@Lb;nnQ1>O(BAj`{`JSf5v>^(p3T-p>znha5}( zto_rO!{_P~X<mUMwKXsOPZtN(aEflM-jr}~uIW+(Ay%coQ|&?u<JiA%TsF5v_muLw zx9Jx)ycf7V)47u|Om0*Eg#~#F4*UI0P2OAEx?q{e>)6k(syA}JOZj~@)Yv1ck^j&9 z^oLj4rWNt`x=cUU>mIkfG&ga}|2-;F{}nCjBHZNXUAj2wYcV_b!v4f3I{VrS_Sf&1 zc>eL5N&H)ebl*Msz25VbR=cm|e|V8mY)_Wk{27sbcl3-;=85y`pFX-}*WNoGte=*j zJEohfz_vs7o#L$<6<K-bS4gk<R==?&=Z6}T&ZA#(Z_Yh%4?8iP;feEQgCzabSik=d zvbf7UK7HDvaB-Kz)#nP|g}w)0=`|H_nsR$r|H-vy)(iTZxCJxBeYSkzXY}>`b$^!| zC97ZP_Ro5LKf&pma*xHn=u%(5)JcJVSDVdx{HXbX+Oxf<j^A3{y!ke>vKS-7%dB%x zE?<55y0P!obL%6Irmza8UiT1CDPR86K$T5P(DnPI={D=#>}EDBF3dZuc;wCJx=x+c zy(gFUFz@*kt);c@H;2^Hd!9ay3zO1M_xl#-E?0g0dTqwbx5_8ouX;s1XJlCX)n}Uj zjIi%)I(;T$t0rW;NXk?Bv-+W=k5*0LZUN^FMn_c6v>KgwzWV$M+tMi?o6_=r{r!Kq zd-9TkodwTYZrV<>6v*qfPg|nw7woP0miN7Ti9Wm93$5i<l^=a<!xnwrRR6sGQT3W~ z`IEM{IKLQ`{huSM-?$=XhMsqvVPevkK87=q%T8Qab%N!SSB%>+FO%5n{%7-IIZr&a zyD95$W%69GXM>F(YuAsNx4ycB8Lbb<JuAC7D4uVgfSsgrO<LU2>$=BJzT6w4Qc}e+ z#jlLTqxMu_jsMEo!R1WeMrC(TXT3Z$(Vd;O$m+h!&Q4GHw4G5M2c0-W9=+F>W_-DE ziuqT8Als)kdCfdI60;^s|K+|mal61p_b6V=+fPF}WUJhk9-UU3eRkFa*}}l3x~q*8 z%}S&;XZc*)c<ffD*3O?kk5m302|ZrErrt&+QqHSrewFpZXHR!$Kbv!=(d4AdgdeK> zUq4GbrI)SV8~gET$X#2H?GIZezf8@%+BnU7I@eR)xr?~gJdG~zXb5QTI&)g~WL4Q^ zr!}v3u?1e1)wFxvzLIOgJ61nqvFQ^3{%XB!W_3|_HTZH_vHPWz()7FMuJJ65+R12l z*#2^Uxv;WFy;eg&^P$zLhv$~P?AiCD;nJ=53~nO48<l&f&R@!IYcCY*6B8yetxvvs zedkTTNS0QMi#%!?CtnFV@%zs`sK(ka`oT)z=7z_=<{U6$(A=lBqPc~C`|?|S?SCdI zCCoJ_+o#t3H@Cd$1smf@Ti=iV4y#kIy{&ZIsnND2l(}-j)o;BKV$&m5oO>*?d(C#s z?H3ki>($*5S3VLi%Jgpr&+my<Go7+)4WfdhqN|s#7sxL6T(*4G@dVMON>j5vBo;Ax zet5+$d9dP!OikWm?*8bLPv$-L^{Xv1)n0#obCPu2aTV>xnTxf)EvzxMe_%AhgYmh# z;qHRo$!A~ao|N6rm$*Y>LrB*)r<?I#;zM^eebL^cdPMo}?|P;k4-R!W)argZcA#r{ z-&`r3ITkld)qM)|0~ZH7K4yJ9e+|EY{M`plC$5SMb-7%h^`l;($U05TYIpRx(>=EY zLKojRKHTeAzv(CQ)f4Y;ZP;SgDOeOdx!}2K+`;rsu`ah1b-$G+%}NWl@W``v+EXgi zr+uR1mCIu-rAb0FZ{53)<#XkS#Eh1wQ)E^zoN(`=kKK;?1%f;I4)oONEuHFKKfQMg zm(YfDr~EkQ&YzXf?fS=T+czz(N5$5EV-1YX9hcDC>vwpQpW3Gj4VzblTs_vUeUh<L z>wELRr&9wa_-Y+ah+89bheLPKLzN}(H=L{e*Y8m7cU9~L^ZFj0?VC%2+#dMtFTM9? zR>K>~4JYE3YWh6b)Y%*@e&gz{pYJ+Ngbs0k(n-9)_OohJ(VgA<_n!UBZR8hVSiaoK zJS0t*IeKa>&n2G7ZF9qSzR3xA`#{50rd*+|u0pLb@7w}|>X`?Z^griZC^I$Xuw}`@ ztK|$KMH^T3a=(%l5LR+(@%rM(KH=?}nzkbCE&u-29jslpJ@vHsX0`)AI9HV)O5-{A z=VGG#fuB>KJpI!r<KJWZA)ZmZTsrVVv-Jrb#;!dHt?#GYQT;u=weWV@gDWRrSI&rf z-QRuh+rtTc<#Rk<YKirpnU+6G_H&%}pU;OJyiVwK?U>9tuYYa9eWyZg=WS0cjrZF7 z9h+hwbJcFH?A>|ivhOk`<s5gFUgnXJza{fs<l5cb6%JhIWpBl%o?OBADn~E;eUxOg zxl_N?ne1>kd%JHFHt4VXHA}-s`fS^YBEG28|B|>io&Qi`#?5ZruGoLNi??!iN#E6T zsvA4rM*a5Q;9LK4-<!Xc3TNZCS1#OpswUj(Oy&)nRIj$JcTBdVeQ{i_fAO}Uz2@&j zr`Lt=sCT~No6(h?%l5RRVfI@I?Og6%lZ&;V{NlVHX_!}IB*ey^_{WoTzJK$Jo+F`2 zcMXl?ReNsDS-Rdn;t`vbL-ee(&F7QTS8w@uQL$Z!V{hQglWR(D#)L@<WnWsM969-s zYfEW~`1V-|XMPy$Pnf(sMEz>ap``V4_upIHlw<Fn<hr-Xg`@FJL6p$PhO#MjGn*!v zX`K1~@XwT#UyWjUzSqnJKA75S$5wxu;O4W<#QW&}`>zVqd+myZTPJD-gq)6Z-7+!s z*tbo_i?`Ya+B{JIqoaMwOaFbso>i7E6-^q`+GX-Q)DN4erCoVgbneoDBhv(bi%VR8 zw3z$v#EA+w7Oo6B%C*Eh$fl&`Hd|0#+6;;1-XV)_i0!uz_DT5kD01fP<I~Ic3f;du z&CfY}n)t%M`fD9UJC(ajST>%#C-3m@wua@d@4Fdv55LsA@#XlZR<8bn-81YCu^$vw zINjFxXlM5oC2lDtNl(S#$7xHZ39Fk;?p~^xz3R@~b55aVxex1;th6}<V`f|o-6jzE z;KOzu(MdXw@2;*a=e->LY<a-NjX4Y0Yd$yk>`@hU<m<HEZ|)hdm(uNYwr%U%+C|cj zlQ{R=t}7QllOO(E?OkWWgb0h@$y>u>{>G_^W~vnzs%<XYm6ee?_w+_1!N<E+DqgD6 zytnQPx9zdHFP|vgx%hNP%%yo3+nQSU-A~V3JA3-sHAc)SE1W({xBI_1eW<3~?`WU< zv*7ppZ>~$)a6WUQq=;pK_4=3Z4=jmr)0@8U7*`C>+AVi}a%^c3dCP6PMCj6Xna%nQ z?iK1YZ*}QQ%(^%CZRxzbqULRV4F?~bsd7zDcy2NGL5;w-kE^}3^(3mSwLR8W%f5de zwRzFjr@aXV{M+@NC!hVAW-(<>hyKhDqH0M23pKpT8;d++9*M0JG1tk9xDwNCEwQVy zB4D1u-PZT~<tFK?wB|(U-@SKAJ50>X_<9lh@(p@9+vmLst&G=AnO$r9D)sT5{)A@H zM;5$BCe3|IPFuRYWXZR?SE*T<&ai39)qgX5Rb)2`{Lwr8QNQQkT+U`?##N$Utr(7+ z6l_ooJ}ayJ`0AtSMt64^t>30q(8nr#>&?0Aw!4b=UpRd~h%a9uJ*-c{z2>vw3G3Yj z<vL30Z_NbuB`j38<-ca>5n*6)e20WWMtWdD>_&y-yG6<cHSQ!ZaUB0%x=HulHCX{? z58<~PWKus}VN%O8dLyl}_WJtLu7Kl@3$HtI-@mosZ{Ww<k2-gsmiWDc?N8rCp($d% z>@PkUfAElHX=<&sdU<Nsv%4?mEn@Jz(0!GMMM)|D%Nze|ZA!ZiI15}|?|X%{<rl}Y z%_e;N-^~>&OzDa$*v0wx(?VNSAIY<c7P-go@#}kP`EPKjRGAo2?phRN(!qHn{)o*Q zmE{ubjJI=cT&tS0Ojp@ug1yhVH{wmx!|(9^y7=I5=AE_IB@acl6uvw;%j$BvbK<50 z`zGy?UHM0>PFiZ#B%TDjhr0WD9SpK`zW2P|dm;3WwP2<-uS!+q?5tx~CkXPFzh!pX zFm+R-z321$78=j<rXJW`C%o3ur__P#aX`|Q-{D-{*Q{PYZhhr7vFHCZq5cI{Mp2o{ z`_unUd*Q#9P3lZ$<K)FIJwMlqg&ygyuoX3*YI|z7OR0Cw?!q7ZkxE?44=gb{KGlY4 zHeY~w<)VtWcg4@G>VJPUsjVk?n@Ps1D(^+>l=p79rqAyu`7vb8lC8Z4d$g{YKDs0u znY!+W^)}9XDrfc{ZtZvFnjrTfkVjpRSs}=Ok(OVb$&HNNtBxI*eoyMi??2)#HOyjP zc3JNI6e_SoJ%d?1df)1(&r6+kE%~>_`L!O__{#o9>FG?3B_V?GN`Jy%tl5x#?(FIP z&uTuGt`AP#xOt9SRrzuC$!)tk+jv_0%r8wpX~nRcZ!zbq3B6m6l`QRAng5LMWOcAs zjB?fL!+e=)i{%qk?)P1qVN(AyZ!`an(@X7NEiQY0Q%>;t^_{6KyC2={sS-1qebFed z@Xvlu_L}uig#GSc3_T<#7uevP$#IzH!mr1ETpJ2zIJC`O)_(Z)58Ve=-73HR{yuHJ z5HIuEu#Npv+uM7Koql!px=0y1iAR^b&V6UC+x5h&|H`y`?KPX^Wj^`!2>g_fy4HDd z1Lx7DRn@yR4(_{g>1T{p_i`K8wQsq<-3t2uk>~0B_?$z*zP17vj%FP`80-If(dl0^ zZc05_`zF4}BQ@J<;Z#<2kGQit-=#NLz23i>sb_-YPqmx(Unqb3?l5Eh9uBec^S9=m z;$1!eZ<2tDvm)z%mo2}oPeppwaosl+y*Q<y{glpP(_iXh8L820t?x_EW8^p*nyop* z{+*u8SJr@veY5#Xtd74C^Et!O{zT!l+9AFFirh8#WO**UpSeIbnLp<9ou)ZoratLC z!Mv{bmsYL2ZEb~6YrJXZ-witdH~f-`^r@VD@_fgcPQL2JCwtVE$F%<S=d1r{e!Y8U zn91vDg<Eod?opY=cR}Pwl>6_h9g9`tE=XiKDY|>y-uljH&6&xUwy>P+T=zovl5mH^ zuO`_Z;mdAJJ$s$L9C`7-Ti9#+)MpNTTu%*~)F0(ecqeH4y>eOp?$o=<Sq^?ze>kP7 zZ+vkuIChnY<FocXv6|Xq_GN+Ra^t)zdW#;K9euZWIrkJLF3o)tej98)`^ZPw?q&S5 z%5~ulPi0*>BblB`w>)p`_+fshVcG_b*a|_-jTN?ku3zja`Fl31TT^d_(bfm|WU}Pi zm;}`;k3Tl!ef`$&b=QIadw=k-=z7fMxlw5R$~^e`&aXL3^j}3^5)X6`+a#O&UiZMS z@_?O&J7-i@20uG^e&xNUc#WLRhZjEo#WX{%a$0WptKhVUOVTaGR-Gt#=XX>fOZ{ME z>C50XPiul4j|#`1(B%pH8x@i6U_Vjg;^N=)R;;O5fBvj^@gh#q<%hk~XI*+6BI#1P z?eWdxpDX18#fu+E?QgdJ>-qLa=do=M&M{UowEjO8=(H+mqvr?RS$kLKXm$65`uR<J ztk==CInJQ_$-+y&zsz3lWh<@XtJ|1xvYpXoOWVDoq!v46&WY>}xpG{WvL~>7@;Nm- zvH5D>sxs~?aXSynb}Cv2MBU0bzo>fJ4Skl4Qjb>OU)Z_q0@vmyk9Q02O?z^`NlbOG ztwLRZH#3U}0|>A=uZa@1*}mfPF$M+@=4IeyNGwgs%nvBaPu5FKNec~OWnku+qL`tv zRsSzT#F_65TnEo67+lC$Wx;;o-1XmOQ~dAVV@uRnA><>YB6r@Xm+jQr;6<v@UNaX> zdeM=x?-2L9#-Ptk#Y}QcR~h?{AAV@i?y4Zd$|Ky~^rX<j$MKVe0v8WAUv$Htio}PV z5lR!<n|dO2`dFDYggxB27HFtU2+<K{V--El{PpGIi)Q-{CW^70dfed<x57bX3X8yD z<%21oY>E;YnL@=}v;<bjexJ8qtj+UiqA2H)M4=AXMGBS=DrMX(6UrW#WH9D1KP{Aa znmF;u(yldZyLUufTY8Y)<7I`4$|oCcB_XC*=~P`F;Zuh`i%eixG{M19X`_KogoMV7 z6SItX-4drSota@EmZ--cRuUTAZ0PN3w9!Rm-C38|z@s{gRxUcoC)e4d;Ucsl<I$rg z2{|FRK*41PBbLrww{n)8QirIeM?eT~8RNo*CR1BF*Rdt{$lh=fy=>~~bM43+Bca_J z^rjs)u`D<sv8e0iu84~*YC%%3SD8qh_^{^X!Nh0Jg576yIXxCgwNMUKSg_7LsUda! z%304=_JlMZXnb_*jG6choivtK&kmJk-Md`E4iza!@No!>GktCNkYpXx!jhHLpy0T? zBvgEMi?3^<rI65t2;r&+AqpM~jDnbs-BO6TntCcAM$joG_R5zAgF;o8>m?g?cp5$3 zRTGOtC$g}*F?%l1(Cgy<?{&jPNJ{fyqQKRZ*x78^6FXUwxMJ3MEGm3(ATeb{=OIT% zw%r8<5`W6l8Z{C&vOX|lSn(!ZT%1)$Sn@!^)VA0wE*}eSgt`g|Nu6n2%<<!`U$f<` zGfR`S4kQYRb2}yb#z@XTcx>Go>DSvgb9SB%?NnK|`rwU5tzGL*ZpzreEZz0GC|8P| zZ$)Rf%dtxlF)o53A%ebqQrU0TT=J3DUR5!}D6n}WvqbOK1v8CA#J?G&E^@oIVE69- zP;i{%VfDFE<M;noy|Qo;dVG8LbcsLv74Pnvni99JdrHvLeW{v?^^vC}6l>1!%zO6t z-~X?_zI}u8?#73f?%UqC!L;+?6#JP1&+h&|dZPaCVb0I*)=Tj#w{)!G6pZZ4|Igof zFulxT&XIysyZO`&AN=!6`VbnLR5Ob=>Tu5F#oOd9IQe#OSi_xmE_0iu@x)YD{;MX@ zEUlGiR#(YLa0y1PkvA_Z7GjpOaS{6}5Gf*>D5vE8+~vTN$_*UBvFm(IP0hkZW2drR zZunp)95}agg_-o0T<dtXMMe`vW3PNM&|JHgZ|2UGCf8jbKA2PdelNS|tN+%|?^M5c z<XD(BoA=RU)y=!i!e;Z9NZ*>XOPn<|ZXNfM=TSL2W@5AdZ$8WRo2`AT<#%DFP0vDo zCmTI&ak;VKKqB{?ZDtlai_}!~rgkSSnzd|6s6oICgMt~Np<=VxtP7+P6pR%&EG+2I z=uHv4sG!X^JNHzVtM8ekACu<_iX2N!((`L^j1swEafaheYtf9WN1q*VRO>pBu|oTn zi`aX8uCqN047hgAjTCfAQEE(caWtCABcjH0<7ld@@KqCK4WE{!8z+b?P}mqCq9Sy% zS;9c0L4cW!bz0Y!kR?l%bVV~)o;V&fk>A_ZPmRyp)k;V7<em*5Vh%GcJ^1pov;tqV z4WDwtA_qsKiPO|S*~s;sc-^qZ~SS4ouh<l#id|Nq^8#C(ohIwi<U6G1%v&oMvy z-s*WjYwq3sTOWFr?cv_ML+7&QeP12Cbf2dN|B|y=K^5yKuAZgl8oS`RW<uk4E9F&F zySDXy*!gVlHn555%^f<NfgTeUyi^rPbLA77=#p&b5~j9F&6kO{>;aF0Lo-|Z*|J<M z-ZUnG&|3zw*F$`(?WRch{gjUWll=V2ljjn$&n2YITI%c1TRz`0d-u%$|Ih9gVt%)8 z@7C?tqUMLr+&Qx{Jjvqe-T!^odWQS{u8&JP5c+q$)$Oe-Ly}uQ*goGiv%LN)EbZ3c zSIk+v^|;-vBMrNE-BMw@)2hJYc;RtH*}}()hI2l>`)u+e^TKBrrCqz;-w^!w|L4c* z^Oo$z#oOQIEnoX~f`s49o%65#%1q;U`1oT3e_C35a(VgnzvZh|`6qqYt!VsSkHvDE zY3Ikc|L5~@Op@@Msq9`CmF=H>VRK=!Gw;i5LXU6v_fI?~VBV&r%j6p6lC+^%%G9cr zOO<VY<otctq*@eM90RHy_)A|cU}tKS<7k@qyiu<6;JMuV^6l@~N>`T|Tc<vCS7{6W zR~OyP&(Xx+dGOrJS4PIp`TN@)6ehI&*{|h%Mu5eU$I$qQj^T}+?80`3p3nMzYgOi_ zIWO+rxc&G2_uYTl&)?a-d-d)=#nm<IB7d&BCG|f0_!*hsw|D=3z5o7yL9HjNv$B)Z zUQ}$)t@*zyKQ=V?mel5VPA`7sp8Fp6|JcO)|3tgoKuJZpW?kj_&z*vH*Z0)VLL{3U zqeIVUf#rI?|FSv%*~b5S)}MFEHGN-s=f4V%j{f&Af9>B>XMX4IzW*Nre*ORbAB>M5 z=UD9Y<3aU1%j<c&cg~z%zW;w{Xk>Dap&N%{%ZI(+?-if3e6G+UaOnHJ>|3kO9hFeN zllrvxKmYph<*RP3zEu_uwie`|>v!+ojlFxf*U*haRIA2+zTNXVuit?|UT*HQr^n9h zIkR_9jotj2Gt0}%%m4o^E&V_L>#kL+R;>aNdvBf1|9@WEvZ$x<@iB@263HzA3lDw& z^0N5c&bRO0zI~UMmX?<K^zQre@bKxoch9aYFaQ4A{?Ibtt$+WoU&U4V!S?%{?CWRG z?%DJE@7Gn_X|qF{+is?rDk^2)FZy}^Zf*Ce6(M<Zot9tW;bCBKU|>2d!60&YqJ{tE zJ*5@QA`F~D3IbAMGAdG1LIPquJRC9-JW^a@d?GSJ0z93pj|vQA_}El9ji<32FT46` z<+afDtHa!v&O2qbY*NXp6Nh(MNw4<a{kv=Lx|&ZWuYRV@Uq0ze>$`M5$rvSt>*l`J z4E9Xt3#5;pw&vAwez)>;4D(q|=lupVR42VqaEzT8B@@7VW&eV#1rmz&7Ev~G?W;x2 z`cHG;JvK+%!lCoBfklyT-IK=&@#|b%)sA28NIrY&PML?+)s1{CZ<4v#CN^b=IQ9Hc z(7X`8EVCo>|K6My5!J*Q7uWqbrW(CZXXBgi-z5(|xuZX?Ve0<HDl*O8g&K>NPI5bR zjL(pNhJUXS!-P47P7Xgh^oln;ZFra{wrqmd(ZD0e-zny5_ifxJJ3US7mdElF1|>?p zlSIDosp>l|QY(IIbmk9-^{eMiF$sMFk+SRDN{lU(RW9)5lxI1wY2xeX*t%d+k5`mN z%hCF6FV=S{Df6xPQSjsFj1V*H2}0pjYGLmmFV!zxq*HG0%JX2y&Zs-AE6XZhR~#yN z_~6CN`-bZlbT7X7hwHk6*HX=eRuZX478dd!l=FL_!f{YSbASJm*C!6e%x24(k#wNv z_sT7=r!op`Vx20M`YQRiq@Y8a0F#2UljEJQd>f9wYjb#H@Hnt!X5&hiV;m6^CSF<N z8WA_)qth0SX?8z#cl8B*lumY+<(^S|Y1Vhv+L|?=Y>pn3(+{`dd?fT}rcB!_>t|Qi zPxx(8AQt#hUs29$PIA<RUl;Dx&Wg|U4%s+yLDRKD4WX*C2R?6Yt#y6wsbA=p{@9^@ ztTA}j`qd9qf=+Dpai4I_!J7BlN>h%WiL(D}Z1s*if8;CKClxi*Z{fU8+`AO|L>3A= zi>%Y$d!X6cNN{}=_Z|NG%YI~v+)4IoX|J|+e;2<#sp8PS7Tx;VrhOAlvKQT3(4zhP z`%H$sjfbyhDrBsjZ8^PT8GG1I-&Z>)3!K>_t2edcxk6=!x&Hi#M?S8;V<v0*HQ;{# z;yV(vmOTiW8ahqObgSsThNx2~Z%JK!D!TVt+gxs~9Wr~DuAHZ^;?3WP?O`<&j!JCX z;U#|NCsUKb3JK+@!FzX3`EJL-a=Ej9@?`VgcH4ZOhfQ^X(n_(~`Uf{I;A49=mAmZ3 z>7C*WAIEgx+uAECDX>OF(*OTN%gC7%KYpCaTHO-*;(LnkI>iK*hc{oY)JwTB(b4{} z-sL_XE5W&n6IM*<6TfiXD`e`C&dg+CBahRrnn{b4nUhwPt-ND9b*XD>xO6nP`n3l_ z`=)U>^I1)ve0fEO*6A0Uo+_G#+*wz3PxsNv1rN>m4eH9&%yWe&SDkzlcc(fq_-S|L zF=ux__nix3vYuaHx7&EQZQ6;)?Q`9C?W}!c|5!UkgDv^UJI|M&e;PdB<P>5w;nQ5R zXE%?_@x)H(&NhgdJ@I5m<4X^--PdO;nu_u`TowACUvOwS*PmKB@r93SUL3x+LP`B_ z-rm4zY`mi0?9Km+c^=JFdOV4{Mr7KrbBjNn@!tN}$|aHAi_7Tkz9#?8VRxo%xyRz- z%lD`(`tJI+EaR`o%#t6)&gL-_SXKAs{MzDGx0bB^8x!<0Mn2%)pQ>9nbC*sNIw_L- zhIK<Zi>e;`VmX5vfz|J1u1{1DauQ5CxUFvGp-G#!NJjl$*1ARYX(@-0<ynR0vrHH> zRUFz=`z#+OnJ|}J>Zs1!QS9P9@y1H8M_mkJa}NluJ$z)|s?OJsT>Nb84Ba%gX`RiS zGGWoG9u47=wNIqoe=c%<Ri-iDFRvz0v6R2de}8jhY-XSJ<q|<b**i~P9XfgNz&e%1 zwH~t^)h9WHdN_0aiLk5npAz)-WvlM#KF5lkyDWUB7Zo1T-nU|Iu;tDjC03fxlJq{g z^9#g0S+Tb#;OFs0o~q%IIzq)>cM^}k`ou8xj*HrY*J8JH*b<UCX2we937tyi)O&fM zdhUfQj6#fTP7_!-Ea!<O%@+x%i8+3Lr30Vkf*%p>^Me~@id;T!l(S#XWcjS)QK76| z@jID2f@e0b@SE~s`T|q!OO{(A4TRkz&wLg6cE&zY<#2cPET=c;MP>&6N!iLMq_vxy zH)iMM0JYL@KVkx7C7k9jFK^OWTyS2+?qJheCx!(7!*`DhB%D~vJ9o<JuQqIYipuxj zm4!t;NIBZpbCRw5z}bZ_InFE2{rPZ5(4CKhq0$!j%-9s4>-799lQytoVBuQpa^_7; zt3ZG$Q|^xPld6~3v&ZwY*T)n)-?5M7Ztf2@HeIml+3cSAAB9_9&Rdmd(Rj?Z@7ulN z+O>x_^B+5+K4r$%cQOiJi*%DjwZ%@m%U|*R>3=%SblUAhZITyw#k7+?d93sdnJ=YZ z^yW?R*NxxIe?&7R$Nc!R<FD+SHNJb!oQpkheoI}}!Qge$8SRI{B^O)~RTtl-R&)J; zfc66c(MikstT<yHGdfNCXsz||xoE&lP31*$vl|#x@+@~R$l9gaBbp`ou_W<(Oq*#> z!|xNZJQ15E4Fjgetz4yf?&w<m48NfE9n(CeGFzS>ag2VwlWm(_LqYaZ<}C$jPuhH@ zDgTw)Hs9cul8jAKfi3gd`z$8|w^vM#(aF@*nE&<s;<5t<pQmh}&(JQ<xFOdw#d3}F zwW)G?2`{_c>^BtYDgV9qdW*@23nz|vL<jY;|2lN<<|}?~9i8WwDh^7?TP5jfuXnk; za?$zRea!6ELhh^<DR)&ayKp_+TYI~9w&~$ZQ$r@{pNKHvW!~?b;d#~Sz^8{yt=}&w zb5^c<Y4urDgvHMP&!uA%o*2zpW8c+nI^ikv48OI%>-Oqp$f>5Y80CE|a1If^B<OJF zM0Lom<7*GpF8p%7Uy0w8;WRr>S!4e$OT{UjCb<RQs;(#NEr`x2w|?H^c&H*{?j6mq zA5tHG{W6=aGAl7++ryVWx~dEl&i-F&+;Mxe)Ah5<7bNdc$(k7dNmS1K$&Cja7oC{3 z&TMw;=L^N#l@{hKvlFPQj9e1DTf6C|iNBcZywh_zu9`0IQmB^}cAu_(KHi+;%^llg zKYPx|7$+Ti)4AqYAKz`0`Z__e)8AJ5vYaZ_+WdWO?D7W%2c4UW=ikcIt?ixvNaWSA z$?IEAT@aT)wtu;MXZNbCBe~y~ulJO>^{(3LrgzJ>TbjMRH{z`M)=KPuf2Ul2S;(I= z&KH*+72^33xL39P3s0v9(;?4olPCRHv&2q!rqD6%WF4zRwRu5dLhFNht+&)2XIxbq ze);)5#)X~bWonO8J{o=~j#%--IAL4FGxe=eejAE|wN7^Ge9$>*^T(l8r}SfVJ&#mD z2JhjR{N$a+TA6O)k-<^1PBuHY*j?E?PbM<z{(JwP%uTZuZwAWg_{Qz`ExVsA^?=)} zI=*K~Nq1Dd#aw<--d7u3<vo~QyRH&RwoOhb@3}YOsqV~^Q(ALwzMir4<Kk`l8+UXD zM=iXtv^0D2&8Ks}>bct%OJuNAZnH5}mSao!|7cplo)1r4BN}6PTHR0cCN2JH?Xsf8 zZ>{i*YTL8dQVJ$LD6uy%e_72lWqR@ZbH{p`0?$=;*&jT8GsHn&{*&s44~Mwxm+?M7 zck+Y$J$os({?)7}jUpR1q)XfqO!+C<)bYzMK(cVcqhD#Z&zJrTZMH9alCkgH{(CEP zx*4B;NC>s_U1+OVYbtzDc++{ee;==Wo_l0=rN)mE#t4m5*REt1F8jj!j4{Y>U6|fg z^NhwQt?0=YrECLkt^4-Lv?)t3VDqD0k0V0aT$bO=nzFq4@bibJ4-YRsaPFoD`{SC$ zvc<nXuW;@u(3Cynxhla=f44#7g>~^~4Y^ws)B;zg|D05%=lVc&L99yb$xEWsz7>g8 z?~|ww@;S38%4<@7(#no?YTqXpu9;&}vSXdCi)8$#&l*CH-1B?;qAwmh^0fEdqcaL> zT^-R@Yrn0^o#vHyxp9Hc<$aGNqfTClQl9gwN?_)}%?B<Su5&+Dmy)+4?RMa*oOPmK zru(vH7VnOW-@atmZ{y0gi+66XUVQUPfA!L7A$Mm^l9F4nZGYTCm#_QO8EZ=}xbHQ{ zYEgbYYuQK5uB5tNj#%a8wO3o47jgXOzo)l-@3#%brhiW6=@uJCrAtI-y_i|L`9}Ns zSn1814K_*bTX*1C`RuDlU#mLVeAuYNaW`EkE7|D#H0jmFzG-pF{ww?U3P&=0-*|PR z&0)7Aj~oQTmhRDB-4*fMz<KHS>B2ToW}CZBF)d5DE4-$IZL>yvvT^o>`i{#bQunxd zf400%isf8b+%Z4OXd9zzi&3G$KZ6Am<ksw7(73JP$!)FrB`NPxmo^_<`*N$g(8>Qd zI?bNU_sejc5EK7>Q~CC;hFPrJR^61d;FDVRe2XKO2t)OX4L;AD3)kOLW}g)6zd}z? z*&$}PN}tpuBX*9nMb|keKV0}%pn%~#TV?J)(T6*4TzI{8>1*c2u{w?O!_FK$8=KW7 z`up8)zr>9a>R&|G_I#A;SK^JI9>61bSC8-4ho}0%Yqpmkbq<kj++TL->BG75XJ_~7 z+)mW`rpSC(@&9l3`8|&8%8&ZLPL+3vUZ7a|Bkr7i!|ohgHIK-h3M{uwER$0t=k9QI z{b_Jee6^CnkvpQCm$kKeofO3#TE+ZpaxaSWKNMEKoKmWHH&%e3SKgG5`*~SRxy6n$ zhM4&mWTr1tK5R5kMmS%mG*&=)Yp_uu!__lKe{N}=^WS4bYM|t^MG@M&Y#-*;ZklsO z=h3<?4jZPujmhmipgT8oLV1vc%&RL~^H*H*dJ?C7e(R^bLA%yZeINQUPIIny>+h(- zGkHEPt!!c)t2RY7hFkxb#T{GtSX;d6Z0mZ952oe^znn_DvAR-o_O`gI?`)Gcus1du z$$UMP^y@%Hm8vUuM@N%mORr;kjCzccmSo8{<BqVTFD92tt-d?SnjVN+aVGfob{YGr zt;{>Dz6XDE%k@9BW}YEum;T$6{6{t|*Xm*wchnFyUvs}`4|m`0)wLE@da<kCCi=Q8 z?)|dmmi+6N#%w}N)>EIdz1LCLxy3Yo$6Lm!tai^$o-kEg1uXjbu%NhkLdi5^`+(k= z+h)nvZSjd&we8GZ^B)JkcDJmnm9_cv*6{ix2AAZ*>$l%pcD?y|+kfq9)usl|0J$T_ z9oCzjyjUGQ>C&3cu$b(uCFl14<<32}ynTOgcEZ{TPnR@B-Iwza3tZF8U0SKq=_zQC z6woF3Q{wF}7N)|+)b|xxBJv6byTrD*s@H5VunKHh-175y>~m-3j6zS3RVCk*_pjkT zeP;Wwv#t#e%a5-P=$L-IVe8~0rgqhN$Mfz!JD<d^Jl&&_OFV$DyZ=Xm(_wAJ@4KUu zV`iQgl9uBvt?hoh%HAWRMpa~A`LV=PV)ykOnFSWKY`A1Ng=v?_B7alI{fo^eWIi_D zAFisG<+kqf{&x1IFWtQr&mI5%zc)?qRpVSc$v;o$XdIig_qQjLQQ%g7jma$c_q}iq zdYAlt;yvG!e??TX8d5%bTw4&Wtsd}A#D235&yiCvb{&Xg3wD|Igd^?oq33hlgld*L zI9UIS3+6WT*u%dyS0QYITXmWDvBdR-aoer>dMah?!cJ61Kk>MzW%<VEobs9}%KfJj zFK1c$*INoj7`AtwSs4}GW!e&NdofbmQM)NCb?q8~^HpLITPt2K&b`WdP37vTTVBep z8xz0SeejunLBHvm;q_gjYhzqiPu_aXQF~KT>gq6o{a<Aoi_A@LWPDTn67@K(aALg2 z_TzW%p17hXvxe=hHFIsg9K(}aD%02n9RlV0HofN9Y5MKwbG-{m$G?4e^dQdijPKOD zp?t0eg<(-UMQ@$D6TulG`R)wY1kSUL8;n-2Z3=DE4rVyf@=4$wPnRjjjjSN`o|z~2 zcWg1znK&;(t!l4%-Y%6-%r|T%=}$ggvgAgK@$!Y7GX)#Ciqh121<&*t6+At^Cu7G6 z$%7(26D`k9IG7@KFyisI_~5)}_w)|`yl}e5UtH=_WuWpyeJ+``uEnQk^$XwLGS6)3 zdjHp%!Bw}X>@&^!`XcgqQkQ4Sv1bRSTzZimHUFE%(&Z)QYt66u$0z+Vh+JW0sFl$p zrRCguZsmdk5VLD})2S5;de8Gdapf}-*v7p0?KO9UmK@W+;*<7$x+1zooT04qdcNS4 zEwfg)Mi_56<|$dSwTtiT%j(=$^SW+)HQ@cVfXk2PRpQ&XOT^DLz3N-EK;xyt78c+3 zQ_N}G1O)=vh4ij&Yh?NI&PF%jX1IXhivHW%E-)Vb{Ay;ylErsF@C3}%*}!;(G57rW z|3~(|+EITb#B-mDtJ+-8r5`vo-vwoDYk5(0enR=urxBN;uCN`OvUW+-UH<qAyNIlx z3R~k-<jlWStG^Ui*0pU3yJ-0HyWh0Hzn9XId7O>T)IWUJv{EkSO08FA^EbX)tN&X+ zJmC=CqW$NCci)WZ%RYR!<Z=6uxgggkwsYweO}CEBP5XEk_t^)s+I%Z>H(UKLBedWl z@4}kL>CL^u84va6U%Pv6$&IPsFCLgTam!!1s}l=KMO!1kW}TE$b>>Qp`ZBwaZM74( zMEBQ!B1QA>_@}LVm7vwZ+xPJ9+4$(%IVo12AHOVKR~vSJ9~+|v>q)6X-<_wH9qD+o zPs1RUD?@wjmnF%wcAQF@FI{fA@N~cRb&(rwj~#{V!**Y|@cf9L`L~}Jo^!<dy_vCI zaLb<7<2Qfy)J@d-XSC>p_>-km#SXeFUa-96&X;U<YS*J1Sv*mDlyzL@*s~e$aSRFY zURw1zS$mdM*7}8e+jKY>FKGWh`S;u6Xz{5cGyXg-?_?<37Op!@utj0zqsDlP1+R{4 zv2RJxn{V^$M%4%Lz|g5*kIt=<d&zj|gte}8#IEqtOSgg(mH!pHZQ0^_R`I9>>$#Kr zy_IhVPN`$tX?EhP#1r8pnMmur_Z}&~ZfY)aztI;VB)UU6X1#`t;>8gAls#$TDf0U! zJo^!OV)X;J6t8Kry0?y56keJir@QopNn}c{b6V(;x2sCK?SEYTrgEa<e`B4~K7+lh zH>&Pas&D-AIKn=P(Qiw;(qTt?F7Nn~brX{Qs7`cq3|@B4=|aIu_Am1f?^j9uZnNXm zuaawb?mPS{WOCqHp6mNc?*rrV<MXm6&b+czN$%K-<&sm+idJd+1uXl(+`8%8WVY{i z(Tv+Xt)=3Z|C#7yXfS2N^iG{1*Cw;Z;v$wL_f+*-3$7KcCTF_Nxpb(B={9NayR_Hy zxL~)+T^B`8!81D#x!zwcpCESl<kE~UVv<LXK0LR!=}Lx4-z;BUhX*3&$CYP%SWqLh zHcT?CbTeD&SBd9A{y+G2^Kv#P&OK9>mMLCjrugIe(HESx4H>^Sq$Dvtl~!uZIqLi3 z;>5YXoDxEKB<Hl=C@kSPSijx4Ir(m($Tf$TQZc5M=AoD0UHtj=@5PDnVM1U2pSZT= zdB6@Muc}F{2~VfSM{94pvx=$ybpmG&2iKh+8<?Xzzn40MD^Jo5p8B>-zea(-??J~$ zX*YYmim>;>0_B|58?^Mcn4itk>fEKbC{T9x-p7?k%DLyM#8ibCglu2c`^e*<{nC}E zY*~NZR}l?6Z~c&I_q|_xfA@MeDLMbK`puWWNWL*tna5W6V6AXo{PQ!xDz<WIeCv9Y zHPnP118XAABwf8UVZyH{?bi{PdIirVTli@vxAQ;H=j7x{aOU*4VYak)<K&3>VvuQK z&r|hyLMitP#vPwe`L0!Y#CEQAhoAW2g0oBV-rWfQBgg+GDoelgSMG!S;><23m&3o! z**};&{{Qje&zH@?lVpt}YMiRizWKpD?ck$>2i;qzB$qSfhbd^bxGkG4w5u`S#QAoI zgWOu<F4KVOxP%=C{}k_Y4yj<$-&bs-<FID?JZV<Wy|&u~1KLh4F8VofzfJwwANQTy z{*)Yj(DdKWZ&KCeA7Qh$NWWnBQDmGonMYLJz|-_4&+)50&vKqD{v^0q&h33q=6Aol z^G;;E*G=_WdGJ>OE4MUnf&rrqV+~{I^BK!jmoA$!D=5orr6!nB<fSQVef)>}u{)XX z>vAXN{7rTJ<|=jX)Qr`y&t-kPua{Nnk-ehD`GC;OANjMlZCcTRn3`HCWcBRLiy51D z@9}P7@tpALyrO*n@wpRkp0$zVzyA1RMz+A~j}=!QH-4~4NcgbAsLkx&*7Y<0&fm7R zU4Tb!tK|Rd+iuTm6EI3o+mpN9_T(qoZ1dIU?{7Q5{hE<XgOkFdM-7g)ImiBQeY;IP z)6LfD*_`B_-uLUy^!(4ho$Ehq+37UpD~!#rdKGKKjTiq<%PFpo6>DK;WAoPSWpi4* zP^jx+L!;y4n*Y1&Z?4PTmil{^YUZZ$*G?7xciU&~UK8fMTjk`v+>=fg2?+^29%q_n zDkih3*jnxX|F`~j+V8yE+t%E1;wZaS%$&viDmv_};j?#Z((L#5-p$UuV3?X+wWiE| z|HT&^S67~2Xqa5}ZSLE&uiuu(m%ZNnYWoxKPY*wD@9nePeR_&m#Inr(ZNJ`b%ZYNI zq~dwY=Y7VTJ9*iS2U*>8y)PQ3m*4bcku5Hpe(*(Rwy7<9r0cenv&JzxA}V}Yvvn5C z&{^Q{LMHj$nY!Jo{~tg8f9!ou`&O=-o=+A|KEa$Fc}F3^k)cDGb3%e=pIdU^To<`j z_U-IEV$5tB%xne>3%BLwK9^X!Z^yJ8hg1cR1qo;7-pt>wa+s-Wll$NL+j-HGxF>eD zy0)A+a>)Jmt=#DCxwp4*D3+YFJSI_Go}TvY|F*N+Tx&K2uDrOwl0&iN*_`4xJ%-Q9 z%Ae1kdG&w%?X=sA*gfaDYqhj_6(|dP>vGFfd#NpyDt`WMdXQI*)wbtJ@AH;vSo9?( z&gnfXIZdiK{oC!ehx!jy{$wv)I=6|P!zHw70+;8uuUePBE;QbJ+wt9*y4e%937xb~ zRY>1{ee>fpGS32JgxHUJJbIw2thC5!qK-o3*R9>HTetrx+`Ga<M#f)>TSH=UC-a%B zr!>|d-WV~3*^!l{rTMNH@1nWPd>(2#4u%sq2#GDw;Ed$tw6V}Qz#=E3#O0&H7{GXh zMLRL*p}|BS6-JTOLJBfUT56LRR;?0JQWF;C@lg?QZ*pZiajC#WOoBtkn^E8>$J|}T zVnXa&C5y|SpAqXj`u~6E^Z4JYg&%frTk=@%SH>>0)gGI2MZFfr<Q_heB)W2ehF7&% z_t8gllnXyt7jOR;^lyp`TUn0Rw3*J8&W|44=)Lu}?5UU-ds8dh&7*?HQ<RJ@26!{` ziE`+$I*1tWPR(#QnD~gH@PNxB2F1V+3WkRtEp1dxdSo!sLWWOZ0vEG!O19Sqjfx2i zlGkOux{-V%+56gt<4(su+_^gA=fB&GOFx!GimhI?W=-t07=uR-Y8R@hOxdEPp{1qt zs;eVlLkv3yN6YH8wB5PqpOwEpmsMeQzsz^~&0fph^|Lnb&dHx18t$p2UD4oR@am18 z<g+(=%{`lU>qzQJ@~}ltipzWWCeLx&Hr10^@^2&8ZCi8QM7O1HZgSq4pXbh;`@Jo8 zTkP$pzJK>^sx`}<?Rz_BOZN6_+f>i9PRiLGkoxb6srK*u-MJfHz0o_p$Z~h|E}xZ? zoHPAzZQQeqS<E9MH&l1ZD%QnfWs6sN1>Tzf*rPQ_Wbz%=&G*x|4YgP-t#^O_zWQ$5 z`Mf}_iU}XWr)*k$itDs!dbpR2jPnMk!Wl;nzOQ17T`AGo{8-e+LF?9{qe&Zo%vI5y zeBwxTPvvLn-@Pk?rf9kDwBEdHtBJs|J2U^5bxZXJuh=r-P6#g}1E&eYVsVB74TV1T z%ND%RTyGgg7}y0xxU>uuj6_Vdq!c)K_;@%(Bn0^Q1mxs64m&j($Oy2ysIVJdm6?Bg z-HwABPV4{I`dt0;Vd&2i!^ac2uQ|jqaP;2T@b5{(V`gF2WsUI)C)pW~diMlIF3Uf- zL3!))6CS@0vhKN<@ISV-e~aG^wxECPc`^rrEFN77s#vUZhUc7m>KcvH!mI7rpXagi z$Ep02DSFu^uK#OB=aE0|p(b7DpZ+|4XVblTtCmj;R&BrTA5}cp=JE~Bcza)7JDDx# zR2RPT+j@xSo^`5{L3P}P&kmYVmG`GU`1w*qQC|7Z8L>?Ny}xd5PR}a;bShQYz)Sm4 z;=E{Y>x0*<A6=JvA2iSCzOB*rM-Jare`{|&pr^TNrO1rtm0@cpIWsBbx_<jC(x<C> z^Ge~iJD)rs*q@qJEvT(K|9$9*SIT=+j|beIn?JwWt|QxZ#=*Qv>VM*{&OO8OCHp|h zzP0u<jVBd0xg44<I<4X$v&YSwzrXOmyw5(x`^ABbooie=&#Y>j(-Oq9H}y=fUmyd+ zvJ!m(O-`YL+k5LaF(=4Qc(f_(>`Y$yxMw`?FPE{!pV;QPy<5ks?#07J=1q1!KYHvO zmp+=E^Qb*2K>tjO`0{91<2;Ka+csZXy7N|wx&}*`|2u!1g6os-xwP2ri2j&fJ!9^Y z8H^JraNc|F?vrrn_krV!pKu72F3#PolzijTA=!R*PYL!m=78@eb2p##I(Ec`g-4`| zZ=2n!0GZ?uh8M4`w7>E`B<PKpjHaor_U<0-&1C^<HRs%vrY+Rxc51fxRkY8kIP1A| z*?!*4@?;h1S-%dxZm|23RUQzd?fdebcV~6PjtO%ZE1a8N&i$mWGoPbCW3TAH+^t8; z^>oU5u1na~Iay_Yv%K{{=FeQaT7_HN4y2r9Tw1+WvG(n3E8m2f%hjqn-yPjq5Pq%h zDYI(1DCZshGo^R7TzGb3ionDb9jCg}+}BJG47V<Qb)+_Svdvl5Z}K0xrJlBYy7DiO z*Wtjs`*V8O7A|gYV`7%ji~SWK=zH&gd%sMA^e#oWl{*||{mXQh-_e==m}Pd9+hLF8 zFE~Y~ip);66MOXeo}i>p`RZoweOoSu_F3NWzjI>K*F@7-zPA#Y4Lh1<+&{IyqhUo} z#o6jh=6)qzcI#I+v~qEBJ8hpRBmH&m`dThEc8|*W=hi$~`1b5_#fERQ6qoqOir3zs zKH=^@zmGq^8!?`FY^Rc8Gg+&9+E$g;QyT9|9S`g{UH2k)kDA7>-LGey{lR%pOl;@A zozlq*-54Gpm@2EL7|j-UaJ$2<dl`!N7OkH8KZ{9hVRKZo+++K%f%dU|KchW6x#mu5 zVGq&YGhJy9qw~JLFT2HEe%F{ku6?{LEijOA=b{G+@00^i`#Ty$r6uqDVky2=aqFyY z&YU`-1_2!p=5gdXq_3PJwQ#wDyrJ#-qbHB-6wlw~%-ZH~yS?lAf$Uh#mT9ZF_18r# z|G50qYG%C^MzzbX&YI@iDZFXD=oxkw7Ym=@qaMdgH|;6PF?!XwUh+$`MjlJ7Ld~RA z4~`$&?kSLUz2MiiopIJz-<>-#+uQW_Pj2CuTW{k(ZGXF?V`cS}`v>}e3T*f&{Xep2 z=SJJv1{W0<nqJN0yL~Ts;rr9mIb(OkPjc{?CHZ=>+RFMXE9|@Gch(4QxOgI8b6uNj z5~EO#!DVaKsg1LbdhTUBdcIxg&AdR_r9vUG$Nt<fp7Kmarp7*N%R=S(o-q&H&pe#C zxcjNiojFaFKl^tt_p+^je^Z%hf6N7iH`lojddywB^6;fUA=)Qqu(fyWy&!w@;I@wM zv)z9`HB^=3oMBvj_L)G>=4`z~`ky$?Je{{sg=5BLuK6v>eUmPJ4EU#4_wx1pXoucq z+0Q;~Y$#fo;MHg`&1nwf(zWl*li4ol2)Q+fUHf(4@spL#*$>ORqjy<Yyl1yRdn+eG zx$N0N{UpV=E4(5;Tgfqg+|a(|wv*eo?*b>?>eIP)rCu+6P}!qjnS1%gMU(rJ*}j)* z<z3BQk#~FJ-!)9T?fAR2Uad@M)m?Hjv7h<Lf0Z|{CEaJJ%sf=QV#%*n`bHw(`Hobt z`<lHc%Wa+C{HcwO{|XdN{J!z*-L)IyE=Q&QT-LJ*Y-}@6HOydMc6e*(<BVHxgKHNv z{FKUaedOpZZ<i5$N92jjgQw~<Qr^9&vyxxD_0PvU8Q11Bm@KlhHT}A~;mx~0GG-~Y zweew3PD_=|6yxEXbm`b1^QZ@`+x}dr-YR~&z4B8#Q%%v!$_eWgKh?_`^dDc%w@PQJ z{<2wm-MK+O0($SX6u#%s4O^rWabJ4VuEgMLH9bcz@&`N4P)|0Rc~)mmYBB?(OWKb; ztg&sgQtn-KZYzsop5nA;&Fv)*UF|--Ed3lKIZ=^e{^DPY9tf1&pYftSZ*A24VmD{K z#eyC?W-vA|=Dt(OeEvOShlS))ckhQmcUK%Y*!rwA(BZq@-t&j<*Ir=VeD}(}3S-kR zUqY7&UH=?eFaJDl$z6`;DQ`+<$NPNmx!2};=g$kS)K^mX->o}bkR^O&!;u5>Qpdgq ziB8^Id$eNv)!eyL-~BMkb1l5NZQ|BOowtdX1FNSA9N1ZInKn&Rx5#$y#CNj4MH?@R zPq=V}Sw{V|LZ(u{D&JGGMapJ(d)#huxA5%}^!Hl!Vvp|hBWf?M+@3T~`69Dn>P@4* zTiaCJJ)3_!eHM>hn0~mTKVrQ`@~dg@U7xJp{dMbsi1_-KPfsj-@oHfezmtIEx3lr9 z?V>hMsoEI1sBL}y&RNXqjVd{Pe7x1mHf=p%?D9^(GiLqc2jP#?EAO7mds-k+v(nOY z&a|u38#@^O=A5YhmAF#bc5}$5{t4V?YZhO~&})5>VI!3A;YClnp;=9d#8r(w$xr@y zcPGBITY7KKmMgOQV(pQuC(N4v#c-lqk+kD^w^ch?pLkdqtl43t{qlk^gYnCXwTE=1 zmp*&HW4UlUe>2mUBiBE89Srd5{l4e;f43wbXRY24#&=fd6GBB);wRkGWB-sRS%3aO zx?Q{L{wpv4zOY=8y@+Mu2e#kkNp{ctHBxVQiXM08f01$Yan4i2)d~J8*SKO0H)#}_ zo(YP5uD?Lo;)0>L`{u08=WoARxIW`smtDiAySqzgo`3nhY}Ip*$h&g!Gyfm=`yLR! zXNyK+0{gZt^O_hIxxSlV{$l6R>PydWs_~s|z8k;Ud9GvZ`B|b#$HOJ}X53QO->Prp zd(~%&*_sX^h9{vJ&l?Ip7TPYeKBhVAp2%8z-~02IEqwo)U)NZIo%6-A6}h?>a|0*3 zPrWB&J4H@#-nx*MC4I*x6u#Ph<;gZP^FN<@=hT)>{QuygF@xR9RG;11XEwY3=2*9d zxB9T$a*<k&0NbTsT<=!SSXKBYWbe~@H(@oEovZI|z9#s{N0>vyWk*h@4&$_^zt>(^ z{c7U0-nEyjuT3fIitxBqwC&YRhj-Syca;2%O}WB;Vv6+CO|##XdnwH*TwdVORUs|v z878>X*uwDlmf6df7ySJANB8fgHMf>uyBTCO^Njnfqt}8n_i1Xabam#=QE~V`+5BMd z|2dZqTC>g);S)E$qR!j5RCQLB;{+yiTdzKzyNeRMHaxEg5H>OmeY*1(gY&M_i?4lN z{BEv)>FM-;hFuqXHoCLqp19K;yy@q=topdL2;;2NkA%$T-gDh@>EFs@^Ox)W-#O98 z<XB>$d)&^M=}(q@yq9R=JZ*~Pm(&vv?@d_y&0&%8LIK%n*Jp2CpJBD_>qe&<s|jlZ z-k)*UAbRiLUe@$I>$U{Fj!OJ4dE*+_*Mj%Fg-rT!I?tY}?Yp=vSKupK;#!9aIj<o1 zCd>O@G?!i5Y-^aCIYIqtb87!3(X{jS8&9S`e_5OTvDM>hm4Lj!4a3*lik69ZSV*p0 zWwLwcjXOcN+T0GmxSnrVcyIOh3n{PnW}kVI*Ei4Sz5Kn|2lEY<@9SjR_hSpkB{s&L zt^o_*`Zh9T`fS|we$HX>p!;pvGw$A-xpUTq4b$Fenf;TkpZK}Rwg2v<f0vj3`hDRY z+mr&XAG5nT7|mK{X1TlxT_$cQ{(kk(<l2StW+~I|Y7|dRa{RdZ;e7k&l0R+N?w#x$ ze!({`)8gy<jk(dhJ07RD9hxsB^j<k9Rc?0a{N|2UmsRFldT%hc-RVE#C>qxq=&7XO z`k{87^~3M#vt}9QNW@z-FosQ;c8`A+`)+m#hA;nGA8PMk`%k#hlCja2Y0;a1fnU10 zeq5G5zx2}%j?WB-Jr~+K=A17u_V<0clWG36HEO25-^CWS?7Y6)WNyEsO7H90lP4<o zm|S4oGR2~B_cOomldAI`O|&}bR<mEqeq#KxDXA9I#m}ho9@*N=vLK0n|23(~*>Z<U z-bx-gzeQr7{oR5+%lGs*SNAVH*uee6^F+FC3#V4bt4D5Y+&hxIT+XnbXk8e7bo~yS zdZxZBiEqS`bQ5P;M>IW4+OFN9AAEA<e%=p%^)7sOkJ<HM>iv74^xmakh%>$8_`~#P zYEPi%p^%A;yB-I=PP(x7YsrN@38_}mpDg3JcsV^&*u9T6|Cw*E_56I1>4jU?VFx|0 z3Y9kASz5S^g<tIG$3N#?Qd7U(d2gvcx49;xZkOGrnfdL{-hAKc<g+DXYoy;&1)X2h zj--0L$bEm#u_D_iUe{oe#D+W9Gi}$%<lox$Q0;a0$ISZ$kGWZF&!hxByLdgzt8o28 z(>ps}OETMjZq{Z#FoUP(=#0kJv|lmr{Qh!!_}rg!<J8k6m2VdU^tF2D8Jq74+*mY! z?TbkZs*6|e;1^%MUr1_`O3{%ek{iEWQ`TQ8(xNYSR^X11)TXBM^BHIPSk9fbwmRbO zwr|^<cP*?c)A%$qu+$|i^xlWwtgnGfwj`xyPkkb4Zc`#)c<!m=F=pF63-}u2w%$Fz zrC^uhO26x?7yax_c5B<sxGnICh-bFs-BWvxF7r1^pLNdGb(gcr++g#s>s=<OSu<S! z=z03<>xtnzts5=4_j?$*{+H6K?ectodg+!WPogE}?3}|f-L`nA+4UWI7h>ermAAJ( z>*<@{R~J?6(e}e<z1^V`ry^R8S<fn!dzF3v+~kd20<G%zF2z66U)a3I^GR>audNSx zW?H;{=4m16wwimA1W*5yN2?1%ydoZL^SB^?T4k@|4S`~B(U(h?oQRsLD7HOAQ>ZgK zs_W>ZY1a;|*yE6P)J1Jas;EwoIj1r=`@*FPZyvQgH)XwiQfS(f9X2m!ex0{*8K<~< zwD0crPfYf67;o(o)VS@r`kllc)55~tj@bqM@|H1kDzp@z*VGy=eeG?#;&C3o*@Qjb zl8UneICihe{g|@n##YX?Hk{onc&<!HVmy4a_kqqXhVz>)OLPQHGxzy;&uzy1q>lY} zxgYP_x8t<_orkgWs`$(;S5@A4veN48wzGRP+NDk`nr>*k<+DNIgmYIM1ZJ{qIVW+8 zr*Uf1Ru1l)*M6+uv+T01qVusu*&e|=d;ROgMRxfbD7N+-ooy|0;92Uqp1u1Ou21$Y zcPnOeU2tVj$eiDI%DTN-wj^d%K8uo?@v6egV*Y^{uV22N@jR#DzG3KJnOzt4f(_XB zihT)H=Ukopzwc%+&x7L&WM&tyE<WDeQDCOrC+O~CVSaT6!@>Wn=S{laA;^BH;?4)B zvgVt@2mC#*iiWSTFjGI&)gJJ{O!<&lJJUa@Wec<41-hF4+Z;A~{mievv+JefJ}7@* z8ToR$&$^U9rFZr(*z&KthlP376sH4Q>p!rw*hTa9J$&QbRh(dZNp$bwolBh4rpO&X zlrqW5us|vL<nFI)|3y#UJVPU8=bRXib2=F>UoOs^?73mzW4<V(b+fL&cR#$@t@N1A z%C>By{{hTDKdIiIcy#6wdEb!sng>@_|Ghoo3QtDBv?ez5-E-^S96r$MZ60g&#xL1A zWJlqryjfMhl9!pwUa2e1sD0UeGia`vucD9e`)}!wWeN`GPT>p6<KAGjEdPFUa@)&` z))K#c`FF%$&-rq6%^HR7oJN7TM<2h-%J(i|oqpYI>+LxU`|UY2*=21Cx5PbFyqh?Q z+vD4uunjFHUcyTyx15^emwG~EW_ja3b@o$QhG%;-G%m!K&b=yN!rgxQy<MBl2Q>+I zF3*kI<IcGHCCHZfsD4m9{Z2jaz|S<sgL@;_+fQg_uPmD4pt<L4Zr|Qh?4mcc%uDXN z>b_R)X1e!WN^I%+IOgj&HMT^mOcMWOqIS{a?y>Uz)7GNQ+YU_?*NfS~l>c(4LB(gD zm{5sou4$XBH$F>din#s9S4PUPa^CvKrz_>m68oYRe(OF^X6KWsV7M;vVZu+7@S<02 z;hU;YY-Uw@k-6&coyeH-q}1$-K_(kQD@=u0c(47-uUxEceU{;70o&K<{`wcw19esj zEJ%Cc6*i&MF(klF`CSc%{LxUx%{x?Gmi(E$?fk+rUx!&|OvQc{l*Brnmg~Etv#8O{ zVM(A+!<}2dx0>G;xDuGVckT_jZQLgmSII5yxMjd$DaMz&ry|d{-uU6i6HBX&YxiBh zTgUpThWkk7hLmT=XQ{v4bJk|fd7lq9v+66pXIR**^(uams=K_~kFom(Z(0?j*&NT+ z$u;4rOMm?In7Vs{YRYC$(U`?YqxZTe%sDilt0Zir*B7G|ag&&q-hA=k{88?2U&H>L zO54J;K}g{Hw752{$GW?_x~{aab8OsrEYm_@vgpOU<;)h%x<{AYc29fbE>MzteMx6k zRAYMGoMp#24!ZXo=+1m`PVd;c>$8LR%oFCl+u42aW#9Jn4Sg+Zi^Ss0^}goRR$5u8 zomX}{<~)Cb>#pyQ6?wL2x`oRg7ydBA(Byif<DEw@<mXyC{Fsx@BK)RY`s;<aOWyWf z&Hubf;-dMJ=?U2z-rh>k7oY84^`HHZni2Ok#;0OY$xhjV`uoIR6x})7yR^_|UfJd* zkL@;Hf0w^v(n|f|aZo4qg!;Pw85O55iMtlJTAS|>oR#Rm`|8iW_<*es>ym^PyO^h3 z-6vJ8^VeEa{^J(Di)-F>xV_hOF{u2)B~<-OE@!f8g`KLKKyKde|L4{J%=i3U{%H68 zW{=cKv)08Qsxo|?TB7%Y!)ne2m&zr5Mo%=>pI}+|`AYrI7MZF~U*-gLHXpW}?yy{K z_9O2fcXsSqlWejr@$NFeZ4GPK&*mO1XP+%~c}@HJ`#LvSc15w@43*mcc4t@KGETL{ z9qJo2irwbixcDS`lVUuhjD-K!j9k;=#5Y})x4e$Ld2ZR;lG<1<lE&~dmfL9_@6!AS z+xD?WuGqP(_}b!4JD+W;i1}1H_bc<Fyy?fReJAwIJNvX|;^qye7cZXNHb+KLamU5g zFU)HnUVNCs8h!rnz0NYLHk+fq$K{?Dwla1`D1V!BVzREkWz{ud^@|xNXZe*(aVfsj zXdUEsNNvv79qyh-(ril%*|r*`*-EWH(b@EN-<_}f<#zc`=6@F4rNQy!a4RcY$b}Au zZ`&rEEC28^v&lVVeQR%OufY^Sr(JW!roUj@^Y32y!Hq}etu<)B7~RF&9sQ(B=FpWj z$rCKO_gAz|Rea*&8Wy!wIZ@RiMCyKXUsCfi*Xf&r?P9Vz{sbL48pmw)u*hSP;b*=h zY;#uWBrXxtF!$LPboFSQaLA&i6JnH>%{{_CcePGx(DH+~VqEp(8*9&<PEB#Ny1aQ4 z&+mj8uX|h#UrB!``gu3<y#p6JAM;Ynl(kB_7fUa$NcP`%R;-&x_|Bc)1W$>_C%-hN zx(e8<@aG6M{g_yh5FzoY`%9yuszqFiyiZWmkBNsqKXq*PZBl1?eJ#j+V%LW#sdTG( zH<FLVJd#k_!eg_0&(~dFJj;w)AN`!BS7RucdTMKYfKYnu+AJ>q!>d`&zHqOZxo?S0 zS?9`sSM_$R+RLc9i}ONL|FW!{q{<6B4jgpW-*Ecpxz%P4|LiwS$lTy_Xbbm_BkfOq zi@QFN{l5GQM|$x`{_92C)N5H+$m{g<>oGiEH$&jyq}2;9uV~izuuSwuMX|`1wRK!K zpSZ4i_^XQ}tmz$h(EKSSN7DYfO?JC;<Wrq9BZJb+l2Z|ur_S)Ftq9GjuYKqG>6u{w z|Ep~mB7&VmXSr!F)>B%xn!7u%vtg>xo*;icOD#Vhp_eY#GwS9kie$JS&rAFevM@Z2 zJtDf><M^Jm<uW3V@{DE~*K%g|u(M85*du(3b>5wc3hvG7{5Ky}#H;kT-{@i4(%GG~ zDxukPZi~oU-p-}Twv6x3-LzkPsMl#(Ze8b>=Ntd3%((Dh!n*B`ea?bc0v{}990;)C zmxwZ%e7^aJ_+<Vv&xI-q%Imr%f)&Ij30R&oKhL=KhA*oa>*_B54!NT*#KXB)xU?LR z*|cHi+w}phwm)vO<ww-;9e@ASZE@j-`*Zww*38Z};Jf9^9#(pIgR9kD+sz{Ri#Lbu zx2w8rVR?jMM{>`6zNA*;sBOyDshje*?rn>BHA6!1@q;jqRavL6Y!P+e5PP`o#AhR; zrQd?rNpE}q^g*as-#J;muhUCgYUhd^*cixraCPW^{%F%5|H7+9Ef?)e{1d;{Yi`Gl zGk5pC=jB@S*)#8ci}-TuUE=>V+?HRzvnncY%H{3T<o@s$RjpXK!y+>^MTIFl@R~@d zwrc1al_IBAznC9s-oA6EXU&3XB0Eod6v!Wo(tfMP*LUlrRG^o^#|fsPQ#_|DDJyE^ zoMU3NklnFN;>R|dBgY<?o|h7t_dv{WvZlTpyVJ)dP2E!hYs~tee&E?FzFA>QL+!*8 z#`hncgzfG08a8V?=*>T1_QzPDvO)b-mu<>xj^pJ&!~={^3cKzW<$84H@68t%{&?P* zyme-!?hC=37xMWs#!bEsA7r!_IsWgd`gTEWwQ|@g!HVviox6-SE~;|9{grX6s_e8f zzeSoKRLkx^PnBGHD&X8ymwUl;_Vmaf?=TSYY**crv|v_O#I+-l{?B*C>bY?K+^Ufu zdBnp=<8SPOwYwT#SIXYzli79d^o4T@8B%^lZR=jDre|1XU185Q60SZJ^Vw`w*Io{$ zm0mjnK9q`IS;nsAzEj+psoXd<v~B5$`L7;Uq?RyUXfxG!>dxBNBpP{Kp=|A);1j&b zkv1i#{_T)(`Y>(Vp@;G2f@>@0N=_I2;{3y6=7E;Y{}pdtIqT!n_EL#Sz=nt6EK|d= za;w+DA$!s<-!cm=HW!G}GVKt$bY*8yad+;!+b2ty1u~w!%UBt^dD%44`?WQ?0v?k~ zwWB}i2|S#(&aUW-?uE7|GGC7>ujFg)nWAVi%X{)9o>e{7*3Ny)45DI6BgE&v{^XN) z`_J!LU(>_c|8GdL)Ye$#bbZnB#d(`QeLc%0-oM#zbL^T0mDM)PnkUZa)mVGKdOXD| zXAS><CZ-P;i_V1_t;noexAcF^o#SnjnUhT1&!2P+^SNGl?}UfD&E#jb+Yfuc3cPLJ zdadK<^57mGIdzo@!2v34y2|{Qn54JM@0t7~?2QMDg=9R_OolJfZ=TK)J}~<o2Y*_X z*o#Uh%M(vkVqBMS^V(RfzR#4K-I;Lv)wcQ97-9{#g=l=AHhBq0i}5YX%J2HMg29)s z3mpsi<Y{7`b421Y|Khs_EBPKbe>|%sy8QT($);NMbM`OfUgK72|G!VIOhf&F(3_*# zj{W6+%XY3gm9y&U`{=k<-fregi>|HwXLjL#Rb@7_hWhM!M<@BSr(XQ^J6pUxD((EK zTkfat>vHwj?|M;u;BQ0tUb~R9>T@=D@t*qd`k!ii!Z%^ovxY1NGA0a)LX2ACw;v=w zp80J{{)SMgg_0SCc4o=0n|xhQepO$yrTN-?)=sY}FQnMsRBkS_bSjJ8th!<15(A6Z zfAlSQO5(o+eb-jK@vnJH(UJyT#u;`8T~=`wE^w<&%-nff&;8KDkRGL)`*ZpJ26`s6 zsKs_@DQucxW*Z@;osfHa!{Ml}=XWrAv-L#?MMQHizUcGd@5y&}^m(_;DO%9KTlvkj zb*`S@RAt&jy1l;JCRqLy{dHd9`pXFiR%@qeX<z;HnomREov&fkiR5#<E>9|-yY0SH z-W}{%$anM5gD;o4xPNQ^Q9HrFI(>y$%KG@S(w4R2n*^H<Fcf^(;6EMn>T`GfewIj` zz@NMMA`JuU7ayCXc;L7E!<?w(d=39SD<p0ot9l_7SH$A5;P9#1Q|CUf<=|JIxS#96 zoSXK0SYJ+8Iw2F+)qngsgGgep@WLm4ZJkPDHxpiH#wnNlmAh)lkug7@|IHJDwBT(o zOpbr8XbqSac9FZI<}TC6ZuV5Qg%bIh53~IhI?8==jz-)sc)ww7$Iid!#El;9VteAj z_#`V%jy+=6<LfK72WzmpiSe(V)cmE=%q=H6<9okY|A8Yb<}{v4xR}*2lOz0oo@CS% z30rM%C-qf+v1iWSIJqh6YfbwsF(s4v&OC?bf9XA2>g1kvt}LHJH+O?Y-c}2vx~l2h zy5`;Ye=a`f%_3GOuh#mDJz^&`b~P+nyX^AEki{{TQ{5lA%3uAEP^@#?iFH}bwmI$o z+8)sf-)og*x7XIUWpT?*za*Wq`JHN3ckA;VR-1Ouc$>_#+fM3rR+XTJpZD%o9mSL0 zEx~>=ySKinKmIh4*T-k-+)JOeE$wc3%w=DlSni<x`B?tGvv2HTA|9kMihk>vzH;iS zj41u<K6CXBt=Qdh`Hfk};U%d-zOQOBrzF;%`{HwUc2;QTtk;`M|5P7o-Vw2T!O@V4 zKYSJ)NzSngv!_`npJ0w$enf2Q>19rvR~;#znw;7i6fOD5RY*1U`h1%=8`c#wpO!7R z`NGgue}iAap_g0sxPbJQIloWV-$`CO;p1JewR@NPXfFMs&-+Ycm)ctfR>uDf%QN#{ zN9D=p%|5?8vn+VhiDL8Td29VvelRFZXgVhNSO4$6{O@_*d67jw`F75e-LCs6F@NQk z9Wy)FJE5puNbqm{?McSYb36ZCeqaA=WA^tg)6_q|n_&I+-HzPfcY;r9ZdldT@j^RK z_1w0zO-_5eB3!=wF1x!a*uX$Y@bCWI?Yf>?JoS_2oXwj(>2V+j1H*w0w*)=SO-yol zd3kwxLK6}sw#j<tB{CjxTD<#i)N$Y4_n-E?z4ox+-HF`Ujs}Vk*QFen^uIjGc~XsY z<@fFPvu<jg%uUIg{H{o4(rMdcAK516nwQO9TDJHiYs!@KZU-ZL7Dh~QatziG^IE8p zx^#jhoAW{iC$<_1W8<3877Z@JnKR!$@%WasF+%6q<_I0Jr86a!M43fg8Uhlw<Z`nz zGcMs$a?mhf{K(Mcvj6U+%T_<VEfv>ju`zk1zu12Fl26`p$yi61J2~HOB=;9?(e<39 z;yFphl5dlU)2fbRAKxeTFIL@d>mhk7O=;2p{kgkyy(ir<n?Ac@LW53k!J)<ucGggk zu`CZ67A9<b&?(_!W3R5V`TyH#ch0!AP0`_CKIC|CiU@0a-|bB))|=k8y>a^_e{$yO zvtRP=Z+dD`bbH6b{`536-M81*pDueF)^}&hlZ-p&PpYoT^!w)Dnv@#P=AtBZ@&Dy` z`=9^+F9+=h-=BMXTkh>|U~=uWElYE6Zz~0fOj~ktvdbiuNRazex}9(5+}>6Q62B%c zop<t9j=P;yf4hAD@x>N0eC>xH7Fcj;&N@6XVTVDesnW0dTY1rwa&DNdj#}%XA|xnS zKd=5}#-D9>x2f#R?JeTI9IGeN?bP)y$m3#cUSNs-t_Mlokpdb^Ug#a)uro%{wcOHu zBJ-idj(0^Vo1|SD6ci6Rw=lP~a26Rgadwt*G;wwoZh0cNWPMfqqPMNAS(cZc$0<BK zz^x*4<E;hP@yf#Bt%`LLU4aG?=>`(=2NGs5@~QrxdDiURj&<71x}KMUy)>6Nn!hY+ z6}kIV>_%R+=28JCk5Ey;i~nzz-JbO1+6=aKwyQdVwJ)}L->iInW<%QN`=9UYsm)f| zek*79|I>N5#V*NM8|D5?O4^-rTwD3}j<w2}w@tq0dU{N{V`hB&*QfJpvEC;Z<zLA? z<)zpXVmZS{byxjuUER);rHk1zU;NMAo$FZ?ot&0x93y(R<k;qyJlA*0ZcIIG6vA~= zAV??N)w=WF_wDT}xtG*3C(oW16MZY_#1_>hx56&wbojk9U%n-K+f%bA1-GqdzwBCa zQ`d6q&Ag5kE~-jOT7i9hE4Jw0Kf@3pz``uaAi|ua!}ZvN^J*%)2m?De4~K@Hgocoq zgNTHP0FRImpN5nKpOBnThts130|h=-R@WJ!9<@RI(}i!D?P8lzD7Z`a@F9mBaXHV* z2j8R2cfVPE)b+Mb_4iDnO*s?io-pV$nB&P7u&49=r74@P^#9isZTO#Le80b?Avng6 zH{;9Q50C2GnGO_lUx^E5%q-CE6Z`V0SjyAmlvr9z$;t`FAG?C|=cGuzRCQYAQM$#+ zFIZIR`MHxEohP_LT#Ss){tVBY&h2<dO((;z=Bc*B)-#?m2N%2dsWH416ng7-OzOl> zi;x47ry{nv_kBIS+H3EIv@_F!`ERnW_}nMa^J%a0v6!vSf`UTITUffP9y%!+S{!(@ z#q5a2Bp0U+g-)LijY%h31Qa_u*zH!w&2Kn8LuKL{&amEZ0!IY`zua1}XV12eY>dpZ z%QV0Kd$A<JPwjmB63_4!v)nsX%2Fl4tabAZ(smw5xn<$}+GWM>6A{rC7w25^=;#P@ z6S#0IaN(WZ4;xmmJhl17`U5<_=cR0K^!aNQ@hbGL>y^r#(u%9tu6Wf534IAVGWWsF zDHB6Og~Mm8R*VkR6U<t5>UDg}oG#Aa?K;ceALu(99;2KkyL`&@SAH)gJe>_EY?`#3 zaaq!lr-A+Jqwg?mD*6?8<vC};&Y&AJ8zM|ZnY?@r_cSHdcN;2ehF#a6DyOtei2rSI zai+E}_uSRyVh2|3bS^nEReG1z?68A3r``4UV7+|R<p%4s<$dz&T5XR_e05s3wsqp= z-zF1sGFJ(U2x=*r8p^Zp<9)Sv4y($Eb$b?Yysv&%=X&y_%N=R)2UFRl56_kg?BYmn z+Z!W(v&q%FMKz=NF=xzCj_~!HLf(3D$>wfowmx~#ZQ`oiQ-b?qv}f-=wd>*6hZhbm zvi6*1DyEtD{>j2arS9hir1*`L#g$%_=_{9)ocnx9%|L1S>4+m8tLD^unZC{nFO;cV zl@xBfAf|c6`*lG<FK)3k$NQKZVl~NpckOYEaKzrU#`O}avzMtR@9N)RxW2_u!SXlD z4~Gd#UuT-_>=)i`^JIG2H}Cj9RyF?S8KD(^HosP13QF4`s4ISL^R*twVD4M2E!(YZ zp9FiP8it0{Dc(B$T16@JiI7jQ(l6g-E5r}za)+c%HEz3a+qCy>-$JP!*El@g{&+_# zRR`BLT&cXV=}VXIq3yermftF94O!79eVR9sZRSJcxwF?VacVIXcxEbnWp?V9j%br7 zVm`MtFNEa%`fsLQ$J8$OGEiv2_uB<$O^<vi@ObFkI`Q4~&C3`=>aV6qd4=@N5sy2t zjQ_w4>AZ6bgHCBb@pQf>Y@NPivGwk4Zt@um_X_`!4$5<R{BVcAY1HFB{ta3QUlwlN z{wS+>>*6mP!j_q}*mcxIm;@&EoH}D?Qqrk@@R4fGq)QdN(M}c}c_*D*Y&#!x1hlL0 zEMENSnBVPlOnXH<V%t9nYkjoP3!L=)(*NtvH^`Q0Y&T(c@XTLmZIGtR#&OASp@~77 z?xy)G>pyHepSyp<w%_I4#os4dubH-wgUQ;Q|NN<o(rbD?d^@;4#mtAV_Fl^x^YSUq zGpC6>pTx`OqouuwHFn<2IYE<;cl-LC;>cXrzO!@2eIfe<X&+@r6`?6rd{6nErd(dY zIBC8EzpCa`{Zwh6Qh%;T39mYDe?PFA`SDf8AFRtS9t^S+4f1x~cWa)q&IVqd)NEy& zxYK4vQykqE|F`Rvj+ziVQzkcq<v{HmT?xs1>hd9*fBu+T@5W@wz4JmsA9tL^(PgVP zt^9XilBX!4!(`tPR@deQx8i47t~)XBev;C@OUiq+{0$$jIz8EUf4Yd@uT6U^7fheb z>u_LUT;NrcB|MAW`&ON3`I@`@LBl_RW50eWhdeQAP_~wgahS#I+Gpf_!STU~c)4)* zy7?9|^WuuXPu$7B?(~8S>K|KgmfUc*<9%o_$ImIx!SiPe|Ejkqd=y#N@(7EpJaq6- z!@|ygO$8E%mYHm?ZBw81zyE}W?TpgDeFtn_F0S%VxKx-{wWrpj;-K|Q-Gv4g9u;-E zyy~<6&)HZJpx%2U{Jr4(#p26;)mPUvv25>QxUM&KsqdD#FM}3{Klv4J>2S8`Vb6kV zEQ`Kuv2&HbzV76WTEzn`8{YU??^NPC&VEKYr1mV|+!eczt9>!u;9xXcgCm{i=Bifz z7t>zXpIEob_u=BFY;(hucfQEk94DLM%ON&b=o?GXpAuQYK<W1-rt@;S@_+4Vbu)Rf z%<IhhxqDPsJly=^`z%Z0+*R*m*XF-@@baIC=rnEb;5(fRn)6vS=DqV5OkCO5m3L(4 zteMkI4*478?D!il)iy2nx@_U@12zA1g5BDV{=eN~+7$Ydd$Ny$je`H5=bu)rJQcm> zpS4<O!KvAes~&3Klv3C!mvEQS{P^QHakG5<<WtiR&n`XTqj7tqT5I7h_iKk5lZ3^! zcJEqXq}IB((|2m#mnl2HE^67N_<u#4Cx8BG0j8NejkC7L-xA+*ZC+>My`_P?5rzlf z2J`+e{(0iL>gvVsV|zK}FYy_+eiHBqV0IPHf6ZH4-mm#)msS?Xkz7j)&t;!#Pd<v! z>v!()<qip8Uevldl*3W2MfCE=M_fmBzsL4GJw8QOU&O37@K(;LZ)!%WXJ2TVzi$!B zb^hbXD{OmDY@$;{V|n+%;FMPrL?a)cn9I$3-{S3tMX9BQ>@(F}9{daW5WeXp&$H(d zGfsV5ns-~N@Ab+Tjc3KZHKLUsXx3=(E=cOS@jW+u_so}uMTYFW^RxafI97e}!&)bM z*#*x6oaNkiGcRE_P<r>?fAX&BOxeQ6o99*Qnr6Jr**tN#&ygcsljY_-6X`u+x!`F4 zZ_=A%Zx!?Q2{TSKy8PMV{^DQ_9d7YsoRKe`3uF|peMl)~IddyhyTQk<P5u2b_XjNR zr1@F*FHZk)Jae5})ArSkllI<vz~}zGaIX08lzIK?h97N%c0|aZS$q9&uT)<rA76Cs zt_l~0Ju%;%Dzjg2U`S+qnW&)i%U=2XDp%R<s)sa+f5(<tvn;w)=acbims4yxU%BDE z`b=gqjgR8aixpp;W1s#uk!$+f+M|^o|Bf8gxajznRe$-m+67y}6i=j??>Eso{CmUW zwJy2uzs=rwXZeNhS?j~Ea!g!yuwdKelTVkedEXJ2TXcoFI(_oYFncxa{lAX1s&B}N zjELPm`OK5vs3~%CIm=I;H}o^HWpl46QpnLwp4Vfo^xn|<nHIy`?=7qRbnYI?G;`ct z5hN|D7M%MwQ$$BT@riE*=k8rHaY`2-x7}Zpv`zBgni3W7<K@2=&&vA3b7xYVep=D5 z2~Qt0pHP{2RPVO^O_s{bucR!uYq9>j^<s}=+VlxMvD?#b9-UER;}ZFl)$86q4YMQc z(IVy<SLE*Vhe=%s`7aRN`g@8)&z3p6YkTYWeJyU@m+3I^x0J{3uUw1x`X6r5Putc0 zXO3W7uzjm!R9{Qcv2SiGKNR@Owd`3W7{O}I==t|^SmeQ1k7u5L!S0m4W1r+Yt_x)v zS03<OSD864lZ~fGda>~|3wwu<sjmwqM3r*%uJ3M|$Y6I}d13PQt$Vio&078V-?mq= zpFH0%IUZ?oa*h#yB(!UBpzZxYz6fEF$2+F9x6eJ#%<TDP#)^ei64RI4@}2x9$G{*g z-{X4jcuUKdMBe)rI@a=HbLY=%czEJ!cj7kInGMkeV$H0)+WT96FB6?~BvbFaK-$6w z{cq0l8u5NupZ+#bjC<utW`?A=xW1>Xc8404@_bqHFjiWu>+nn~2HtIaZHpqyf)c#> z^<q9{h09HnvXmEmyFbRt`=7qX0@aUGg%+#+<q)|%X-~MY+lyDPwsZZ|c&X*=;t?+) z#_!?d<?o}Qrl7`is{8o5S0CMN*9gz5{`8$YS^AXZ`Ra4;qDz*4I@$JrUeT4WBHD@> zu2WZ@J9cqTMQhBYFmAc1lb`2@Ycs7@Fi@~`F#WF1w8lbBTjkL%;f$mssU0kC%T$iF zeeg=1@sQz;#B2fkzN@EB1t=VqZ=FB+rIRJUVo`4MuU)4lC*@yYd#iR&f7-J<eXqa7 zI_rIm;bfYoyyd>`QNtUHTg0W=KD;l{Hoen6o#U@y_%7QtLraN-6vsQ<_ogkmUBJ9= z`=?hDj_1-G_o*M{+G-n>zOi6;aesF@ztz|N{n--pt}R@)dE1XWE$yA1XL;RSR_u+* zUsf7??At7tkJF9b*d6*DvgzcqmuKd@d@=WfpyQeYO}muZuQfh9{~`2D^^KOS)v}5I z!#G$S53ReS`X(UKh1sP`Meu#w_B7Y)PKR?jc38ap(z{=K*?o&uGq*nX7T;9*$a7hd zXIi>r^z?m#1@|s@ZS880>h?Mt@v7eOW6T`6-FmW1Oc#A%{c*_Et}r#hO7GJ|ZRX(T z6W>=#&T;CmxhOs1SIL}LT90B+WUiIv)P0qn^3wG4OtDjC7xT?Fipw<%{7SkYvDQ#u zx+(QWiJqX^;`^=#4=BY7xGEe{T|IG2T*%_PJ#zOy7R9QR*F0;xlW+XXFMrMr{hPrh zzG43}S5KAbIPvWHiuLc))8_Z-FZ^r&wAN?hzq6O*MP}G*T$cRzWzN=<iy3x1SpS~% zY3l~f)sxqkX4~3z+_78Eu2=u~qS1vmd+jFAI<cj1|Gzvst?}4{hej86);6{|e)=cF z8@O!SV)dp^vqglq2U)(>bvRY9y)Ew36ro93$q$WBGqUf9{$do8$r<1Fl>3-pR?U5p zxXP=W-)`aT6As}o%&XD6yYcv2t_>D$Pd%*d<c=8jPMEdS_@7}(*m+IOhp$BCBV*ni zS7%|l72|sU?XIJ&ukP;d49(N+>U?wNuOrvx=9Qj_Rk8X(`JZbOJCx==7Fv_*YWiF( z)BbzNK9)Ka`^vm+i|cL}#W*K+u6n^5{K9d0*s}*0n(y7xa(pgmo}r({D43tHVy((a z&kv7s_Z+{qyynT0$hni2W)?YI%XOUf|4~))74@o*Ilt#UnxZZncCz{Wk_pc{&#*px zlpb5i!(|v%a8$!0@2ob@`^WA3?Y!rFY+!zKX7&7lwu0#jYJPryHcYYnvvu0OBBwl? zl(bI{-&$Xbzr5b`KWcwJx52IEzq4kayf1v~(z|JOx0bD#%CjhH|9h6o(AxM~IgQgB zPu{tEMqaIW8PmS+Hj>c+TAA}cwnaItJJupK#rAE!+M&NcOs6Ubr7g@`y7=p*;3Mq$ z2~}MyYC{}+zlW}yA$MBu$HbSKdtV>^Hofi3##t{Pnl(Gmn)hhizWXnm)015<H{Wx& zGqqivp33~sm~s2W%fAvYy*#}5@v4az6JPu_kW`(qylF;v-wfeY`5RLu_Rf<KyV}dN zR3d7f1edRFn_l-Bje{Yk$8<`Lt;t+vxcah3Qr6zNmu>~!-SIL$;TN}GEmQFP2O)J# zoF{57_GK0dbExcIZmE&(GS^w5vO#w8&lvyAV`U#SrGGAYIxBtZwR0=2ZEDZ@)Hhv@ zPvC67H6?W?Ba>m|wwD=A%kwt}+p{O#pW=2nFiBsk>psuC`J!`t9b_(~U)~wv$#?i! zR?*$MoCo{3TVCF~&UxCvmg&lwN$culrf#0OvNS}5W7)KecCPMe%Sy%m&S{Rn%(r)T zWt89dofXekzkL2Ovy#g{GW1VN-7nj_{<}|AYR&(l{>m~`*Z)!RKN;JFtN&mAn__#h za?t^=(DyUBHa_DsW=`y^J9mE1rcGhj{;8*{sGg7wS2{j9BQbro`j;CspL&!$G^^A* zE$3J`ef=A$8)gmHEpFLGv(1Xsv8_yYo%m(JoJd2rFy{6nT!(BQO1|2<kcT(4H(&~v zDog%74L_^$XNA{ya(Sm-Nn&1ixV~c9Nw*3;!6y&r3N5wx$LT1Pw!zG>`RHfaFO#SG zakf9ZJXzylv)q=t;~b`iX>uzMC{Mn8eV=Vfr=v`0i__Enp?Rza=RRDL^YFsAUfr0I z1M|QBaLK;na$lWy?TyuJ4mk^%|4h3ic*4^5_U1edH@)|33=X>1ochy!%ByKN*Bx_- zR_jUZIzJapF_Ki1yKA!9NbJ(ea1Wb?Q<248SJ)2yoslY=D`qmy$eUkt(#b2$F*=HI z+0Q3uXz5KU?p|Bldw%tzW{vF$$4%?fr>)Rbd@x)4%K@2-XQs9mXu7j+G$>d#eRWXe zk{|O<i?XLm=Dz-tFMsvBaeK4-vAbLEFOJFj^|0D!=H_j$_zDk&MCio+{kffO-fYL+ zQh6J<2yM75vbpI5Ti;2o688O$iKn|}1yu<Ad_F%h`TU1Z%+tQ=bL?0WlD9Ewh1;>N z8*!gcn%$H5YtxYSQFFrPD+l-fz5ByqrIVoOWyKXD*=@$bvVU5qJS;iMWq&69*EEI3 zYggl@-u&m4(sjl3+?M>4NuCL%(XUVYRk}^}wz+bG=jie6XTQ(cvi9w+Trs`lFE@6k znXn#iv=Q(Le}8gH9#5UNn3hDo_GgZ@b@!jOsb86NUh3cU<?#i+v8N|FwYsd&D>#uo z<8nZ_s{J<|wFTABeoc(}dx|-yaM!CP9o0?g!G;_+)uP|V7Cn8K`)S|VCoQdyoGc`6 z#CjWf?wUUHqDR@i4X@WOThnFEw?}}n&Hk{T&z(h;1}k}9%(Jn1mfCzs+xg41>~CQk z{VZoLXP6Ky^~;n)#r+?1@cyX$5~;&&mR|lwo<-&viT)FoS>IlC*iw9T_d@>km45r4 znz;#fxBRT>p7*J2HHTn#$$hIM5=A>){_I>HBE|gqYO259{r&Q_lSSr=vec<Rc@*Rr zd!%~L<HgrFer8QuZ)m{5BHk?P?k1Psb|ogW?nKQC?>~88KX}Flg<YF{L~!Bpe^*|G z+gtuNn%pife&mheho=Hsb^N+%ezRFv-{)*Q{*veSGh=@D&70eebolNq5WFYDP-B}> ztzgp}%y{sH`^m+YvJ)1SS?ri!y}<LPCP&%Yv$gHTN-b9ZoX%9N40bw|G2zAB<Vqhk znM~XKC^c5LKeZX!r=34Zhflj#?jHBu=j*DYOw+QfZprs3cTfAC%(iYu$>Mh<Irf~> zJOB9c&#GwredKFj`7+MGpEfy|9F$sS_3QiAfLB$YJKQ^u*cBQ{ss(I{T=Lkh#F)ir z{)*Wj7pCf-S}MDF)6@U{@llM^4Z7Hxtta2RIXR%@n}KdG=K-}>5199P-12rTu#{eK zuI#Rt=c#Q|-WbeS&7ZemwR_&5R)L4UXIrPo`|M`i)0)foM0S#7!{!N(z6m9JHO?uy zRb-*Qdgqoq+ql1_dUSU<7o;uNS1fPbuWd1<;<Br_v3%^LbH1-ReKsFDH#3uC(sI26 zpQN4_uY0)fama2bsYd&t)w?)E(%RdKg%4kSFY@Ar^0v3V=NcscwYNMw^7pZmPC(7P zREe}(j`u9;HdOTGiGRLh<CAN@#c%zsbdCnqYkHH|3hulY==Yc2I{p8a=3K^O3QtZ* zd(3B1YJS4KXkSe1@2rx^H{Fk&Xj--;+I)hPVt|{<o*xSj9mq|e$DnWa_#nqlc^k9D zC2tl^-EZ=F6aOu%bHZP5d8@cFGrYa^^uSBTxl%uvgw8MU+5NZcLj^;}tg=GREY@1K zjt@rHm;IS5{rG4Iud{zvC712HgLcggPq&;97hd~Or|)Tl%8?XSN#?Vs{_J8b_5N%o z_*Q)eKl`1VLYLWA-qq$au9!BjIBVe<H>O`ATGPVAXZiPbax9)UE1&QF=hxFGWLf=~ zEwXR!{wY__*8WU<|L6CGgIB+lE3IB-uatjPe&URZW$Mq@&dx|zxG*WR=DX#DOQkC> zUnqaM<n$cZCtuI)t}$WSU34OGjU2P0z3@fJdt3Gd&S+o0$yqR|%O!7df5yV8uD3+D zc^G!Pswwk(<eyrZsJ6z{%kI>RSK5u6^m1~a<eu`HsFZlx@UWX&MT+LBD9Qi(3$OY9 zjGPsCRr;N7YXGnIv32SmwU*lyHyqV!-hXt7;qrOhS40f89!$G5vsvA=ZrMx)Cg(an z3B}KL7SU^7#~aA+I{%Gxf$oM~qTaqIO8Da<PtRo4PHE9Qos<9In8(`E`WtV5?OBnf zdDC#_^__-{yuBZ$u{lneHJ@|co1F~ra(5L^$^UI)qW>_Y(EnKYuK)@C*stubH$;Az z$#86g!_KSntXB2!gQnhNwpyI?#HM`mlrxVR4;LFo7<NV(F7%f!yZ!BacxThgJV`d0 zoVK{$(CaF!M)C)*Sz79^)M8YK7vCbJ=ry(cV8f;BGa0!>SE$(8|C#>#bF<^*#E5N? zQ;lstO!srU$nE#}MvH)BTy$aJr0XlnWHN<*Z(Lht#IkIv^sM~`u{RSU1wMyG<y?3C z{AJr)AI2}DNoUsl=h3@<^8J57)rAE|81^%+xV-gsL+y35I+2Ae%}ZIPs3@|E%E!E4 z&NzF4bz<<t_1k)ndz?%1KIWr*c(unP=DG7Pw%-5A%XZ#NY|@e6th?4My)v2U#=?o+ z?%SE>3A#vszU2Ro=|}tNGhQ(q7AfD`uRVNe(0gClW5>*m&;6D#c!vsaEjMX<nRxE* zH{R5F|EE9e*7I4iUs3MLrGuAu>xw-}6gZgseD9=>7n&?*WX}~pyLM$sRwe`c<yuKK z)2Qqf&1RSH^L_ifC|+0QgT<y6iSPFxD_wmcE4F+Ww|4aQt|_<kPa17%TXnO@d1cUt z4ePhdt37-5@z+^J{#iQh85{2W@KClbVOyjl8pylm64%j=(l_$6-!4$mc$&9v`wBj` z851T)vwz|7J+)7K)<V8Io$ERt4M~Ncl0OKr-bhegHdDfQUcK)%AB!!yO+RJXJj)C( zvqj0h<6e||e2V1Pte80`m2M}Ao>AR#WUehka?AD~ihI|+udKSwt+#zoO2`eqh#Mz; zK1llb&x?^gCh})n)z+F>)gJS>mT2BSxo@sN*GZN)`sF{gf5&Y1J@;{HNc+jd?YG;v zW$s>;8TpLu2iKn(<8N1|+VX4ed=h-KI#zgjo$z^gd9xkY8n%{YT3)`|9;uU+#3OB$ zX5qZ;)0KkrpSJepH%T0h(G<I(yQewv?yn<@R@bc$|7pMJhFM;-YH#o!j>b<KQ^Hu~ zia+rvXlg~w{B%&n`6D;8oq*5Y*Q@s2iVnPgUXdZ+Yg5ANyY>ak*?!4*CtOi?j=HVe z=$$&RIZwXvX6VC!UYAV*k6FL`+IK4N>MBLP*Gm4EEZBTH&U}#SJ@9Gg58rA3-fa-z zP~2{<b)mki{eAzBE6yyd()>>@U-00|w|f_uqobA_-1W{wf&CNf-t?lkjuQ7$#WsjB zFWJpt)%Qn4`$JDw7jMU(VB_HO{ud0sqO}%*(`$GQv!iu)72UkMH@J;sUE%S>2pO?> z&LqYzMiEBtCkq)jx+qLp>gY7{%*k~p|805PU{z8sQ?h;G!G{e73wO)!y6sT@objTA z%a{M#?pi4*{i?sMH#bL#{oRGTu1$<_Vhmy|4+<O_1O$Kn*OmCN?QNON8>9Mqo%m%I z7JL;BCOQo!G7kzIIx=h=Jd~!fpHc~G%m`4CkyDw{q1v?gB12(>P{RZZ51|PQnwT!; z_b=Y6UBtg)MgU_IXHRRB)6q$aiAqdN&zxF&xW!p~TD!YBxfzomDkT|dghXs8Xl!f^ ziZs&G(b9;~;h%cqFe8_cfq|C9jtv2cGkq>RU|ho0!zr$%BjmmKTimMW)<#CVSD)Ih zZlsp?yX@M&T>lgwnY%Ny(*EvP)zR_k|HtI`lWTALrT7HgP%~1~xN~al3%%5xqIYG# z+?D-3#rx}H!~ggHm7dJ|nYH`+J=cA23)7cv?rDA{c=PGDT<elW{`YQg^IiU5Z&$RH z_Acwn8E3mzb$ET*@ASF(O4C8F<%`#tEI#|kh<j~l*!)G|6E}aDl`+TZ`GVNV8R6nj ze;!+Fvblq`d8$w9%j*~R?fRZNf61$<lRBzgExug3`S#xFn(&3adb=aUc*2x&=5`dn z@cFJIn9H^@Bkx#Ca@qDd+l0)Hs~>lf5j<|9#5?PevQT0`TkG@3*{U2zo;U{0bkLb_ zs+ogNP>8dgou7|iPR!$}BkQr2PBxYZhr~rmhmIa)V$zwgz(+}rd&#GS!iErm6((|G z>>jF2E1S63R(MEx@HiZ7+TOq>Bp|3I;-exU#{P7pOU4WfAGyhFLKE7f4HTHB9y_cn z>Z$nPL4?+%rAm<vjVc-f90HS4S9<(vm|&1_-~i)74>|ATnGPNfazecwu1rCV0ct}0 z%lh4zTOO@2k?HN>6gSY)5D^jXUcBi+Pl(Ez7KMouY&<js1qD^6v8eG#De+6_@Ea5u zHa5BnHM%J9c`U0<FHd_`$nr>|T8i(;?h`kTJbcJF(M6^I<Y6U2LrIS%{omHDY;YF* zyYKf~y_8I05fR?5PA={znyL3>C)WLqohK>zbL-sL-mI%plE=G_y|M~Rby{@l|AtKQ zjsLQazu0hib$hcB-|kIJ+)E#wc9G$~InkK6H}v1u6M5ggPMOEg-}7;GAU`*ocI>>* zAM_5Nw%)QSZEI^u%l|7MXS#)p-IlSD6Pi|cT;=rP)w>qYyL<js&Eo89`KxNC2bX7< zgsW>A`93XP(f9e^E9048^=5BTIlOW%?^Paqldv}vGz~Xj65`fmpIbUP)b@yxam`hZ z*wmb@Y|C>#@MN!D{I96WG}Aae#M5oEnU;*PqS(#Ii!1A93S>Q;{KEa^qTT-Ab6(#2 zW54(Q^1Asy^Yh-@2UomQa+X@Oc^B{V$5O_Vva2&EZrJiJciH;b(@xhNm8_M13)c3% z=y{RnlI*fi>6G|HU)76&LOWeNTbw;ZzN;A7cK-VF^4w2h%m3GYny>#UZTjD=US`gp z%un^DpUj`tdv^Xe{b;WED8A!=d_~57zPJ77-8N4=m2>;ekxgq)?c;u{vSlO3Tcd?< zZEi)n|E}4h*}F|LddtSMN!8lhJ|{)*k;%#3@Rj}cnKhANPv_^VN1NolzEQOy_x1PF zI<uU0zP-`QPc!?rdf~2>sWY!#yc#mq*`>^W;@@x2HRi3?{j_zxF?;t5t5<getJ98J zT4$cKYA-u8=Ty?>RTEBpO}}WD;~zS|wTp*`lP@H|NNPetW8;tLo7-n5Z#ol~y8BP` zq+BtcAM;N1GzKOrwx3)fyggQIiy*7#q$P&U;tmc1B0QbP9+_}0nRba?7?g$+JS2oz zoSOn9I5?Ua7aC|t@bt1QN>C8!NtWo~b?Th)opIgdW$ERqcN(>>eJuHT@cgt-YoDK< zZhuemQni)s&+c8UE7ZSCp2&4^(f5+-pW07i3j9x)FTOi-@8_DIpUj!cH=bA3SnT&` z@%NJO)W$H*6Y~^o8uL3ot1MFQW@=fqkj2)$;EB`!O%EcMOl*DTAz^hkC95;{|K80f zgl`x<vdVn(ChS;X&!il6Hb$K#jQn%;tC%E1-k;p?Ict{7KJx}E_d?4|^|}7)bJY{( zeV(6FE_B$yEbn_@diVT>goE`$Zz^+ZueWJEv71=W#KHRh<o5K!$zJ|m2G!Gk${Z2? z<!5B;YEcv@RotDv+>Fu2Mtv{uz0>bwr02A<s5+%zRA8UGd~1o&Zq5bn_dTaA=kRem z@JFno><^2S|9j5`%a^94GSzZabRDpJaLT(|y?ML5S)%zoS(`rr0tc?WeDrrY%XKCH zjAC!r;`WbIGHXK|-tAbv=Gl~!ZALa{=Nu3|Y;~lVQ)&N()z0(07yN9El)bi7;)!eI zZ2h^Mk#SO*Qe|QsMJ$m|9iMZ=_#LqRZWUkilk-iCK)GFG%oG-}3-2Z$^y^mP?h1@~ zp~LZ1rOzt=d9%L#gbCb_ezFujWd9h;&RUsPJZ-JO*~RxxK2YZt^Jrji|2gI2CypBr zX823$wlna|`#I<4AEu+{+WhC(FxpftOkJG*r8?>(i`<%dvu&puFG&>2-2BqABVVZf zOSW{vPG6hAX<~-|%$~O%I3&>e|4mbxMS7cBxU*v1+nJ9v=SVwsIs0#GE91O6M>cAT z?UOBsH*Vh>9%i^x>HqI0vlHhExb`fXBkFMB^4@95n|BIV-Ca~(U{>g+pU1~{POU`k z!b`U^5*HHOeHMv_UP>qvH+d&(@n7qy*)=!sD-+I3PEeH;_~mfdb7RG^hMb#^668xh zv~0Mn5@~*O#k~j5<@RVUQoesl<nHH)Dm&J*N$--EoNj-X)|_C~A@l$IS@u+UC+*xf zUCjs6TD(*gf9!WY$NAGsQTRySVPVGEN#zo$%2MCb?&th?qV?23E8R;kn(<7f-;$as zvDQbo-TV}5lCb&2&4r6v4#{+tRxVh|Ch_80!xbyFyDJRBrp8<jzaKj#`q|`JY<GV% z^2|SS;JUPn?z!vFk9aQF<>z$EBHrOsT^CDPfQ7)0w+j|;5?~I#xr*bCbS&dl^PL`h zZ-g;$aqXEhRVq&Xe7gPtgD;zImM+pX{;d0Uv*o-)Z-3?lK8oEIe<!zW`5Kl^%}M4r zZFTs2&iZUPDe}kq+DslPrET)><JRV?sWtE~JQ174Xmq$^;uNnPYkrgmw@i5`p>%ah zL}AF)-}{!Do^yY?eaD46DsCOxUfT;db0o$!#g=7O9+;eHe#Osi=gN-icu|uta|$>Q z$ZyJ!5r6U~+2!wwKmRvvxMcp{Lf;{7?}?mkQrjIoSTn9o`1dB|a*iaI!%eLs;S7B) zsdVKS$pv-683p`jKXpH>F$n&Ap*SwYMSES}xtpt{TIJJ>%yz%Nn|Q^fS+M?qRk|o! zL&DY@v$|#<k$IpssdCpz?%t}FiI+M<PbEHB#q&%#L7<PtXyu8Y`}lTbIv)_PEZCB6 zS*+Z%>J)F@MFx$nU+$kiE@Dt~mwU6+fqfUxIXync`KDy+?r-YPTIRE)=>3)Ck{A2j zcVe^d_r7b_1&<&7r7mn7?(k3N`h({_{A%T0Z=&8??9-f-7WBzz(IOExTa%6#l{4O0 zc9cXalqdL`rB&Zg)t)D{`mb8_u2!M%&x~(8Ub`fs^7rwkLtYoJndzI|hzY%2^zq&E z+dSKfE!JGvC2qajVa=~i8#62HJJQOUeq>EPy6<#fMSZ^Z3ds(Z`?X>>1yn6G-|XpW z5t{LFZ~N7?0<5*~6LVfSY{_poJrsWR?LxQ3oG)*)xSq{k^Q$3ZYh2iZG?ssB+fzdu z8n#|nzb&~x;dSeY*$1@W*e>wmy(s@JNnYw!_UqKzEV(t$&dBj!>z^aHckj=&)fa3w z`5*pa94}Fy`1onX@_q7acxyI3n$UUms=_tK4lMy*m)PlY>h*f2CXc4CJ5|{45ED7y zHB@|RHb>I^S~1>*{R@)?{$JeuqbtuqxWz){-ln4J!aeEFoqG?z??}D1^@+vi--5}U z-=tT`9*GG0Z_1W$Fm1~G@5~Av{lUx}H~t@Zw$W4akFi9$t!zO(^Uael8T9;9yQ75u zurbYF&KyxS&r0sar5zvHl3%hf<c|;jZ=lAY_^*Vo;e{Qql*1kSvpfnGzj)kO_(ha> zc09S$d&Ty_;d+G~zxub<wJrJFu{6-G`RBg&{Hpl}rx>U#)?<C0o*&(HF;KDeiv118 z5AqM%pS*wV!f^4z^keZeBy*E)UA`9|@PTXY6W%BKHa*V+n19Fr5|9X~by@b=diROl zH{;dB4Hq`1OV$5;l2~(8I?3*LTl3~$F^@m$N<C+O`~UCGA3q-2evkZkRqpLf|D&z) zZ-oCopYQS@>C7p1-^LQTgQf~o?q9rcJ84N1fByX>M!Q~zbNn|mtMs~zqo-wD{d*_x z*N3}n&0k+wIq$>CUH^=8{&2=*-QTWoSmea>&C_4XmtAS*;xRe9tiEtzQdm`!$`fvG zF<BKOvCTz?{Uo+1`An6Y!nq;)<7Uy;up1jIjSqSG@pG%>-$*(axj6Z`!o-a;r%IQ3 zT-KZTVB4;|2{G?l>?OWW;}z3<DzwN~Gg<oO>K`?G_2xWYn7id(<KCwHFq4#osR;(w z(lKI33d+y&Dq3fkY;;UGt@OF%Tav*2sb^AipNi*3FOc}bvSNa!|EfdThrh4lI3Kky z`{N2pcR`lArzYy<dsNTg^X>m((6)X5&HL*&ypFWy-`HSyb!J78s7%K89mZ)FHVQI* zJny%5$EnlVn<Lb{1OJM1N^E|@<@E1lNpy|shXo7UD-)iVx;=MKz9~A}_WG_Cm;a3& zm!d>wXdJ)6@FZ(#K$tb(J6#D5CWWnKcdy(y{rFV(k)pY;b}O?*GWQGViZHy-Pj<b< zm-g<@_dSK0&KIP#S<T+hs++Q^+wkRYXN&i(3JwKt^4<ONE-#hlp0~;A?SVayw%&K* zG}#+Hsj}}_myx@0>!uG6RgbMY`TNoHMN78+=XklY;;7B`f4qWDGmN=p82wh)S-G@t zcq(l0(zEoA$Hb_srtQl5vlSbp*k7!um|a=C%1xH@*s~;??v0zaJ^Igi+U~^_i@Ud1 zGEZ2@Wzc2yf1gvPdyLKI9rukM1bsd-Cnuz8id5AZ)1b#M*=?d_*ZCRD`^2?bgX5y< z|A6Crgkv5sJ}Y3+*n4y1vFy81Y?)T;DmO7Jy<8=%u(0R9i1Lk&SJ4d1^jA*G*__Yq zzHW($z_xaQwXf%D{AQRGyHCmd%qE7{*Iuk$^K7<UxaHHAbB?ElnEopbnBDIq`QTCf z*(Wnst(?H|aPt(G0Ida%i&}n)a;~!4?{_9u#qi8i)wK%`GIo57_RxGAm@y$ich2ND zrP*8kO?h+E?nMMo?SA04FJ0*LMR#tWuJd_<29h7%o7L3*_S%(weX(KUv3+mu$iKUH z`qzqQ*A8(0VwU53%oeh7<Es}MpHFbzzTo;T?QE&A`Se@9T%18Q=bp?Ax4!1T>D_a0 z=U-;mb5iy^+v9O@_2J{QI=Oy}C_h!K;t=O7*ywQb+};)*37dPzKi0qR@m$Q#&Cqk@ z)4QYEL7$H<bb2H(C2^kgse=!l*UV^ey_?1NfbC@SL9y%|nPv~Vy8mcMeQD$EY**0# zSE^v5d_VbHu}IrAuCkbH!B{J&T_&v$Zx*<OtEg?QDBZbczL>pg8_R0VMRI@pZ$?%d zzvOB1w@-{c!sMEL&#W*`oKby$&i)o2=M)S3K({-Y_huaXT77uaByY<n$;WO)tQFO~ z5t(fqz*@_=A?HiCWW@DN*1R`k`1k@lmaXU5)y&<vLGV(iPoi2Rm&ZlLjvI$2Z25iG zH@d^RB{#cd-x`K+i^n=*nj$9JGa1dfH6P8;ZFSF|*8AyY_N>OHZwjlA`R{1ZND-Xj z`&PAD=6{!O{T;{YOZT_!C^*kMXGLLg&DR9+Z4$?xOq~5=lgpm&J$#K%^M8N4BK_Yx zeoOQXjpn1Xwgv5#ZmV9Xy=}G1l!$pfvzIm(m!vc^o=TbYa9Rpyk63Y-r55u`ySZn7 zHK|^$-{YRNW`p;ts}6UUKHV-fXJJS0d=FuH&65n7X$ddg<t`^@Uca<%q1V)XAJV^W z{T<G)-7=^CYt!2W2fkDlTyzgBXR~_{67hMT^Ht~6Y3KU{#An}o7TlSv@iU0`Ok&&o zc-t=mMb`7?-_r`VD?k4G$<yQMk38hFJ&#LmSyrF3mfhUQux*CIqm}GEr^HT6tXh2V z|0HX^$v3(r9sXVvV)$4n`hBMS{$#<NNzXN!^Mef6>}*@J*h%8dzQ;$F?0C$hcH%|! z$7Yk<9iM|+nwK1sO`jXda_0TYA57Z+pBX*2QR;U~jMTfJ(q+A6-Cpr7zNb&lJW80y zw59x+x%bLF%ejy4c$waFzbzvmY~Qsi;Tzris{OyRr93uz;aipT;@QnJE(a?PDJ~GP zkDa(sK$we1HfERSi+>B88MMo+);vjd5Z(4fgkw@dcctRe-&;2>D@s}A<oIQEP+w3H z-xbpozJLq1cYiM}+s=MAEikv@=Pc)wjDL)p!a386e(if_sFo6PZsjq*Chn}1M`54* zriWktnwX^ZZ}FNHNk^{RGdhbF$UjKER{6QUcJ}4j%zn#*pHHn^@x8rr@2kk(8&WB4 zb~Vj$tQA{Urv?Td?77!DFZU(uu{jH^S4VqiyghaI%buH#DZEE_blkmDky#d8%CWui zz8yzOSY7nhQ!K9i9A;uimWki>(pdd*U5DijL9gAGpH><q*PVBtDEcNM{CjK23~iSX z{Y@OQGyb;)t?$;ETXB4Y_*|Jk+kZLl`zP}6HK((w`yr3|Khqr7oSbiGP!lEQY<R`* zH^VdU$=><um1&!`9(YgtaE6Vk=T%CW=27`u{KgLjYj5S&#@*N%Q<vuXe$CBLJDql? z8%Al?2RH1EUaDoIlvk&;@58K#`71p*n?9Mb=UQF+TCl3jtmo^eCzt=HOcK0b%9h&b z_K;Wi(t<sl4Fz7sYwe7mupGV_`C{*{Ud06~U+{N&&6fXn!@-c>LiMxd>^<+S>kk}% z_r@)DPlob;<Lfe)8)q!b5boCf$#5v|#vT6qw@R)D`JW3l`}XsPe$=hvY4a*%c-)-w zraw%q^Rdrk@7nfo#>_{>K`R1T7qhNZd(r>oS;SKljivKtZ}BX6)qgVPNcM*3j{Q|X z-57U;Yl>Oy4x1&fu}=Qw^R<)yG=_5Jl=(4CNex@{MC#9i%QN1m+E|_Ve&EK7-0+j1 z)LCb2*9bdzmEoD>k7vt%?ooYNeNW{{?HvbC$!DhSCTjPUP6gzO?CuulX)<TkK4rp| zUsk`y+Tlf$kJM@A`hccsOT5#Sb7mUN_u1txp^`2!PrGr~w;8V*R{!xiGv(d%xTVu( zMQstfchPV%-{~JYCr{j||9&d=<fe?IO^Hjk%0x3n&$>D<p>^7X)_UQ8yZ5u{3eGvW z*5f~0g+cz+v(>G8OWvO@=yaLOqsy##VY%3yWehhii>&{vo2Ys=nooV&h8^+Og(hzm z)#K#a>{iEj`wi#GxoKDST)2Gu<qmbXCo1lVpCn}Zy5m&BxYW1`ls3N#f5md_Stg&v z-KC3X*3Vp_(XJ+-H@lawV&b!(=ZznoNo_f}^6(qSO6TIkaoahA-f&&F3b1qvKBUHf zoZY<q_SOuQopmcyMT5WSFZySCN{(COloa=uDNF*kd(L_bs0jUWKXGlc>qeuF75n6N zC7<LLb;uN4zlq_&j>mUboRwzyvMlb5ak6TItIg|TtDut#-m+Wd4;ZN!nn+mnO7YKj z5(_?TWc~S>!}OWwixYnAHx^pe_I<*^z^xa*bId#RzFId$GpBuh5{qAN<6=WKF|R%U zKU#J3tl!d-wSVy!*Ke#LjF)|mcA4+=-gYSeUGnzz%HEqjf7BffbQUNTc-SeQcjEL{ zJBE(?(RO{Y;S*zPzPNr+VQz^JI~MV()iNocW%v80{o)0dHC8_h)hD%o4Huex;Yf(X zR=v-fha<ilZ4TC*>Jh&&{{QtRJ^pw4yzFbgG4Z;z-hOw<x<mhZwWqDp?Yp-oN}o0M znYB>N`Qn@2vh;n6uPiI-5Z`&GEBuB&gUREG4u2UIH2#b?)<3vVMV56*as3A^79G#D zsM-tRn>zyzs%Jd*-%#MN*=WvzM~bsf9?;;)DPZsl`NJu4V5x!3f%$qfckgsPr83#4 zQ}k*fV?V=0hNTR19&{btn>Bf5?%Lk2ZIZX5ZYgE_t|{JcxbIxf<(pEQ-`-ns?~t^9 zbVm;V%_n!&{w}^fC%QGd=l1@yn{scz+Sq$Utvffwa8g&LsB%~9%f&M;@Z`Q%x^gY0 zAjxH0uHYqI&m2*&oU1dWHz!9dN;)%5;@F${TfAE~&)7M`HdxTO^o`q@bz0S{-h|y0 z3^lV)nXYnVmOx;o(-F>zAsiRgS~?^?`Cq-ia{t%;EB5pJ)%hFxKmFhL|Bvj?{(1MO z=jZ$XU*+GO(VM%_D_w1gajmuT+cS&je!MBkWgUF*+?nf=6HC+D<mPU4N#FItC*Why z7WXh2LGKguCVDTIwaZ+dnNt-Z{Vv5XC(p!PWsYu)W=zP=-E%g`bjQrRGa)AXPMS>N zoE=Tv>N96v)a%@G|3q(zk8au_g;3^0ACwX|gz$14o?<Yk<B&s8H2(!Yj>Gr)IGj)3 z-p+g|z*Mx&-&!V2x9x?!ZL+z}r)fHV5;96WS9W$~EV9phwoUucv=g6N9Ig5fDe!Ep z6lr5T^r2v?#}2KS(Dkb(u8Im}4HONn3~{ZRx+kk_rPRt<%e%}%*KO)-5?eHx<Kj^z zmn9s6p&iFW7j1G9aaB|b?(pGay|XR%_O@K@UlFI)zDU;8eJb{}%WhiHpQgLV+|?s` zjF?u03Qm;JHVm^7lUgXDJ<rSJ7@MO23j{GXC~!1^kW$iZkMm4S96kyitqlwe3`|V7 zw;hf6$iZ>MW0FepnhL+3jgKtX-k5p!t)F1^g(EMI#Rp%`QeAa-<<e`8x&O_cJn{TF zVTz)5+nm{JW|(-2Us6(0UsJ9Zsw!pMa%x5Lvu#qJ8I8p~6eJ|rx|tJYCVyOZn_0Ml z(Q#pd0tZ`DBZHFw2Mbf9gMfoVhKmGqV}gQ&0b7%!@<VM##X83J!-{GKA5M5I-P<)S z&(eT#!h&MMrOx5rOY#HHODz>B>^|FC7rT@t>f3dDy-V@0)_2^@_<btJXs@D?(dEpm z3n#EtO)&J&$rd}8-&FqJD`}ll{kAYC<CPn=XRXZgNtx_qe)z`1WqUky{Pk`$uM=o> z5!~%DVS;_ciEENs#)sd`oUo{tZSJ9Wh5Iij<wR`!b8|Wai*xds7^U=WJC5AV+a)We zDv=qmYR`caTf5Bvw|1}kroUG(N$uSN_F`${G?t|GTT9;>9DcgTwJ6`)efzc9i+<Qy z)!FTPbLw9P`@>e*pBgq(AHKb_tl;>UfP=ID`L-IBIXsNA4t7^4$gT5MIL9-A{qbsn zLchSj$1a2{T_}^aAl+}h|M8t6IoS*HBI-}n?O9N8upmR`?$imgJ-__dN~WK*^_pIo zbVq+)&t>MK^cIGOb&`)uJloXwYZ#tm@H;b?xrQso*rAmnGu7NQ<46Beeg2=>%?A<= zncNU-y~ts$)#~KK5@O+gvcOVXStM5FeDeOqXJy}(fBD8f+xo`82AN4R3Ff<^{&e5j zyy<z62FqT~wy*zEHvC>DJ$3n&HU~+Y*twpXPbTg$UK3p1Se?fbT)sNoU!+U;ZfVx7 zSJiu-WmItPTCw|li1DX{;!G>AfRAb0TRwZmy!K979g^XF;9qGcGh?H@%Zzd{PmUGV zq5Hq=d|=q(eCy7K(29c=>6+SWD&`bB<#~F%n|<WOr_l7NL6=vBObw0l^>_6P+G?}s z8r#haT~2FkLvxM@y;k0S&0)IUgcb26c8mPPX6ni{A8~37b_(zcJW$V`BKN-bl#SN4 zw|w0_)0dU!_Wo1+TO8`N>d$i)!CJ{vs!3+WqEEWon6A!g-6MWYVWUsf<)3dq&eJ*E zlycs{O-!<WZrUf_xV8stER&yA*UK7aR~_J;(W;y6w!BriWsO4dyq0$&f)nSZt^2?9 zd*-h7`-)G^n`X<Neec|hU-nWZ7GlQxZivW-HCvxq-tcGRLV0uH`O|&)R%_h3```(S z+|%`vwZS)ct9Kv#bSEob<VEJm2i1PX)m=$}$@#9%d%vEsNY^^bz{GW+htY$ZVfufs zU*&1_N<Z_r*ln79^WGaaHYLq~dv&corxqtX6yo42<njK?a+Ry4@EKq70iFr_Ed2v& z+LoO37v&Ce={(RFf8Ju=qRv-LmM7!qd^&#oY2hq;!E^EnvmdzBdoS64d-;=)`Y&tk z-PQUh|Lx7GKj6v0S77A#-TmX=m$gN6Kjf$UVO}@w;E4sFzm$AZJh|ucp_FBfJF3$s z#HLQ~*PXlcI18)h=X2(teckrC&1Jkc)m6uM&VtJ?J|!OAHTlDpWe=9EG?wrV4LlR3 z-od)GXlADRj9i_^*6ru|&z}1<Yo}k#Oq2UkJ=Wa*X<R`&t(qf4r@r(lZHfrfx<9il zYenGsW#vERju=e4?{b1Ef5t<3mk-9*G?fp3{=L^Kz54fSCid>RC;t9f>iqj$_soZj z7Zv*P%)Hum)!KTwS=O}F@Ygx-&zT3#D!k=m9_J|jI%nHi+4M_ak2LsAo4hx1gH~d{ z!y6O17ytU|6)(TrDXJ!R{ob5=4R?1O-5t5CTkA2?Ri?^GEW2wh9{#-U#r|d4hw9{j zsAppDm}?%ZeK~tN@gn0H?kLfj8y=@+i*s)(c=nUw!4z%ZoG-#TClePwaGJgC=aria z=Lm~%9xQwE>Qez;_q>?#}tXv-@HYx5dhD&NstWi1$ia9ACimyZ75aX0hM30a3{b zyBdmY{0{zOKB+XNk}=q$pmN)?XY4uCN_|s157bGY`YIv${w!b2*{#=i{^fk|bXL$I zH+H6+8Bec#k}#;LsC_flWC4eq)`Q)u4d!<amP*?fnAg5dU2Rjq%H)=1DXH+sLG_Sa z()4v+H4d>7e#w%4B@4byw4c^^A+zQ&r;OMFpUncxw96lyds3nm`m%v3reNb!SBCm0 z6Pcfx6`WkMX6wHHjTSrG1p;O^?p}Fa-cPkr+ko%u>6wgsCdytnnkT!Wdx1#c$>`I% zb=Mn~J2Wmnb<l~svS%^B#q+f@#iqT|6HjH7K5iF2rNR5E_Q6@c8(R$XJFGQMPJYVY zk?_X$h2`$~*FOYws$Z37$arh}<MT`Q2iw2htBs3&Cc1Xg%g;~Q8B*Tb{+JVUR`j7s z?Y@5u0&DlS&!1iIuz%}!n+ws_Yxf9y1~Az(Y+L*NLHauT*xfp<{zC5<8<yQWyx>e7 zLv`?bZWHNMMfX)cMSe*s^s4#ryhHv$^TzM5G(WRHxqa*RSDxo`4ey&yKJNU;T#)!~ zZ`Hi}@m&&pPc=WXKS=wq_rsi@%w^kt)Kwh&!}!m4pZL5>cbI?Ou8FV7`p59c>^}GW z;`#$Mv)><2KOmn_e=z0C&T3cLQxczczPC--clG;|;CK8T$6o*b%F}4uFz4>~M<*Zf zC!F~B`zOx>_8G>1<Lp^Wmq`Y9|GaaQ-($nye^pFH{5Q^i|NXb;A$x(rzj-xv{}^hl z>(|e>u5)m|c7O8u!=JkxJpvs)|1hMze$RPfzCFXN&)>Or9pKL}_$S9u`=7DGx_<qF z#?FJgbPHK+e>48ryMOw5hqD=y%7vA6e;Ea24@{ruUGLy7{K515dHIIL+&?ZAKj(ju z!EE=kvTB`%jN+}yPxv3)=csw~^Cxq`W0rkY?|v}ejcoL{v9D+7+jHRh`MLHBnniz1 znQ!k9$HTZ|?t$xd{~8jDckD|Ly0J6v_mzjbJMMD+`4IVC=u!gfzB;}d&W)9g@)fJj zGxxkbp#GzV^J1b?tVNUlJnIT>rC|M^b>~`IOcw5oJ;-jC?UZY#*thLLxP5&C%N-Yi zPpt8ue=@UKE#&Jt*gtRMdqypbX8pQvEjNC*>{)v-z4l*Ai-Tg`y$9TEe;N0l?KFsP zKF=V_#Tq^5;Po1z)&s%42anr#J6T>m_h7YsuhWFg><<&|`<<k>oBudE&tswTZMmAg z=|LP4Zw2gb1Zz%NX~r4<J%;O`+wK<r?<riecg!A|SDUrY%bEY->O4QigzbSp63#Eb zu<W*G&23>VmLJ==<Zl>jZ7Hm7z5j0t7wfLIhpyNAwK^onAAR!2Q}mRkG1vZ2k)mEL z)~)ORY~gyjEuxkG*B-99Mtcv5S0%MRm|phK*><y&rN{9PtLH^6+<e)j#`XN#3D%cQ zYc5UJ7J0RWH~z&<(M9#Y+WNnI;cA_8?4fv7UF*Aqg>%n6%swypMUEjbo5RTegwRJG zZcC}Xhs|rcT6wasJ><4K?lj}*+{4G`rz|v9JoYeqeyZZ7G)12D3FTRFLiMv+Jq|^+ z&$mDC6ezs+aJ$V#Cr-29AEf7HE_C13{A1PfD31)?D@R>w4($A^99pm`>*~jfO|3h2 z`W}(Dx$We;RqoN_d3lNsi&}rMp5Gp@?2Kd0NnyPf$vX};ZzRQksfc^s=Ha??P9%AL zkz(++${)SwcV4)6&9dg9dhCfA7tLxOY}T6+c*eBmYo>UTQJ$3DpGt8r=8ru4pLL4Q zde%B^FaL>YVsXOdV(dG=INjgXw!fCG(Yac2>$Zp2=N@;o*cZ^f?&0NgEPMa4ita0o zl1R9Ds?pLi-a2er{DK!X??2cvy?kV?Kl31)+3K*h8%?T<OIQ=5|16O-ZT!5HE7fiJ zBMaqC>-P8u-<)$gQ~6<Kdgc3@o4In1%G!LLUsIvWeK*+3;*9VO|4;KSA9UPs!1wTh zw8RMGH1*O6riNc`n=Rm3YISJtR1Ma==k}Hs@yD85o=<nYduQe+t_C%}DfebF1uhU2 zn=--p{+_zKvcb<c<tlNUkLnP9CByU4Z1yhwz#DZhD!u219SrEqaa>t`jm1eicOLW7 z(!ObaA`_x46FQ!oo-pn{=2|_?$>B_ux3<60k!w@zOg`zfGMxSTaN-A>?`j6p=RLpk z99q@(va@3z(_2oRnHsEnb}@JrCh$rY+$>pQx9n1OSn$-KQeQ94V6V=9>Q~D-7>_cX zXL!UAZQA8_SYl>P;rZU8n4?LDX9k^qsKv#w<4w?M#gz*(mSjB93pXj6zIqh|C_%xj znGhfd1wa3T0O)#|+}nAe^CGsXz8CAg^AsfdA9B`28CY0XvwY{GiMw^Ac2-Z<mfCrG zDmQEH?MW)0AjJ?XR;}uQf;1>_fr5Wv(?I>7+uOi~<${mdSke|3J?RZkjsTBE&g{&W z8y+&TFk2UD9o@NM@6nX?j6x#4cTz8&h<(QpJuP?VG?neSX;v#2E>fIXel;|bdr``@ zn`<^NFfN>Ve%BgZoeswh0V1x8XG+YBc{K00#&Jurtt+xbdfN<*em<Hf{d7kctL_So zE;nOCqn$@=zX$de>!cZ8)sW&%PWg1y*8EhVXtYP5NN-wFN>OL^yTvlkZ-}pVyO<%N zJX!zU&cMFa5fu*?X-J(ta^i{5_dD*E=Qds{UMv&7k+pkKhKaE9WbZr0@=q<?Q%{Qa z9!`0@#-(3!=7|k?kDs(O9Bvla;JHCS@h}Symr1~l07fT9wm_4j>B{13Zf?wto}|+4 z_HI*PC<M4d!^^}N0+gYV_6Zz*`QV_t3+_jHgO2%7*?BT{9W<W1|F7HN{Wc{zbZSSN zvDn1_+he<?Ij=KfWsnj1Q}$Zm_2Jd8T)rGTbHpX(&-q^q^Q502wmEb7aEPd&?z4x9 zpFV7Oz|YUe&u_;VxI0z!V$X^E?J7-dEJ7A7Z?~$ZKL}NIF-dmd`NMDh*rE@Fnp!_3 zXtQwquD|{6)+B+n#j93H2>PDwnbNW6zwEZ%+iXtezLrV4Uw^;s_9m6>juir5S1BpY znkgvw^WX1nx2LJ@%;jsoAo2S2kr(&3>)+kR+p$XGqQ&8^EBo`~qa=$mm}OWzC#h^- z>w5U;F}E!s&x`lodD`Xnu4oCD|KvMqJqpLKO;7<RA$0Pj!uH%~mm^^&Mc_m#3emSq zM{4KkMH8)`ZKyTxp14AU*WQ^$H(1Jrqa{&+BWYPuz*$+521YI}7Cr$UISwuf5djV^ zE)EVJ9zFpvJ^?8?9ySdQHYpw<0l9f=Y}wfkcB{123ntnMtzX;tZJHTtjE<zlQQP<Y zE)&;D%=Io>^W?j#l$>v+c=@vMg+F#}6fWex>3aIG!uL<-wrGj?o0m;~r@LX#O{p2y zTf-lu96Zpg|McDt@$|FO$NtZXkX@4hddCZ=^nh72zVn#QS=n`?DoI`7Y=e8~m5Uor zu3`@DOcmzn*eACvd%`Jy8KKh|g)cvJ)H`S#l;}U$8EAd@^4VRzr*dAOY?!B4bt*+_ zll95X@iUuvX8%%@ydhOyB_TQe)Ru0G3wv04l>!^mr)caCe3kgl+xEway9*qj6iA+Y zcS5AHTJFN`?dtcY&G;Vd@BfZrLHIMF$O(Gp0Wv<@bTxl0^-KRZ`=;vlANxDR>t4Da zoLu?v?#CPYp6&51f>mz6jd;T*nk+fOv)^ywOd084&re^^SU>F{r{UVlOD(gu7_<NL zn`HP$B5_U19CO{!rfvSoW&dP$pVoWaq9)*{{CdCdboS%@XPrM)Sxo0L_>-!1$wMzg zjwQS8gr%2<q1KZU69wLuj$;O#*VdTdtZmqN(bt44w60~RU4e0wkZ;)Dwwdld@^(Ef z7q?4H?w<OaZ;o?s+!Ybw$MTZxqFZg%kK5iZ(wJ}SA-Xf@(cYQD3ht{8dwsK>>&v3{ za*==c@_WaO&FjL_R!!gV*XYvsQ_)cqiyqtk(>=_#)J#M^Qruwf3bV^EoQif$^Dt|j z=4_|DSp3Y=(lZNpB~KJ+NeHOe*xxUAGdXGdV_9pt)Kd&wlPfvyY5WN(H{PnWYx9a( zE2dd$h(Eu*t<3a9?yKEgL5B~^+!8O$I+6KmK39;iXt?8Bk(9Orw(<(UJ3BR-{+p|} z^-kSo6kYdprj*^&S@%{pr<`i@+2~X5rC{me9JK1Pw5W&Q#fh4)zPO!iZctQ-744i@ z=Mwg5*5q5EtC_aF;dzm`E8w4qZg84@8-EkW$q!qkE1f&v860f&(};fNRK)9a`;GO+ zBf-`Y>z7Q-EC~(uU0HSN=ZBz~y%m!>Yd+@q#Q$fRa4S=ArN`CFY4<u3V;21X_)%Av zNv2A^ccofu;v(;t2j|_`fAZ#$8L#fk8!V1~x24XhurbHIrb$sods>S@i2e%Eqf(}> z?xw#Sj26xb3l+>dbwP8Lt-iK~;1<zSi=?ikU6aXD{oUuwCARyES}%Y15?!kg3|B;- z)NI;YEnn7M_xRFt{^`8G#O~i(xnN<`wF9%KRaq4M`)AO?boA3w_XDwo^(88G^A7Gj zP{H@PJfvy$hKld29Ts0cB^`8$dBM8(Ywt;ZnrgFG=Pldht651l{CeThr!SZp%-n8v z{BuFkmOQ=pjrULfNJ?LPNvI@BtGQ8eqeiENvbt}oz~v5^eTA+%yUWT1i@Fy2I%svD zs62M)zUfc)mp-;mZvQwXLN0hXh)lf4)R-F+VzKEo3%4P&^=;=s`|FOAPKkVJ4SwnF z6Eg9uW7lPVorr7kx6Y`W-9DA}a|N5o&Ph*iZP+u{H^<L6+jP0*=4ayOuWM#r(QXat z`26%@*5+9&?i~<zy4z(TFI>+r+beow&5R!_W=*wvqh=w?-0uGH*VRMYCE9Luo67Mn zU$D2%?s}^`^P~6$&dYw5_Jz(oAix*6+xx{Kc77$!wVQg<ugqJJyZ7of3GEEeTXWv+ zSk>e3PnL1VAvyUlmM#6oO~v;V4OLt(pV*{iI_WdpNpFW&m8r(1FB<Qj)NKv12ulr@ zRBn13w?W`#fZ#q36M4@+4(ge2o_)Ig{qdIAn`fewSFs8dn{H%@lk+bA*%ea6xzzg1 zookP^R+@x=)LY**A#N_0@4tzcCS1=x^iOKV;lAvg>AlHZ&L<YVGzzc$yff<W*)5(7 zGv>ym`_yfHvZy>%$RT`w;=6bJ3sSBr=)c@&s(JmF%UR}i)-svjrhN^S)7}u)XdHS% z_HOpwN&Q@`NeNb)S4~)-)OqgfsxKx2hxV?&qF_5`+9SnF2QKyHe*LFxzV%#9$|Kb+ z4%<%uoOy2k+ds!XYuo8OPnNn7X<-^wa7LX&xlitG^t;LpLNiobV>2S2-tE^nm?5zD zsZ8C|iE++H<(oeR@8_B5{ON0@^V2_y67d?#k~J&^rV6+|JaFh;f`yrs+o9^La~l{P zJVf@&w>v%*uj#S)Hs|b42j8Ecx{tYUDnD20>Qwd2E#-RnbCDPpf0xptB?@8=4owR@ z7><NDeV0AzAMxm%)RQ;j!s{HuSl(BBwmY(N-%70$&a+Pmm(5By>N^*_<JLOw(uIW* z^MqdZoX|WQryb69e6y!ln&z2HAxEng-w^S>$kF%cTkgtmt|)0I2Um`T$}HNPFQc3u znJ!$azD2CnVzyK5YJn5lEhgNKcb&9$Pq?DLB~(I6%DLxMz-37$ZC=GF=MT3gxaE83 z@vWWhT>M(#w)GVw{)2a%J$?(^v0tJq;A!4bCc5aNVv?TV*C>|@Qi7Y@Sz=X!X1g$H zU)(o6!&rFZT^C<#!7KhPnj#0KT~|$2a$KIFFM2l0bxu^^@^BUnF-6mEhU|&AqE!;~ zZtd<4s}{T;e??ECv7&v&BgKs49`Uv!(Qdy@7cWgeVkq@>MoaUOPtP^Zwr&6WVsl0G zQX#o~^Htl5cO|x-IAgzDvu^R;f+<NGwX2rjx1RQPlV0`8P~Wv8Uzfc!U7T;6z5Vi3 z*U&tx<(W~<dtct(6?4_=`p1gP8=}3p-%k~Yo#p=NRmf+S-B!H0(c$Vn)90^FUfosh zcKDg}DvRLAxvIVukHvP1MkOvW@{7t?y5mm|SKXa17n$bbh&>iuuEs^?_G;$$CRQu= z9Pw|LnX+PyD|fcY+>Y?6>+UJ{TWFr%aP@HK3NGh`dF_vjk1W$SHOySbns~*%L~zgb zh4-#_<>g*Z67~N3bwm0I<LJjuP4k|${NwR9-oNzlg{dy>oeR$2?z_G|&#h&)Pk7f| zskGSQ1=|CTY4hJ*nb*7F!=pKG^dcCfdCasP=Uv<SCaZDXLr(QGAEY+UXj|$jeP4Ce zLYHQ?<{R5mS@kSbUn`~@{(9zN;VRK77riGM`vx6Z$Qpd(Qtk0#M~;2{$87m3*G4P+ zJ7WE9#Y$lgw+y9~VvcL4)?Z#BVZ`&q^Y@eUy^^#4oO^TS)X&5JejM0$OUTf8Qsf-3 zWdcg?UvWD>4N~$pEN`*@ymf+^W4PZ_E&YS`Up7q8HaY)r?}D!dcl!);e$Ab+N$;YG z-tXLJziek*ioc$DXP5XB4GG8Un_S-&bEmK$%P<y9Zc;t>;BSZ8>q9FJ>2t{*xtF^$ z^u2BRCRPrilbVZ{yqwFb@-TUM$r=q)4ZG<poOL41Ehj}TVbY4JX5?+S^-p>S-^qX_ z&bH0PO^mD3%j_9eEn?Xtz%!9cUb`jiA<KS?mPLL1izaxQX&bGWR9$e@yX0d-)M1{k zb^GfSE&n-rT=}t5BiCWkb@nU21&VfZ3GVEA()gfHRccjTf90X4Ow(0GH*z%0yLsx0 z*S!gO4>`Fy9z2?JUHj0{-Pd>AeOwpE<y`+thDUbij>8k;m^?Qe5-F=RJ7$^hDZVO; z=Rs81wXlXa67SYJYvoI(q|7$Z)St|mo@((WMzFoUMv(8;g|nW1*%m?n0<!k?C;4<_ zIIr4zG5y}T4M)EI?Jc@r{rlr3f3<&Jv74LkTB^3ce{=GZ_T<OLdFM8%eBXFy<>sG4 z>*g4>{ZaA%==0(EVfnQ@5$C2Z6g0p4dh>VDRnOx0RyoDC@jaOn#TPO^<=i^%R=w~` zwc2-Yir#dZd?n?R!<DK}Cq+LAOuX_#q{`-$tbfP4EpIgP_E-EB3%;LwqNh1-@iD7R zIhlt2FHSv(yS3@^{9DeKJs+Nuf7oz1K4!X5kjgCe*_>>X<U1s<+&}Rq;D2yh_dR(@ z>0j@CPcm_xJbiuN1!>;5w<jq5|09-kVAr0<3^V>c>QnhP@fKg9VfHnz=PDoDPk(D+ zwVx9p_vd@T+Qfk4d+d!?-{E4}RkK4_ujAGy%hPM}=lOhaDdw6lA-G-QTK>#{jIK)e z3tsPyovan?4&7XFWX*r6uZ}bNIg%&b*gD}%zINW`Q>h;&WTXl&iD)axJ?>+xmAON% zL}ts)Q->B@SUs~ca_*d_lzln}dL|zH_<7OdmAz3yYYg1%_#R&s(=u4Qm@QtR<^t<f zwmU~BMjo|RT4ypte3jwU+CMuMq<wtad@t+KM~8>2Y)|MEY0DmVWGk6I|CJ77cd_Mk zu7e5=I`&l+1)p5oKTG?_2s9mj@F++oblH{1lNQ@OUK?L!{#f|>g30qNm@mIS!YE~{ z?suKRtnkaS%SRZ^e)-I~$B_K!g->}Wvq9A~ixu&XhXgnTOqe<k^VQXs-e0&QdcEVy z_ujkp7UXT^j#4dmyUc1I(@>LQm69WHZT%|a_1;Pk{<3L4UMZ;^U@|k(CTZ%KO~=C| z{TXs{9=_GvdE;uv{YjjgeCKJs)(PA<^RI}!%bKG<WGd&h&Qf3T=Gq5S^CM-GGoSuA zt1zqdfkj4y##~-A*_d-X-7b6*=vBNRkh^Z8kMfBZUkg~%)nzXiteG}5_2v%I<#WWs z!W^<nmN-<rd-!;}`C7fjW!!xkmQ6DwbXoqm{Rs9vtMO;;(i6WX?`k>Bv2X7F(w7s= zmJ0}Ka4%eSK=mu@-PtBK2d<uI>^SXq@R^;8Wg&;2%5@eit1bPEXXpH2TC{E9`k#!~ z%jN{h-H|(7visv^rfJgu8?IfNv)<f;?Zo$%Z|;p6Q5o#p3jR!bcF2C>Hj^WMN0V|g z3r_^s-}{@(dpTbBq?b#J)Pwtimz0}C!{Sw&)CHb%Y;~PgyZY*49f^lmqh?9G@s)X( z`~U0OKilV?6?|AXU0Jy5puUx8MMwAor$FJft-K7zht#6X>bG&8v%X^=d~i?XU-5kJ zWrruLe6tb{U$#-s>5bq1vsTh~N>r{zwkw3F$2(8uEw>hWp?00&$o?O{3~%`qEDhY4 zXX<ppw03r`nZ?RAJuk8@z0p<r8#U4Ko(Suc9+y?+7geU{2v2<yr*v%HjXfn|cSF1` zL>$-1QIB`Nb*dqnXF1EQY>uR_zf6}#7HnCV^wzX(K~}L??X$#{6AP9GpS;2QbCa~# zo}&h(t1o=h2+#|i6`SO<HL3Qkk41KeLve55i+y&>RM@;va8;STSmYDq-LX<_w*J{g zn|TFI*BiE6ot+)%C+98O8Exg-vrm(K+U7{E+9eJ<wk&+|;OUW5sgC=D6k=A27;Py_ ze(1Geo=0;}O-!PB^ORPWgK>{zr3!9ncOBkvm9;QP#N@W~SypAG)$g4$?(aLcMBX@b zUucQv6o20n;er>-a^lZ%gwN4_mDkLXb$hvCdTa1$!K&o_-~P{XpL|dH?b9clVmr2- zJ-)*DP-yzmT{i^+Z$I-W57}XUMY-ry@sowSu6^o}KXbG3lsSWKO8iESm{l!eJGq)l zldSsP=Xd3;`~T?W-WSm?wJXzlPtS6&dy-eMk3;7^yUPh?MrMP<zdkoiyud8he(c`K zu$^{3#wI5k&q<wK$i{t2GLOOGiC=sFOUcNOoyJ`Z%1K+4r`|nK!^WzZxZx^eM!tT% z$CRnz4bs`M&&}&JcvQvShIIE9SKinqzdyLR?r-t~k-!M|SDBV+qSFtqE9K_3k1jh` zxyCncYQAZ%(Ds8yH$ob@Usg}KS*HGmGlW6nS#qkw1<toG4PMD8@19xwZF%mT#qzoP zEp5v#KYO!uttq>>NR7`F507P=PP%m5nE&<JhQC|4{5w@MUoCag#0oc^1jT!^<kN*U zqIWDhKGR{l=BaI=U&Nkoiz$x3=DSt9d*ADVp2W>pw_U!Ly>Xq@`b>jm3tyLQjhX)X z()S(POmEFv7R<FbZdL4gbLne$%A}UA=-PU1ckbm)(zVy$b}w2dBAb4zW^?B5ztL>| z*VRk5tcu(<CB<xMtAD1sR^b)HY;(PvU1c^`t}tbYTdvToS6!E=sp7g^>g&2T=cW$7 zualA!L-K=k{bl#e%YWrO`9jv|p!9pw+tQgfn=YL8)U0Ol*4^K~@9aPR==;3HEt01{ z|Gihc&v*OtAJdMS%l@y9JD>S|=1k2OwT~)vzRf?sZpJRXJzcYlxkYF1nIIGY-sZ(^ z3+u@@{Qf#8@#UPB&o9~){L^07DrAo4?mPcZ-r>~dP0^BIxoWYa|K-tdJlk_0>mIt? zdiCGx#oxd0mC-Trd(>SiA>~mjzBuaU>ZKF^&H0kNlwZI0N}=85C|)rU^{ZtYtd2+? ze}1J}qJo97^u%+?HQ%RizVhSSP3|3U(z!mqO%?vIz4m5PLaOp=w{-$ry^eGVZacNW z#rpIfQ4Y<cxBh?j7m}?$m}#B;|9ahq&F*sZE%|;Q{}XqkcFjDNTz<7*^OpDdpFN-M zzOH{u+_W80W>&9TrfyQat-NO1`HSfrUgci$EMhzM*rIdtw`ZqVE_gB=yl|T{czS=H z{|&teejnGRf1ci-QuU)$<Mjr~!?kjOe8(qtWX5biZRpo*`S^xO=egsxx8EJM@aNF; z&iIp|GyAT|n~zfrC0l%!9e%MhsH)D--(scv(N}^OtHSoolik>!`t8eV+X;8&a(1#` zdlz`6n&t02+k@$eKMVqDtYqXTJZV1jsqtb>iH&^WqVtEoM6R~Gv(CT%Ci|Ulj#p|Q z)XIxKbU*du=8``>bL81xE>Hd2aH&pUkG#c4_wJv{q4i;R=db*8_}ahBtMw25%Cj(X zoMX5Y)Ud?Tp<3ud0;6Gu!(JN&4MC2REKTc}G-DMUB{TN(Zn@fcqjteG0hYboM}(LY z&p4E3EohM5F`0S!8wWkx4V=sq)-Z5dGc04V+1{{d(u4J?5{ew1YJq0`mt>a3bw%BN zAkesY;*`TrPjAxOsyA6dqw!dgPVBnZi{2MldS23KIV?~buXS55pthASXCcF{zzLk< z6J}pxyx}Ftb}{s!z}z=`mrhw}*>}gEb0Wh`hAxKfN{1P=b0a6+zNgPEBI>kem7B}# znO@P;o^0D&ue8a5(TFGKX7&5M-|t1MwKekU9E;edb9xg9netqbV9V;6bVt82w^QxR z6xH&0rc8;9%$}fAVENNQR)-lZlM6uOrxtz54=l<gZde^VwM}K`cG)y_FOI-Pt2_iQ zw#?MLZ8YJ|?YJ9nEO^csPTSBND$?PWR&{#f<1+O?rw~!rMH6S<D|)iy?sP#(g@%L7 zZ2VD^Cg<w62rOE{(mC^`mWSWAyR98Lj%|#J$sOx-J^i-bjn5Kw>X@`jY0=Eg=t+}v zzl#e>vUNEH!hyyq0|#XjIB=Srn6T?*iPc=c<(FTUZ02Epo9kJWc>7Q5_C+lMqG`*v ztDbDl{XE?$@eFuC8Z@jA9-jsiP8@-ut}Z6Vm$#|x%>A#V)FKcX>f&N-oa;U5_BVZ{ zg=;6&M1N-&oOm=MlvQcc%$>TuDL2&qD=8_kD7u8ix-33dutfKy=FN_MTnY>f49X8{ zyBidkB@7Y{G%zx=oiMwpb~|Nu&g9&mkO_+a|Npyk1~MvgC<c9Xxy^HP+mkbHUqlb} zc>I2{ZQ^|akBM*Vb6RJtR8m?s(~Ko3<+psGw~%12^3J#Oxwka2@Wn+HDc_p+P@qL% zW!0kGww&mqH058b1kzk?sc-N7W7YyTKsj15ZB<9dD&9tsoB!Nhay`3R92gEZvT;k; zXc#PD5WKkU@8l=j{xVl6=}q|EdPnV6+zJ<_?%owojO0HpmNsE<o~W=~q&a6rl?Vf; zgq4s0pO^-h2p1a{7ato30~;Hgo}2;~n~H`24@=8Ig^dXd@(SiyM;C`Os=S&N`M=}x z`*l`jl^-%@UJc}k=s2?Ped~r7#-7vJ7~8^>CwzPsGWEzsp`~*RrFTT9zi`-oxmZEK zL$TRqO8m^Y+nvUBsokt6UTS=pJ!4^JuR{EviH~1CO^yv;<hOKscjRHE1HW#XXBB*X zd?fa=P3M`7avgWgo7bH^t;Y6Z{kDkL`<6ZtvDH%AQx&^f>;G~t-wVzQk6g}A-TF%I z{J)et$(!r!9{nz}(tOI4&+|mSRAf?YvP|b$^KExz>op~=bhWN{Q~O`=x!cy0Z0r-i z9u9lrHQ}eH#>@jtmh9h9_%`g)&CJbrm=t&dWVU~_oVJ$NZL9pQbq*!Yf=Y?*omT3{ zmX|Dy>5}@mF}G46^fULoJKvHUt~hOX5_P)EGR-kT_v;$P`=$XOR~IpOO^LObc<!gi zZl6cZYg3GGX*ZfP#H7sIxaqR^)ME?twwW-@ZFeeptKc2{bKah{Ox|s$6ZuUt*PJZf zY<)KG-6x~8j)Y>_@T3FrK`sdg3b`G+m(B|PTKeR;v0sM6(sv1ZGsQBm1i7TT`3k#C z&gD$xbC+&-@K`uA@yDf#>o=bnwI_c*@pR9-U2~_Oekd=Ycqi7Oxca?D=7yN+A2rWj zY)UJsm_FBW>FUI5ntt<g${73FYRex@n6tZc#hLqgC*uDXpH%#0eM|oDF^_5AYj5h^ zdwl+#ci`DSS3-M={yjX^w&>e?$(&ri=<Xzsvv;R&xg37|%ikq|rarq4_UF%uQgi26 zFmHO%m%B&)TmSy3zH0Zac%wI*tN$=~g&g{BzS{Qj=2aX@Yrfu=I=-$hv?1-Z(e=x` z;oUbm!^H}8H8zT`<xqI4oO^~NV9nVFr(Ai{5>)FSzIu>uK1(vWGqvY(pepBwmopi> zwf`he+S}5h!Kk=a+a#7re;dn+*LP=#=X|VNX0>zQ^FM~4CtY$4Rh(<O?1P){w4TPg zrpw}5+Dxo0=J)&W&Yi|`O7!6}--4>pVD%j53DyRC8LAh25d7D<(O}OumZ~p_t8*fD zZcN;)<@e)I;`@k5wfXV(cJmq5{NlgWyyk4pg@Sin9QiMpL?$ISL^*ibER<Nl@l>AQ zky+-kfbL@U$-fxvpReC*$Fs=dm#mc8@23W#eBT3CKgv6vDrq}wve?oIZJ$+Q1LQ>( z{Hn82i+gpcDT=c|$o#}fdq&^n3%m&%jO`zn=CCe{4s|>H>#(fz+S#isLv$ijLZbHH z@XqBdtL9Y|_wnlFG<NaTf05YBWyBPw!R5<2f9<yP=!OZ`I+XXs?g-LS{j^T2sW7(N zO8uR-)x&*e6>DRa(w1#Mmy^X6KO=_Y(if?DMY^vpe3>Nm_U%I{j_*vNJd3v~dw2`T z6%|{A>NK63)orrm){JhxwUh6;m@q$J`z*DUa}h6_nsPYLWDkWU+;=n|J@x%9X?OX^ zbhelFRl3oeEhqPG$u>9^_V9@Q%)@I%b0n1RZBX9mEhoIR-@D^*vCzJVMd2!wr%mZd z{;;*zaq3E$1GO?;E&`MO_S^fY^k@nO+?jUC!elbHhI`xTeKjs|HM1^HQutI6Jo$uw zO!cLuQj;Z>gFA1Ba<A69qOvUG?X;MN0;lLS=XH0SN*V6%5>ykYv2>cJ=j5z!{UG&m znvCFu_mRgc(_{{A{VceA*Zr41N&2UncYb(TcCg_2riY&@^UU5&mirfO^6$?1(@Q>S zAGY5x`=>O|+5fEPqW;Ryk5h}cp0%|{^3tL?^}Ay0@0{k}aQJepgF>GE=jkUkN<&!} z3Ex!A-NT(a?;m$r!hyN_&+>2in!23f&DDUsUn$RTe5_aIIoIXzK0{dgl=Ibw`TtU9 z^uC@Q;``2IV|Au=ljHQf@-0hx+oo(^92<D~;`~&H;})^JcO#c+9eY<^Zq*$olHjuB zhSD<U^=3RrTfW~YsW%f8jG4Z>U}3{KC1I0_DI61<x8;SGrdm8ZKSS!e55udQ5wXv$ zUWqw{Pl)Ks4v6Glc-p0Y3;U*t4rlVVxVPQbn3VLq{y^3?w)N7Uty|A!JiK<*d*_k^ zs}>Y&RP0!C-9}*hHtvtvK_OObl}V{g@0OjLv_JCpPS>K{8Q~6D6Yl8AWs97u{de<H zU?#Vr`m(7O2Cgp06tsHp1l{`UJMFaJGFb_wLnm~X`aW=Zt&*5uS}3UNabV8G<7V9j zCdn$dKKakN<so!G^2?qI?Z5h7iObLH_QnK$h<j4(UH#XMBld3A(lDk?U8Xzs@^o#B zo8quNBvs?6`;tvkc{e(n<hDFmq<dkPc+&e*1|2WTX3jqGKvVpTRM)0!e+4I8dn&)T zEBURgMQ~t=x4yR0lqs3&e>GOgF}*BPoM|5)!@9rJ&`VVBly}~A3;DZw*UHu(Uz8zl zZ{KJg-@D^kd!x&o{Yj7Z$WLCB`{yg`zn@u8%w*eCi*|9Xeq2`AmG}9$cER`8%HLmD zOgQl~RE6*R>WoCqFVAD+8_vgv-(7y#G0=N`fn`{~&qcGpb&R$7Gat>9=NJ0B<c`Wi zt{{OT@6s2=tcM;idwKI2*BhzUcD`i0ol67etm~T?&{fkuC3t4y@<$t|_Rc<AD5bSA zKk>;WGvh$pZDtF%r|G?teWE13irsYX4e4(}KdxHNYF?|LzRB{;6a|Y~ffT=cGOiX3 znr|Dwo|N)r-}$%n;&txT%6lXab&2_9Ox>VlBYAk0&_1@EVSx!5jY_MP#L{LbJad!o zyfx+DX|}|)P+<$EZ3nJ!Y1^;5UB*4rM_A4%zwvclyqxLe|Bn}%e~g#AWu`Ur<$>~_ z{QR<$|CcQ^{^>7fWz<`1ZGF3@@YuR%C+yieZV67&pM5uAV*LL(Oh(H%ul7t?&-(iF z9V5k8O>?&{emq~RK{r9H=uF5zpIz(rd0OwNwLUp>Lc*NK)!#f8rcAFmRsHX?YQyh6 zH&~ee2=zL71@$NFG4DR?_2uH#E)S=Ej*uTR{12A+>I%xO^9&PTAHV4Bl%J1xr3o#2 z-^J;8=F8pflOi^~;wliC!n4lVo=em=Yf71%sT0qszOv7;vCkIvefSmc+wscf;Q{^w zC)bBC<WBuvwJltROFT)DtLA3SI&sak$~}>i4O;`_re>9{EH3$Rvq!gR{e<rp1%Ds= zR4GSPteF1ebF0Tuv+_1c*&BbCf8D-N@oAjOdzqIE3QH6-1bI`Ox;0x|!gkl3%lYZO zZH~;@Wpnl>bf<Jx$7_`@NHcQ}t_u>K7|XYPLTk!7o9b!16O?DVW!8s@PK@V^+Tm)H zv`7Cm)4SURnr9VP{gqER_T_M|Os3hYoZz_*Z;K0hWjhsL{|UL*%9F_@crdW~y_@}8 zt)3st@2Xh1uq5$Xdfof>c7Lz=EMuYc6TJ;9yU*_yQ0?6w5O&3^hpo@-*{5(-i|m6@ zh1-9KX?)nfYVl9jpo6hbV~-rLU)55q%irqnbo=9*we^1+E(iT%F0%J}5+HN1^iM)j zX!Mp<Zx-Hp5_cv$#N|P?Wb}fRW`Ebbjazh>W8++39FWrq)A(kVXwt8xsVsa{W$xM= zi<bT~P5tID`>MqTeWz3Yfj(&!b7E^I$FAv$<zd)ev1PXJM;!}xla;m`UWf!$8`$h% zITNwP{IHJ)+pGyr#T}D31=N=5Ccbh{d7>l}KB4jHwskE%*-D?6s_B$C^EdK#)%Yw_ zTa$kA{lo~N($y0(yu*0CEZUxO2rWMSFkdt8%IW7X7*8h3|EPM^D|ItT_FG&z_w~$M znsG`o`9VCP7UCLJR=4MrES73^@tV=ZFTZv}??<VQmWg}Ll>Ge_T<R_5XEn?8Sjko| zv(2~P&0;HZ5Lv$=PbSS@)n8hSY3kCMdvg?5aaz{;sm02-pDfw>Y2)4$?@gLdctkgS z*kttG$2Iex(TX;MB!P?v{_j#BuXKCz#WEwY*QUqMcb%QivLz8l%dYH5onLuVX_cg9 zZC`YRI?v@V(~`|KRw%^nn;grmbo`CgQs;<v4&BvRBJys*-y;9I#U!Zzj5A?v-}N=| zMUq%B-@%qG3-=1DZFUO1!oBvp(IuI(g|i-*Jvw=1;VYGcp^DsTF{c)+J#&XC;ij4O zw#LviI*c2XoQ@x?S#s=1n{B%0F#+2y7U3l3rR>h9IHO$z%M)hpOk`NXH6<mL<=K>G zwFuLtD;;W>w&|Mh_&!-dS?cX{TN|B|)6$vrzkEA?p`Yg>Pvh~IEoI6*YP?I=h)bP+ zy~H-c>F$BMM<z!u4rn>F)Jkl|&M6BmjW)9$n3QX^LuuWNXtoSjhPlkuC*Jn*&Yk1h z=JZ37Q|T7dX@0Jm!rMBGf)0onPh;?q(KtAZbA~5dtWmDe<facTCc61M&WmfZX?^0e zJ`^+S??lc$7jD}-%Nw~EsmLuAzU6B$%jC+Ys0^F;o!=5TOO?w7{f&E_1i7wrMJE&m zwH@;~F^xfa_sd6IJJRwb9&j<1@VznIZf4e0EGEhIFnxkY3b%&6ri)*W(ozq`%V#8* zCKLp)WUFPUNp3lE%fpB3k??^nJ09+fPmz7mVf}{Vv!1EjZG(PagQq?^FCToXnOPq1 za`E-8XP0=d@CXGIas9JsYdbOds#qBF=TPSl^GdVttDFcka!A(r8(Fe0S7MH57(=(# zHg%3G5j{sgm*)PEG>BCzoBTGq`I_*5(}_D?Ed3)|6EFR6FOPcAyTj9`?Od7j@z==& zuXYYo2@N)94>iHRI)!<$SLc|UK3z6b)H_OP<IC+l)#2+E1$xwer|UC+USs&|+-ly4 z)MY(iqMlALllBtrJ37HybLY2Mn?w<xq}v`ore6#*60dN-{&m=JyJ-v;OA?#!t{MLf zJXbbZR~%2vmUuR^zlzV>{Cx|1Yqh!@(}SCNO$HWLt>*&PNhEq-50^EzP*!0w?%aGy zW>QwLTCVx=_>5O~mT!-lY}>l&h`8k9@2&bqN8?n|SEz)4{ygda+~>v<^_w4TxsraM zcI$#&+*Lf^Ubh6u@l}e3{8!eH?~-Gca#Fa)-?E_Vm8Ia7)i+$<9Zl<76JzO`A`t4h zDE8ZiXPuf4>cxa7NOku%#vPsUT-<Jf@rKS5a*}d$F7kOu_9m*FnkIV0A$o>xL)L+c z4?Sg$HP&Xu7rdk&ZJs#wxuwpDD&@`%hv)t{K7G=^MDeREv!4e2u9(VMm^Hhzt-&ej zBKyQC77<Q!4+uxzs*9O%S>gb@Ua5=PzSK`$MK#PBIbD->Uol&sa<S^rZPv{AoHHM_ zl5OUH5R`4yO)5K5@u;WF;gkuFpyuv13T(HMau}{K9_vou!u{~|hF%x#+k12r9JzlV zH*1yWV3BZ&i0zAGI^tH<S@ln`ZN7SLROoi;l}oN(d|J*lP4Mat-?HVZbCTM;gsm%b zzjOZIpQGvj((wmNw|Z1qI)BN-2I+n7o_9;%I+@u1Ty*!_my5Ti@^(lk?>l|-_Tsr6 z>Y;p(uSL9?d-qhuC(ZcZiXGEi?jEvhSU5endee%#FMn@TJvQTh+srrq7pofOVk`4< zzJJU7`9?A7;=B-pFCq7)zmA+NcY9J|!RdaBtI4(DW}9bSh<hVtu|;HxPr!94ho&Wc z4<hRqraDCKUh&Sws5M!AU;3x+O=qPIUrn4f>8snOw3OtOl85Y}FNKr8ocma_Ozg^{ z4`vdPQxo@m^V#3Z<n?#gnOLWVH%0DoSm#7O`z73YD5PiOJn`y(H`J`>2L|8MulML_ zNscr%IOGx*^VDJQV&34$5dBR&^Fp{>d{%_^IyeTc+i+%WfZ&1v-B5ld$wL=KZ%4jK zz4&PDrm3R7Cu<cecPDKW+j)gCaO=dtlJA!GnyR@AE7f_{bO_28>)n@P@;)#3TyN?E z9g%gj%Gye|-8uGENbIaf+sEDd&t&YiUorZ!2R?dx%&6tWULDI~PD9BMo4pEue^s`> zKbjQ#=)|vBSBD_8%c_5v7uoEddNJ^k;2k5udb!XIj|xREuSi^Y;9P{++&fRXj4YfF zzWdeWqcw3^`Mr4(Gb%66^6@fUyCBVft^37qGb5k8yHcEWq{+uy=o7c8?xa)9Q#Xaz zIo{n_J=1xE+dZ{&{)*e4?)~-mfY<uf?rV=sG&5VbZ`vXb!R5Pj&KK>M;dq;?&BwC& zPlvbVwDQ!=ue{$ns5o1c9rbQo9mrh6$iU&l!=Ul6wQ{k{F8{KHlf!vET4orS6)`Gr zTex6S07F!w@duZhhAUPHrLG%<V;Ex?88}io8L~d)Ear0l(J)c+%E1uPjFyA{1shd; zo$h+DtK6N~IBm*Bp8d&|JD8sEGB_RM6WE~olBYOg%HHqOj83kev_p7d?u0x4m#k{* zNOMuy!aM2r{bLICtCeO6W}dv?)N%IIgpQVNjz#}(b7UwqtY7iKF3?SBf~2I>%%0;y z83r5*JWYZI98Q4(hYC3k7YH;q3n;K~Gz%oyJjzL2XV}9yJ!4X4wvdP=$0sB9#JFb) zXYQ3><jA?@AGL%z#Daaxb>C<^*TvKSJIJai`m3Gb-x#*?<ex+i?p4AQ*MwVZaojxo z_(b#CGL|Zpcg+h`E=h)!9ekcB9h5D2uSP!YjEVD?6#Epv>&(wfn(vowsrWD{gn#e+ z`HUgE_Rc>q@knxcM{!e)rY4tA{+>mr7wFVH=DIs$dF^(NZN0spV$2rKy!2^>e{I8y zQmyG{WjC*w+7i&g!MIONydY!W#OaR$_XW#6T)MU}CuTE8cgW<K_P_lZPq4L0_9`7- zk+09&79dwTQB&ZyaWvoJ>+devv)yKWaC?0#o9(Qi7O|_3#1^`SR&YCWZ%SRwWzDP` zAh}i2xqY|ez15Ec79akr@cwGyii@mEil!I$R4D$Re86#OL>FU=RwLJ<Ljml!G=6Q6 zQtpwR{OU)nu$P}ho;PDf!0l76{S0B%vsD>3FJtbIxY*oKo8&2cPQJ@-fySj)?&SBn zOMN`MV)y*|zyCcGe>=l$hDHW~rz`csqtAA(Qd^a^dhY7tQa#@1n>0jZB%IsWm^qs{ zmj($e4B=YC(`FcY#OL?_w^#0dzonPFs$=H2|F<<0eMAi}|Esqy{l53woZ_`_jh1!I z$o#*5u8?*!M9R4Qes=D3s8%0NsJ@x2cE8{HY|iaesP<qX&Q+^s%{=cCTCcXs>WfbZ zt7&`Q#+BxC_bvUNvFD=0r7P*duD*Mt0x#Q|xBt$`ap-qFp4PNb$IE%8hy#zt)FyUo zuZ-0{&pkc&`Hgd_&!HVBBL40B^HxK7`v3ghe+`-L<Zi#QZk~#t$*S(9FV^s|H8y9i zemQT}uM;6+`=<pzS$%zl-mY64Z#L-YTxLFQ6niOklZSY>Tj|XSdSa(bSbG!~{k``- z=GnTe<87BWp7dc#oza;lwC{LG?eCQ*4bFV2moB}ZeQxWwGRa`qGh6=OKj$*XM{Vua zyP;RlEHB>49J!3UOKa+@B1iEh4`Z$+`~Lf%zCVA<+r_>A1V6g{&iM23|9k1h8clV# zk8v)#ocHsK-u^tZxT0H8*=x66D=RM#4P_SKbZKIK`RUN3M+Rx$yDJKGxZRH(dsLxz ziAluP<Dz3@GmGmY2Y;6m14Tz(z6l-Al!^l<GdQ#q2TF)bwsNp_g@~pKEHu!X=&R}! zYcj<(aRrCc&jS|%=KSxu+0jw<W&iY*tGaebd!9f0-nMDB;o{zJxzF!k4vFQ+5qP1X zFsGPhddQ8Wq*Xk|7x(AeFa26wt`~hecG;>OGq3&6RyNaYJHA5s=DJx+76dFl8!Yz1 z!9ziZ@3DcWv)cll@1Uav6Aygwu;A-tahj;W!^7g-XrREsqR8W7D8L*j<L;WfwJnop z*&*?GmyZd5Sk^_x<^*V5%Fz)@Y4kQby|r|O?)M5e4y&ton42;(VyYvYrfiGMTC=3> zS?cDxSHDcU<H}ctIUB9ajlRz>Ch_pl*Dnu?on~Y)Znn#cSYY<E+HKac-p}=#yam4< zxs*9;W=#uTrq8wG{w}AUDu<&EQva5zioE4tc{%@WN2}}#j=jOxj=mT3I@A9+eoDR6 zlzDGMxOA4MDOI-mq{u(IBk@`2_w&FLK6_d<WVIF=Sh`*Oe6E*8<knA?w>NJbdTZ<~ zY<uyx@_d7`&rj?&7QcBm(X(fr<tENWrv+NMOK#qL*fL>W)#q|^C-u_#GP9OC&i<DF z!1i}=_;l0yN3%a3-g=_vZrQ)Iho973cBRgK%dy*B|A%U5<OIhHLd$JVmv5ZTR%|!7 z|HpoN?fo9Ng%ZST-|{@)Rco)l@w><CJBN;y+b{6kG+lj)?ZzE<zI^41`65|q8I!v_ zq<-c1g;ynBm)HIMWvVmr`{q@P<n7be^zS*=9lW@&Y!P3e$Y=R)GnV=$Hg7(}sM@mW z!o!=g^V{w8-yQqtce>QQui|OZg`?AAl7(MuKRus#e{%FXyQc-SUaM@i3SH=Oij~Kb z!EE8)$;?T2l(+m()OpeR#6&vTd;O!@HJNJ*I}Yyk`}Rmf@~qbEXOTMHr{@?Np1E9N zdN64M&up6|tCBWfi*&xi^dLk1r*rlR=i-?Yu60P7#91oma2S;=l~m8&{oTk<<?olJ ze^0);7=JE#YvZgEo=q|ndu*Rwcw&^@oB3F=hBNAX*vbvBUR`%+f2|z){<6`p;NYj) zJHL6^e4fw$+x+Ehj_OI>Gux~F#|r=2Hv8Y9d||bX9XuKnm;6~+wjt6fUg`Cwv|06y ziK@%l<Bm2i%ZRYf6n^6r!m!ae;H%@r{KP36kIwAM?q@s5S9<S~)QZQQZ%t4BGZvm$ zR(OWNyjSm#ugDRt3ikJnhgn#z?fS63>q3u4Mfj0qhmUiWW-*?sobK()>d~U}h@~sw zlA7Yuc#d|lh4~l1D7Xn`oLYPT1y8%%KK&~O95XH--8<vafqx1gSt{ILged2FFFTdb z{Li~x!_ngBLRC(GyDct{PPXfK+Pqw-%K3j!&@twoy)z$2Nw}Slt+}w_NP5SU3j(Lw zGxevPbGbh&^;fOh%xV0}E7E^Y-F*H9<KzFcIVV^Bp6axQuZLZ6M^<pyLrcj?tIS-( z;%7`Z=@(h<7H%LSxTb5)tmaDnvo9s}op){!yu15oXQ1EZpzEF~#cnsW`x}-nIKSy1 z^I7j**G_2~1hsq3iD0?0M8@#Fu>TFkeR1t8f)}Z5R(;<hpfK6Yv)}5cz?7Z;zX$KF zT%uREb<*X+eQW-E-c{UKe;{;1`L<hiho^F@J=IcsctjvqeuC4dJ^NcGHXZfrt+Zsk zdc(i+gXFW^*Wdm*#_SThD5DdRQLEy#_NjkZ#*O-Q^N*fpxvj|Yc&hP{=@!=`I7IwC z*1zxdY_d03f68qcE@bBUd)n&Ky4(JbN`>=8&i?Kbs`B?-uyFAfeU{4~Wf)>|j(^!+ z(>^06-sIV#P7aZ-zx#en+_xo|MOm#niBY3WM}OzeK+y{_<_yulBY%F~xhAb;rpzh% z(%4SLD?*1i^c`p9YI&%VU|X`V!>^`osU?HVo>m(M21)nFTnqLv-k7jx$@!U2OI@7y zH8{3J#?9Wk{o_o@_Z2>BVp&HkeUz7R7h2rSd?cizo<3t%_vB))kQ+VxNrvr4T@Ou8 zAK~*ibc)b>W4f%3A!CAP^3D6w<?)AFUpkbpe9U%w`=pf%=S@;D>g4eGHc#+o;&je6 znM`5MhN%L<_U}Ka9J%sWWA?jif4yHWJ;xL!c)~Vh?>(K_@qYsvr$ju@xHf;+p_t+y za=&;E9QklHQ?z~M+je_J!<xXp-*y^#Z+m04T3nX#omG14V&?pC`KhR5r|+)WcU*rd zqoSgzb=RJxyt#3XHPg)R6~~M2U2)iTcF<JOn@1&>neP{eYC5lzxT?Y(^hdYBMdHpY zn+59ERTmyH6uEaL<<}S1ne$)2E^5!TU;6g2=Y!o!t)ds_I60^-5aT$-EdAm_o2I=- z<pdSJWsUkXrJ6U)Ie*KD!N2J6M`5>93Kb8t624p#Jh4xcy~Fj><@+1B9=10>+Wfxm z+1+POL6tYPvVS=z746$>8sb0sSwLIOME1EqHC5xw8~cmBw>^B}$u;j;%G>3QAAWub z$qDkSSiY%o%d-Z{-ijiwg9ZQoURtv!>+->UtvrTHZQ|7gUI+#s`kcztC}*fU<zWNM zBB$#sE?hc)v)<36b&gTL=!XgSd<?ydqCf9j6l-+)xT$+VshM!L#uqz5Ns+&;3sxA+ z6x@5Q?BwaLwyVC|E?Jb8&2f{Ky!v#O`}5nWY<YKou1GMpW(&UmNi_J~z7KO&toPiS z;b|UJwZ}A2Yx$wq%N-SY`-AK6Tv+PmCSP)-yZq)Ok#8XrmwHrsO8?>5(!j>lx=Y3U zJM*nA^0KQ+&gzFXJn{Qs`RVLy9r-w6j<U=wpIwWSPgQfv)-X+(WBIqT^t5(d^XZ<> zgKj;07^5ECcsI|lFyOR>&4L7l_<YA@pM!!wwQaRYXgs#+%$A&DM|sYQKhJi35bSbT z_vF$yUltqtS5mj;uJWy&p)b6l=b!hHoPvVJYhhu}3L2D|{Q{I<%~^7S&B9pY*rj<} z{2liA9+>00;=|-W+r{Uozm-#*dq8Tf-Io&kx4Hdq1Ge1s=zezlLPOT;+#AZR9rJf8 zR_&D$IIkKlbx`7ex@yL)tjyrNHC#XT|GF*pzsv1ms@La5=d4}AEbg<g9DRF~d3v|l zKC$aAK~s8;-1{`Y)!`F^&EqTf2UggJzOdtC-_>Cdywu^))fap3men<Ewv*YrAo|1) zEw-6wkL$iSnb6oiL1)+gTi@5)?Z0obp6e0EvfVeoReXx9-Vm+%HF1uM_b$^PsdMHS zzqE{a{y&B1&Gh?Xhh82R?B=?ZAim+}?u?yQ3X`^&iSIa-oP9!sJD^>+bq!aQ`4QVv zjYUars;Arw6YaSIt}XT#^mLg}yE6HHPoMD?n^{j&47Cyyo{2qtl;f({@tbYUDTmL} z4>IMXS!N!zJd)L$_R6)1%h&B*sEOQ$$&we#c6k0hWqvfqtm*8GlXHqP^{lS#byq#T zd(nQ?DS>xWVh>z$`5eg3%ho?LaYn;h`$MLm3K#BNleqGvP?l5qPs0-#-Df()czNdQ z8=nw6XQ6m_|FoR}E!&?-%u5r|pWCDIm34LFa=Q}(Nv;pC#I$@p8sU8@<?V;<|K~3L z6uz0+WZ^%X`S+GiF0uL^;LO+FAlvlkchjp&jzPMIOb@LUOFW&p#(W3kHI^S?7ngsz zATw8;@9fU2wm;5(6LtIZ)W0!=?Tu;l#j+ENE@gZ%`{ej{|Gw5O>_^ili>!5it#*Nj zJ=|Vo>$F2Nwt45ZTwG{$^=j4k(5*3Zrpo=eEK+oLm+<Dw9+hLaCrxj*{Q2ph*q-_O zME|dB{kfZKmCntyX+G1FXVo4uZswWG{r=y=-;*3ZA3XM#W7?b(EjM$PTO9kIciCgN z<Lw1E1#$&7Eg$Tft#;#Pmdi|A1^uu$t37*duDdE*nKWf7NUG)X$6DX16<UAlb&rVa zuD9o|{Qi{dclfeumt|_j8|7VHUAY=!^6OP<u4{@3G0i^mYm@)yu$k=ZeWkX3m@Q|$ zzi{D}>|fiRH!a(r<@+SQU~Xdd0VR{p;73cBW?l=<s<K(lwe*+XPwN=Hms5}C|Cq2! z#Ou_)9VYJcJp#`?UVOr*CSFnL<prCFHD7&qzL8u}x^CV^wuA|11U<{o&TPNnvTw1K z7ZXqMN=CL#va+*Q$SM9xDxCVFR#>_Ig7yy&!^8boICkVdikADHb8TP8c}XYki!3c- zU3TqH>t7z<a8W=gBJg_A{2#%7n>K!&TA~v(iEYP)>^<H`UF=ou?bTI|rxmMreqOg{ zh2i<vI~lAdB+mK$|I8j$CavzI*>;KQ=E5yL`Rsa14K@qbD{-gKS|o1Y?!kRz7Sqf1 z<%=vd3#RODKj~^<9o^e(cd>iJZ}r8;{rx*H1>Ose(pGq9{juxwn=57SqlD8+E{jZ> zIi-zl6VJ@%&s)pZ>=hR1dy$=g<?61t;_|ElR(1L*{-*`&D`y?LaQlYghGmb~dNdC0 z_gkGcTlHE{?cKGv*6q^Iocl)4r8FjBjv#}7=!GL(#!ma+J3X^&*#GM5+_PJ*@w?}1 zn%-ElF3VtJ$tAJvJ(Fv=bsziPa(Z1j=|)%BxzM(o*ED^Z^NclgTKxB{JsBZ<VSA+Q zcd2<xZhc?*%*4o_Pw_&`*FRS3{-U?mEbILgbvY|I?s9H!*R7`gKlQXuck?}wpT>S) z)ntl)lXyzWvuEoLKT*2a^Q`Qd@e{REsvB#*Ew7Wzte^BHHT!2pf_~(Zg*shJw)0N@ z(VK6#Qu_YJMYESPY%NX<xuG9cCbu`OZ2dw99|NyC?i(u4_pJ)v<nV-P>V(3TM_;I} z5$xhV7<cYsUUS&&+i_(9U!9Ytce(^`sVy{go6)pb=$WeNoBP}^x5UWl-w8fA-AA#! zc<T%Hx5BdBVUslHr#3E93UR&mwY5heK1C>eA&2AC-$9(5SEj4{W8s_?@MGuN$G?8h z&05P)_<Yy(ITLO3geK1UHv7?x6{~Cd!hPRtT9N;x=k@Q_OFcymHct=eap~#@Ec`9z z8Q_uQvd_?T)mNvPYgUD{S%)TQaL0c?_P4ue`rgTEc5klSo5iEGWcRd|XX*Jz_W!v4 zYnAa&|Ib|S*Q%~<FWtkvG0i+Wp(f&Zj9B)xpRC6Yoy*;``HOhSk|usF$xEjryL=+O zRv2y;?0aHxze1v-=<fRO<=&OP<~yfPUTiwe?3wPnFnRrH>bJ@#7k0kCobt!zw~4Ku zp!D@ur;g7m*!}oM@Z8Tc)&A)14(&g>HvQsLi{mT2WPBbnHAb>N40@#LBe}=#p@@&g zlBFBg9lQMM#G6wF9eufaW*3w19`jkF^I~((x(Of8>n3i<e8hRAwcq=*NJh@OW@e^f z3&oCwZ02|7x(KhZWM4jiqKBfnc#7J&)te4g{;O>3RZls7o~!i7WTPOyq*9(Lw|LpP z=T=-?{PEq!>81_Izf7Ja<ow=0x1wsrnUlgcQyP6Xcqz00+*lfXI4g_UA!7D?r>uKd zn9VaEy>if(^*ytw>h;zuK8oi}cWw@y{CM{v*$pw*azYn4aj%Kqx3N?>I`p!3Qdhv# zVxHB@E<WWKd~(kz&a_duugc-E#hS3`CMVlNF9?K&y9*Y1OSi0gKkr!L?^)|q9mBuo z1b8#Eh%hiPa4@hruZapgZn`*_fq|iqfq{XKfs-MzG$k`XpeR3CFEcN>C^UqXfthED zVg~ogGiT3u_m_L>c=nW^*6;d$##_(ptnXQE&ohOmPwMM?>l$4#Ha7~=@Y6lzbLQk4 zFP)QT&Tro2rQ>z(gpPNpfl0VQfB|!o!XdWazzT^=856h}VD4Z5yW?Mvz*So=1_lu3 zMR7+_N+R4D8e8@MCTvuj8_2-bxI@zSLC|9}gEIz)d5l5CXPM&;J*loPD_j>HaIs1| zqR`15Q5AUik#}|B{WI2rTzYYW#m(U^-Mf{Qlon3aegE#>x&M1#Kdw&@^>>R)^zUG7 zW2|SacrI|_w3X|n@_E+^?=K1#*~`{C_cm95(fT!2p=K+bUWv-CN}0W6R_Mi>EZs-i z&#%$+s{3)y`s2+xN*&6Z59Lql*q?Inj(C&8ou})si5#qbJH6@nhs$mJ!uF~kkCfkY zYvJwfe;Q*WQIupby?2_9tQ0@bx%f#hUjF=i;lVrk6QR34@4LT7^P7I8hw_BYi_d%( z{}%hv@6_zaviY8mI3?$CvoCMnsG3sHe^K+9ex#M$nbu@$Gr2ijckakO)fZ{B%8yx< zw?Fo4ps)ARNlkZkp9ZOZN}cPw!pO+tDog3VhgqLDcs*Qd>iW)leac1g{MTQtSM4~f zoqapaH23KKf@nQajvby;|J}XQDjWXcomIQmlDNPf&v#p|;Ci~VM(duv4VR@s@XHPD z+b_ix+A!_)QaXG+yK9p4yvG;s9<a*Z^Ka<`)+0NaU86)LTRY~PxfCltvOlh*pwY5h z>TunnGlgnTPS0jhVi)1NBa`s%khW4Di{r%|EvBwayE`;=uOCwtS#T}JNN%@d@7}*@ zOi^LF-F`~7Sx+{Z-Ii+#`@f^BKH<IMifG5g4<@(GPR}~>^NPo|zmJ1rdUu~n5{<mS zr)koIt-eX0XSwjsEB+YK=YLE|YXbAr;HLEES$yAjJ6^ad$?3vcwctUW_5ABR2@O1{ zahLP;6!$52e*E~NE~@=L!}*Cv1lLb=>3(muM{y3L`4&D?**gdR6sah+9s2!tzJ#h( zfQgms`rF%o=dJ&m6!v0$W$a~*J3Bi5&s}~fds5#;gNqAG=SJ)0+i>ld{CDV~b$;2s zIZ7UB`$ew1J{NKJU(PXUXNlPl?YT)E9I6&}^SqR};+ZbrOfVDg?|ETtbyP5OwJP^@ zsp7Ev`H%lS)#_9?n(3|98T%|gW539kLnRUZZpYi)*^@s8$>&Zwq9Pcc<9E9>uW{cw z&8mLyo%ee^A6%`JR<Fr%U%c?(GZ9w>?O&fX%GbV=;rhEK_{x0CwVG*nEl+1Ilh2*C z;B24k@3KAGcBl4KXZZ>~Ie5plp#9-SD_2k6`EPkEPtQ`~mXMq8^DHU;k|x`a&GYzA zc;{^XRorL({pkho-mE3d-RvZnmK;|5*zBplGImSz`INBCZwuelI;_tOiYgWQ+Wh2r zcJ$S-72;8=r^Zfxk-6^Ev?FSF)eL7V*tCVdy`>h|vOhz5>k6|@@iU%Fx5ZA*Xzb|M zDD&+0T6MBFZR@3-nW8pk`n%40ZVB;?o4#hUL}Q$z<cFZF8)wuuHu7sc(fVViJ+~z4 zNKWGP)>sL?KdRYtZ>U5CY}b6r`bwthy55>3fu<Sr9Iu}5PMbML>|(RP*|)uK<)1a) zH#oEFfv4@867ef{vu~*GpD+DtWm@hOzejA>rWYPcj#AUj5!!kF#g2+M?QY9nDeh=m z^ha&GPu%2)gTcy|Zt>3Ic(~P9`Qh$0@7b>{x%juF;_<r8$I4b^F4wI+x}oZ-=aelQ zcE5X6`1h4y<!*nwk2A|sug($+{Bq^Ql$%?x<_MWi2+EtaWXh3nPvcDABo_VO?FTEe zD>zn}T{Bz!;<K*K>2*0m_7(n9%vT<E_FYwW?Tf%Q?G-$BdtOgS?*BXGfucg+f&x9c zK(@#uf>rff_9^QrNjV(a!E#)^Sdjn429IYYdmNR5tfbBzyZN}bSw{AsjoZUEw<kpb z4ZoD^zE@56&Dp@rWglDq@<Ye-K$eY7%O|nz&|xx{Eq?Q`>T^HOpEW-H)f}gGfA7A& zne*JyW~M8@s%jcJ4i@XZ?{lB#GN)oe$jR~<?IQ2>?!_H<wRyl;!T0`7=MN`;k3$hh zx~EUNUS2$(?Y#1%`WL4e6+Q$-EnzsHd#2HxQLu4&+S060mPfH(RmOfTi+=4}!sQyO zv9IuXWXtxq^4GRcaTeR4nkci#`h3_55vw`QGY_leS^rr0ppjj+b-&D^*w$AI(m%2X z$<MGZFBd;pcEnj!ON2@D;`HxdcO1RL=h#=?I%!joZU3fi<{=C9yjr#&*A`i>`y*6M zd|vlAQ3XGfnsogn4uu03mMbzPHRvg^iij$=WQZ2aP72GJuy&70*Ht0up7hNJ;-${6 z$q`bo$Z82&m3nh&UYOff-_s#`?ilnWP1dMP%#twEeyhaT*tmjmsS}r`iiy!A6;{vW zjV&yO&orhyXB0Z1q<XAT<gh@qfD)IY6NjRUf{=%SkcWa&n5|Rwxx7sr^DWCO-8;-X z`yQ+auAGq~u~2q`LX&&Km&!HLl09!Ne4U@KSv7x6kI&z-RdQ>TY|H-JGwFtYK3>$i zSbf!#+TXJJ(?12j653`u?^3!@-;R*{#r8iZZDQQmx8zcSN^06}F0*gv8m~t)CN`Ac zSu@u&t=gviX`*<7*3Ba=t(%HkerMYriM{N)>Yl*7@6j*xuQ_$JN1T|k`nlridCX4! z?m33{9%i4OSIOiRpWD5Q)BoL`#(lpN)J!eUe(7{FxTLc5_<a|}=TXZ!c9!%U5SU^m zQa9rr-}w{IZq-+2UoAWz!1JMj<$87AT9+rMx;C$DFe~_zb-==FeLDXKwP3UVPxl2B zHj4{X=AF7evD<xl?uYDU$#n%bFSZHlg}%O3=`Ol!@tQp^l++|!mm6qku<Y5=X0Laq z;>Yy+YJJh7!tGhPEAN_0_eZRGBI0u(Mt_&i!ArB_Y<1OKy9_2(YOR_ZASx+(Z-3I$ z`6;ezYCY7hwr9Lu_+^HEzjqM3(07Bdo7zhcPVxCHWT9gmUtm&b5G}?0ao>XTRwfIM zn=+{XiE*D4b}rFx)lt=6ryC_RBShl;pPqggbXTQdyTqZstY=TUS2RDflik{+6u;@) z+P|k5J60Wg&nMP7-%snPYF@OVVCS!lo~92U&3Uh!Z(N`GBxv0#fpva-GVcSn7;Nf) ztjB&R=19GNbWXe`TWw9)<0aAGMe7^`0v0avids|1F(=+bdT;UU6EiYi?(Z+qG?rvo z`)ToxH=V9$*NE<uc=0fM{?xZ8<kwYa=zP>z%%CK&rsTvNrwQr?ocZS0w$5w$apmEA zJy(N;oLnc>=a;Y0Y5&r8caP%64;?S(FBKN>igsyp5WV$e8*}3{_vZ^dyPJ6Lx{I32 z&gx%q@W+&*w{iE*3UhXy^Sih=LR89<FMrXm=%SpX2}}WhWX+TpDvAaMY?u~5U2^ZN znevYU=1a}ioGr)O_}Ex{%|6{99*Ktzrsp)~w%-==+RU1JJRt5WTd?+?%^SWYZGGwg zr|@55vR+Mt$U5%M+Ld$89~CotxIcDo##&d^)ibsolV!?0wRghgKZj@9?pd+=TH^J~ z&OXyNtlkkR=A7=Jv8P2<a*A7oq_5DQLwieq-+6dQYuA@P{f?4Fm%dr~on~xRJ0Ykq zzu{wM>x%2XURQ&;JoXpe|9g7V-X!xo^A_uJ&GS>~JMdkfZQn!r)F}}!53NgQoYNCh zX1el47$dB~32FFR|EbMA#l*lM!^XfMgxuhaFG@+&%PP*#gSR?+LoWK=HV~<OuDwpn zmx<Fn)5H7r_CCL4p_0XKU#PU`nD1Q3c3f|p@QZ-|wPmL=Ub3m``_`Vbwfin-?O*+1 z-YtRWUeQ0)jF%Rt?VPk#&n7gYb^eN<PM>GbEIs|&{=$nb(JEYvIqC`zK7G6}*yGg& zVWzfu2?-r+rJb3w*FyIl2tRtk+gnZ8=iwanC`Wnkc?&AtmzM4LW)kLgKs9S~QS|O7 zs@|egO7&y&59}+x(#8Lv_@FD-*$+FezTA@Vs63gwV4n2bcY&*=%O32p|F-Or?^nCc zFG9~xUGt-t<4~D-*Y0;m3un*16P&v0U`o>s%b8i1diov2gNiDd*Us?S**gES(=Dbs zn+?{v7nsTAYRy?;lWz1?X;)X%vWy?SOMHwr8r)&<+?g2MXp%ZD)yX#CN>rx8cdy{! z9YuR@D1=U4!tWC<cVcZ}t_`d4)Ww`RBAXA1DZN;|<V0YUa8H%9g2A<(=r7s_x~8l? zP&&CV(RAH?jwp7+%#+<`VpiW?@SM9YW9pUI$7%-Lndw^uuiQPz5q5II;xdu4zLU?U zG?~n7cicL2{Zgyc()Xo5wYsuI>df?yT;K5i>f!#AhTVrQ{EOyM{ypdU3F+eO{f28p z*F5d|GreMAn?Y3X<%{dDl`l~0ow>7_@wE2J(Eim6%(kuRxc~g0kfgtIP~b!cL*rg^ zljoCu-CfA3k{l#DjceX+W}$XPfnGP!TdL`4KEI!YOtYMKQqwN#tdKsJm(pC#*O!j< zc(Z&z%$2zNuJ%eD(_){BV}^U#g3bjwmT!6|@Lc3Q_w-2xH-pnBOTX5;Ru){l?qa0I z##h<Wvb&hi72heBoyF{*kz=gZeDR<0Hs0R*ZEDN&ogQyr_|Wxz{ptADSw;`--14@i zM=WY!{ORPDvZ>qV8I%29ce~GP`d_YFX&vfr{6F^Jj5loM%ik?Hv3$kdk4&%oRJ~Wb zJigld;ryqn{GaToSs-I>-Gne^28ISB1_snVS9wYrJoVp=eA{<hLEz8*<%S7my!pi+ z+ppN$uAKVo&)i6B&(Nn=<XjaacDnqFC`)gMWqbGh*WcsUzvthq6}+gSZ5Q|~XS!#z zO5~$ui>nGZJ&H>?{8h=%eb4Qb-pza!LWy6`=WeQyP)^{p<7fUiPuljuvB#4Saj-Np z%<D_MvcOs5u!`Xhx4#NTi}aKDgq)07tOOi4zqq%kxjw7)fZKwLIw3QZ*tfX8*%IC; zleGHBZBfU`H_bOckDXmO-}KdqU5DqVeYE|#+id1`We&-i`fnc@e~<dqe`cTA=KGI~ zzwhAK=CFa`pi0xD=CAoVoe7V0a*VjPbDdcE<IfMSPfapwCNNfrmHY|$vPx@<N?omN zRMVn;Lb{HD(cNN6E0(!=KfZot@luIbnwNxF+SPK8{9oo3%bOa~l&~+&Ni;X2Wx*;d z9rpl#`Dw{3mI|B}ymG1IDM!}IBSkD<OOiO-Zh3vIH@I@COI0E-Yhz@pm4L?AAYqp9 zqgC;;2?A%QF4w;DE~9Tl*F(O%4|A{1$v!dPu+P{jw`1Q8>09SF#ID@<FmXpxQ}q4= zACLJgJnXaoz`Fz4ZlCtFZm)3MeRhY_n}@oKx%LUxO`o2Wbk}yKr%QfuNcHo{XHG`` zU@3BVeDC?pRGzbEToMnd3p1CT>)Bv%+baFwGVkv?GI9b+-%VnT4Ua2dlkg9Zu_#QP zdD(pZU6FN>^VLn{O-s*pba9B@>M^tM$#_%IU(ji^D!q(B;-TBi4|+Vi)1JJz(r|1q zr_&M{ef@r!zGcpOvnPnz-F(gTZOz<%uGeOt)o0vJ+1z`!!tAoCv2m>bRL4DrbMOAm z+LGA4;qSTQtIW(71izP54by$X64l{$XYst$lnW`>%bf4qqzLVMS6g;BWcEzi<!oX~ z8<VuJhvzT1RbO$pKDm0Hj_~T5W9f@0?&RNnY=ZaqH*$T+@7H`OTbHG*?p5~jf^Q7# z8{hjfoFe_|4#m%|eLs6#=Dxqyxs^-R4mDN35xv+`eq8Q;(}jvxvlj}PS(eR<UDI4} z&g#<NbAgtZ&7MYD#@xtBer*43lER@<hI1Le4K7!-XP2GO{&@TK5%)FkR^MWFd&ucC zC0{mip`z1z_uOtvo;qH=4*46)%YJ-vF{y5U+wh5fdF1y0)7QO^F1x|L%<!n<UnbO? zD1UO^%sK`JhCK`n4E)HIkzR3SUP%T#FLHlKOA|~?NO+KtP!uQpwdB*C+#rW}ECSs| z1x6{)RK;1tpS@I{wk+ityU0`Z*Gr!-ZE4$JWU$#M@XQ6fmx8YzuB<-yyygSvT!Y@p z&kviP`#I0@=Y&qh1G6W3wn$FjxrayL0LKyDUIj6}XbZ({4FwJ6+R`rk%FYZE_?Txi zFa&rrGKnxF#*Q>ipUa<PWMJTAWnf@u;AH>-Mg|6khDH!8CAmPaA~z>AgcCA^1R6#{ zH{m&(PTMj@28O-N3=9G&Cj4P!U`T|GMa37FlqTtw;WesvvJ87ZBLhP?3j>2NicvS2 zF^$Sd!SAs5vy&2i85tNn7!js{Jk`+H#ENWML1Iy2E<tbhY>HZP%!q;E`W{g9LIMh6 z8YerFX?n#Oi3O>6eK~=pXT?7UMurCtj0`+bUqVb`wL&(jA`gc#kkK|#o9!zuA7fwu zVO|tt%&Hj?;jWhost54IAL{5K#AA>YVC%$$WDaC35vxHE2mR|o9{z(E1o78V6obHn zfmqGK)~bV;196a<FOq|h+IV;jL#-c?4YLeDGE5I#TjDVXHFrbIfq3q4FtRz&ypCcL zD;r294+9TF5i<irc?c7O9)qh%ahO4XMN(--X`sKMp;@Yby0({org5>eaadTWd4;og QMR880bH1^8Mr5)r0B2J;{Qv*} literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/audioProc.tcl b/proj/AudioProc.runs/synth_1/audioProc.tcl new file mode 100644 index 0000000..22f9e89 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc.tcl @@ -0,0 +1,134 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +namespace eval ::optrace { + variable script "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.tcl" + variable category "vivado_synth" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +OPTRACE "synth_1" START { ROLLUP_AUTO } +OPTRACE "Creating in-memory project" START { } +create_project -in_memory -part xc7a200tsbg484-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info +set_property webtalk.parent_dir {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache/wt} [current_project] +set_property parent.project_path {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language VHDL [current_project] +set_property ip_repo_paths { + /homes/t24autul/Documents/SAR + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo} +} [current_project] +update_ip_catalog +set_property ip_output_repo {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache/ip} [current_project] +set_property ip_cache_permissions {read write} [current_project] +OPTRACE "Creating in-memory project" END { } +OPTRACE "Adding files" START { } +read_verilog -library xil_defaultlib { + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v} +} +read_vhdl -library xil_defaultlib { + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd} + {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd} +} +read_ip -quiet {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci}} +set_property used_in_implementation false [get_files -all {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc}}] +set_property used_in_implementation false [get_files -all {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc}}] +set_property used_in_implementation false [get_files -all {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc}}] + +OPTRACE "Adding files" END { } +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc}} +set_property used_in_implementation false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc}}] + +read_xdc dont_touch.xdc +set_property used_in_implementation false [get_files dont_touch.xdc] +set_param ips.enableIPCacheLiteLoad 1 +close [open __synthesis_is_running__ w] + +OPTRACE "synth_design" START { } +synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +OPTRACE "synth_design" END { } +if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { + send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" +} + + +OPTRACE "write_checkpoint" START { CHECKPOINT } +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef audioProc.dcp +OPTRACE "write_checkpoint" END { } +OPTRACE "synth reports" START { REPORT } +generate_parallel_reports -reports { "report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb" } +OPTRACE "synth reports" END { } +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] +OPTRACE "synth_1" END { } diff --git a/proj/AudioProc.runs/synth_1/audioProc.vds b/proj/AudioProc.runs/synth_1/audioProc.vds new file mode 100644 index 0000000..27ce690 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc.vds @@ -0,0 +1,725 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:21:59 2025 +# Process ID: 382097 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1 +# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.vds +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :3092.777 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :15590 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1680.648 ; gain = 328.840 ; free physical = 980 ; free virtual = 14252 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +WARNING: [Vivado_Tcl 4-393] The 'Synthesis' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci + +WARNING: [Vivado_Tcl 4-393] The 'Implementation' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci + +INFO: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 382362 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 2500.918 ; gain = 419.547 ; free physical = 309 ; free virtual = 13202 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:13] +INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/.Xil/Vivado-382097-fl-tp-br-543/realtime/clk_wiz_0_stub.vhdl:18] +WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87] +WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87] +INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:24] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:51] +INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:330] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:363] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:381] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:399] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:417] +INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:151] +INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:24] +INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v:23] +INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v:23] +INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-638] synthesizing module 'fir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:28] + Parameter dwidth bound to: 24 - type: integer + Parameter ntaps bound to: 16 - type: integer +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:54] +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:57] +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:59] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:17] +INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b10000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] + Parameter ACASCREG bound to: 0 - type: integer + Parameter ADREG bound to: 1 - type: integer + Parameter ALUMODEREG bound to: 0 - type: integer + Parameter AREG bound to: 0 - type: integer + Parameter AUTORESET_PATDET bound to: NO_RESET - type: string + Parameter A_INPUT bound to: DIRECT - type: string + Parameter BCASCREG bound to: 0 - type: integer + Parameter BREG bound to: 0 - type: integer + Parameter B_INPUT bound to: DIRECT - type: string + Parameter CARRYINREG bound to: 0 - type: integer + Parameter CARRYINSELREG bound to: 0 - type: integer + Parameter CREG bound to: 0 - type: integer + Parameter DREG bound to: 1 - type: integer + Parameter INMODEREG bound to: 0 - type: integer + Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 + Parameter MREG bound to: 0 - type: integer + Parameter OPMODEREG bound to: 0 - type: integer + Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 + Parameter PREG bound to: 0 - type: integer + Parameter SEL_MASK bound to: MASK - type: string + Parameter SEL_PATTERN bound to: PATTERN - type: string + Parameter USE_DPORT bound to: FALSE - type: string + Parameter USE_MULT bound to: MULTIPLY - type: string + Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string + Parameter USE_SIMD bound to: ONE48 - type: string +INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] +WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422] +WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1011111111111101 +INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0101100000011010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111011001101111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0001111001111000 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1110100110010111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110000110000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01000010 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1010001001000101 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111000110001111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1101010110101011 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] + Parameter INIT bound to: 1'b0 +INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] +INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0001 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0000000001101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000000000000000110101010101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0010 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:17] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:28] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:13] +WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:236] +WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:313] +WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:135] +WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:150] +WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:18] +WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:19] +WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:20] +WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:21] +WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:22] +WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:229] +WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:230] +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2589.855 ; gain = 508.484 ; free physical = 287 ; free virtual = 13084 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2607.668 ; gain = 526.297 ; free physical = 286 ; free virtual = 13083 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2607.668 ; gain = 526.297 ; free physical = 286 ; free virtual = 13083 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2613.605 ; gain = 0.000 ; free physical = 281 ; free virtual = 13078 +INFO: [Netlist 29-17] Analyzing 106 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 243 ; free virtual = 13055 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 243 ; free virtual = 13055 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 254 ; free virtual = 13066 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 254 ; free virtual = 13066 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc}, line 6). +Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file auto generated constraint). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 258 ; free virtual = 13070 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl' +INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + stidle | 0001 | 0000 + ststart | 0100 | 0001 + stwrite | 0000 | 0011 + stsack | 0011 | 0110 + stread | 0010 | 0010 + stmnackstart | 0110 | 1001 + stmack | 0111 | 0111 + stmnackstop | 0101 | 1000 + ststop | 1100 | 0101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 00001 | 000 + store | 00010 | 001 + processing_loop | 00100 | 010 + output | 01000 | 011 + wait_end_sample | 10000 | 100 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:62] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 246 ; free virtual = 13059 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 3 + 2 Input 31 Bit Adders := 1 + 2 Input 24 Bit Adders := 2 + 2 Input 13 Bit Adders := 5 + 2 Input 7 Bit Adders := 3 + 2 Input 5 Bit Adders := 2 + 2 Input 4 Bit Adders := 1 + 2 Input 3 Bit Adders := 1 + 2 Input 2 Bit Adders := 1 ++---Registers : + 33 Bit Registers := 1 + 32 Bit Registers := 3 + 31 Bit Registers := 1 + 24 Bit Registers := 2 + 13 Bit Registers := 5 + 8 Bit Registers := 3 + 7 Bit Registers := 3 + 5 Bit Registers := 4 + 4 Bit Registers := 2 + 3 Bit Registers := 1 + 2 Bit Registers := 2 + 1 Bit Registers := 18 ++---Muxes : + 2 Input 32 Bit Muxes := 3 + 2 Input 24 Bit Muxes := 2 + 2 Input 16 Bit Muxes := 6 + 2 Input 8 Bit Muxes := 2 + 2 Input 5 Bit Muxes := 9 + 8 Input 5 Bit Muxes := 1 + 5 Input 5 Bit Muxes := 2 + 9 Input 4 Bit Muxes := 1 + 21 Input 4 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 7 + 5 Input 3 Bit Muxes := 2 + 3 Input 2 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 39 + 4 Input 1 Bit Muxes := 21 + 3 Input 1 Bit Muxes := 5 + 9 Input 1 Bit Muxes := 1 + 10 Input 1 Bit Muxes := 6 + 36 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 4 + 16 Input 1 Bit Muxes := 2 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:28 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 233 ; free virtual = 13054 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:30 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +DSP Final Report (the ' indicates corresponding REG is set) ++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | ++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|operativeUnit_3 | C+A*B | 30 | 12 | 48 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | +|operativeUnit | C+A*B | 30 | 12 | 48 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | ++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ + + +Report BlackBoxes: ++------+--------------+----------+ +| |BlackBox name |Instances | ++------+--------------+----------+ +|1 |clk_wiz_0 | 1| ++------+--------------+----------+ + +Report Cell Usage: ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |clk_wiz | 1| +|2 |BUFG | 2| +|3 |CARRY4 | 20| +|4 |DSP48E1 | 2| +|5 |LUT1 | 41| +|6 |LUT2 | 119| +|7 |LUT3 | 36| +|8 |LUT4 | 79| +|9 |LUT5 | 52| +|10 |LUT6 | 248| +|11 |MUXF7 | 64| +|12 |MUXF8 | 32| +|13 |FDCE | 632| +|14 |FDPE | 2| +|15 |FDRE | 239| +|16 |FDSE | 20| +|17 |LD | 10| +|18 |IBUF | 57| +|19 |IOBUF | 2| +|20 |OBUF | 44| ++------+--------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 1 critical warnings and 23 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:32 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 526.297 ; free physical = 315 ; free virtual = 13041 +Synthesis Optimization Complete : Time (s): cpu = 00:00:36 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 563 ; free virtual = 13292 +INFO: [Netlist 29-17] Analyzing 130 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 594 ; free virtual = 13324 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 12 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + LD => LDCE: 10 instances + +Synth Design complete | Checksum: b2a6bd97 +INFO: [Common 17-83] Releasing license: Synthesis +112 Infos, 170 Warnings, 1 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:47 ; elapsed = 00:01:05 . Memory (MB): peak = 2712.621 ; gain = 1018.098 ; free physical = 594 ; free virtual = 13323 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2245.112; main = 1926.642; forked = 369.909 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3727.094; main = 2691.586; forked = 1035.508 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 593 ; free virtual = 13322 +INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:24:13 2025... diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..3d1cb5d828a3e6e04ebf438ca6a7834f268cb835 GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zaA$cbUt}P}J=4ly}|rm3D817!L5e>=kAxV)keixx3?*U9Thq0|UcdNrnm&_oY%G z_F8!ch82!~<ry0KoR2C<oqFwXRuv>ZSB;@zoAXmO5WClifq}u{t`P%+rOQ&|dkI~3 zYb`(`e?g?vQA-Af6AqKCIGjS=+?_*$e9qc|G|jbVU{G*;Y7b(+bperkT|pwPZXkBA vH;BCJ&A`y$u+#^{{^Y~!9unYc6z}Ze=;!C^vo;VU`Zth)p~3!W5Qq%`HNZ}p literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt new file mode 100644 index 0000000..2cf8e06 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt @@ -0,0 +1,195 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Mon May 12 08:24:13 2025 +| Host : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs* | 575 | 0 | 0 | 134600 | 0.43 | +| LUT as Logic | 575 | 0 | 0 | 134600 | 0.43 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 903 | 0 | 0 | 269200 | 0.34 | +| Register as Flip Flop | 893 | 0 | 0 | 269200 | 0.33 | +| Register as Latch | 10 | 0 | 0 | 269200 | <0.01 | +| F7 Muxes | 64 | 0 | 0 | 67300 | 0.10 | +| F8 Muxes | 32 | 0 | 0 | 33650 | 0.10 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. +Warning! LUT value is adjusted to account for LUT combining. +Warning! For any ECO changes, please run place_design if there are unplaced instances + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 642 | Yes | - | Reset | +| 20 | Yes | Set | - | +| 239 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| DSPs | 2 | 0 | 0 | 740 | 0.27 | +| DSP48E1 only | 2 | | | | | ++----------------+------+-------+------------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 21 | 0 | 0 | 285 | 7.37 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 2 | 0 | 0 | 32 | 6.25 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDCE | 632 | Flop & Latch | +| LUT6 | 248 | LUT | +| FDRE | 239 | Flop & Latch | +| LUT2 | 119 | LUT | +| LUT4 | 79 | LUT | +| MUXF7 | 64 | MuxFx | +| LUT5 | 52 | LUT | +| LUT1 | 41 | LUT | +| LUT3 | 36 | LUT | +| MUXF8 | 32 | MuxFx | +| FDSE | 20 | Flop & Latch | +| CARRY4 | 20 | CarryLogic | +| IBUF | 11 | IO | +| OBUF | 10 | IO | +| LDCE | 10 | Flop & Latch | +| OBUFT | 2 | IO | +| FDPE | 2 | Flop & Latch | +| DSP48E1 | 2 | Block Arithmetic | +| BUFG | 2 | Clock | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++-----------+------+ +| Ref Name | Used | ++-----------+------+ +| clk_wiz_0 | 1 | ++-----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/proj/AudioProc.runs/synth_1/dont_touch.xdc b/proj/AudioProc.runs/synth_1/dont_touch.xdc new file mode 100644 index 0000000..a362135 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/dont_touch.xdc @@ -0,0 +1,7 @@ +# This file is automatically generated. +# It contains project source information necessary for synthesis and implementation. + +# XDC: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc + +# IP: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci +set_property KEEP_HIERARCHY SOFT [get_cells -hier -filter {REF_NAME==clk_wiz_0 || ORIG_REF_NAME==clk_wiz_0} -quiet] -quiet diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..42f02ec --- /dev/null +++ b/proj/AudioProc.runs/synth_1/gen_run.xml @@ -0,0 +1,118 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747030914"> + <File Type="RDS-DCP" Name="audioProc.dcp"/> + <File Type="RDS-UTIL-PB" Name="audioProc_utilization_synth.pb"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> + <File Type="RDS-UTIL" Name="audioProc_utilization_synth.rpt"/> + <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> + <File Type="RDS-RDS" Name="audioProc.vds"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/synth_1/htr.txt b/proj/AudioProc.runs/synth_1/htr.txt new file mode 100644 index 0000000..6eaa206 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl diff --git a/proj/AudioProc.runs/synth_1/rundef.js b/proj/AudioProc.runs/synth_1/rundef.js new file mode 100644 index 0000000..aff081c --- /dev/null +++ b/proj/AudioProc.runs/synth_1/rundef.js @@ -0,0 +1,41 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/synth_1/runme.bat b/proj/AudioProc.runs/synth_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/synth_1/runme.log b/proj/AudioProc.runs/synth_1/runme.log new file mode 100644 index 0000000..3197066 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.log @@ -0,0 +1,717 @@ + +*** Running vivado + with args -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl + +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Mon May 12 08:21:59 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1680.648 ; gain = 328.840 ; free physical = 980 ; free virtual = 14252 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +WARNING: [Vivado_Tcl 4-393] The 'Synthesis' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci + +WARNING: [Vivado_Tcl 4-393] The 'Implementation' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci + +INFO: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 382362 +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 2500.918 ; gain = 419.547 ; free physical = 309 ; free virtual = 13202 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:13] +INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/.Xil/Vivado-382097-fl-tp-br-543/realtime/clk_wiz_0_stub.vhdl:18] +WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87] +WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87] +INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:24] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:51] +INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:330] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:363] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:381] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:399] +INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:417] +INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:151] +INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:24] +INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v:23] +INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v:23] +INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-638] synthesizing module 'fir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:28] + Parameter dwidth bound to: 24 - type: integer + Parameter ntaps bound to: 16 - type: integer +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:54] +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:57] +INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:59] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:17] +INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b10000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] + Parameter ACASCREG bound to: 0 - type: integer + Parameter ADREG bound to: 1 - type: integer + Parameter ALUMODEREG bound to: 0 - type: integer + Parameter AREG bound to: 0 - type: integer + Parameter AUTORESET_PATDET bound to: NO_RESET - type: string + Parameter A_INPUT bound to: DIRECT - type: string + Parameter BCASCREG bound to: 0 - type: integer + Parameter BREG bound to: 0 - type: integer + Parameter B_INPUT bound to: DIRECT - type: string + Parameter CARRYINREG bound to: 0 - type: integer + Parameter CARRYINSELREG bound to: 0 - type: integer + Parameter CREG bound to: 0 - type: integer + Parameter DREG bound to: 1 - type: integer + Parameter INMODEREG bound to: 0 - type: integer + Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 + Parameter MREG bound to: 0 - type: integer + Parameter OPMODEREG bound to: 0 - type: integer + Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 + Parameter PREG bound to: 0 - type: integer + Parameter SEL_MASK bound to: MASK - type: string + Parameter SEL_PATTERN bound to: PATTERN - type: string + Parameter USE_DPORT bound to: FALSE - type: string + Parameter USE_MULT bound to: MULTIPLY - type: string + Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string + Parameter USE_SIMD bound to: ONE48 - type: string +INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] +WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422] +WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394] +INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1011111111111101 +INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0101100000011010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111011001101111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0001111001111000 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1110100110010111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110000110000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01000010 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1010001001000101 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111000110001111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1101010110101011 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] + Parameter INIT bound to: 1'b0 +INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] +INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0001 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0000000001101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000000000000000110101010101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0010 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:17] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:28] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:13] +WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:236] +WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:313] +WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:135] +WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:150] +WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:18] +WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:19] +WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:20] +WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:21] +WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:22] +WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:229] +WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:230] +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2589.855 ; gain = 508.484 ; free physical = 287 ; free virtual = 13084 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2607.668 ; gain = 526.297 ; free physical = 286 ; free virtual = 13083 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2607.668 ; gain = 526.297 ; free physical = 286 ; free virtual = 13083 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2613.605 ; gain = 0.000 ; free physical = 281 ; free virtual = 13078 +INFO: [Netlist 29-17] Analyzing 106 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 243 ; free virtual = 13055 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 243 ; free virtual = 13055 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 254 ; free virtual = 13066 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 254 ; free virtual = 13066 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc}, line 6). +Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file auto generated constraint). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 258 ; free virtual = 13070 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl' +INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + stidle | 0001 | 0000 + ststart | 0100 | 0001 + stwrite | 0000 | 0011 + stsack | 0011 | 0110 + stread | 0010 | 0010 + stmnackstart | 0110 | 1001 + stmack | 0111 | 0111 + stmnackstop | 0101 | 1000 + ststop | 1100 | 0101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 00001 | 000 + store | 00010 | 001 + processing_loop | 00100 | 010 + output | 01000 | 011 + wait_end_sample | 10000 | 100 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:62] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 246 ; free virtual = 13059 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 3 + 2 Input 31 Bit Adders := 1 + 2 Input 24 Bit Adders := 2 + 2 Input 13 Bit Adders := 5 + 2 Input 7 Bit Adders := 3 + 2 Input 5 Bit Adders := 2 + 2 Input 4 Bit Adders := 1 + 2 Input 3 Bit Adders := 1 + 2 Input 2 Bit Adders := 1 ++---Registers : + 33 Bit Registers := 1 + 32 Bit Registers := 3 + 31 Bit Registers := 1 + 24 Bit Registers := 2 + 13 Bit Registers := 5 + 8 Bit Registers := 3 + 7 Bit Registers := 3 + 5 Bit Registers := 4 + 4 Bit Registers := 2 + 3 Bit Registers := 1 + 2 Bit Registers := 2 + 1 Bit Registers := 18 ++---Muxes : + 2 Input 32 Bit Muxes := 3 + 2 Input 24 Bit Muxes := 2 + 2 Input 16 Bit Muxes := 6 + 2 Input 8 Bit Muxes := 2 + 2 Input 5 Bit Muxes := 9 + 8 Input 5 Bit Muxes := 1 + 5 Input 5 Bit Muxes := 2 + 9 Input 4 Bit Muxes := 1 + 21 Input 4 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 7 + 5 Input 3 Bit Muxes := 2 + 3 Input 2 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 39 + 4 Input 1 Bit Muxes := 21 + 3 Input 1 Bit Muxes := 5 + 9 Input 1 Bit Muxes := 1 + 10 Input 1 Bit Muxes := 6 + 36 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 4 + 16 Input 1 Bit Muxes := 2 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:28 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 233 ; free virtual = 13054 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:30 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +DSP Final Report (the ' indicates corresponding REG is set) ++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | ++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|operativeUnit_3 | C+A*B | 30 | 12 | 48 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | +|operativeUnit | C+A*B | 30 | 12 | 48 | - | 36 | 0 | 0 | 0 | - | - | 0 | 0 | ++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ + + +Report BlackBoxes: ++------+--------------+----------+ +| |BlackBox name |Instances | ++------+--------------+----------+ +|1 |clk_wiz_0 | 1| ++------+--------------+----------+ + +Report Cell Usage: ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |clk_wiz | 1| +|2 |BUFG | 2| +|3 |CARRY4 | 20| +|4 |DSP48E1 | 2| +|5 |LUT1 | 41| +|6 |LUT2 | 119| +|7 |LUT3 | 36| +|8 |LUT4 | 79| +|9 |LUT5 | 52| +|10 |LUT6 | 248| +|11 |MUXF7 | 64| +|12 |MUXF8 | 32| +|13 |FDCE | 632| +|14 |FDPE | 2| +|15 |FDRE | 239| +|16 |FDSE | 20| +|17 |LD | 10| +|18 |IBUF | 57| +|19 |IOBUF | 2| +|20 |OBUF | 44| ++------+--------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 1 critical warnings and 23 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:32 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 526.297 ; free physical = 315 ; free virtual = 13041 +Synthesis Optimization Complete : Time (s): cpu = 00:00:36 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 563 ; free virtual = 13292 +INFO: [Netlist 29-17] Analyzing 130 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 594 ; free virtual = 13324 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 12 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + LD => LDCE: 10 instances + +Synth Design complete | Checksum: b2a6bd97 +INFO: [Common 17-83] Releasing license: Synthesis +112 Infos, 170 Warnings, 1 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:47 ; elapsed = 00:01:05 . Memory (MB): peak = 2712.621 ; gain = 1018.098 ; free physical = 594 ; free virtual = 13323 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2245.112; main = 1926.642; forked = 369.909 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3727.094; main = 2691.586; forked = 1035.508 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 593 ; free virtual = 13322 +INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:24:13 2025... diff --git a/proj/AudioProc.runs/synth_1/runme.sh b/proj/AudioProc.runs/synth_1/runme.sh new file mode 100755 index 0000000..a43dd90 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.sh @@ -0,0 +1,40 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl diff --git a/proj/AudioProc.runs/synth_1/vivado.jou b/proj/AudioProc.runs/synth_1/vivado.jou new file mode 100644 index 0000000..8753fd2 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:21:59 2025 +# Process ID: 382097 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1 +# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.vds +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :3092.777 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :15590 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/synth_1/vivado.pb b/proj/AudioProc.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..a71781c35ab2c9058d7fe85407ef9093a0ab8c40 GIT binary patch literal 84640 zcmd;j&d61yS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkkr{$*VgO<rnpqg=nVDD^adNR6 z8(HXCm>3wbaxq(47#Oj0u^O5fnHoj08Jg>w8yRIV6!28=OkiXXVB)glk`78uD@rZS z$jnPu@C;BWN-fAQ&Me6<%1kZh5@3~LHZnHRVo%O5N=;AAi()gh)HO0T$zUj8tzd<i z(8<KLfYB{6Gbc4gp(I}+CqFSop|m))2*t2U1$EV8b!!FHVlK}#g_4ZSVuh5<qSWLP zQ1BIJ<d^28DCB`O=cT6>DI}#TWacSU=9d;J<YX3?DCDO>Ohk5@jzW4q$i9+{RFF-< zsU;<udFdcy6La#@6%zAO6pB)F^UG2dGE4Nh1lXil42=yf;65>u=aSXW$j?nJ)-N$K zNh~cX&Cz$sPcF?(%_}L^4|WVPQs9zvPRvs;QAo?oOHlw>Q(TamoSBxHnxasUSdyU^ z#SRJ#BNK}Zh61(<Hb`ik9GamK&lQU|G!%3dLW&YIOHx7MqmWpdl9{hxQlOibnNw1f zieTxcmXxMsCgzps!UGkQc=dJ349!bJGc+@}(r6i)h?pQVSbq)8VC`q*>S3g1z><=# zL1ht{!QaWqrR0;Jn39^J5SCe%n34}Fz(D4K%UwuOsRt^1SqzPhjSxka1DBnCX>k!a z1oTt$ic69V^?hAk^&>KKGV?0*%fMzC85o)98R|m})`#*^5=#>GGYcRkma(BRa`}Cm zk&DMUKQ}iqFU1N}J`1o&F_{``aYBqMNzO4^$;h>gvA8m?BqKg0wKy|9PeHdNzW`DS z1Qg{bE9e#^7L_PeB%3E185oolC#9QMnCKcR=%yv+<(HPk=Vaz)mMEAQ7%1qb73apM zR+JPaCYNO9=PBgprN(FEmni6Fr=}LfrxuoGmL=w-=9R=3rKV>Vm!uXIE9e%b7U!21 zC8x$0XCxMZDyjUmGzHzf{P>(?1>NF|qSW;G+|0cA;>@a41=A>26J0~F|5z$mASJRD zmsoH~ViBlzP(bzsmjH_tv!RJ4l21Xpjg1U57z*IJ&oXf>WDLnj1=n8+C5c7pso?qu zR3D_}=j7y<gPi0UP^^$xl&Vl%l9-dKqfn5Onpm8wke-^CT9jCl3a&izOG^q$OB4!< z@>5EaONtdri$NMe#=w-t!%Q!Nx-+vt0n`=%Rb@%3Y57H|3Pq)Pc_3}b{?Ox6Ef!#t zVm350K=O(aHy39xD9TccGmDKXxXQq(23KWAe(_#hl&qgwpr4$R9bcYV6>orG=~X0W za)CqC5|rNHHRPqC8lnPRe4e=lIp8WV5tMufRj}S?<P!4?fF#q*VuhUi<m}WGD=yVy zNZZHA%m7i4aC33OBg|+DBiBSmEl?4ZlA4y8mkIKjg1R%v08mm3&#X!;N>R`-)icsm zS4hhTx9im5+SL^_l1qzA@^dq*Qd1PlGfOfQiu2P-%0aoIC>4?qj0_A-^^7$YG7^gw z5*6}N%TtRKauc)iixkRIi;6+zKxQ5|k9Y<sI472X+HcUtm7y7^vC0N&YBCBiaaD6U zIF^*8<`#f6b4k7eC^IH1<YXqN<`t)c9ha7xSW;R9&8~@gDf;<E3Mr{&naL0#aHcml zuz<I+QL+ga7YD2m1GklpP0W$Yf^04W_xuvltYej8Ha0{w>Iqn9iCi$da`A&|2~Z?} z%U9K6P;=bS)Le@V;v-NO0p?y<p&P|!WT<Om0LpRj7J4+7N<d;!38-{eNXbtvR>;dQ zQOHOvOI2`ob@Wxp$xJFrEUMH4nQm!jhSzj<BST$FBO_3-z>Ux6^6)LqDakC!C`wIC z0Xa7{FEI(!9RQ^k<e~~%=p`!TCRSwTmga&Q_Nv98GBG)|xHz>K<OCKI3nL3H*8Ifc z%3>pCE=H3mHVa*I19Oz1N#wHkNi5Av26tLAQgaGYi(saJQ$cY-VmY{=OwP#6NhwOr zQ-IWFFh!}Lpaj`u4z&qt38*O#_m@AHvInZsIbb_s={&&GMFAWqAcIVx1{ra1u^C$! z8JihFjWk7$(nE|~Osd5KJX);aV1d-E@Y*RT#7Dt3Cow6%2wc1<SSg_QK_Q(#15=cq zC{)l)0egSc$kf0<&(hFB0p2S$F|^b(H8EGPRzUBX8XH)`L=k;cLt`TYBQE4l<4Hy? zDX<4pi!-Z05uTf$QknzFVbBmUv4jOJs3rn8tUyf#BPK2eqdcxG8rDV`DLMLZ`}E2L z7>(Gtm<){tm@F6_7(tO@W@w6%emj`BByjl#lqbxL;pq{j;M~H<wVtNUQAbcW3lwU4 zMWuPg`d~N48|v#tWadEIce=(FMh2GVx@kGOB?Y=kMY^UY#`;C6i8&>ixv7Y%IKH@~ zG)b>4BP9nK8WzydV71USGe$}D-x#?p3-XIfAQee|nnErjY85hz6-x7x^YijjlS@)l zz&R~5uec;J4_dl{df6rxhVbBG=VC2NElw=~B>{Mi0UC|~aSR6{9axw{eGVy9KutY( z!=#gm%NxZzpyCPH`=I(uwO9cf0GauD#R@5@$vKHdsVO=NNu?zU`FS~&pmCz~%(B!x zaA265!m|N87c0cuD2a%fi_siZ%$W^*Xc&Pi4R~mrCMl2eaB+e2Ts)`&0nX(4T-o%< z<X{V7x!lME5xeNQ{1hXXZZfD<UR;t09mdFn)P!lJIXU3*B2fJ1<twD5rX`l<lz_6n zp{XgXTptMkm>NR;!)l>xXo^yk>|-Rl(Bj}?3kmmhF3B;<<;tXSoIorB6*U5kM(kY7 zhK801FQ64Q+Znl}p<%6%1Zr-A%9p&<veY8bXkQ8_{EdvvVBt@Hw;LN9Alz<bWQJUk z4|=zo!74mf3*DjSb_=9rKh)fAiIm=lnA=SZVU;6hnz_ozrJ9nTmkJq~z|!nf&`>Q_ zRxQ>9=UP*Eu0@nR%v_8Hpo-3Lpi3bmQ<R*1dZ^V4pi&i2#qfxcOC4`asTL!}6^n_9 zCA^Bmti%zKHW1YxT6K<6{~af(dBVlTk&>E}Uz(Sk3T~XxzA}MX2XC6d%40SQUG%2O zJ@TUr-D8Ld8*ne8MAv@en=71L?3qTz@yR7QbZ8PoZGtvf*tnRG>rJ%w$~7{}KqL<# zqKEc<V7y*KD+IR_A3dyG%xRfLMp-nQ;sT8*gZl7knMH_bF~Z$r^#Tv6aKVODjJOOq z0}_i8b5l!Fixg7IGgC@36hK)&MWH0$O2NoPL06%qvLMw;Av3Qe6*Sun8rtBh;DXE+ zc%qtS$fb{DT3$(FK{1kHhGzH;JI%;t=$2oam!jZOnU|QGnXFKhn3tZakXlhtlv)fP z`2>%cmL(Qtf=0{~iZiQH1z4q6OpMLpooG6Tv?+2Q%D~hFxz#%){R3;%fC}E>;2&56 z6N`UHD`nF%i$e1<OXyI_LTy51XA>ht&kC)SJx+X4#LLB<oS#=xl%E6kM-f*ZjeEC9 zwjue&6sKSAky&G)c??l&3<58r)f^W|YKQT2@#YtR=0r2gQo(Ts8V;ttr%>#KwaDPT z9Z*I>8xr0?lCM~~nBDzczzxd?t`IV(=!=t!G7CzI^@~dM!L#+Hd6~tTx$(*QxdmVs zb8@ko7+PB5X+|C=zZr?-14Lq@vtLl!i=;&W8yAaHsGB>DV}OH;#n2Mo^TLv9D2M=L zAJ8KPu=xcg0ydJA1K7A&Je@+_XdD5YT&(8CW+r&DzzOoR0J0b85d&B~Ls=B~(<KTl z%}h*Tt#K@wfU+ondx1_-faw{^qQECK#F+NEz{1ed6uB9QR(m<3_F*)+)RFoyo_?Mo zNL?5U^&~?B2v9)j2vHV~@Nl40JYt3jN<3~MsWju{Vs{A+FtKnoq<vHxTbiTvxX_}~ z8#OA8xeSq_($U#5*g4469Vt2u@OMZ(P>nO-(nB`R1=TD={AT&0nq|smjBJ)qsIR|^ zE2@D69OsT|pgxx_vVkNS6^Uw;BbPn0QK2FJL9W5BA@Ko@Aug`Sxxvpr9xMa*d2tDN z$stP4@Iy7+jLQVs@OV$ZfKX&JT|9$aokQ@Nh&Ps<NRDN^0qI0iK;reQ6FGjxn{1pN zgMuPG{YY|R0BUqtaG4=R2h_k|S09qh#2bR7WjVY?k(T3pP<?8`WrXBYPd}0~To$T% zL0kby=J`4Xdm|MrCKl>R1_p*C0n`cwZ$S8x6g_wY!asoIfXGD+h)}Lzq<{!;3<+@! z^1}!VNTo?ENkUizkQ5ep^HZ>^Pdr*gg1N9EP;A9pFlt~}b6Fw<2FO6n0D+0%Gu9u~ zSaU8@Bx6H^UE^H>{DY9oO*coMU{}1wW+1AOmR#m&M*4>OAe#u{dItDJ;x#k|)le5M zCp1H$o{e{L4FQ$wh@oXae^`ZrFC6fesGx8N_Vjf@N^Jgqt|k_EU3{ASP7hj3hfa+( z%r*~7W388oD*!TVuc2D330i~&UXX@Zb_H3R24BgVn^=;Zp#WBcstsxF7HEOInS~{6 zF$oJ7ld&0SHaftFg^LNYX%5!p8;Id3b}nWUBjhnr3(#^`_*$$6Ch9KAb9D9(4FPQw zg!!3|iznDQJ~1UFD7CmWr^IN0!ob)PY4X9`z#L_H9<>9(X($FlJ2g`R9~Td}eFSP? z4#5P`OwF+1;^F{@#SjdKHfn|gFBdncnhf@I_ZxyiLG2{rALbh5=Hnke)XK_EY9<K* zE<R|R#|hHr8ET=?LCw(M=i-Hi#?TB5YFDEHL$Mldp=OHU=Hd+Xa~YZi#Z=nvc|dPh zBK0>w?QTm@>)Y6JkTf?@_dk$40p=4LVnqA#Vhck{BiQn2EVD1RsJ&NJE@h<NE85V% ziF%TOp`iil$OOg1{*W-BQ%@2zG*Ehy<iw!~9pcc)zyMDi;$6^&7Kesrp!pl*Q8@!c zDn}?JK<E{r7(qjEgqqPMLM@S(nW0VMRH0_dB(4P9nbOPz9HEerRs+b?oS`9X<P}OA z7-GnS#vwuKKs1#T03-nEmjKX$5+wl~Bxxc~j7!8PG{hu6z5uo$6tqj-fG!DwnmHUC z-=LTU#lHc1k$r>w@jrY4M860}3o5EdI31>OEilS0lr6?27wLwEpezoFZbL(~BAx0H z4hf*a5#gIi%Hix>tiGWUZWeUN(iUdsNTZWxXl49K@-rcdALtbc7`~x;u7flLX}XAz z%DK(}l;1#=D5yPUV1U*#rh2Y}1Q5L<9HW3jiEy%VojF}{oiU!473H}O;s<&~f`u8H zZ%`tE8jTxztUkj?N|e@hpmr`e-+`L7sI6D3=Q>CL(JR6+f{N-94(Xl^sbUrs)u5sl z)S|^0fS`JWLjq{%M!4|+bYG~tz7&+Z4XEF1!5%L3if4=vqI$+Qr9<tCQ9Myrl7nL! zG*|&@Nudu!Q9WZr0*GD_juBK;k8sF1%TOxGq3vXFc@ARJxax)k(C~?HNZWI0ML1|E z3N&kA2x8EBTmlk6gCoL8o=b3ZadxGB5pH5&Zi#0%juq<Avl5pA?xANx^(3?|FJ+TK z@BpAw1Y!mSN)b(37ng&J4ZI!*(t4y_9_8R-F)}uzO&1r{5A=uyY`#H>gdW<j?PTU+ zGzQHpJNttcc$$C?<b=lLK&)y6ZL~BohviVvd5fq^JIU$u8x2U0fSS|J22^hxVh<B~ zq<Ky*Rsz$|<is(0?*=kNPrGsm;tMM7Dg<@zK@9~ew+XR_3;p65Erd`CH*(?`eR7f> zGZ`3N8H##+;D`nhpeZZrck{6a5WONCBd92jaP)$TJ{g;eg*RwwlFFGHdzjEGjxknL zp~NxC?S7xo5Xi^`Z3}B70~0*0#wgTwzY~`O?smVi5ol{4C<h~9Xl4d?^Dr_sW&Hwp z0MRRgF@g#ug2^eh(Gx6WDv!1ij*(1JN^MdX{$a!^#lv&h!-QUOj1f90aZFk!X60fI zb9Sb2-<^w#&CtZa(v&u*nIL(A9x;I3GbmB;g8T&%SWk^YcxxcKb)YK+P>Wv_&r)zy zB=oE_P~R1LUM}sAiiFyUbfy{7UPQD5zs`|)rWy3yNJO;J`CLQf6C}~R^oyEz9`JGT zq$H)sL#}&@2j9U6Ixmxxi#;bbt;8*}hz{rNfsf(`UmO9t{sMGhF#K3*EcYJJ(EEm< zD=0^<_l-uj_l-xk_f3X?_uFZ^{|BkmgO>j+Tug?BpvsN@7oK32gQz=xe$$~E;Ns#a z%1qA~sCvN205%ehr5>Q6|Kat(2=za_A{e3mht~un)c^3RU@-f?gSK@6veySiWq?)~ zqE-eE$nUOlb8*5O;NU?7M4yNDT{*bT;6sB!-Bi>829$2<ZALEb(7aO6h4#g%g{7%^ zC7Fpi3aOAQPC*x$l_wTMZ&6RtQvhEiYGRDIl1YGz4|LC*e`$#`<jURwxZ1_Y*bI97 zHfT4jfg$L;dic3HH!1TU9~Vz*QBg=`L23}_LgE4NoiWP2g=oH`F!uPkc#2ZfT@p(Y z4Z*&n`wcIk_Lwp3@N_J(N4ft%m(jT;g{Kaj|4d<5h+*;HLq;wGztj>?KFiF5-m|C= z%7Z1~O9bKPTY+zCO)1JOOD)m^T`Fj7Vq^q6$O^}31D(fK43S!u#ug@^3nk%skovxa zHzw)rOQa)rY41yTlabE8G(t+TwD%>vVMuRZBJIDYy)WU-KYIHTHjK+=p^NUzyOh<9 zf?WK?pqp*tL6;TyfD2f9H*VOun2n5(@}wDB{YXt8LK*-A;Y0W(lvrwzQZCB?&|ST% z#R~8m1YDgIE2L(YWPmQxM7pjwzX*H-dtSamPJUtvs3tM7FoWOJC&<N*JZ>Fr9t$15 z0$rJGZfJyZAR#R+HXA65O$W+ilYz3>c%Upc8Yqhm2g+iDfw9<dpz^U{^Z?~!!{`CZ z$A-}Zl#dOg2PhvKMh{RvHjEyid~6tvHy;;Ku|7ua*}-}P*eYY%*$Qi&)7Ms5YZ;rZ zc~lHxHZB%l-*`{ZX_nBtGHGoi3l~#yxe@aCAT}EhF@hKG@xX4hT*=6_jL|JKFS9r! zHANvP#7Dt3Cow6%D6u3nKTp9*AtW<5RY9Xz(@G(^pj5$D!N9-@gv=GJ6;g8&3qYfL zFhN5D1w94d)ZF}{N(BvHCrvAbg4D!p1zQCpQwvKy3sX}CYlZa0%sd5K1ychHJrfHP z1#5-0qSRD{f{e=I%;dxzkXj3n(O}WC%%YM~u%Mx_frSa^nhc%_9>`6aIbdJF@8t~P z3eu%E0NDq>xzifeK4mV&;F83m5(SUMyp){GymSTU(&Cc*Tm{FHlA_F{(vs9-6z%kO zF1_tLi<YXkFmi1~q$=EjM6Cp7W?-&oW@dquz>LiFj4a_v2_=D<!IB+n0y751*nlN4 zddJvlv>4mO$h963W1w=tzn~;DH?s;-5Cmi-7N;s0j>Z@4D&Mb+Tt%wI=%u4-F-p0p zT8yJa1gnFVg<v+gz(Y!<2th<5RW0Tc;E`fBu`tkLOU}>D&CfHE;ga%8Ey>9&E>S4X zFDd~QM4&qzb5cuE^%RVFxwt`PHK@eaGcZKu8X0kMaTu8y8ta)Em>RKju^Q+Z7=Ra) z8Ce*D_=d&?<`z+GhUU8FMn)M71@N2^%BAa=mzYyo1+q=GSRoXAmn3Xrr&u8^zeu4d zwIC-kIW;#muY^m0Rf@^bT#G#q;!se>-_XD;iq*(c*AQiuaRiqU)U1%A#Ju9P{Gwb) z;)8i91vC($TCCt45UNm|nw+1PQVcfT$O2(HXgUmRwh?Hcn6-ixQeSv;X$BOf79@f% zT!Oj;?9!b4^vq<1e5AAvGLqTY#8QjBpeR2pHMt~;)lk>e+yMEmVmmGwF3=J<&^^m} z=?W1p&I-=?dBr6~iJ5sN#asd`Qp`psCMYHtnSnx)rGf?GAX_eJ&%8{~lvpM>AVGO8 zFI^!uFFi9a6>Na9i3N%Qre>f~61V}c7`ape5{rsKu>i8)Ei)%oAzHOK7VNF$)SMjf zO%`CIEKDr4xKmP#Gt=`*OEPncjrtk6x)^DApKWG=esWHBe0gS7ya6^=d}dxe=)T+3 ziW0qwlw<)$BTg<>kPbruP7B5;HX{RF$VfljyPp}kbm7eu;{9zt1pN)l6mWkZV&oFT z>RoWe8k$?8da!|!tA>X0nv6)~`hKYumBnG1DXIDKzKO*psYQ^OghrpCIZA3h&B!H> zKl-5lK})EE$UmU+1RgE3nYnH<dgc~DX0sv1RB=ISa%Ng)vI0^lDU_!cr7EO>2D25) zGeB9iC^az!lxGo&6w*NApscH>5R#EvoQi5dW=@VmQmR5`dR~4}Y6>`a7gy$$WTX~n z7Aqu`mMD}%Re-13GxHQOQJkfx;F(*Hm|T*Yf?{l9QK|x{-be)(swEkzNUi{vfJVl~ z@Z!g)ZeUZDkt~<AUPNY&K70W}d_hru0Vw=Ii4Igi8yTA+7tkk2%QkI{T#d9U4?(qv zzGG=hW<IFK&?_p<E7k``V7#GzN`78Rd`W(3at6pB0*u%*&qXpb&!F=aN*40qQghA+ zB~?(;1O*L_$`o8M7@3&DQzJOK&5Sb`3gFrC{Sau4adC0LT4SKL7c$$(+|WqR%n01> zLbSt7jG^r?Q&Vj1upBN$?9HX%(%js{qDm_+)nWlwDP}`MLwL{`sdK6N<zqD2z=c!^ ztkIR4qQ?aaV?#sG6-n?W)bBwN#!6gBEiHwx#GK3&Na-6?ng?1hq+o^C?lm$(X$C{u zz2t>AlWMU5{e~P)p!Lw!Gjgp#^w1E^P2@npHC|%~>ItKd*BBWo;25ug1`nb;ZDwq! zXJl#s>o_BIRE$jFqd173ilMQAnc0ZyPP?Fv59x4eLB@xC@*%Z-0BEo%F)sx)o(8J@ zJ@eq*8&EjG$C>Co3}A`sZbdG6n2QS%i%P5%Dw53;jSLJ*ij&e!EKGC_2h_3G&~n6H zMy_3m907AUo}k7#d@-6oXgq$3c{em9e>fHt<Wzz(gnDsm3Aj<0T2xZ0j?tseU?>={ zJVNh0c?&I>A7td(he+m$#D&;^Bz4dLEO;afr7AEt0F@f>@gsT%XBL-nW?ouq5vcRz z7VHb@K$Rqxq$(7pre}gWuHb<Z(AX04ot~^x%oYalrI6fQoW&qL;I&8`Tx=oXp3WsX zQLGla76zbk9e69Lk{Y&&atQ|q#V3~*6{Y5t1jFs+<>CenniS>dgyv<IV6nUowKPxT zN}=|o2p01|kqgh8n75HAU;?m@6lyRf6ciNvQp*)w^OEzyePj$J0Y#~0nfax~2qh@C z54`^?QDY#HD<0FA#U+_3IjK1O4^s|0<dm=yaL$4UJ`t7|mlT8NtuR6sW-piq9koi> za@58EQI?k%WtI@(a|42w8<K3fLUBoPVsbVSCKFO(Xh?FfD-@T2#(fBx3_3T1K+G7B zWO;E(ZeC(?HgR!oNGRArhwq}~HzML(p|~WMh+qd@LqfpkhNQ(g-0S%Tgo54Bz>t6v z&{auf_`JA;pyi<BZ3$QoIt&%X@_{erIvBa!Q$eM4YKj7=PA$(Y$xr}SkZ6@^DR_PY ztQ6eJQ!Q4<tY}$`jZKYVn+{NFS~f10^rFN{&@qe98W*(K*w_@b5eHu74t#Ko)_N#I zBj~kWd17Wsd~qUZtPg)u2OSYbC@U%$7?584DioLG7ZIp<Ks7F*5>Uawfar>+peR2X zGNc)wlTWA|03BgZ*m9D}esE2$068jzK(K>~H~dNz6by(je!=mbnwLUUoP+Ep=yOA~ zI3M^dKZ|xHAdc2QCl`BuUaD?JehFwq6S*URrA&Ol$fXGB^?-`qoWzpk3~<M%EU_pv zF)1e%+~pBqlVUbDGKZDCvRu+`!M^eNd8rxsCGo*Q@p-8gNWBl*pM-?uC&Xz%X0UB0 ztQNY)MxeP-`0g)y_aw<$Gh;+zPu!RqgR&;r*EpG4g4z)S))S|9d|9D(#+0}eAcIbz z)lHzOr~JIsyb_RDNoH|LW^ys=!ISiME#^?HOKM4CW)5^&Cc?p>v10`*RL5$ddR2gn zPg_@4*D)m}wWt_ftsAOZJuV$i1qB5o1<$;K(h>y)1!E%xr%Vt7RD-~buvIVyaZzn0 zXp$jTlMG2W$;bq&Nk*ibWN3`lBvaB&QZUDA5*gv7V2afwGJL0iJ)Fo0aRuz*M2<<= z(-Rhxv{6&0Fqa@G6$e3w=`a#9=AbetA%i0lN*KdEfn<oS0v4-@FbnPt6tl2IHxXvR zGX{!TWVs8GHBijLl6VNa3z0cc%)*j62%Du~0ZY^<W|0+Mh^$5hv*4Kx#ViwWk^$9^ z@bNSv{0Pr(C}xor_J|CJVis9xLIHbPCC^<r(y9e0z)-?V9X0#%bMb<*uWxBZDn`~N zSaZNV0I~&Y1X*<uB72~ige52lxyjHBmY`8gG6V5Qs}~Vj1Ed%3J2KpaQgbN4O|k@; zON^T=;I%#uldvQ|?BQfe$RwhDXNfQgp1nZ+f%}eFHyIgH%Os@M5lRh<2yt_e3yBGF zq;P^)P9VK--w_>7#t7eG&n?7;6SD8%nH|MV#^3~nlG(AR0uzLru$yEAb`%jNA^8rw zNmwc+>~2CzPuNWYJBf(6Gc+LNJ7UWMV>3b~5$h%er1XT{cUUSmtl?xx&`nrUCcQgJ zm?x3LR}~M=ULCyy$PBd(mgACv^m)OnVuDhO^Gl18Qx%{~1W~dfy<J+2>e6VgNE9~~ zTXDGr2NYW=n41_VXe8(7DCDH(rI%z_85$U9aybP#`hw()QRNhZ9DSV(Effq548Tld zGX+xv%>nf$X15-mVp04%DB^_PX}}dV4d`-dLsF}AQGRi;f)i-jd}2|h0%+l!V^M0N z0`i`;0gY7;F13KfqQsn>)EouyVs7wi{AAGdc2Q=cLT0f-UVe!}ZfXhSBore{=pZY2 zORj+hXfqLf7?YOgos1r|0@{W`m!LKom_s-W2I_zYgMm7r!C;^cXfVKkKm+aa@#sM- zbUPwy^q>_w2KDGcD+TbXY4o6#Vo<Y*<fG*V>p?5@Zc1NATQ$Cqk!v?%)i^N?YMc|* zMusS-8bEfg8Cg(cqT1LPwx<YX$++pjp7uiTxN|`525E4qLApWk-4UP-{vnyUptT%` zjb9_{h?z@h>3b(5*EU4@CJ<6MleRHvPaFEiIAdxgZDa7BBFx<orX%Z|857i`EyE=R zN!rlVJZL)f^v?3f(3107My^$e<cuYBa3^7GrSoVK#<Ej|cp;RrC1cbiEX^eeO~R?k z8F~3R`RSDkzKI0|nR)3b+UT94=xyIov=qFCk!vMV3dRVW(F6=TJBr>hrHh(?LF-Gw zn}9t16+H8bQ;R@H{f(%kYmXWr>RhUj9PO5qSW=Rj2Wn<HrKW*ajE~qLazYIvEiMiC zw8dyB!B+_3nr(B-%uCEsfE~@|oRgZES6VQjSu6}SKJB<{!X1PBJpJ6QAoCaTkmJF? zC!yu%DJbctCFbRqmc-{|=4O^CfqLdCsRc!;$%!SP<3R>;J2svPwZRwcaa>wXIf=>H zPWctkOISch=w~DrE0iJ~o?noervN(Lk6ntz*whj>R|Go!1$-tNh*6YUoLT~!Ju)#d z0c|*DuV9B9BuDScWItN9zl@P<F{0W>E-!Ic_@I+u(K}rx)L8g#YzQ(Mv(se)-nBDg z7QSPyl7Q52L8*C(;6ViU)V$OpP*w&t07??`l1JEiwdc_i@is=T&4@&dFPKIX^+2^^ zaqi|wO)AaI0iPETTAW&>;E|bHlvtFUQ8}RXExn87GiWJ#Gb7gq<P?n~j7F35KqY6) zW(>|U8gvcM$QtY=u+t8+8UqK>Xks3y#5{UDKKj@qO65cK<ME9_&D_xzG<u*@#7BuS z3)Jqi0+$@l(%CPyWMmc2r_h=j8yUIQAvZNJ`WT=P8ZDa#s%*y9&<HQe1YZ~s3_nad zD77HJs01Y+(7PEMj+*c6xoknJz!e|^I}rCNXn;<u1|1`lk_j4)Dpp9&FDgncF38UV zcLIW3-9f`u#i=Ez3%}<;k}doI*Jehp21d{ZHIz~VRRGQ-fdN&DmC{8MMG5P<Xbx-v z9ZFr}o1apeld9mCn41dPlA}<g;1V1Fn{ZO7QE*fU&a46*ZQ=xDIKvn&Fh&53;Rp(x z8U-f^<qV-*Ae1AN_Jwc*AQXxVhiDMy7k~ykGRsmy$L7TwE7T}BYddN=frC>)p+>>j z0L-jWFof)IsZlTiZ*EkmQP2g;fYd;?_S7hV)uK~iWiZv~k|;rlJ(5ver~q=)Aafx! z-6FCIssNlv0t2cPD}|9yP{L3jwU7|!66Jyx4Y0A+)MB*r5im!@Q0;|z3@QrZYNObR zX#n)NvKpA33VEO`TH~2l3>i>Us3Bkg)GjCmvJ!-mxCC7Q*(6p28YBi^wE<47P<JUP zC>T=N1(0xuUmb=N?#`g=Mna1d(^Dbg4j*ZS%$>uvNOMU-{RgFV;V}&gEIitvzN!J+ z1j-@K`K5U!kTAxh4QdUPBHlLCkcFO&1PM<(w$(t(52sK!cTikF9Bl-S27KBed*W-H z9fN`*O+dLHbRLHRL2V`=I}~bMf&)w}Tw&*C5w;Doak9oIGz9ERup><f`wdzE`h<pn zeF@TLXlO~$k&unCAltyc1Zh(+HY2DFS^)cmhJbwu)@DxFHt;@G1(0oEUxKxn5)KkW zNU81<8UpquNSl#~1wls|LITh?G{Oz!O^`MPGZVtvAOQ%{2J$9Yn=uiNgan|Qi?b^z z;z8QXh|vZKKsT2FxHbg^B5Z>Mpqon&T$_<G;RrB<1fZKsFkG905#gk42ns)i8Xp&s z&!9QLkO*y{@KdPqbP9C?X#@p{f~h&-2mmLO8c%<SKCm_-g2V`tN}#$Rg@Ose=!TX( zPzpy8MDKPs{sA^zU4zk19IO+Uh}s14<Z?%JqtalvX@XD1G*Cz_D#|Y^)=@B20PPP1 z-*Z`>SOi*SQVibNXk@Ga<LiNHLHO!|6KEX*@C^_Mdyv;oDj=@EK);g?vRvEP2+JC7 z5>^SC;=E81|C}q_GbhnJvw@LoEh7%kfX=!C-C>72y@Ad*8-VdIxNn@e6u_6%<s^dp z(6B9_RjDZo(A(v}mna#V8o=*f0bQwLYHo;pOVLojM9>g)kqy?%YfR0cm)96s8ezM< zh8mX$8XJHw`Wv#B2rgpe@(W7M%`XG3H!Dg_Da}hs%qvj<S7ybTdC92?nI)i+4A4&E zq*Rcil%mYC)I5cxN`*v)lKcYQoYb<^90kyzjUH%O36}ty6qB)$7IS_<iIFLnaZYMl ziCbooep+S`xaVuAkJ8VKH`MoxPtM6t&W;D!8K0R~ToT1<Y^ZAtT3`a-n6!eX&a~#T zB*vMUdC5hNDJey%#l=Ixv6&^rICcq5L)M(jl(>-1ED0{n#T%-tY3firE*s(;T2NXN z3_e8|?AU07SX@bV4Ncu^&t*%Ndku%2gAIpT2pbJG2OAGH2b&Bv2b<z_@Zc=faOb(f z>0aDfZg9F6ca9sJ?!}$q2B&+6T7EMdV&#MR5Oc4^5Oc33PWLXSX{*wT%Yv9zWlnx# zihpTIL1_uN5sa$^yo{!9wd67<)~&%AnQ8c)Iw*QUpkO7`3mO#tb?`nAyivcHrcrOk zWm1%xo<W6ig_SgQrwx}CQSKymU}3Phmbj6Hr8Es&3obJv!<O*S0?oVXM7osdv4yoX z4O#~-JMtWiXK-P#x)^s)XRx{$cVB0)x)^tFXRx{$cYkNFx)^tlXOI?dxHH}$bujLH zH%J|fJKGIX2jk9lgVe!8F4N&2XBea*jC-JAkUALmNW&m?Fz%rSn$Nis)yM>OiisF& zp!v86(T*iN*f2OcMxcNtG}<sYy77dE8<sJ0xnWtw0A3`AzKQ{~egU+O0nh3MW60_S zM=pE9BTWABX_+}CsYR(N&}m_irKr=w#u*HVBUYEw#HmhP4&*u&wbM4p-HOs`9OQ0A zX)q3Qx1zKa2f15Onu&wlttc%-6t@n#A`5rc8gx#@owEj=Q*mdkLFZK5`D)NPbqHlE zlrGocE&)(FTZ7xFDBY~V?NpQw7K&3B)1)a#)JjJG_=2MR<kaHg%)E4${Jhk7P^%Sb z9v8)(G;6XF(WfG{dA))rVd~7~NVZ$?w66!DV{x|v2BBkdw*v;DV{x|x2BBkdw*>~F zV{x|z24BgAJAVy6x8lxTgU_wFbJyT=EAGrS_}n^_@)qu<_TURw+->c_=T_W}?ZM|( z+^y{;G-(;ybJ-HrG9;n79mu5_P+FXkngZHRmzh_VT2zu+q*1J?P?8T40gc-$6lCUU z6l>~%_IEN_T0n-YnYkDZj6g&bo3Wv;p|J(>F3<OjTt%wI=-YBti%~Y@sutt8P6@0o zJux#+!4~8MYX$J>#swLb#o(R9$byI~lt70`^GGq9SQu!rCFken=I0s7a7n?=BQMS` z0`FQ)&d)6XZL`%=0PW+1>=QOHKxbp$-)U(AI+fbc*x1Mf+y2g7j9iMLd6~tTxsZLb zY57IDiJ*H1VAtGQaj6yyuu3r-8XCfP$QqS$6)Pw>DwO1xB<3jOr-9Dn0c}bIpHHk% zo?4WuP*RkbR}3-?bPBnG0%QljtsRu10ouo?qX6nqg=ktS7%9MYb15kJxG31#DfqZJ zyILt28X!r5Hs%@{qHN3!<5C50O;&J8EzV5OQ-FmO=;)w~)a2~q(p)R>iQOzx%%)~W zTAXE>Wr->ICCNFU&`UB(G)qdcG>>94(KR$N23_{bQo#Z_uG^VQEGRW6H4)VNQ^?6o zPR%P$g&4&u#bjX&k1lR5POu9=_sT}G8k*}`fck~36|4|r8X39VRf`oo^V0H*bwKGN z9J-?%%y9-C?UkIEqW}{H?=x2|R&WJxMOR48O9q{u0-8C|;}T$zVluKo_5v#xv!S7p z5r{GeCu>7U+Ga7)H30<^+%rC0QmUZiw;;(VMWMJf8Pu^&E6vHNgqUlIY%U)c4|t<A z^sM<Pka?i3rf~C~4T_v1z{Q8`Oe>_^VPX!7Ur<glv@$RSvEjLci;Kh1z|ca^z|sN~ za;At3VvH?=EMVl4R4rC0NKMQJMW1hKZhldvLbPgetO7V=uo@Z}nV7+o16t65Yz}}H z0U+A~f`g50xvVvOoiwc!^2<^|Hxnz^Di|4=nCclC8d)pkLJAN=OCvKqGZQ0gg|z&l z?9>zmTLoh?OFc^i%P4k3b6q0~V-w_(i$X_oa<PYH7L}AH=78K7794D3$7O@=Mq_g$ zb3Fq~6Sy0V%q$J{OfAfi+-PWEY^rB!V1d<<$>5_HSfv=vwAhMD^FVpfoy!%Tc@&CL zi%WA#iWL%zQo)xpC#IyNrhp1`&@pn!iOCtM3Mr+jAOYtf&k#>%N1u4;)d--&7~nbX z^PotZQd|<C!#PqFf-@2eQeB)BU`HibDH!o`af4E(ft7)Qo`E4cmy3%7o>tkpSPk?H z3=BZgVrmK9sc&qAb*KJyMlOYrj8sq}RaY%mR{-5zkd&I5r;rXiLmpfeGMgD0!c!{` z7gsW<m@LT8%quYhAGD{Rk)NAdtY2bel2}?&nxpTMpIn-onpaY+AM6;UpbM%cGD}iH zA_|G6DVh2DB?Y>m+1;X41WPxyq%<WnF|R}yZcIT@ewMx?NJBtTezIOsX<o5DB=Z{T zgUta8rz97Ela8UW1*rUj$LV}VE^*h2)Z|iFb(jmfX&hD@vznS28yjeG7UU%6IcB6L zrWnO?MHhk3NQ^Hn$;`>Dg4AdrccwyI4YCbcE*|U_y`q8=1>J%qylM)PqBsnVbd5|b zj8Iw+F<c_96_Aq}Rf`o8OB7U#_4FV?Ze)NcAUL?#!oYQqkrbDNZ+@PFZ(=2={xq<# mGBU9;G*&P&Ffs)fL`DW?$a$QPi_0}HMIk6PIlm}HfDr&t_B|c| literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl deleted file mode 100644 index 1094e45..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl +++ /dev/null @@ -1,11 +0,0 @@ -set curr_wave [current_wave_config] -if { [string length $curr_wave] == 0 } { - if { [llength [get_objects]] > 0} { - add_wave / - set_property needs_save false [current_wave_config] - } else { - send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." - } -} - -run 1000ns diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb deleted file mode 100644 index a66f1ccf878893b7ba4238b75564e2d0b5f2d917..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 119669 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C;)`O*SJG$_7y$ z79f&=LEaWZ+s|W!Fvuhg7#J8}7@rZ$P|19#efo<b_P$>Zq1Ug0(E8gT^!oh}I{yfS zhH4+BMnhmU1V%$(Gz3ONU^E0qLtr!naD+hqMo7Eq{VoWNL)obCXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDkQ4&?CrA64Bn8;0;?WQo4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83D z83GPY{9u2-WM*J^&c?vNzyanm+%Yl-Gfsd>1Oc0ke}5Lr(qLdnVPIegcX85lElbTS zK_~^YpsE-cp!)+oz@iMW{e_;vnYjufkpZp>Zk|4_3I+y-3|h=Tw#jA)GB7a6voJ7d zF)%PNGcYX3$xqKrW?+Tt2ARqN-XUnn5XBGy7FA|o0Ld>XPEO28EQ-&}D*<sC7#SEE z{{R1957J`Dz`!8Dz_0)$$iU370IUxr0mEPc1_p+I|NsA=`~UxcO$G)AHU@?TMX8B7 zFw<aaP-u|vKqhDAm87Pp7J=1bvp^oI>p#>2&~8bPKCp|J7#1)vF))DHAQyqyQ1xIJ zA+tfQGlhDmBr`XaffpQ5m|n7n>Vnyb5(Xd}LE#9p4ThoW!8U?y@d6vnupl_ZCEmy1 z-P0NDMv&V;doMW|7#P$;)fv<y)EU$b)EU$b)fv>I)EU&n)fv=%)EU%0)EU%u)xm!9 zMlvasGNTlrk?rRg5*p;_19lR~;jox?ga!@V(eYuf&LRFmV1<kf3m8D|2Kkr^8r~qy zAPkFnm<67GA+GMO5Pb|tNvR#`m*SGdlGON;%7Rp|(V$=fB~oq%28QAi&y<{0hT@Xo zlEk7ChT@W-)Wj5q;*#*9%o32WYf({t5m-9E07N+^XEPL+_(CZ^$K>qb60nRfgbgtS zBnHt5a=t6n8A+wZ!Ps2_iln5{;?TV8y!`S!hNRMBx1!Wk5bac2TnUaBGpHe{AO~Uk z1>~pHq9TwZ7*dOh9E*~`x?-WaLgK+;fZ}~n5QIB=hQtRu`Ud#8G6aYC2e~o?1o=C= z1_yikxySqX`v)-ihlT`%hA@B?y85}m6odSw0g4L-28JLgT?(a_LFw~QnjNH=fq}sV zN>@VZRZ#j1l(q+Xf`Ne{9!f8O(g&clJ1CeK7#Mn>^dTq>-3A>L65<K95kf(YWvBpU zd<F)F<)B=|fLg4%IJqkr7??4DinA~O|Nq~?z`$@okbyzr5CcPp0t3T>QU->KdQ7~b zm4P8*0RzL1?GVhclYzlw7X!nOgAiQFz`&5nz`$^giGkrgBZLic6@oNmV_;Y$#lR3N z4iN(tWFY(o>Tr<v7#SHDKp0ZsFff2h2#{(}kbsoI_#iP521P1}24Pu9<b#;thzFHE zAU%-E1S|~BVxVkf4OI)OT|j1wAZ0m_9+0~d85qFkghSP;AgKY>NT6yU1F8l@gMu5h z6&<7>WE;p_BL)VBPN*7CdI7l?lzw1pKyEW(U|^U6RnrA2+!+dy>;jqV%)r2~8>;3w z0|Ns)0|P?~ni_Wo28L5;YI>2>fZPNMKM)4FZ635{osCD$3I+ynn61R4<}m{U1E|OX zr6riZ(Cq?+3#j}7xgD1pQ030Uz`$@Dk6m^Q4B%SjJsven85kJ&7#J8>Kxql&5GV%u z8-ziA2e}m#_L6wi?1Yrp40?Ff>|$VG05uDo@u&gCn-C};;!(4Qfq_Aofq@|xkD9#< z3=E)j+Jr~VJ_ZH`Q3eKv>3G!aXJB9uV_;waokD;sE)Fm-Fo3e@K|JOhWME*B0Off+ zY7Q|lFi0{mFuca2<}d>TgA^!^m|(dc#DU~}SbQB}U|^62`3;YnqYMlTG7Jn1T6oml zVqjnZl@p+{7nk2b=}(S<fgywmlK&yDLAVE0PJ!A;pmtL-9yOqP5LDI_;ZXx>ekd|9 zFf`&(qsPF&pv1tyFaeJmP>~O6Y%am0#*%@70aS+Vz@x^Bfq_Alfq~%+9yOq{Q;mUv z;Q<~spmeIvz`*c@3EWf$2O%imf&6<Dls6d|7{VAB7(jI@H!}kRsEh+C0;Nk|1_p+2 z&~hi2fq?;37AqpD0jUF}3lIi1nLuSMs4UjQqo$UDfkB&rfx!lknpOq|1|0?l1}{8n zx)~T4bU}F;j~b9XD9+OGr~%cL`V0&V)p*oogF6!p3=^2aod}S(!5HLk5C)YOp!fu} zp6B9G14_%FFj~zF?l^$W01+TFKo}HPp!(aGfq`KY9yL7-3=E*UY8M_ga~K#HKwW?% zc+|{gU|;|>@6O>-vxtF#0aPE{z@r9K&V$nZBRpzA^%p4JzrmwsEdv7sDBXX<qXtxF zg3>)Gp@P~Bpzs4>Q22o`C=5YmrVXerWWgQI#~2tGKxKz09yRwE7#KkH9H^^_%Pvrz z3+hE_;W6h20|Nu7-ZjCa<|hLK1E^nO#{y|nfcyl?r^%qa$q1=SoER7wKsXLb4M-ix z-=<JCpkr}BZImP|YC!5hb&@}*P0YZ+kc~%800RR9sN4j(2h_#_*#mM<Dkwcb?E<+4 zghB3ssR5}2*#l~GgX}_f54swVI*=M<_ux_kb598iq#Od-1HvFPKp51X+s?qi;09{v z;ZXx>OMu#E^Ps~AATvN1WCjR>+E23>7#Ki95ukQEs5}G7gD^-Qgh6T+GcYiKTKyaG zn6m^_hJo6Pc+`OUETFRZ2p%<?85kIR7#JAN;!y)?1Axlw>v+_F+I)Tt3=9wPs5#5P zzyOMmS9sKb>Sa)Te8QvVB?ALPAZV}zj~Y;#2erX@Ss_C?pfCnuP#A+SD4cm185n{= zZ6G{q_!t=&LKqkr6!EALU}Rtb9Z98)M~xUGq%O3;qeh;QfdSMHal)fUm5~8F?BtI} zjR7MALnH$OLnIzGwu}r6Q49<W>3Gy!V`N~6W?*2b#G}THiGd-8fq|hJj~WXm1_n^4 zy_c1N0aSi~;sI2rr-SkoBcy!^3gb9XdPY(MQU{8wV5piTP(PZ1fdOO=D4&7kLFN>I z`T|Ut=D^f|)Pc;AhN^*?bCZ>U0aONp<Utsu282OvSx|kFz`(%p2#*>U1_lOD|M4{* zHJ~;C$iA<5)MPP0-0>fe8c;g{G~CI_22l%gF9?I&3&J2b=P)oZfXYx|JZeB~tu#>k z7>}AfP`UsOui;UX&%nR{>JNj$5M(FFEg%eX3kZYUgB*sq)F6i;E;Yzuh)WG}7~)cc z9EP~mAcr9?HOOIzOAT@u;!*<(L*#G+wf8{$2a+spAQ~Bi>LF0rg2X`W6a`t9Hc-6@ z8UumRp#CmQ4XFKIAi>fG>dS!I7%&>t4}+-zjWK}KfZFDu`VB^d+IKKDpuWonNtQNH zUIX<7VKk_Yf~f)Z`$6V_+z;wg!e~&Q2BkNU9#CHa<UUY43e>Iv(V(_1s9g$byMxq# z<OL*I+CX(TsGkR-Vg3f$1#&OQ{|h7`eg}<jfW$#!AR2^WY7(GoK<z`2I6gI?wiY2Z zpmr7^HK6t^AvK_OA0aiMb}u0{pz#etYCvrgLTW(c8-&z=#y1G50gZ1EQUe;_z@-Kh zKcI9B8sEUB1{SBFwmKm-ptd?8HK4W)AvK`!4MJ)_;~Rw3fW|insR4~|5K;pg-yoz0 z)Q-ZX1{BVqvH;Xa!KDTi&LB0Qb~+(7pf)BUHK4vSAvK_W9xgR7H-qXFTxwu$2KDm@ zsR5Orgw%k_CzzU_Opvi5kUKzQOJ$IGAaI`mR6c>qPAO&v23T1K>WhQQXHYw{9MoTg z_V+;MfiSF$0+n~5au1{)Bn~nkM1wF)4ai?0HJ~yQB#uuFXncc^8qoL#AvK`!4MJ)_ z^)ewfpgI?y8c;m}ssjkA0gZ2<s{z$}ps)ai4=Aoc^#~y~pz#etYCz)~gw%k>HwdW# zjc*WAgRPuL_ZPPM3tbIpe1ni(*vd?FbFh_}=xRXY8-(lvjc*WAgRRa*w+l4BLC73X zUk|3ngN1=1fCVz20Wu4e2SIHXP+uEFgYqs&EeM0;LF2=qJP2xMfW{L*;-EAIqCps> z2E+%YF_0Qi{}m(-5(Cj7jIIXMe+7vntAXWZkQk`E0F5W$QUkIJqy}5v4YLbm4ybGa zjVGX+15*Ps2c!lxo<K+qXgq<C8qjzGAvK`!1VU=C)w$?y28|~WG6yuCKu8U?dJtw8 zEDS;8353i+PGcaoAPh4H7S5pY1duq$To4Vy=xRXY2_SKNYCz)&gw%k>69}mRjVBON z0~${tqy{veKu8T}JOP&)kY7RR5Hy~EOARaxLE{O8)PTklU}|QwGB7M>Ma}P^z9*>u z0@0v8#A#MU-3@BTg6bU5JQHY64@QH`17TQvf!HAZ*xDN~H6S;G)PVZ1AoU=Bf@ly% zSA(stL{|gq!-DkUGY4CJif#_54@<}#P#>0%8c-jWkQz`Q7N!Q|ZWso&;{~wH--6nd zpfZ;s_=Y8@j033$sRhLaSR5q40EsVTwV?C^k^-p(&9mZD3o6fHYC&<0Pc5k308<NM z<5LTYYnWP49~!4x_Z1AFc{-R{P#VLjHu#1mXnqW)7L;Cbs(sa=3Yy=7sRgx(aH<Wy zVF{Xhf~f_~W8zZ_niqnp1<hyTQw!?j!qkGs2Joo`wX<PrLGzpV)PlwYU}{0(hfghR z91)ZrK<!6tYC&?KbOsu4hnWkS_r#|bG|mlE3mQMcrxrB63{wjl+rXz5G_DI%3yN=i zYC+?vFtwn0QG9Aa<Bu@4ptONaEl8fB(Lo(H4h0Gq&>SdEwM`D{pz;c&52O~<cf+O@ zB*)O?pblEc0#gf0bJ)~^#hM+|>p%*j>sLVSEwDIL3{;MQ@;umF&j?jeKLsQO;)CWt z@u{5*QV2B{)R)4k)+<64)V>EP2bl|+qr|2bTs8&Yu!O8@gsc$&^-poC{h+N5Y9GPO z1@)~#W`OK~=>ho-BnC>88$b%7?gfo2f#$?vYGLYOYC+>OAmt!=(3}`d9wY_}Gq74Q zmNw8>1xOsE7L-SDs&%VXJp)n*bpxpX3Nizv52Ob~BfIxHl3GxH0;z!tf!A-r)t>E8 zeF&8RnE@Ij1hu<CY>*z1I*>HTE>JkU1#zHm04?}LRtp-71WCixg4$ppKFBQ4m;=Z> z5Fdom!vVA|2qX?t3(C_t)xOnM=Y>jw)Pm-)kmCYmH^|K(n&EZ3ssxf+P&*&W1zCj} z4)5Dl)uECgb3yY}P%ft0PwlE^P)U$lP<}!V2iVvx*bTuqEUl5$g6>B_RtxH9z}*{s z!_omsEof{2SuJQj6j`kol3LK<GqPIH_ye-q5G1vrC8@}2L3K4;?Xz~(cqFwQ(Dg(h ze}aTT<Hm5cFWXhKK!VWpfNjkhDDYt6P=urwl&7IwkP>iQfEX~fRZvNgy`cUOlnYXV zp|%w&2~rE{7eTpjwQ%<a->~e5N`lma@;@leg4iHo&^kAeCYT#$fCQm_+lm$rpf((` zxhwFf1+9BSR=X3AT2NaES?wu2YC-KaWVLsY)NX^WS%mow)LuhY`xLtN3nUL}GlSX? zAU4PdSbGf|4skauLF>do;R4bR@(V}}h!4Uj`8fE7C1{-~NF1aV<QIHuKSL!!YC(R1 zazWa`aSRd%yCG(R>UXFlNDSl`C>K+0@D0m9P)U$lm|wsOk=m(Xb3tudkf)(*NwKv( zL3snLHhqFBACg*ZZBJ0X2di~o!61R87F0e#odk9UN|@zMP*p}!3!00;skU%}sveSB zP#(sqwseB3C6ZcDTNpX5gZv5#AFz8XC#X6isRgx_k=26y3Rhb*LDdsUEw=VA$dh1m zgKt;{BB=$9<)FJ4S#2beT5N4)WVK01YO%GIk=5oSsm0Z%1-n<ArL7i8Ev_~#SncEq zs@+IxakXi|YNt(5or$CtSDO~BcGd*d<w$CAwQ0d>=T1=FhNKo-I~5)d3n!?aKvIjX zoeEbg&eC=RNiAqB4rjcHv$VZHQj4uki)=1vTpq*+r6+7{T96#rZ{jR%pf!jfK1eOL zHZ4dFtTy<DC1@-k#0ROx)}{r?!PIJk6hZSmwl*!YS_>q#*xIznYGHc=Kw3ckGn`=- ze8VytqzLK;(E2@mYV(oQg64VgsclA5i><AU?B40jkhQ%aEugjqavcB~I|bPT4zt)B zmJ5;8g4!0yYGLIYOf6_lBS<gETu}LmtQJ<jfz`&{u-t}ZE~ssRtQJ<j!PFiE83<jc zimN>dvX@~(o5o2bwYb`o;AHEqq<RTSEvP*SHUkt;Nc9C+t&fuGZ6vjzv1OcU{ghOn zAgRUG_5_>jucZ1GNiC?Kip^ZGSb&o1XC$?t^-y4OZ0-$IQvC&03yL#P9e_<OSS&~h z-p>N51=RsyaWr$mV!E4DVSQ7OT3q8LV6A$aRAGHykXmf@1=NWQ4Emc?|3Nt*wV*LU z<a!9?evp~q^bmZ*k_99QU6TwdA3$Rppzs8_5#)YkwY*4b36&3GNNNd{53)#VLFEIe zJr8pOC|r=;pp2vzv`!1;PLMnZgW3cj8s;~3B(<RN1$=6?k<^0LZGrMK%nhLMMmE<7 zNiArt2eMjF{R|3zup7i!+ANXO#^V?p1glNlqzYOa3bF?jZ=iKp=<bD^o4QHW1<71c z+Q6wcW0R^Ml3LKZEM)hB+6ZuSgKt=dA*lte6GK)DY9oN$2X=4p4a;~WwV<?&?gnJF z=`4_P6680~d@-nuf@%l1Q<2pcut3HhKx#qm1F3_>1xO#r9<Uo?Z&+3%sRg+YSuH3n zBdcvgQVTK%SuLzh12eY|NiE16WVNt14NUDcB()%Okk!K4G+?!HH!MNxWI=ub`3+<a zvRYW12CO#rhUHQub3x`HtA({`z-r@eSgt`*3o-{;Eo__)tTy(B<rXBhAajt_!rDJz zwQ)Br4<N-GNDdTkAoqj971sU%tBt*330hAJk^}h-CI^aF5C-K<kRF)Yi%4$3);2;` zdlktIptcdR8(@0D=EmKyybbaUbk7m2?gQBm!XP(*(mKd&hUtlFu=)bjjt7;CU~!N_ zq&f>M#-6GQTH_0n0;vU+iy-?zeg(;c+ypn5Ggb8kk{dwf7qVJVdktg`NH1tC=naxu zP+AA|i9vE8b3tV{NG(V|NbN^FYC&Z;vf6KW)Pl-xWVOHXs0EeX$ZElxt)bzI?p{#Y zjjWax>~P5bFHqhDabbP~jaMS8<zR)B51{Y`<ssxahP4Ah`WfPGSn`7zkUe9t_y?6! zAoD<R4DVlu{Z<u4QVWVFP`Kh!D~+TU6i@inDkG@{#S=cYpf%DUw}JYApm+iWH>jNk z(gSL@!rePPQOy9!Tu?lL)ZlWjDUw=HJb}_X%v?|#7jAA+shTyCT2MS8s|B@j;cBNR zsyQO51<4_+1<iwi)PmxXA*oc&9Z4-n4p}W|>=>?gdZL;yl3I`)Hot+*4g0Mcf}|ES zwt`P>43b*VIw*W<Q;^hx=4r601&J|){Z`FEQVUuW28uV>_!cakft7^)RxJfHAZZdb zR*X+=J(5~j8yr23!Ain@t9F7J5OWEsos6Uww7&u54_xLhBth+ZB(<<MI4*NHlc07x z32JvEsfCRz<8s4(64V|hLG5uQwV<{8_`>%Bl3LI_7(TVPkko>X*TARt0g_r!`xjJB z!ty*QpMdfkSV>Z;+FLLKl6FAtRAjZFd;(WHJyGo^l3GxE5;-4(@(EmRQmGm<Xpj!N zXBV_SA6YGEpBP;2^h7mYB(<Qu5BU5hMuJ*-B(<P51o+I=AVDo?FF&lT2CWwW<zrC) z8zhFF=dF;;1+}q2YLUwa(6}iySQ*@*YC&THAT`Kg2AVemg&D{Xpfc4PNiC>7fUFj@ zjvAyETux3;R0}{-3lax$Vd()hw+s@4nG5U3g4_TbS4Pi6V6|bt;r&>UTG+TUF11l0 zOF?^>p?k(bX5dmAi=-A5J|J~4_k!jGLGFe5EelC4s9k`p7PPkyt~RMutsF@$sGh~< z2C%tdzg1h1)PnqnPwhk`wXiW7kUNmm1I*lcNNPdtUr@Ng{05rC1i2TS9;PR%Eykl3 zG=~XSn^dZ{5=kw{ZcsWyPLrT;1e?piz_0;HEvOxiPc3XL2&5NOXMw^2<S!5grFD3l zmb*X|HWma@3!1aUrWPCya#!GEK_IoTItyeb2*b<;tChb39}5Dhh1FRgbs&tQR^bYK zEC{3)?|78r75G>XNG+~0Ca@oZZ&<>{f<S6v^&7~35JquB@D0mt(C`JR1(lPaI0pFz zWEN;m6e!F<atx|hR1YAj1@*f@YCvX!Fw9)AT8%5JXOPr_`rSCyYF|;kg`^f#{^C>{ ze8ci7l3HwibhvvP{;U2#QVZ&%<21MBzbZdykOsOQ99FJ_`~|`&erx})s(_>xw0{bx zxn2KN4Up7=)??sQ+xK790ZA>a4glE?!YFQ-_+K>uNiAqS8BTMj{#Q*vQVUvFhEuJk zl4?GZT2Os~Q>~7YY8{eV&^j}mY7LZBdy&+F)|=r}YpkTY5J@d)Um#Ak=1Qttk<^0b z+;OT6zF~O)NiFD11AJ;PA*r2&Z=7piyXsRUwV?B1aGHCrUG)c&+G+UAz0|JC4JsU= zX>tZWwb$BJ<)CUo<tQwzLxsTOQK0e{?5?oiswz+kkXl$;hYDe+)q+ZZ)PmAFhzqL& zKz#_fxy3CSdLT*YJ_%4-2XSF)L2FasYNMvB8G$6BYC&lo#D%E^?fC?$1(ib##Vs0U zAW5iNSXze)!QBg18}?h(7AgU9FD$J?g)r1QLnT0JVQC#I1Xl|SGk2&2NG&X_LxnKZ z`amT>YC&r|KxRON;A%m68q_BXfJ%VW7DMA0DukgHHVzI_3t9&PG8fdI0+|IWA3$LS zE`LG&O{gKnsEtNa3tHO&G8ZZYcLU7a1gHeaTtaHoNKl(ig4#kPwV*Sb@VU2w1hsWY zYC-2afy@PkDYo!!M^Xz~;|5X-YJ*}^JAnkXGmzAR_PL<9cR=oe#l>P0)UHBO3p#5G zpSfF+)b`;>lQ1{zCqeCTB(?p}Gp%5G9@Jig`z>m^+66pnLF>`rYKvPmZsJi3YTLop zMom|Hgrs%?0lz&bLG2qPwG*N1gJ6CGwHe`VC~ndCili1+w?Kt3^4ou?1Sr1|Qp*Wy zAVbSZLTdR*P%A=$T1gVr%8{T}odmT;B&f9@L9G*#TF_cme0j(lNiD2R0F6qFI1WZq z3u^zOn~U5ZibYae1)cW>r43lQ1L{|T^CklWLlTnOY65Drkkr-?P+Nwi7PJ-^-Mz?e zXoac;_4z^LHK1}5Jsdz{pt`UZNiArO3M7t8?NlVSpz(E3zXg`xK>aRIego-exZbR> z7)dQ?jtV4>%iL{9YC&^U$mW9jXdrXJZH?>A8pn~;g662OnG04M_FMHXl3GxigiS3t z-ok#XzDH6EDm(D0{ez?y<ZpaxxuFRK6c-@B;8QDwq!zaR0X@uM?o~xn3+tQWQfq*u z7S=b#rPdCr7Br>-8si70GtgKQEUkm;Td*4#7#Lvb3^eZrnw!DqH;@=|Is>UClqO+m z2c(uznsk7=7o--HCPDV0`wb)pazh}JT3l%omUd#1)Pm9^$P9FIVQRCH)DlXQl}Ktq z^QQRBZAMZH8XLr?wiih)XpR-1+F3|yL1Rq#)NVjh3rY_lwV?b7OAnyD2~H1Tzf}() zsRhl=;Zu7Ssunc237dZdnF+$6b~SuVfrWwL29jFX{2NFe2&1U=R#bnCq!u>+22uyY zC~B*f)M4ot<Oa|@B*?uWbs!8=3l^(YQh$kLE@=J@EDlnLG|vkT2hjO^NNQp8Z&0P+ zdI;_}e+6|8Pyq@(zY&%u(ba<O3RX}TLsAQi3v{(GH)tZMh2;x$wP16@71Yg<)WYUT z(bdAt^+Hk$%NOWs!RE#&sD~h_1<fUb#i5E};R`btR=0rS0#rVL(ikXzf%JgNLQt52 z*q}M=WF&Jz^M%N2L1iIGE!f=P8<u5AYGL^e>I8UPfXz)+P;W+33z`Q3i$fJ-xEDz+ ztc-#xMKw1|L46^TTF^WQK65uAsfCp#Sk28-P~U^37Bv2k&s<nN4+>vcS&h})Vg>cn zNaljZ|M8i714%7t>>W8SKy?jrT)^sikQ+c{6tY^-d>lwED9%A~d<)53(6~3UTF|;Y zkXl$Ae?U?TD<{$Y1~#`rL7fp=nStB@8f(SpH*qAjpm7(RYFiZ4^^nwp#$9l#?Nm^A zMN$hIcfqN)M?pOXNiC?qhEweX1@&SiwV?hQPPMZ)sdgc$g|&^)!wek0^ERn2L{bZD z8(~$uXp`y=B(<=%5mvQJ71YlosfD$Tu&Q0Dp#BU=EvP)e>9;is>VJ{cg31G&YBwmT zi$Di+K<NRLUU913te~!qq!yH3ajM;}pzegE7L;Cbs@<ia9*LwD*E&*gT<lX&FF;ZY zn?J@HW(O72+mY0Q&e_B1hNBAV^N`fy9j85^puQDJEo@#Is~b)$sGmVn3!9h5s`i|M z`eP)upmX?ey7!WT`Y$B4p!4`}s=cb9E(mI*K<il0d^b+DHx<-1kkn#Z?+Gt=?kK3+ zBdG<g_rz)L0|oUkB(<P<bew7*E2!rnsl~hA^SOe06OvlI>pfp7sLw!B3z~Pr>4tX- z>g$ly;+=Q;sGxoXNiE)ar>_d?w~*9=`rSC)@IyiU1Cm<2^G<&h)Y(8C6KEX6#^SN2 zv;PX}GDvD+WARwkGApVZA*lt8#p84@o1(f0l3LJMJWjP-it2GlYC&W1IMwnhs+S<C zg^k5yb+4eJdKZ#f*jPMPwIYh@3y{>p#^SN6l~7dQhNKoY7LQe}w4(YMB(-?wo#YkO zA0es5JMW~VsQv><E#7%2HAQtkP=f)Q9$@nUSlz3ssIG#f7B(M%Rjsa~x)qXI(A7CO z<IO-(Jpf59=zas7YE2Z?(~#7H&d9>4)?87&21zaGj4YgLtrgWLA*ro^j=f^_o1LQi z3M93lGof&r>!hf@4@oU(Obn-5S4H(JNNPc2VmQ@$DyqLiQVSXr!>QI^Q5}4_05pz4 zXMEvQ8>FZ%2HLC$RSOzF!>Kk*QC$Z~Z9Tp)i&Rv1LQ>m+Pi?HCdIXZ%Mto`$6xH*P z)HdN$o1&=Rf~2+?pW1Xq^;t-2k?+U=r3sWiPPU@@1|+qxxi6495Jpj(r>K4mNiAp( zG|uoXQdGZ#q!zRX8mHP)MfFceYGHF<Ap1cW#SN8;>Kvd!TWB1^=BPmGKo~`BjiS07 zl3Lij6G$Bhqo{3AR5w9V3!8TWsRLmYwatp^UPx*|b4fV;)~=|YfTR{QKZH|lm!f(Z zl3LjO5XgQIMsY)*qIwUKTF{&iPID(JsxLxP3!9q(nF+!u=1x^q-+`nSG~a^L+!>1M z=aAIG=0!kef-s7?a}?E|AgKk7@#8dizM}dsB(<<Hevp|UjAHI$MRfttpg1%=OvRTk zmMN;MA*sc?Mqrhqx($-r>G;fDtEe7?q!#abf{lvm8Axhp;xl)PqIw;YTF_Z$IKym* zqWTmhwb;%EfVXLPE2^(TQj6_u0Jz%yis}cD)Pm0S!|8@Yit5*p)Pl~Q#i{m~qWT*o zwe#_X!%0PTCeT7XXdHvi9KmVsSw(dTB()3inR`J|T@Ohu=sZH4=3Y@$cR^B%?TiF? zm|a&?k3v$5_l$(wis}VOYC-26;&j73MfGMRwV?A9aH@Tzs6Guz?Q(qK@KjNKC6Zdu zd5SpAeW|Fv2T3iqJCWew@J3PnJd)Z~_}uV8QT-8;+ST~fepXcff~0m0KDFN!)!9Ik z9MH4_ItLP`-+n2oOCYIThtJ%9it1WOYS-ga%c!JogQOO8whc}<uqvthA*tPn&s+{A z^#mlfoA9aSQBp5LQVTkV5T_gXmDF31)Na9NuCS8&G$gg4b31UFE2gBr0!c0C%nY1r zm6X(XA*ltO2Z>Xys*?H{B(<P(pK+?yR8qf(q!x6BBxo23G;Rpe0~(isr{CZkmhX_% zg3cKNsR7kNAdFHE87Qgqf)@Qk(;4U-J)CNdmDCN9)Pl~1#;Mj^Nj(%vE$Dn`oNBF< z)GLwHg3gJ?sn$+OeJ+w((AlRr)jBGvA4F0MIs+A_T303Yr$}l+XIbJ@>!GC13EH3z z4KvW$OgPp0D5>ipsXdG@O)hp&_eW9-I#(K}xyv2Yi;&cU&X>lic9nzrR3x>ab6#<( zUFV>_14%9DyiS~IH#(@_Mp6s9+7+kTtq$t{kkp>U7Y;id)D=M!($Me)-HQjB=Y^$p z(6}OUS~oyadm5j)`yJGMkko?C$-?R0!w%{hNNPc6lHyc*%t5^cN$oj&ZaC$jz5q!r z=-x@3=ALy>--D#~0`yKhSU7;jOp(Lk5|Y}BXlg-YrpRjFAgR5CrWQ12ima9$G<gk; zi_2(gL1U)KYL$@GUO`g}8Z$*!Ym21zDw<l*m?^T_2qd-F(A0v)Op(<VBdG<QZwBhJ z!@>+SW{Rw~4@vC}G;={?rpRhnAgKl2gNAG_Xv`E@?I9$!x6sT5jhP~=y@R9{bgnJ3 zxu7vqWVPRr)ZRfe7c^#ytX2TDi5D7gchS^>#!QjbY9Xlwo$-w92GE!(vRW4;wfE7? z1&x^^tBpfa`v6TXXv`E@Z3U8A&{=b!`CC}LfyPXc)z%}aeS|N+2{@`xK~f7kn;O|~ zpz&2?b7v!|eFD9659S8Y_$snmP+bZtmq0WOgX&h$TnM!Kg$aY_TtU?fF7?o&5k);H zi{cW;Wj-$T10@a$FSw_mYY{+UKx_Aa;sD)V(AE#o+%md2Xg(j8J8_BQGKX5?xa<YF z72W-y`F)Vz(8WR93P2cL9JEe|TH?6eIn>2TjYm+LMh|CD8pI_IYP+MW2Td8$S{#>q zaQPQkJkmP<;&Klzaay|v6qo4n1<G@z<|F775!@(HeF3VENEIj5URtY1Zo`5+2l5xF z-Xzsuq^bw4iv!sQ(+9GbobZG$(E%9+#vpS*c@bCohuH^K46WD5v3Jy*|Np5OZlE=a zus8wHpm+qeBXNZ@F7@#x`2`G#r74;D0Y&-A4Cy&ZISk1;+3|*8vOKdY-hd%9FS8^w zF(<PsH6E-IqCOrZ%#e~)T2hjqSIm%-nv`Ffmz)Zh%r7lr$TTXBPcF$}a7xWcEX&L< zO3Y!%Nlhzp%Pe9@%PeBZtxPOR&R{6YOwRy|Ip&lnRu&tYTErU~nlnIoW+0vglxJjQ z9&co7Y02OTag&)zypfrK0fS#^Nl<EfVsUY1dY-8%NX!&P%*+TRW`-hWXa-VfiK@`h z0%W2kno0|>N^?~07H08AW|kIcg61GWOEf`?cq4N(R~lMaf&>jwRazQ=1dY%H4MBp& zXo5x{K@&7VV~`-4k1S0<f@nUnGzAHw`N+}?B#7oCOLLGQs*j8eE#l2gOi*n%GPDE< zn!@A85ESNyaIPhYYXsw(gM!q+7{)aL1*QS2fu?5hW+tYnA!KR}5<?9jQ;=g!QNza+ z<Q7v@^Nb8YdQBNJ^NLFl$vYmD+{-ho7)r`B<CF9AN{aGxa#D*JLc%?rOLCy;&eR~@ z(9i(NF*GubH#9Va@r;e*4GoRpJj-}PLxi_LDp6fwXaMrLC8~1`4Z!Kf1Zs-0S-hbk zJRprhmYXp+d4|Nh1v3P@2E{x3cr)Z==B38R8=9KIS>`a7nGu|23TIg`_yjroc*ncD z`oY934dE;!u(>XdA&&9>P(dRjBtcJ@pos;XWeLi}p?R4l@rE!K11Ljh#;0W#fy5aK zijtwK%`Kq}3)IYNXki?0WM+XHp@!i6W?{?_9O>sA@8%W^)oW;G3}=~uA}Bb-F~pT2 z#3|G*-q|k%CT9s(WogL}6dV#C5ajR75bW#&Rutmt>*^mG0%n8!>l7K{8XxQt<mwL9 zZ)67dqPYP~%-o2<IW#E9(ZwYw9!aT%IYV%$6WAGG1HldkTjw7d5)c{^@8at0@8Zhf z=Nb_b4^ajcfkdCF87%rt%`6z8sSgx%paK|@7C}5{u;v$}7A2NsmZgHlP<Zi%3@Ci4 zyG>zf0`4b619*}!fZ1(m08?gY0Q0+{0W8Kq2_NEGP@)G_HlVw<L;QRgoI?D9K!gj3 za0U^f49-5@h6V<{9#ITM#U*(R#pMje<wgv}<;D!f<t7Zp<)#e9<z@`U<>m}IsVPPv z!WcxDfCy6%VFn`18H$s07>ZL884{D@bCYwjL3CnDa(r=0VhLC<B@u~}1XYn!1Y#6H zvbU)L1GI9A&t%9=tcXv_$xqH^C{8Vj&rPggC`yH~V5(A*7(k}Sr{yH3GZg2S=B31g z_&!MNAc%^h)WnoZhTO~wumT3K!6p{o9#!CCCBL*J9vTM8Ioa_bt`Q_iax*J@K-z*B zGV>sHsSlhL!~jwVu3&u-%peA^pIi)~v=Ku=X-;vfiAA<Y6-1~gvp5yPO3o``$jmD! zE%8ZBD+x}_EyziQ@Pj}VEL0%Bv;<WJL;zVya(-S~W_oZrLrH30Miqm5a1eugNHBwY zxGO_IkdF(4dys2zh<}hPLqU9LL3~MW0YiX~PrQ%6v$s1#K#;$?Q@p#6lMjQlvyZnw zgz4rS>;z@Hz-Uj1lzVVcd}dw=$ObSCvH{G1*#hQxg*dv$hrskgc+TDs6CgYnsCf{c zZ!oePs0;u*2`uUC7!VQ~<cg#q$TiqC1c@K);pv9V4-If}3_;Nl>KEb~jI1Qs)yELo z1Xmv;RIV{9*93_h3M$e=T>Oy@fQccS0uw_v3MK|MFUa3HKHSqK#DgIO&H@D_R1nMz z4si?$0VmYVJV-MyzqAC-FapcumzEgA7$%Uc!T`!WsVN{)hT@XM5>VR8ED6sqN&z<z z-IBr~BCdI0z9U0!aXLeB2{cb-LNZQ1LrQ*LDnn{fQGOAGEU7F=1y#L{DJew^MXBj7 zi6x1K3@NEOiIuK-5E_)Oi$U!>kQze<IMaxsxCEjcLWB6OV3UhWTtL<omw2Y+q=Hm| zjAJM+3GneLPEF3wOJVTz^9+d(b9D~!4+>`R402)c3=e|X#*hs1D75GRWkiPLyb=Qt zVF)6OK!h=fFk$cvV@OE~$;?d!m3r}>;JU_>!PPH5BqAP62Z3m};CN34U*C972A6mr zFc}0Ued7HYT;hY`{TZA<^|C*-e)eYw231S(Fxr#BIj<z%2~@Cw7(U=44y?m1F((J2 zHwaV)z{S8h2FwGAgL#35K@5RLK@5S$K@5S0ZVZ7&ZVZ9OZV=s|?B@e+8^Bo%pm2@% zFD(J<26H^~AnbUbcz-A>2+HzzjfZ4nf7f^)uv|DyBpfE<;u#hn1gfo_<H6p8q|%hk zJcbldPXSWq#21${B&RZ@B&CB&&4SXBcmrgPAu`7ZnPZI1F=23t&&*?R0hz&&Ql6Po zlEIKyl2}m8kdhx?npaw!n!=D$lu`*}7ZigMC5#7({nQk&FOqYz(~>}?a&k^~JftrK z7fLEkgS8yv4M3R!tTG8^N^(wierbsT8s89&Z-mA-M&p~H8fS{iHACX2B^8(C7ZjwX zAc=w6xhP_gDla25Jp<(6_{=;*R4;%642zHvrYd7hArmAa-(dH6Pln(Sr+7~WM{r^X zC4PSf7k@w3cz*`hAW*6YHDZH<f<r**9n?Bw$b%FsDZwd;3@H#YI5`KzOwM6Q3oc14 zDgjaX1q?~0#lherD7C04q_QA22vnAU7$6z9qSRF9yb@3g0z{Q$=BE0WmVlVWIf-Sd z;fa|g42eZaKKaEZ;6ll%vLuxuCqFR-%t$URDgu==3`HPk6oDL2T$%*2Ehn)gIRlg# z7{DyBvP^JElj&Mi#E=QnkqOq3k(pNFlnFIIv&6r&grTS$B#@YrQsf6VA}O=PIlnXy zRAraM2NjnvID=|Xw;<O*2De~m9|mYUl_3P&aE)~KaSeuv#XCc|p7D^f$}=7uvkac` z;OZY*)1&ah5_2+B82sbYGIL5&i&9gdYS0BB%7UHa3ySiSQ;Ul;^U}fo3wDkNg-|fa zUkt&{;J!b|`>Dmn5N<L!(BRz6lHk%@kPKKCg6R)#eK3?Wc%s|jk7NO;e90_<nGfP2 zj0f=`rbBg<<}yH7P_zBx-BA1p^-@qgC}vahN+3}g92B3IS^?$6*d*uY6_*&;DKJ2p zhIR@J?tU)uMhws}j`ws5bwlCAJB7NrgM%F5I8czoc`z-Rc?iFN6u^1N3P8aHkqj=) zMMxBsLK|{0Ay9ZiJ?aS#F$f1)8WKqmSuh(#vNV?gDuu8IoO&Rtd@#~Tyg$h2{+RKF znnWPVf}P_NQ&NIbi%WA#;xj?5;P||F15iRh6*j~!Y=m9d7`w0uc41TO!e-co&9Mtx zU>CN;Dr{(gT^PGB4YB*u5W6o8vHQ{xyDtr~`_d4*FAcH#(h$2Zjj;RD2)i$hu=~;o zyDyEf`qBuiLyWPy!x*bGjIp}H7^@?UvAV$+Yd{-g4QFGl!EB5*l#Q|a%>=98OtAXR z1gqanu=>petKUqp`ppEZ-%POj%>=98OtAXR6szA%vHHyvtKUqq`pp!p-%PRk%@nKO zOtJdS6szA%vHHyntKZD9`ppch-^{T3%?zvG%&_{+46EPFu=>pmtKZD9`pq1x-^{W4 z%^a)W%(42-9IM~VvHHy%tKZDA`pq1x-^{W4%>t|6EU@~`0;}IFu=>pctKTfJ`pp8X z-z>2D%>t|6EU@~`606@VvHHyttKTfK`ppun-z>5E%@V8MEV25{606@VvG^?y)UZHp zRDgsGF@=mUg^V$UOfZE^(S^*>S}}R?<{0*wV_0X7VVgOIWtJG`SYnuCiD8Z<ra2gH zwlqWcg{3)$hy{j-B}gQ<G^fNLG~S$-V#E*=9E8?$h&GOm&x{AB8U-xU@kOcW(T0W~ zHQ@9{gc?I4)EE(=#u&F6Q<!`3*acUE$1b=U+;)M32fx?hN^rXdt^~JZ;7V}2#T@2s z1DrtvSAyFua3#3i0#{<Jzz`f14;m$hb>cuN2#FtUfaWG-IrL<MEN6sAA3P|a{zTRX zmP1Oe#h{*SP-=Q+aY<@Xv_UK+WKcxGX&ASRAs#71oaPxC;g&MSEoFjR$`rR0P8r;e z!0iUyPQdK~Gn_6k$0=ihQ^qnDoUDpVbHOD}acOR}F+3|8C@>Vn8-T|B3gQhx6l9`G zKO;XkwOGHz$Rx3}q%=q0B|o_|H#M)MSU=b?NI_R2q$n}7Bo!p00G@i$FDcLkjgb_k zB3QbqC8a5uiFqZuaAS&#lJzrEa`eG^Kr1Ko${1*5RY6gHmcAowg@#^nX0Cp5W^TNp zeiCR=g?<G{0JLm_b}j&UHnTt<J_w3n4TPPrVMdVMrNu?yP}fh*D=tYg)c19D)sM)` z$;_+JFUu@TOvyJgFf!3I)DHu5^r1Y^q@I3RYEfoRe!4!$bESEi#hJOq`k*l$kg?z( zFG|VO2di}UDb_bNFgGzZGk^%-(@JF*`T9Ehx*Ekhx`dJGE>r`k>^jg07@6*ZX{AH< z1P@k%oJm`|AnQf+$}&<I^eG8vP_Y6^l*J_}@kRy)7W%~{iFqlBMJW)Y!D+K1sWdYu zrC8t6($Z4TAl}n2B;LTpz%<^#!o)xyH0+<4lT)mpn3EG<kds)FmS2=x49f!$EzpEa zz%HtKqa?MWBr~5Lo<O#V8eYguO-+SGMM`{5etKqdyrH3)3CJ%w4Ei*Q5dwD7(p$Po z`6-nH;WuQ9so}xm%Dnu7;>u$E<ow)%%$(Gad`~PfnOKxrk^%BAjbf5ySJ2PvrFo$B zlBqN;S%``QREJO_01){nH?brG)D_7A#WC%(E4p<w@(glbp>Y8O_Ytz4w5>~Fi-~Dp zYeQEkgVF}A8t|aX98}S!WfnoKp>C4ME6q(U%1n+gE&=Cb+NW?#3u)#d<P=BaRF32; zWLs&Q&Y|mMA<2TaRw1n|g;)m(&*c1!l;V=av@}EglEi}il*&^5h~muLh~)g>#JrTG z{0eXfDYc>m+|dN}e9|(L^~*9+a^edTld}`kQ;YR;Gb>V4;&T%7(&Ni=K<l|67SPr| zD63sT<pd>(5KCXs-OmMNDmau#>k2}3QrSJAS$HxX1k*}o7x{#S7?bHDm{uyg$R#+y z#KP5(Oh+N<rn0+yLPLzmbQDZ0^<88_zKg(GsqZ2)@?8YhN@W-MhDNwqkm)42UK+T` zoB}t2^-|eQZZ6KQWI73^mC7!1b_@!N1Se85if@EwD!a-jG{lt5aDr*2vWvo;oyl|% zL??7VC}{5?3`0iU85lt4<AU&81_lNYPGn?Y0AUj*28O$g3=C(O7#Q-I7#LER85nq( z85rh6F*geX0|;MWVPGg_VPKfb%D`a5%D|As#=!8Om4U&Ioq^#R8v}z52Lr=)b_ND5 zP6mce91IMCTnr4GIT;v0_f9l$F))O4L-0Xv1_lt;;bC9^;m<q_43l{n7*6ssFr@G@ zFo4dE2H{nF3=AMF$<M$5!d3hX3?OVTz`*d5pMl|m00Tp%00TpkAOi!pAOpj9K?a7o zf(#6xGagNa7#QS)85oWWF)(ZpW?+aEW?*m@VPJSI%)oG6gn^-6gn=PTlz~B5l!4)& zC<DV%Q3i%dVhjw{Vhjw*;tULD#TdZnW`M#D6pkPoghBf`K^SyY2TUAvXE12LF^rE+ zgU<d3o!<*O!wiJc<w@lyFfuTJ?g;2$WMBZ@(|eMUfq@em?;tm#n|FzkfdO=$2Tc4G zBLf3I_rlasiw5080SY5fIDpProWsDt06OOobbcY|Ttd+KeV}vsKxgQI&c*|sc?UYn z4s@0r=!`hf*<_$I$3SO^fzAj6o&5zm(+hM~7w8Nw(Aip`GqXTvVS&!L0-aq2I+F@? z_6_Jv8_>Bcpz~CoGB7YagWjtRx~Bwm|A+wt0|V&35YXKoCJYP=pu0HC7#J8V7#J8p z_gsMP@dn*X0dha+z6X#WKw<90z`y{y%K&so0qE`l(0Tr#bNWH&?}N_O2c4G>I)4r1 zZe6GypnG4??E!@ix?P|<zCm|ZpxXz!w-|IU1L(d5WV=Ck6oBp?z~%<fS^S_g_CaT~ zfzGZ1o!bODj|p_n66pLS&^b7u^SD9f0_dDz(D}chbA1~@cNj4+Fo4dh1)W0+I@=X= zW-I6{R?r!%ptDm!XP1J`Bn6!{3OW}QblxZEY);Ubo1l0GoqGw3W6+tBpfeqj;~G7l zL3smo9v|qOJ<yqWpzs65Jt*Fh;~F`RL1%=4&i(?O=><Bg3v>n-=)5e@Iar`Gt3YQ) zfzE;goy7z?V+nM25-3f8&LjezH3T~A2b3mWGB7Z_Vqjo+&A`C$23kJ8V_;zTz`(!& zO0OWlz|t(}97~X2(9<jEOhwRHiJ)`~azE&-KA0as=>U{QLGA^ey9II^=$tIj`Bxw} z!rTKo(+G4{5y)NWZh6nZ!0?-af#DAW1H)ei28Ms2%+AQbz{tqJz|6?Nz{<$Lz{beH zz|P3Pz{AMEz{kkIAOOnyj0_Btj0_A?j0_C&j0_Cwpz?!}fkBgzfkBIrfkB&*fx(E8 zfx(!Ofx(oKfx(QCfx(=Sfx&{2fx(iIfx!V(&M-1CI59FXI5RRZxG*v>xH2*@xG^#? zxHB>^crr3Dcrh|C_%Jds_%kvv1TZo%1Tiu&1T!))gfcQPgfTKOL^Col#4s{2#6jzv zBt`~?6h;PyR7M7dEJg-~Y(@r#Tt)_lLPiFL5=I7waz+M*8b$_&T1EzjdPW9@W<~~v zHbw@9PDTcXK1K$Hentj{iHr;kQyCc;W-u}^%w}X@Sis1@u#k~~VKE~E!*WIjhE<FV z3~Lz~7&b97Fl=UIVA#UQz_68(fnggX1H*Pk28NxC3=Df285s64GB6xuWMDYN$iQ%z zk%8d|BLl;6Mg|5@xq60?f#ED81H%PI28N4_3=Fpz85r&`GBDg@WMH_@$iVQJk%8d} zBLl+=Mh1qLj0_B*vh_711H*ep28Iue3=AI`85lk@GBA8$WMKHp$iVQOk%8eSBLl-P zMh1r8j0_Ba85tP<fex@>VqjolVqoB6VqoBAVqoBBVqg$pVqg$tVqg$rVqg$qVqg$w zVqlPAVqlPEVqj2YVqj2WVqj2aVqj2VVqnl<Vqnl@Vqnl>Vqnl_Vqnl`Vqh?1Vqmaf zVqgHBQvo`=1XOQ<?#%(+^#i&K22_WD?zjToF$KCi3b{-Kl~b^?304Mz$|X>l1S^M- z%OFts11tBC%NtNR13DuOboLqOoHEe)W1w@zK<9da&cDiFU|;~9dk#9!9J%}fofi%& zgFxqdgU;;+oyQF;cR*(`gU(n+FMnY96m%vnsGI@iPf+~<Dpx>fg2Kuc(Ak`@{0S;w zV08$3IRZMP4_1~S=UGsG1(hkFbK_v;3aBiB)i2K(7#LnKFfd>%Q$TqbRHl4lU|{&n zz`*c>fq~&C0|Nsnys_0kpt=VZt{^rj4nTDSs6GOPzb69&1E~B5mHnVP2UgC5%6QN@ zcA#_QK;aGxb5L0ix>EsE-h=Ky0Nrhn$H2e<x{F{LBLl-Rw8LhQ&maLMQ&5ou!yM3q zT?C*sx;#uBh+YJWU=V|WfdO{!^$8sMVCH~mWPPx^a~n7y27%-uEC>l|(|}kY8d;wK zsBr|U)1frFKA0atG_t-IQ1u_6G`c?6c}5@_S>FOKkSiD%RzPWVeXt+~(a8EDpa;Pu zKxuS+pfi6!CWB~XeFC7vVi*`0B%n09K4>sAfM{fWC!p#tKxuS+&|qc&(a8E5K!-&! zFfepLX>@(i-~zWvk@Z>dfn3kP-~gr3^+AJ+0YoF~gWYxV1BX6na4~>rWPK~32d`{^ z(&+X<z0Clkk@Y2j4uxT0V90>d==z}EW&qL1`Xr#|@hd=SbbV0gGk|DheHWnWZ$N2u zeNg8!fM{fW9nkaMCqQX*eNg8!fM{fW4$w2iJ)ktYKBzOmS4bf1`vFzYAOeX;bbU}~ zFo0-eeH)<acR*=$eK2D|G_t+|=s|-OP#Rqy)Htwnko7fy4m$*;PbiJ94{96(h(^}e zArA4^1SpNJ4{96(h(^}u0qR^aFfar_X>@&1;}}3RvOW&zd71)H8eJdMIB?$$S)T-` z^U1)#pa7-O^+Ane0MW?$G(Z=5F)%O~KxuS+P~#XtG_pPm8A!M|KxuS+P~*UgkoEn5 zs%MY|OEIA9gGqyAk@anWs^0;n(e*)vLE0FQ^&QBAlsqS(G`c=eI|!_afdNHd22`Q| zN~7xowVkl(Q-DfnKxuS+$mb;@yYmKA{R1eCt`BskA~yRbKn<J$rP1|)?y|(DuOc53 zE)7r`U7s>c6I!?!6hQP@KxuS+p!Ot8E3*GQpdJW-(&+j?ZBT6b7@!{DfYRvtK<!p+ z`gTCoAAr*6`ao@6Z2Bso9&dos==wnIV{G~ipdPn?(&+j?ZE9@#B%mHwfYRvtK<#jB z`WT=d=YZ1a`ao@WZ2De6)qjA}==wl+z+%&<0QI;Alt$MF8qdI{PXp?411OEI57fWF zrtbk%{R=3Kt`9U$gH7KHXuA9WrP1|)#&)pjTTlo|*DIhjx<1f&5H@`gMG$=nP#Rqy zXnY5oz8TPnT>z!g^?};{*z^e$L+q1)(&+j?{RM3L8lVZH14^Uo1C4QE)0Y8F5Cu>g zT_0#%44b|HXo84<(&+j?{YY&3Za@>n11OEI4>T@@O`iiaVm+WVx<1gj9X5Rew?H*8 zOojoaJ_7X_K>b{pEV7vv(2MIGpfpG=OdW^@^~*ps2qTw+KcMOvk|FVkt`F3g1L*-_ zWPKZ;>UThCbbX-yA4m@fBkL<jf!I?4rP1|)`ivkwAdIZfAQhs|0!pLn1NHeqdO#Ri z-v_ArA5a=yA84!;qz8nN^=(Ll*tY{pqw52WuY&Y|FtWZ0=@5N0pftKZ(3mVp4+tac z^T>ec3xLw-`at6uAUz<AtdAiRqK^Ygqw52W#e(#JFtWZKQ1u6(G`c>}_$^2e2qWt& z$b#5c0j1IPf%^L(Js^y%Z$>MqMasai07|3l1C3FG^nft3zJNA}z6dCdt`9V>4blU` z$oe?iA^HTMG`c>}m;^`<2qWt|09AhiN~7xojbniHfH1N?jciD`7(i)seW0-?kRA|5 z*7pFa{sojq*9RIi0qFr@WPLMoAoeYQ(&+j?<NP2!AdIXpAQz%90!pLn1I-P9^nft3 zJ`QMmRRBt(>jRDdfb@Vcvc8HANIWz^X>@&{@gR^M5JuK#&<W9J0j1IPf#wWAdO#Ri z-wUYv4^SFiA86bUqz8nN^)2Xv*tY^oqw52WS%LI`FtWagZiv1FD2=WUG@k*|1H#Dq z1bQI)B%n09KF}NpNDl}j>pKBee*sFP>jRCcf%Jedvc861h<zPU8eJb~3>2gXgpu`G z^g;AFKxuS+p!pe)9uP*>_W`Q@2b4zF2fFJ5qz8nN^{wcK*tY>nqw52W7lQPFFtWac z2@ri5P#RqyXnYr>2ZWLJNlb+3Q-IRw`aomCAUz<AtZ&6l<dzL&t`ejMgh6J2#xy}} zbi9FpKF~NQx*iaJ2LXMcF>8=IbbNq-KG2vex*iZ8eS8o!?g$cxVbEO~Fg9|yp!ZkM z^?~ly0O<p@U6A#m_gB#MfyUxN`aofetPg!W1YIBKz73E*kT|kF^nO1`9;6P2L355E zHu|`!1+*dT0Hx9Of$re|=|dkk{Qy<}14^Uo1I;sl^r4TNqPr919*{a12F*>t*vS4v z9}hv-2O47s=|hhP^l>S4eV}o9kUsQyKpzJ~*9RKQ2k8U(8`+&7Btavh3=BV@G`c>} z_&-P=2qWuTAqCO514^Uo1I-zL^nft3z6@!Iz5*zXt`9WN0MY}($odpyAo?_*G`c>} z+yqDu2qWve0agD1N~7xot+@c{0byi)6J#Ow&4AMA`at(5gY<wfvOW(vh`s<Qjjj)L zmoi8X2qWubkca5wfYRvtK=&$x^nft3z6@wWDuB}H`apLqgY<wfvc3vvLTZ50==wnS zEraxcFtWZ5XhNC*rP1|)?p_Az0byi)6QBuc29!qE2fBwDqz8nN^+iAvQUa7l*9W?j z8Keh<k@f9>hT{P!jjj)LKQl-V2qWt&P=Lfk1(ZhD2fC{nqz8nN^=T+V^cg^DbbX-t zOOPHAM%LHC1sZ_`i9#^4K2RP<ni7L3fRYmk=mX7@LY1OWGYIGdt?fY30Oc$opbxYj z1gaE;T0uY`Xbu-e1C+CYfIiT?FH|WCwS#~@&|EQ!1}NtM0eztPWvEgV>I4COpgCz2 z4N%Sn0{TGrMMIUMP&WwZ1FgkD(E#N<AfOMleg~=)g?d3iALveL6b(=gdOHMEA48?U z6zG0wFavEo8NJ>_*9W?58l(@s-b9}dK-ULan*`Da%2UYs?*TNwzJSu``atWMK>E<@ z%^6CN{JsE6qw52$fdc6RVPyLPlp*>epftKZ(E0_C9uP*>$DsnzCjh0<^?}w}f%Jed zvc3aQ^(UY-x<1f436LHTM%GuM3bC&NN~7xot*rp*0byi)25Jy}7El^pA80)WNDl}j z>r2o^EeDbHf#M9bh76<!gh6o+qCq%fHl+WM0Hs0gH;_08gVcf6oq^csIARV|ACyMd z2fFVXT@Q$F0KMGP0!pLn1FdlbsYAyc^C0#KKxuS+pmlNRdO-XKQ1vgMG`c>}o!B6C z=y=C`h<yj3G`c>}8a#A8ApV2}5Pd74G`c>}x;>CObX>6zqHh9}M%M>g>xZrf#7|fR z(N_Vb(e;7W>wwgu<AB8weHl<1T_0#I9=aY7-(d+vp9hpi*9Tg^2U3TQ6_!HuX+UXo zeV{dr=z75XWe|NFP#RqyXl*N49UAcfs{RF(M%M>gD~YBB%-sMjuy#OcWPKn7pmk3m z8XeDo7FY|QG`c>}dPa0TAbtb1!0Ld~==wlwAVKQTaR#)&DuB}H`ao;5(Di`$0nh>~ z0!pLn1FaDTsYAyW&;rW=N~7xo-Q$g}2gFx^7FZfk8eJb~{TN6cI=%r_{{Tv(>jSM} zM%M%4Pk<J5GoUoOKG1qtkUDhi0WIhPpftKZ&{}D9JzzexpyPnj==wnGRl(}eh#gS% z2cR^%KF}IhG%a9m0knXufYRvt)`3-F5)se>G671X>jSOf!_>vV-~cTkJ)ktYKG3>9 zZ2B~y1*8X*M%M>gD~L^B1hjyxfYRvtK<f*!>Vp=L1yCAYA85TZHhlrm0x|<iqw52$ zW5lM<16n`^KxuS+ptX<K^nn-UGcYi4KnqHAecP~VVqn+-Reu0Vqw52$fySn_09vqC zKxuS+ptYIU^l3l~Rs$%Ft`D@<8k@cZ6V!GHvOZ8d1hmc^o4y^(A?5r9C=FUi2U7=X zhk*7Z!1&1Yf)x;bJD@bWKF~e}Wc4uijFk|53!pT*KG5C=m|A4IU=>7P1(ZhD2U<gq ztRBWLSPj(&rP1|)*2lusBGVpgAo>EJG`c>}z6@mbFtz}+f|G#K$ofEPK<nf|G&&Z5 zR&Wwf8eJb~{|CAr5MKaV!AU@AbbX-x1R!<j_y<%y1GJ(;*9Y2Xg02U|e*jhg0!pLn z1MN)#sYAynpcUf{D2=WUbay(s9uWTqv|@Y#rP1|)_8frJq2mLNsQCd|A1FV7)<dJ~ z0r8Iz&<EO!0aAyKfBb}`7lB_88nmtyrUqX=dH_}b14^Uo!<CP2{D#=`07|3l!<COV z{DJ7(0j1IP;mSuF{zCOZX>@(K@=?b>h`tF>8eJc*e6)ZO(vw;NrIGc4!WCCOTEGO= z2c^;V;mSt~n4$WhG`c=q`KW^hqHh9}M%RZc9~H1d^i@D<bbYw;Q3M-AUj~#$*M}<~ zWw1l^RX}NUeYo<G0XOmrGY0T_X;AosFur_bLO>s`e1txK1YI@-vJQ+v`=G!KGy;9x z23;R$?-WQ2C@+F&7)GBzLe~e{Cjn9m@+*jjVf6VUbbX+`6(F^s@fQ#c!|3xe==wl= zyFhA@$5TOk^!X!neV{!YAa#&f2C*O*ef|htA84-@NF{Q60mNScou=FXrP1|)_IH8Q zq2mnbLaG8Njjj*0Ck$N=h_3-%5M=<R(e;7$rGV6-;}_5cQ6Hc*x<1fe7IZxz{sQPi zqZLpZT_0%w3rHP0j({#SN`TVn`mUjCfbs>P3ymb8G`c>}UK^-V6zT+2{RJqEt`D^D z4@Co%(*Rwd)B&Z@^?~*ZLY1OW7SIJs4p16hA86kxiUug>162JFD2=WUv@a2=6opy= zUD&h%N~7z$gQ6P2Nq{bF%7D`7`apXQ5vma^3FyKm1t^WK546__p&G%u09AhjN~7xo z?QKM;MzAcP3zQt7G`c>}en^CB1Zx3wq0s>-jjj*0hZ3P0!ODOx;OT(U==vTZl%lXK zpbK~$pftL^$0({1oDWd-KcF<aKG5D|glYt91$5!h1}KfL547J5p&G$TfG+&WfYRvt zK>J-0su8RM&~+Lopfq&3Dp&!iP5|v|19Q-b8K8~x3=9klpftKZ&|Ww+EnsfJWJtTG z0!pLn1MQy!D?}qara<%sKxuS+pnbe(TEJX|sSte{P#RqyXumC3Av!S)qK^Ygqw53h z7e?0t;y-|@e*vY@^?}Y{0I5UA2c|>pI{~H9^?~*!qw4|j56pn-gVN~wKzk2C>d^6w znGk&opftKZ(0)a9Js^I?EQr1aD2=Y~BS;YzEC5{yAOWS3^?{Uw_C|tebo>LV9=utR zfq?;CA80=%x*ib!0dxVu3n-1Q?+Zu~7JLG_fZzg@M%VWhiyn~B2IvBU9Z(uw-#3sV zEO-WV0l@+&jjr!I7Cj)L0O$gO2q=xN546V=qz)ZhKo<}=KxuS+pna+6dO&;y=mG)_ zD2=Y~7f2Bn%mH0MAONM&^?~-bW6{R&0jmB7lt$MF+7FLS-wo)(g9lI=T_0!<JvMy@ zpbHO9KxuS+;C=U4G_HUyJlFuG(e?euq6Z{20lM&D29!qEhq6!|qydU6pbHNgpftKZ z(76Cmr6^Pabm2h;lt$Ob1S;%NbU-*B(1iy9P#Rqy=nMjgN>tJSy70gPN~7yz!C{{S zbm4&llt$MFI`070CMXZO@PGqKqw52mkpNYRLcM^h{{W@Y^?}Y+K+yo@T!1cExB;cn z^>N_v-wx=4g#%C;T^}b7eG8xq7FIxMbbVYn^mRZNEKGpX==!*E=qrFOSg3%~==wls zO+bSJg^GYKSV(}<==ykZ*yjLUu;2lu(e;7OwLq~5%F%!>STKOn==%6^*e3v8upj}Y z(e;7O(12QjLj8cMXMiqTK-VXT!@dVl^)H|_x;`Ns`c6O>I$VI#==y|l=xdNb9p^*V z2kNtn;Lz7XK%XcMeH{e!iQ&-KLqMN64t)~{=##*q4}H8G+D!t-F-QyO%oH#OjX)nS zN7n~BX9Z0Qn2SEXi>wc%KpJEzh=GRD$Jvqffwahg2sAw)F8a7IvObW!EDn7$pc8`& zpftKZIUM>Lpc8`~P#Rqy=<FGgz38|DIx#o_N~7yjz+qnjbYidqN~7yj#Gx+&Ix(04 zrP1{%;n3#*of!0h(&+k>ap=>4P7E4AX>@%mIP?iXCk7>;G`c=j9Qsb6jn6~7<KSQi z<##n4`Yh1KBZ<|AK3)#(#)ItxS%oVfp^ulN>%*0g(8qU?^?@wKm5<QJ*^%{u+=(k6 zp^pnA>jT+`D<5g_LPi=5pftKZT=__V528;3N~7z;m5(I&A^H@cG`c=q`G`RPqK^Yg zqwB+!k6u94e}K~H`f%l=3xW{)Za`^teYo<`4k3uX15g@WAFh0~Kp3KL1(ZhDM{GVq z-VaAgJ|b2hdVK`jmjOy+p!5kkw+qBZ$LRGDx;_n%W^_#q3=HV?3c5bf`CwSIF`$?8 z$ofF)L1&7AXmpHT&ZFxCokNDM2gFA&=h5});P4-MIghSS7l%Idavoiu9u9rz<vhAR zeH{AG%XxHt1~~Mg*VE|w3~}iD0j*CMpbJRQ^?}ZU1BE*}egIYf0!pLn1Dzj-t_Q?F z0bOwN0!qX74T0o9*aU|=XFwO6EP&GJ`aoyZf%K!}0O*2~2q=xN4|KjAx*iZ;V=ZJn z%K%Cv>jOCvbS5519XjTKE>sbK(#ZNiYC-4dfoODm0IL23lt$MFI(rXY4~V~DBgCC6 zpfs{RkUOnF1Oo#Dq|^iHfZz(~!k7jqjjRu(!Wya%#74#kHbCq<0i}`kfwb6w2nGfQ z^!Ydg=z<yxD2=QSWWFs_ABc^N8#Y1g>wwb8`aoLjKm-E=1Nwa23#dIGpfs{Rkoopd zeIPapUJtR414<+718D)Be+W_!D)T`!3@?B#{8<5|k@bPpID#~T7--mG3&cJTD2=QS zqy==&B1jAR{Bs0!p+f?cM%D*X4?3F>M5E&eP=CFE(#ZNi_JPiG1nEJahZTS>kdT1V z$ofF)L1#dMXmp&g8REYTD2=QSWFP3<NRS@n^(G+x38+06pfs{RkUZ$DNf3>WIo3h! z6M)jl`at%1fCvWE^BzEEG(Z<}bU<ljeIR+zxt1Ur9a}&bayUR~bbX++FwymZ_zK$~ z?$m(N$ofD|^ac?O4B+$6K<Z)m1Js@$P#ReuNDb)RO%RQa6}Cd{gVM<QKvwyJ2nNX6 z!N_`7Ko?eQfYQkNK<fOU`ao=CoB&-|kpZRA^?}X?MOF`EOF$P^C_rg+eW3G1VQP`- z3sChppftL^KpgJufG%vB0Hx9OfzB>Pwg<*`fG)`JfYRvtK<Ayp)FRVApz0Z*3p3F5 zh2U`K2B`WSP#Rqy=xkMFdtmGg=t7PHD2=WUbRH{AEi$bDUC5yUrP1|;<8bE<sQL#` z8eLxm4t*1#3qWQ-X>@&&IP`fy7k~snX>@&2IP@_<7l3d;X>@&{Gis5;1IFF~Reu0V zqw52mYYS70Ocy{Gj#NNtbbYZn+^GRwIAQ>$(e;7O&_%Wf#(n@*{{l**>x;)>-wf!2 zlLb&3T_5PYahM&*bO3b0Nd%Ne*9SUV9$7t%%>i9-A^@e)^?}X?hN(rS4?xwQfYRvt zl5zO20=iJ80ZOCm1D!*RY!8fW09~kJ0j1IPfzB?5sYRw=K-GVM(&+lqaJX{;bb-qX zD2=W!9f!UM=mM7nD2=W!1BX5V=mHlBD2=W!6NkPNQ1us}G`c>}dCth;0b@5n7shlz zX>@&{GoWE=k!cI)!WaiAjjk^ThdVz&)&GFf==wnCO(WX_W3PZNsM!Fe(e>rwurC3+ zpe6%KqwCAZp-%$3phf{oqw6ccq3;4z{S7FMt`BsUHq1}RbO&@H&IBlpuCEA(eGbrt zI37?MU0*Q{eLtY;8K4Vt(Di}N`$l#jjJ*M>eg~9B*H?<ez6|IBo&qS1uCEM-J_YCk z9t|jst`Br3Im~Uy^bM%`2T&SaUj+{PCO{Yd%z)D9`YLhg^MEe=34qe*`l@i~17Cgs z+TQ_P0EDiu8i&3eQ1u6(G`c>}Iqk^d0b>_H7YtQEX>@&{v)y58k!cO+f*}Jajjpc_ zhdUoY)xUt!==wnC$RpbWW6yvtG+F?q(e*XpurC0*&?o{*qw8zLp^xJ=#AX2~jjpc= zhrR<)^(UY-x<1eu`4E#)$qMKKr3NUCuCE1$eFo44N)}KWU0*8>eJ`NuKR{`8eV}vv zQC$e(Er2d;S^=fe^|j-$F9N!-DFI5O>jT}B0<i&=6o4*ll7Q0a`apLLpz46|PC(UP zfYRvtx^TF&0lFZn14^Uo>&Bta0=gi|0ZOCm>%pP#162JFD2=YK7l*zTpCSI-0Hx9O zf$m>`s6ZtXpbM!opftKZ(47saIv_j==t3$5D2=Xf0uFaxfU3U%rP1|)?uUTbfJ$~i z7hp|*(&+jo;jqsEx&X@qN~7zWj6>fKsCw{W{|pQa==!GM(6<4)aBByYM%M?r`vTQx z5MBm!;Z^~ZM%OnDhkXjrg<Bd>8eQLX9QtlR)jxpJ==wnSb3j~%N=|?-_?iKw(e=&5 zVV?(d!B+s3M%OnBhd%J7DWLify6_8KALtGdR2M>cJD}<hKxuS+b8y&K09`0n0j1IP z&BdWl1G-Sm07|3ln}<W+1E~5JP#Rs|d>r~_Ko^)TfYRvtK=-OZLIRZxfG#kLfYRvt zKzFgA>VWV#pbN|dpftL^ML67f0IL23lt$MFy7vWQ11eboU6|GYrP1{*!C{{PbYYqW zlt$OL6o<YSQ1u_6G`c>}JsYSlgzy$X7qqQ_(&+j?ciKQyqLLBN1#JmX8eQKC9PSi= zE@+d0(&+kD;?Q>js{R6$M%M?rcL&vl5MBdxAzTNPM%T9*hkX{%g>Vi~8eQKS9Qr;$ z)&GFf==wl+13_GdO0Iw|pxXeY(e<svVP67t0bK@^M%T9<hdv4D0y+gKjjnG44t*D( z>Tf`4bbT9f=<9$kyqf@}(e;7uOF|712+sk!@XiBDqw53Ru>?_xO8$VVXMiriL)Qno zmkCt|gtq~zeg~9B*9W@G38E5}%z!S~D}d7I`at(Tq3VF}6rc<CG@vxPKG2;}5S6Ip z4XFADP#Rs|4jl0?0lLs{29!qE2fCjM)g}nf1G>;J07|3l+l9kE2IxXR4k(STZ#NEo zJD}<hKxuS+dvNG0fG!ZMfYRvtKzDCJe1uABKo<xaKxuS+p!>Q|bwGFzpz2>hX>@)2 zakz5^bYbBFD2=Y~01kZt(1nE&P#Rqy=uR<+%TP%U=z=H#D2=WUbPpM-4hZi6RQ(Ak zjjj)LcNs(_Dp>(tNYwzP(e;7uJ44k0;Tb>|QdvN0bbUv0go_1qA(aD^M%Q-?hdu}B zLMjg^jjj)LCmY0NsH6vUAyojBM%Q-&hkXIig;Wtx8eJdg{x?*cAUqD}LMj0$jjrz$ z4*L#3)t`XU==x6M&{qLnfYkt{(e;7usDro+m27}6!0Ld~==wnS+M()z@H(IiuqHrh zbbaS=xN`z@0oDvCjjr!J4t+DA3$PYIX>@&{yZ0b2LnRkL7c#DZ(&+j?_w}Lbfbdp8 z7gBA2(&+j?_oYHqqLLe+3#oQMX>@&;arkcsbRpFND2=Y~3J!f6pbMgQKxuS+S8?de zfG&tCfYRvtuHn$909_EJ0j1IPUB{vC22}k6D2=Y~1`d4_pbM#HKxuS+H*x6mfG(s8 zfYRvtKzB5v#vGIfT}Z_NrP1}>#$n$M=t83dP#Rqy=srlO4JcFrbU{r8lt$MFx^o#t z1C*lyT{vO@rP1|)?w*7yMWG%*7goH0(&+j?_f?{3fO2L)7Z5Cf(&+jg;0Tuh=tOx0 zlt$P05Qjbv=!CEUlt$P02#3A{&<WEMP#Rqy=q^sEmr$q*=)_<Hlt$MFy1x@e1C(O` zodC0d(&+l0;&A5+=mgORD2=Y~84i65pc5f0pftL^=Q#95KnMI2pftKZ(A}g^FQHHZ z(1C3UD2=WUbe}1T1}NtQbl~>_lt$MFx&sxe6oqPl4scF@(&+j?_okv~fN~n315X`L z8eQKT9N}UC9e8qp(&+l$;?Va2s-6Kl0EMpa9S(iy>)>JMoP)dp(gLk+KwK1zz78H; zAGEqb(F5Y3uV+Ws2d!>EN>MQS`f_A_AceTr@1n0SN7o0fra(rZV(9vC*!psGeb8zO zRS)P6Rj5AL`f_xA(CP+5ANu-obbZk3216hE`f_xA(CP+5ANu-obbZk3216hE{s44+ zxYqA>h(K0$PJq(r`f#n^Ef9t1tANtz`f#n^jSz$CgVL~b_+cKxwSHGa9HP$vN~7z8 zR;Msy;PeBi`WH|dT_3LXyBYr>>)i^VG_pQW{=>C?cZLMSoeQ8evObWbaIN1Bkc8-q zfYRvtaIN2czzn&E>IIZW)(3JYZ2d0CZe+|M1+h;6N+at7se?8%KulB&wTA;rBkKd% z2V1|3s)>Q&0MwonP#ReuNG-1Qy9Zbx{yPDsk@bP>gRS2MnSqQeq#^!mfYQkNK<aR< z-*sSw*yjPIk@bP>gRS2MnSqQAWFYogKxt%sAa&5@7l?_18`vQBbwFukeIWZ_>vvH! zfyy(eJs+SnvObVnT<dogm>~9PKxt%sAp2nJcR^+#;{~!1|E+-1$ofF)aIN26zzVT% z1(ZhC2eJ>^yaXA6f+OT0_9Z}RWPKooxYqA_fNw@+U<iQH$ofF`!Pf7B%s|Eh@(}wZ zpfs{RkUCuJcTYgoUx3o+`f#n^P2hlpO9qri)(3JYZ2d0CZe-k`0C8srlt$JEQU`5z zgP15dfgNfelt$JEvJbX?7ey23o@+ITeGX6>SszF(uJyYgpz41>X>@(K*6*%RhuF6P zN~7z;wSG521EMbjN~7z;wSHGZ6QWN6N~7z8c1J*7MZp)K>Tf`4bbYwi?{;WG?3)0k z(e>e4zw4k4(dPlB(e>e4zxxBKo<RqqA6*}=^}8FO>UThCbbYwi?`G&i>?wfK==z}D zG?cIeaTN3*`ZS<4x;|X%cW*$|KY-Hc`f#n^ouCh~Zw8b`*N1EUu7?3cUjURw*N1EU zE`uRN9|x31*9Yx}f`S4C?|`a50Hx9O;ab02U<9$R0!pLn!?k`_!x*B^07|3l!?k|* z0aX19D2=WU*ZSQVCJ_4;KxuS+xYq9mm_qbLKxuS+(C#@(Sb{hlW)OV>P#RqyuJyYI zpz2RRX>@(K*6&uBL+opS(&+kdt=~1UfatS;(&+kdt>1kCRsR7>qwB-9es_T-#J&|! z8eJcB*a8%UC^*6jqAvkTqwB-9epkR6qE7-!qwB-9e)j}a{RJqEt`FDx-3A+oeH~C5 zT_3LXyB4+(eGX6>T_1E<1|>{DoDWd-KcF<aK3wZ}SJ*-9+W@7}_2F8-n_v&omjR{G z_2F8-E8zgqrvRnV_2F8-djYEc29!qEhim<Aha<$k2~ZkcA9T116oe?)!3m<z14^Uo z!?k|*2UI<SGekeSK3wZ}H$c_zfYRvtaIN3XaDmuU0Hx9O;ab0|;0n>F0j1IP;ab0Y z1FHT3lt$MF9mYcmOAu#*8^pdDP#RqyuJyYf?ht(eP#RqyuJyYN9uR#TP#RqyuJyY+ zpz04mX>@(K*6$X0LhP%6(&+kdt>4w~g6K1V(&+l2!<(QWM8OZB>R&)<bbYwi@6Pas z*tY;mqwB-9emB4eqAvnUqwB-9ewV`+qE7%yqwB-9e)j-W{Rt?It`9nVixMUvPK6)D zz6L0bt`FDxT?2oJJ_{&~t`FDx-4{^xAD}e4K3wZ}7X(1;TLGof_2F8-8xaW6mjI>F z_2F8-D-Z<HCjq6=^+AWVK|zRuPe9dQfYRvtaIN2M2!`0#0j1IP;ab0I5dzWY0Hx9O z;ab1@0jmB7lt$NwYyIwuP>6jSpftKZT<doe!XWxGpftKZT<dow!Xf$;pftKZT<do) zK-J%X(&+kdt>5j4fY>(yN~7z;wSLzj5~9xoN~7z;wSM;pR6Ro!L_fMdT<do?K-KSn z(&+l2(<dlt6U51ghS*a8rP1}lrb9q#k+DJyM4twfM%M?OK0($3X5WCSe*mS?_2F8- zJ0TWg-wY^?t`FDxU5_}3z5pnVt`FDxU50pwJ`O02t`FDx-5pT%2cR^%K3wZ}3lbpq zRX}NUeYn=|Y9vDR89-@teYn=|K7gu!0j1IP;aa~tBMD;P0w|5H57+wLfMkfi2q=xN z57+u#juePK0Vs{G57+wL15ouRpftKZT<do$QX%#=KxuS+xYq9)q(SsqKxuS+xYqB! zfU5rhrP1}_TEDv>9b(@KD2=WU*ZSRv42Zr2D2=WU*ZN(7Oo%=SD2=WU*ZSQPQ1us} zG`c=q>vtQnAog`YX>@(K*6&(mL-aX7X>@(K*6)6Rs{a9{(e>e4zq=v_V&4WRjjj*Z z`rU+Fh`tOcjjj*Z`dx`Uh&}}<jjj*Z`rQjq^*5k2x;|X%cRTVS_Dz7&==yN2-*qT} z=<|Tm==yN2-~9nq&rk@_kFF2b`rQps^*f+6x;|X%cQcA0_7p&AbbYwi?<y2S^l3n8 zbbYwi@7{o_e*mS?_2F8-JD~((-wY^?t`FDxU5`?Tz5pnVt`FDxU4}A<J`O02t`FDx z-5pT%2cR^%K3wZ}3(6t(RX}NUeYn=|YE(e<89-@teYn=|K7gu!0j1IP;aa~tqY`4@ z0w|5H57+wLfGUW-2q=xN57+u#j%tWL0Vs{G57+wL15ouRpftKZT<dpF)IjXJ0Hx9O z;ab0Yp%$X=29!qEhim=rjXH?F2T&SaAFlPg59%TMUO;JdeYn=|&S-$>TL7ie_2F8- z8_)>R7XhWw_2F8-%h3eUCjh0<_2F8-E6@zlCjq6=_2F8-E71bcrvRnV_2F8-tI!J3 zrvatW_2F8-tI-D0X8@(q_2F8-YtRnSX91<r_2F8-YtaGG=K!VA_2F8->(B|&=K-bB z_2F8->(K?#7XYQv_2F8->(B$y=K-bB_2F8-`va<;p%<bbT_3LXyBnbDcR*=$eYn=| zX7oYqDS*=G`f#n^Rp^K4(}2?G`f#n^y#ZDK07|3l!?k{Q!UTwYGoUoOK3wZ}J*GkQ z1wd(ZeYn=|GR%SK<ABoW`f#n^-LVj&?*NoW*N1EUZozVhz6vOft`FDxU5&L6eFjh( zT_3LXyAL)&^u2)6==yN2-<`1oqHh6|M%RaH{cgZMh`tCYjjj*Z`dyAA5Pbqr8eJc* z^}7d7LiC-0(&+kdt>3LU57E~ErP1}_TEA;>6{61qN~7z;wSM=-Er`AkP#RqyuJyYM z9zgW1fYRvtaIN2scm~mz0Hx9O;aa~d@CKq!0ZOCm!?k`_;0HvX1e8YChim=r38?xD zP#RqyuJyYOKOy!_fYRvtaIN2s$jr&it59%pLOUQBwAKbRaODrZ2kiseg!+G66){ND zC>{-g5gr23Bi#Rk&HJG8%msSq6Uc2*xH<$N$x%ES0wX*Gpw|$M@K6{$-bXLL9*&k@ zgC}xET{7rG0D6tnpmWzqbr7ihaz<)@_2L>>9jO5{>hIAIKnelqbr(n?qs)N}0Z{pc z+<!F0HQ_RljvTdnGz11o2xJZtcZ@m%5(1#|3%UK}iEDxyqI{Gb4S^9I0!v1;?+2gf z(aWz{qwTN37fGWo8Z;r$GH6^f>JU%}fXXlA{^Q%x_7^BvM)7C}U=0D-^^sU5M+NB~ z0-*8>x&OEg*Ft5wyKL0L(GVDXAy7T|oHU|cgkFBh;oA5xqC;ua^P?dE34w43HA)VW z5I`@#tf20yV0?RFp&)|-5A%VB#=q)GCOnHcRNk$g6>~-X`&Xgo9?wp${qp+a^v>xF zj7%&X0tyZd1Q|axHZb;VV|WcRdM$5@gFr)IpLLxO&r$`=)}RSfnwE%oS$c9R2zVR4 z7R<kTX1d|;u17z2rOaKwbMJTmOYPa6zw9qxH`+IUU*Y@u!u!UxD<A#d*!O$l%V^>I z>hb16#5e(@rZJpjj%FR38^{^Ec@H@VxCVY+&Zu%~p@`Q47N_aXntI0-<2ol!yJ(@H zY|*zTT>FFd;SYafc0I1Gw68xmdtLl==hth$)<(U2ylr3o?W?tMFW1-B{x4kd`*Xzi zk0IZ0TwNBnyQn{Q=kaxSlEdF^)c*eC+_m4&ukS6~|JQB5c1_Xy|EH~q4YNPX4@m!) z=aHyje0%XAZ?geU>%$99CpmU*b$5Hg(R1me72o17HzE>VUiHcoU2ZQl>2JrJ7v5g` zS9|QfW+neup>kdC(`lJ;dT(oXZu|WF#yR`Be{A3We*ZP*cg^0s>b$-8o*Qoe{Px6S znfdv}C3X4#Bl*hYW9!zXh3zgr^ELL%eCzDAt?$D9=gZ%}`(F67#dJAF76AtaB8dm} zO!0qsxynJ|e3ti+0T0`S58CJENHp{AFcL~O;8Wh%nt9cXOJmxDuDB&$AJwmW#%aEP zePhb^#QGVpLnp_#FPc8R)V|)N^2k!ZUAfEt6_#25-}CnQ^1D;qT_pGK`u6wF%qOS+ z{QAG=<ga?$^2+PmKX3jw>5l#0^UoWzh)$BxjpDk|_CXblZ!a109Rh_f18X7sv_6@3 zXM+MBvvmh9O6>I9aM_OSF-PiuUDwiB?Lxb)Q&g87U#7bJ>s|THYZtGL%8s74%_4gB zb=yC)AKhJPzukNP{0H8J_n-d0zidz4&F5dQE3SVXGk5v(9M7`#7yr6Hzdon!S%K8@ zFRx~)fBXLG|2xs|lD6jG;;%~|sSN4=`|Ehp{#P6SbGtM#FmWg}5J`Mc{=m39wc{Ts zun%%J7znU9)JL_c{`jIe>)+}kWiB=!)^i6{%cV~ww=G=zaqF}*!M}D`Uk_DHKl{C; zwt7{{il5W|ox1L~ujJFt$?AFk*6&s#B14066C+c-kOiY^Im<7o)ebx?2Us27p4z7K z-m1G@;3Cr>Uxf)dpJqLYKAkO_z3KekS37q5KfhLac8`_cfBVKpg2e{J78VYHAFdCW z4lwY{23h3D=i(s18gMwROeVGOaYf&Av9-5<a6V%Bq_uKN{EDNmcV*Z5e+-`=t+({e z-0;^M|6jRjwe`w{ef!@(|5s_x`~Ppm|IE3k{ysT#$N$`!xha#0cEq081m>$xximp; z*eJ*#z`%SUK(jZ8D?P?b?Ly5em8a_Yr%bDTZ{18@_d1l5sA3W1zsCDa(m@XyPXPdl CR|v5H diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.log b/proj/AudioProc.sim/sim_1/behav/xsim/compile.log index 69339f5..31067e9 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.log @@ -1 +1,9 @@ /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh index ffbcc26..f0a1d8f 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Fri May 09 10:38:19 CEST 2025 +# Generated by Vivado on Mon May 12 11:04:23 CEST 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log index 904e9a6..ca74b1c 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -4,19 +4,16 @@ Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] -ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] -ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] -ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] -ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] -ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] -ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] -ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] -ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] -ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] -ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] -ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] -ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] -ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] -ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] -ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh index 86fedb3..a035e74 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Fri May 09 10:38:21 CEST 2025 +# Generated by Vivado on Mon May 12 11:04:26 CEST 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v deleted file mode 100755 index ed3b249..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v +++ /dev/null @@ -1,84 +0,0 @@ -// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - parameter GRES_WIDTH = 10000; - parameter GRES_START = 10000; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - wire GRESTORE; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - reg GRESTORE_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (strong1, weak0) GSR = GSR_int; - assign (strong1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - assign (strong1, weak0) GRESTORE = GRESTORE_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - - initial begin - GRESTORE_int = 1'b0; - #(GRES_START); - GRESTORE_int = 1'b1; - #(GRES_WIDTH); - GRESTORE_int = 1'b0; - end - -endmodule -`endif diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..3a14ee6 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1 @@ +Time resolution is 1 ps diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh index 59287c7..f6a3d17 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Fri May 09 10:07:07 CEST 2025 +# Generated by Vivado on Mon May 12 11:04:28 CEST 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb index a4b3e118579366f779a18c0992d4862f0ef3a10b..ffcdcd283160d7e15deb2c72da2c746cdbebf57f 100644 GIT binary patch literal 16154 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C*Y-Q!yhH$_7yh zj3APM;WaaaKCBO+$t4XK7#Ls}pBYf=7(PSo+iZeQHSux|PW)iSFPRw_xIiop5W&DO z#~(tUfKYG}>V1Y{OQ?_r149Y}14Forlb&l?YF-Ic7)HU=OF$VOPzh+bdj@CbDuhG^ zxGK1L`nW0>7#K1vFPO7aF$3fbX(k2+F9rq%W(I}@!67d3KK|~W&J0Wp3}6fj5l#jM z2K7*N2K5Ma26Y2<26aPq2K6X)2K8`t26Z2G26Yd026bI^29V|6NG63+W|RU00|P4q z!va6YkkBAUAFy*k{`&v_e?7=5M+OE40c1zVhq*e3_y>U%GBPXxy9cBY<QEVP(hS1? z{{R0!_y7O@u~4^$#21$&mZXC9fz+}>EM^FI^bCm)cJvMKab*Y&@eguk2nh0bb`1{p z^mC8*@%Im4@DB|M2n~TKboFzADF#_)4s)HSyPvBI*lc3l1oO0~Ux=%_E5t7h3=9o0 zPkTa*ElN$#EG|hca?8wtI2>d+$l)Nn*`SdJ@+>Iffy6*Gi2onzc?}SUfq?;*7xzN> zccHW#ND~7CLp7A%1f?%QX=u_43JC!@395;K0UYQc-UO(+Xi$hUFu;lca8h(}a#t`g zFk=8E!7u;+|KGvDz;J<ufk8llf#C%Q0|SQ;14D-}Cgu=jV3;7zz+fQ<!87C-7=Fkx zFff2ZO@V<ymH~oI85kHqSODY@G~B?*z|g|Pz_1(?NDK@NJWvcuO(3NpXEQP~Fn}=3 zH=r<s%P}x8!1y3B5C(-ihz4O08|r0nq$@(h1V)3@faKX37#KiK0I@@$@da`N$bOI- z5C+);!XPykki-hks<_mEN(&YS28Lj$oggzn7-R+rgUqpkChizKYV4tIONF`%WCo}Z z0AXPU1_lrfax=&elabVLGcYg+L8AktCW(Q80aV0*iWiVtkU1a>atjE9ib9Z^L28dM zFfia!<H7(bHqYWwlgYrq0J8r&9yM9exPFL7O*R7q11|#u!)rWhau^sG_!t-%zT#1n z%fP?@%5(qmsL6w5W(H11+<wnzU|<krU|<ktgoGt1{6H8Kejp6;Gbo%v;Vy$k4X6eK zsVN1S!N9<vghdU^oK^+~1`!4Z27NqgW->4^h%zuRSmIGLhk=1XjDdl{8IPK|3=9n7 z3=9muc+@OlU|^5{ReN~UEM{O}kYr$BNW`ON1p@<v6axc8CLT507#J9&85kJK@TfV) zz`!5_3Vdi8017uyegFww2<rnm1H^=5P+0&fgFxvUl-EJ&0h9+-pm_#VCTKG-Fc?Gg z6e!&>g!M^+)N4V-KxG=p9uNl0GcYhr2<wvsnFA^lK;j?^K{N=%)C54)fbthe9G@Cc znLtPls7!#VDP~|`sE4`_WS>A(pCl+R>q7G>D2zbyvJt8Vl%_!b0;NMxy$GUJk-`vU z2FQINe}T*)<Ytf>P?-R84~Pa~m^mQ7gVcb^1duq$Y!D5?=xRV^0!SR68c>-)NDZh= zAfyIVCJ<5sDia8)0hI~()PTYlR3>0k1FAbf;R8|wDig4&0fhxj4X8{Yqy|(b5K;pw z69}mRl?jB@fXW0yYCvg^kQz{#Ku8U!OdzBNR3^aGfWi%iLHQHZivWc;ObnzBRBwRF z1%?1kE>J!P$$`{@x+nP5g35Q8T0>~P0cxDX^uW!n;N=39D=@W2Sn7GW+5k;1P&)~x z7E}&_$}5<;AhY3W6L`7YK?<Q|7pPtV$wP&}Z6dhZ08Oqqs07H5pynAiwP3LTO|CQ~ zwIH{H#i3%bFawJPXmWw_2S^HJt`b@}fWi^v2C&)+UalIDLTDMJj3XStY6CR6`jOOv z%4g(o0EGw0T#)$;6}()aGzd}-as#Lw1DgR7fR<@+wE>!3pfm)M0;vVHgRrTEr3Y9y z5Tq8=k;13;D#%c%-+XZR4QB2WB(=T-)P6=%>qkKCKP0uFo-981g4#GB<siQW5HJ^( zc0gsgJPx&ByO7cjNG+js21^ehwS>}{IM@P6yAzbopj?m_;AtH!7NE(c0cJqd;!0=W zv=gAoWrCy@l+K`B6mwx}ouHB+_Yz8H0Z3}qaD*Am+$bcq>IBrLAgKlQ8KGRP?k$8$ zg50Y~z}$8uwV?70#0B+TKnBC|JS_cA2T4NP7O?URms(ib0o8A~+zU1iDeZvN;&Lxc zEv(!DsRgyokjn><TR?dptTw}$YYCVE>5qWgcTg_KYZz%~15^@Zt`3ec11ky8<k|;j zK+FZTwV+(A=AMB{g3Q$;VD2>}wYcgmu#x~xt_NTS#0|LWEU=OQO|G|K21G3^j=_$_ zQcl9kUyyr2af}?kpmYEVUvT=Z_viYDWG<+!2pV(%^&LU-pmsJ$El3YTy+0QpND$gL z1hsRK)q?t_$ZF-0)Y?Mp7LYk0>p*E5uGY+fOCL$C9hzE@AK+?(Jh<$U)Pma4pmGXk zE@=D#uGY+f%NI#4Xm}J<M!?j9+OBZ5K^|ODNNPc22gqtc>OdHzm%+?|D-lVp6PkNL z{Svs^AP=r$B(=^A3=E+34GLFK+@a^qCM30>@)cbzsDA`@14uKpPYh*(DUf-fxCXfa zEC40wB@VR?+*b#&L2-@GJs>q840A6b^)PiHJs?cTU!eL9qz;Bb>OtuRRIk9qKr~1k z6yLbSaoHPRl3&13k`$koSrnR=S;CN5l$?<nk0bz<h&N<_vY<*}yqwIu)cAN)GX^Nb z9Lg{=fH902lJoOQit=+n7Q`DO^Ppzs7o-*?mSmQtf>bb|@Zt?o`CuLKC`w@VSU?$u z2BvTp%vpv8Fn1XmAk<mH)EUAYYiMW)6|=NpD9*@CD`CjYOD>8}Oi3w9EiQ)28JZfy zS#TRn;Wog%ZfIuAP+XcDpO~D?P+XE<lp0@@T3nh_0@G}1!4T{mpPZAQoDE_Wr52}_ zfEby11*IjyiMa(isc77=#GK3&kXTw~PDyG}Y6?OXst81(C)99Hkj1Gb44(1GMx*c` z8vNr?jbT6+fG7)gjxQ+6Pfjf^&df`9$<G5jGbcYWB^VrJ!OroSd6^}S&_DxolZ#Ne znI*xcxgd{#bs?Dkr6mQWAcvxv?;j6V2sYjy#dHu4VK|5fF&nC*G?xLwf|~3f?}id| zP!9#gCzlo#rRJ3cgU9}ZgW~g2E1(=tB)dUjhVU7Php-#OgV+t#QJTvDVZrT2^Hp$A zd~$wjS`c*fo*_6W9-Qb90?zTdr8y=3pbV0iVh9Q_B)$=d?^~Kv5(G(B!OozB3gZOD z7lXnz2t7)JgW`))6H{Q(0U8xyV9?LV&rL1XFEKJnEG;R`(RayDF3nBND=F3wb_`O` zRR}3c%q&R-i6|tNrex;pmlWuNl1fo3f~A{UQks&Pm{+0;H>S8KSwABsM;}q3=#^!p zFzA;S7lCcmPt7YXNix*;b#>K;Od;r(WtJtT<Qo|nndlknhk-fzP+m%6Nuquk$UKm_ z#U&~6Mg|5J`o$%Qc`1oSDG;Lzit@AcE0Rhxb5e@+EiElA^$g-Y{X*gmObkrp4J=Fy z^fU8{OA>Q(iuDt7a^eee5=+wZi*k!$c0si06J-}wy-|`{QIeTY4^JT5L=7)wrlzLC zq9P?eCqF$iIo{CF%mn0@90q-w#0UX9Y3VK9r2Lf1f$$r$#nkm+UTJP>QD$;{aS1pV z(LT~JEu@);kVB2eNfF6c$hOk9Y=ySOKnar8#W+$!jvm&bH1og~g8R#$wk`~V+P)xs zl7WE%)F<y_WMD95WMBZzGyh;@U@&K9U^vgjz#zcFz_5Xtf#Deo14APV149fe1RrN* zU;tq=HU<U|2AK;o4@QI7pgs(YPbv-43!1Y6&4GZ%Y(R4~pgt<7?+NPrSu-#&fY_k^ zC8*!%#=yYf$pBe`0UE~xjg5ikZ$a~`VGIlmQ49<WpoI#cag78928LwNJQf2318Cj? zG%o>~cL2>RfWiVaF8~_%FK1w2s9<1VsAOPZr~=L5GcYiK`ewBZ3=HiI3=AC%3=EwN z3=CZi3=G{23=BOC3=F*t3=Dmsxg`b$2GDpuXuKXY9uFGp1dXS!Vqjoc&A`C0hJk@$ zEdv9?ItB)Y^$ZLQ8yFZEK=b!I85kILF)%RfW?*30!@$4*T2HW_fq?-uJ`M^~bj$(j zu!H)cP#V;aLRW*0ZvpDGGcYhXKxuS+=zN$N4xoWY1_p)zD2=WT+Kq)NhtmO|jz4Jq z0+dGA2O1lOD@I~OfCd2=7#K33G`c=eIzdtnXL^7J1VHYD(&+k-`_gbtpm}y^I0`^% zbpOEm@5p*UZ1nI%*9SEYq!b0ChbOu|X!M}y0ddg76I~xPdO%81FnV~R>w`uQiXIRL zJv`C%L8Awx6a^cA23r^y7%ZSPx;|+1py&Z{BtQcxpfwOs8eJdMIFM3Q4DGOUKxuS+ zP~%YbFfcH@fU5rhrP1|4g)#J901a|5FfiPJ(&+l2!WjB?fEFV#Ffbf|(&+l2!WjA% zKqs(PKxuS+P+<&x=;Z`@dIXIxVQ2!y8#DpJ$_aFR;5lh58qw1yx<1g_2Q1nc(9;XL zKG1pyZ2HjC3%Wkg8VPLrU;|dL^n$JrR0m<xhn`;0^<gYxfEt3HUeNV{)>lB4qEP7R z1zjI#%>{}EC<i^gpz8zGuTZ5Z6ncI~*9TfpfuaG*0j)O!F(*J16uLgpGBc1oIxc`F zqzWjFt`B`Y52hbIT|<*AOd7nT4bDMgpqJO^`attqNXp?%^zs^AA83vXt{91do<7m_ zp^rbpwL%LXSo%cQ2O7JCt3_g<r%!Z!pf(kfaySz`eWL3F&56MkBQem^C%Qh+{1}pQ zI1@d6qU!_AJ;4<tG0@8ibbX+CC?w@@CVKsct`9UP1y_v3h=3MY5zqn%T_0$E3Q0Mf zX#p*GET9Dmx<1fc6<jeALjzh6SU_oXeV}<OB;|0X1hgQ~fYRvtKyz4d#YhYeXhEO> zrP1|)=ChEL!<ih=g1`Vuqw53BZNU{IF$ACm0cZ^>NElroXr2osj*cau1%U>XM%M?L z^Fr4H;&Xro|3U3!D2=WUv}OaO4jr$sLKT4)YhXc8-3wa30p_3)kU=>G7bn<a52!q> z9V!iNxUN7;IL)9=4pi+ZH5vk=A%GeJY0!LwDmuy=s1N{^XZ~df+h2kPWDwj@)@TTf zh5%9s7=khk5`UCAupt174&?F+HZEAf`1WRI#%)%aV;_I~c{a`R^`l0X^A#Jr3=Vzh z=#qG^k<8+9?V+fvN<~>uqM+o*zK$D}g2xVYt(ciEFmXjssfN%I<$I!nhb5FXl8$Q6 zi-`XG=;7~E-~aFNn9U#0@n_z?3=gfXA2jCet@$_oZ1rFEV*5+pK`ZOySJgdW;!|Xp zDH_Ld!QyN;!;@<jiVmN(^qD5yf6>bDc9%G#M&4JahI8I=EDQcD6K6V8^URe&>wblz z!+(8!CWC$d56wILltn`3wJSpwt3Hdz`fo}Mlf$bN9m<-;8E5P|&dunyy+W|z8h0E= zz>Sx#49o04IyD5{?`A0Z|G}wY<I`F4<vU^+s@$s;9loaMGZ|Db6ld~@dFRRy^m?zr zg1YJAj3-R?2rT$GN1XA*+Ye3+&)nl!6!stIX1uk(Qn2BX$BiPUlA<`41vQJrna;#K zaAnY%UZv>pUrnFMpsrn<iAV0M62sQqIF1ST-nlZU?T+J^aQCe%!?L=sP7N1RyK{Cj zZ0Y;p)Nn{kpUI$ho;cH#Yn6fx?|9=l48lGuG3;&ME3n{Kk2vFu+PmD0YWKb?F|3S@ z;|TbFvzsAh+PmD-oEI$4bTd5p6vuJF=6E;5lj~KA4!^DRnF8)SX=Rvu78GVv#F@@) z*~{VZG*+MGMg3b>hGX?#oEi>3I=U@}A*;PY(V=RoIO7bP)7*@krdJ3ytXw6|)N}8d zD?=22m7>E#PkknXzpKQVrri6e#Bg{09)W~6?D|X>qD{X4On7Jbhu^g!>smL%nRyRd z8HCfT1RJg#jpGQ|f32G#1r%$?nB!O!zPE@onZ$ooV))r7&a`F!7bS+H@AnEUSiD77 zyy4TqI1Yp2J>rZj<~(d=_`6A*QDXlwZbmjxl->Fp$1$PqPB(+kzBjE5H@ENQIB-Qg zj>F*BTye&XrPFQ|F^TYfQewEQy+>d{$u4om7wbMcHEdkHm%|}{u{cx6`_D=Yo1^y% zBrJOB$`F)asp#;xSf6Ra&uiR_QuWn>4R;uS|Jc^>tT2v6p?;M()0XgR!G@%x+>BPz zdpI0^#_6-nxcSJHK})|%(V>31IHSb>E8L81wfal}zb|t$UYeP@Ervm@|D#jG8_hVD zgjY)XEE0PUbu(<aR;}ppFi)S!;O+;fhD)F0SQhL)%*}Yr?wb<BUfVd1fWLRU8P4>* z$v(|#P&h@L(PQqDR)(*W#2HV-JZoj>oxPXC;hmp8ONQMuSB6jLs}voocZ)M>)V*kB zn0q&lWr58Hr-qrS%G+WXwA?`sn<~yIVSk^Sk?r*!4u`jH`YayzLCH-1qf<l9<!%O_ zy$@R%7R!Uuzn(se#-B&749lj!OFzvSV0EXPq2zNMhe6poamE*GzdAL%`WnZQP&Qed z$;al2D?^ZfwW7mcQ+=ihmFKw`rEK+?Cj36h%{a?jY@do$-6L*?e*yX|8SB3&F&tjM zsO`Pdfj{&8KTU~I*y&Kz_=M>s>m+U!aSy!-FoFNinf^1}{<QvS1>@UE>;0G=1={;1 z5ADCu;3lw2t3XBN`HlrrO%6frS+5LFTobU-4~P++wPe|(<q9@zllDrdU5>mc{$Tc# zy!`zs-^_nMU3F^JPP<ojzv_RNt-QQ>>$df?wphopAN@Wz!Z~WqyVByr1-m^8Cis8w z&^#qv<F+!N$1;H3&bhEbXJ1nDnfY%w)p6%mW&R1_Te8pN^RiExr50Td`{H>ky8Zmi zc8ReYS(;AJU$$}Hy0YYBr!AN)tBoJHzslMmZ!Gqlt;ntLd;jg`84ni}ELD12uxNh& zMn}1OyiX)oojz=F`1}fSW0@(EZ-2g=xHmFq!p}<%=RVY5as21GOCe6;00Wyr10zoY R12a*~f72V|*T^Xu003|0xPJfu literal 444377 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C(B~T{a^W$_7yn zq(CGCL#Hf+p1BS}lS>*fFfhO{J~NncNS=h+-?<rwf>Gho5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!3ishQM40Ckc>+ zFPRw_tU!}I93UP8!-lhxV8#hBi69uE%=3HLAS?|Ah7<+{hHw`rJ=e0-yb_2goP?>L z0iCz<fXYDUy*z_6a}`1&16&o{Jbhdh3=9kzbP`y$$!2geFfbT0F)(N`FfcGPFf7Q) zPtQzdV1?=inaTp5xiVykVu%2XDl;&E<QEhtC*~v;#b@S~fVd2d3=9qb|NpNCX)$DA zU=UznSO5}aU}jhV)(4V+VXy!L1H-@n|NqbZ|Np-QR6}N7Nosm(5!gU%`sAS+|3me8 zL0ugj;u7!U@9yc$z{J1+#-Jbu`At1kok2Z9ok86|ok87Dok2ZHok2ZZok874ok870 zok3k!9qdYPB$GlZGfDv(Kz@!Pp+Sy5VCR6`4GSGdsAu7hjt_Hn4)G5HD`aF?0QNm7 zq(CtbqCuKL7#3QwP`8G}7ndZKq=NN<{0)jV5Ds_r42chR^bPQFWe5)O4{~J)2=aG! z4G#A7bC37&_YYw34-E+j4PgK)boFzADF#`l0kVmKfguP=mqO`fQ2HK})&MDHU|=YQ z(vzX|6(|i&ctIf{o=_bS3M$PYAPwPHf?UqP0Gpr%CsY?FcLf6jGX_us{qq0+{~Zhr z3@ca|7*<3uFwEd!U^rpIz~Eqki7!|(FevykFgyr_;1gjC3>(537$!tOunYqO0|+xR zGBAL!D#QsWWEUd?gTF8X!#-#R1<n70Fer(F6oQ-!(hI^2;H1OA07{N<IR*qDBnHBu zNCD9x3}S;4Cx{I)OOXL$3Wx@YfiNiHf~*H60A2<L1`rKWs|HmIQUl@(GB7acKofij zBvCN9A*lhW1Gx=^LFz$%0A*)?JZdZ<sTZ8tVR40Sjt$gJiFnMhXJBApXJBB+g1Qf6 z1_*=P0>U7F*)lLNfc#m8M~y230|O{Ln((LrWnL}@28KR7YV;Tw7`Pc27-r#70}3A= z1_p-Jc+`Nx2juoWc+^-iFfi~jFfg3OqsE$nfq|cafdQ1CKyd>K8xRJC4G4qm5P_5w z4DX?F3z7$gg)jpH1IQg9HYnaf=|GkdT!4d=fHBAnP+9<~Nn&7N5Mp3p0L42<Em#3G zor2VX!VY8}$Xshi1_oSeTo@P_KyGruqb8GqfkBjkfx!=t8c>=L1Lb)<YO)y^7{nPE z7?SX)$zfn%0M)8Fc+})FFff3s*fKn7@)#HxK=oz=9yR$43=E(m3lv@;3<@hyI2wfZ zf}#h+gkw-17l5W)P~HW_GboN#py>gW*FhL$4oDLyUmpnWeGiIrka`#mS}+1ilb|>R zi6w;ez6Y^El{JV4l}jKqKo}$sG7DxGNFPWXBnF~E7^Y@JNbh@)dq8mx633?ol-CKV z0p)dcHK4o?G8beQ$X-xhC!_|H*9oZs<#j@8KzW^z8f@W%?q+P^gRTaY*9qAL%Ik#G zfbtbg&0kR3VT6=>ps*{5=zR~$qq+<X3?Ld*hv_mR>Y3dP3=9ghk4u5dE>PZu(IE3c z7-R-0E<kLMer*1NsR6|oNDU~jgVe+Hf!OG3KzSV`j!z9JuM<)O%Ik#Gfbu#aHK4ps zNDU~j6H)`p>o7GSzkx6)zvx5jJ5U`85(B9LmGhwd&XCI}1Im*iagbV2-UZd4xYUBm zW0+cKdl_6`g7kpI;pXx^kph(!ATf}+Mg-ho3Q`CyXN;ls2Pn;g%mrb%x$IA*+>z9p z5HL3msuq+6KxTl<f(n7#KcF@ZI2?@EO#{_8AUTj)P<ez;Z5l`+0|Ns{EvU@IskZWo z6eul$l!MfQ(m77G#_Ohm;t-}56xR6ER)7>j-2f`r(ba<T1K4lOucaE1)PnLXx>`{A z4OaWVUAh}dEvUXjR|^lbEh*B|kko?eJ)CN<q)RVEQVXj8aH_SckzRwO7E}*{(jzDx zf%JfAxEpx0rMDod1=WYhYC-Bi80<Iq6%3&E4@fyEE<oiG$UG1qghBZNM1$2DubXxR z#DRt{sLsNt_6(9*IcS=M3W3uZhz&FMDu@F$7t}SxXYL~;wMtmbh5Ie2_x%SXwV>`U zy18(*zbd8wA*lt`?>N<lRY~(eB|%{Z>U%)BU=u)L1~+$qwX_se5~LQ?K7evD)#}wq zYeFSKYC-)sC>O34!~lnxS)DYfeFx%$)M{c02ap_CZBXxfZ>T{awV*Z!lnYXV8W%ym z@1voTAhp^A%muaAK!$+Sg8DB|tso%`bL*gzAhn=+9m>U2YrJk+FH{nw7FJe+6++v( zAU4=<#_OidK~f7Vt3mQ042la74O6=sNiC?XhH^nlP~B_1ZrU!WB*+b*@)yd*s`eaI z5~LPZ{(==^aqlA}wV*N{$^|P&ckef-B*<Jdd~spCZW<R8r2h$03+ju3MlV3+0>}tZ z{s+<EaIjrBO$13TsIEg+3sM7DYqxHi9Fkg4`H8F+R7N7J)kIPY>Z2j6h1Fdk^BHW{ zO)~*G4%9vZwRxa^1Gy6v5TI~?*G;*MGB!wRL3Jp|PF!kTkko?82T)xEvl|pHaC6OK zrGt>v!pa9+=B6U41(grT=7PcyWG+~VS*&y!m;q_`!O918bHPe-8D)CF42W9LFc>z! zfx|49QDz>JT2T8LpW4+(YJG5oFU;IsNNRlvs6C0K){lVND@bZVeO7$#y^o|efPlHM zv;#_yu=)Z$d_i`B+H$b815yi0k05beYGLUCq?S-Rdkb|hNG&Lx;WPIil3HBp46G!V zQHBdtc|iLIpmc`KT$ox3B(;RnnHrK>SUrRu4lr{KkkqQ<hzpon@E|zUy|6k9m$~jp z=ECYMTxw&H)WXVYQ2s?OA7JS>7fCIwU5!g^6;v&#Z-uQc1WAL<{rCTWD~Q9uzyMN< ztu6#fgVp|Mm7W0NK-FTa3qjIgwV&IhVd)oSF1EH2NG&Md8Md`bPXlqF=3=WiLDFDz z85kHAgE&yN*y>G?G+6DNR_U!E4pc2HO@hJ}ghAymNE+;hl2+-%AP!V5EG|ImKp3VL zY%T)>!!;zeuzUeh2f{G5U~?l{rJo?F#a73Hq+#ZM2XUZofaMF2nIMc}u63(4BQ#Ng z!WUZ|3o;63F08%)sRfk}pmH6Qwn1(L<!z8!5Szhx-82CtbIqZBGMHLWeFsttHrIIF zG-V{Uu>1ycD+r^wm#<aY07)&VPY<>aq!8MEfSb#}z~F?W7FI?<m4e$aAhlrqk6Wbu zkko?4F2LeY#V|L3-OIqh5Rar5R+d1OqMCc8MLH8nEoeLlpSiH|7Zkp*vKp(ovs<Jq zkjw>*Md35I6-g~<^A~cwf$Rc>1K4lI>!!i#Zjd;rj6zlmYA=D*g5nVr$L&bwI-$ie zsE!Azg_%1SNiD3LME4umT$>i@bx3MKV>0;sb_7W+Xe<q<THO}u+em6b<HR`CDz!*| zLQ)GFGr*}<q(zz&>`6%32bzS$srFB^v;vY^&@2&7wa=TS&5_i?+B@iB23B&TS=tZG zfVctH-odK&bhC6Sl3G}M2dmmm&C)eUYGLgitZHX9OHW2p3v2ISRa?_6y$VS!ti6L( zZCbPRK_s=X_6}CHe$CQ1kkkf3>tn2Ht(&FaBdG<={o#xY#b#+XPy-%XrUv6PSFl-H z7D+8=t^%jI49(IeNNPcwF>$Kh+$8Odq&5tn8|s^+laSPg<5L^eBwdN57Bu0F(+!SI z(i4!>M&dJ<qe*%>lG-SIYVS5m??X}>jZf{RM(L|aYGd%Jo!Kb;21zYw5*er8DjKDk zL7g9H9D~OGaH?&{mzG3Q8;{Qoh56F@NNN-CsrAp7c12Q)ZLSesriM01M<b~PjX&aa zuT_I|A(Gl;d~Q%{kZwm(3tE7I)7*dc(sPm2rs6Z#eFej2B(<P1P@LvYsFyy8q&6L& zxvBNi_mR|Q;8SZ;FZ~rsEodwsryFGIrMaOEV^CcP8i&QH_EVj-B9dCr*gj6RTkE9F zk<^05_;IT3tdsUdQVSaE$EmiaPC6M$EojUir`r5F=}IKEpmAfIYNP9<`;pWZ;0s^X zI_afIY76nHWv`RojieT|I~}JR?$%0QL{eLf&)hAw($A69f))+oG`GK2`Y)1N(7F$t zYLjZEg+YTC(DDH^e~(kGM6I+YlG<{7?&YbKwntJ68kfgu?zI}}P$adL_{`m2Bb|+; zwhEux<u%fcNNTI`shv_IJsnAH4L-HGHPUO5)YjrtYgZ$E7)fm%KD9hG(l?RR*5gzA zzFHbQfeeke27GEyR7<mhIx<kTpm`6Rak08uS{g|$XsrfLwRzRjhDd6g@wvgSTG|~+ zZ3{lNM%B`>NNQX0seN4~U5uo*4WHV>RnnbEYTNOtt*errkEFH(pW4JK>8(g=JMpO% zu97~Dq_zv6+TE4X50TV@=AdxK#q3Jy??`HU@R^%lDa{M&tU}X6FFv*5mD0*cYC-FA zaJoUYQrZ$pZ9hJ9-&aWcBdMK$Pwnjr=~N`O6Y;6du8^)qQacHs+PDhoiAZWE<5TNZ zA-x<)?G$`!#VVxtBB`B<Pwn<{>B~rJL34*V!?&wk`X!Ru>G;e|DwqC`q;>{AwL#_5 zqM*hAG(F73r`Eb$S{q3%Xbl!l_iiYXc0^J;8=tuqMbhC&YUkin8(buvi==igKD8P} z(#=R}=iyWPworN|lG^$B)E+OCUXP>}v@jNDIBYMJK8mCkH0O&`ZA78;Z6vje@VUXI zQ2Ha1+Qs<Pnifj4g9bXFal8bdTE0SQStPYf@u_7flr}(83tC%>({C>dq@9t}F2`r? z-2&+_B(*E>sl8Mnor$D&B|f!>3#4n1)ULv(c13}7KayI|T0)$DYblUkh@=)YSC3O| zNP+ZbB(-btx#3s7^id?WptFN;ntLH%`Z|)@_4v$Pl_C8SN$m!FYTfdse<P{gh)*q7 zzBDgrkPMp6HsMoyG*4O{NiFC+B%FS0%ab-lQVUw&fK#n<p0qQP+O7E9@F7<^6iMwi zd}{CIN~a^K-HuP~nOx~gB(<P*&p6#XC0Du|N$pN(*n!3kL3%*zZs7f6<8{+!BdOhm z&kg#y(z}q<g4ULS%)>fvbTUW!9+Fznnh>077v@MafgA;O=U#knXvvXQLsGjBpIY}E zX)h$T`|+t2%8|}PQhNZO+R53{laSON#HY4CTY4Lk+C%u%I%G@VL{fVgpW4}3(tnWD zg4WUC4BzZ5X?f59H8gyW;xpGiOWFxZ?J<06rLv?`k<=c?r*>PWbO(}J(54og?wyw@ zy&6gFNqpuuXG)(#QhSPlfdMom4NL1FDde<%7bE};htv4X^~;q0iKG^^_6VnY6*8qo zL5t3y=7QFC<5YVxL)s8Y?KymID9w=eMN)enpIW61=}aWG7trPzKvKxzP!AG-y7wZQ zTF{y}WVJJq)LueU3tAJ0tab~M+RJEaL2KfW)t*OEdj(A`Xq`B++E++wL2J7}Q3H!( z(E2%KwX7fyK>c<N&0Ns>Ib^j8NNTU6sRgZ{Lsn~zr1l1yTG0AAWVNA4YHy;c1+AY$ zR-2Ec_7<92(7JMDwVg<6Z=<ONt+hi|yBJCB9W=F|wRXsA_adpii>4MdpNy>bI+9w@ z9tGsM0L{lCtNn<i_CA`qpt(_GwcMb@1dX=`Xlg<8lE`XRk<>n9U|;~v-@?)ZXpR_J ztqzh}&{{v7dDA~t+7U_ZV>CB_=CqN`^*~bl1kDW~_aLhU)sfKR8pH%+(0nN<>~M*L z^n(>b36OeF*@jCT6!uW1U<#xj6vosN$K_6HiG$J^$l);aK<>vEJ}`A4Js=EI5ACLc zguoai4(it63O8KljEevNPfqw#E8IZ&4IF_`0u+y+e1*$=T<YUX@(UPBlH$`ci$e1< zOBfQ1k~1>nkp$9nl5!ZJvhjv67E~QnoFOMOFEu{i)C|Tjhce6zU<_jh&%Df%%)}fs z!*~NY+cMq|#x^&NH-fQE%;Jq1{8CGTQqvQQi!;;nOwHm=Q3cH7%}@m_;>}S7EaNRu z1dI&gEg6#Y^Gb^Hb3k5=H$>(^J(ORNT9jClS(XY?!GOYxH$>&bd}aY<7#f(uS+IaG zG=PPKp#egjB}|<mEO-nJLF;@#Q5ozUpPZAQoXrsI9AA`LoLT~6Wabr=mINo}7UZO& zal;aGGE+ceX_+}CsYR(N2vw*e5QXl+K@9F8!3^%<pv|p5E)4ELu8^&+1@WZ?@g=zh z3;{kq@jm{}-tM5?ukKFq?mkXF49?Cz-u@7#n{%)el<5MaJt0!=!9n1C&F&$=U^*bk z#|6xQ*#hQxg*dv$hrskgc+TDs6CgYnsCf{cZ!of4P;dwm*V!>3Bs9nsNhHWM*cDkt zu!pA`GCwrH#W4g$L#SVfD~h4Pu0DoHCI-9u7@=~FQMo2a+|XdxAdu&f4S<Oun*tL< zHVP&NH805DIX>LeCB%av1kM5lBvcU03=VM&3SsbsCK*p?it&s`PB17uNHXw`M@<|I z=mHRB!OrmoMfu68#l@L<=`Q(s;KY}cpO_Myk(mZfn3*MxDJey%#l@gRn3<Pcgu=}% z2`<eA$$)hsnEs_D1*Ihnp6KTL$AcAujrWhw%tM$C;vozN@gQbHb(H2ZKv+<d{o~zG zG6d8^LGj6@MMbH3CBfhw;K4!hd8rjpPK-@*eqM2jft>;alxb+Ez~JuZ0xFjvAsp}N z6zT>GZZId_Db&rK0Tjdt$D#1Rs=x{megP?f^N<yQ0t+G;T$+oJD1c>tm=GwCpdR%E zhZux|EDebwh%A_mB3YWt0F^@611@bKs(dgKM7%%9=l+=Sg_=Mh%7UHa6H`)xQj1G- zO5!u)4Gj$9^WqIa$pBT@5WBDuc41@e!Y0^-O|c7`VHY;XE^L8a*b=L-A$DIHV)vyX zc3&D|_oX3rUm9Zfr6G1-8e;dQA$DIHV)vyHc3&D{_oWebUm9Wer4d$N8ew&aF;;gN zV|9ixR#zBfb%ZfiHyC3LXk)D5Y>YLSjj@KZF;>5sVD*~`R==5G^_vM+znNh5n+aCG znPBys30A+EVD*~`R==5I^_wYHznNn7n<-YmnPT;uDOSIkV)dITR==5I^_wYHznNk6 zn;BNWnPK&t8CJiUVfC9CR==5H^_v-1znNk6n;BNWnPc^vIaa@!WA&RkR==5J^_w|X zznNq8n>kj$nPc^vIaa?}VD*~?R=-(b^_vA&zgb}Qn*~<CSzz^>1y;XVVD*~?R=-(d z^_wMDzgc4Sn<ZAiSz`5@C04&#V)dIPR=-(d^_wLYzvY1%7O0I1kdPs!kP)VkF{Y3S zrjRMRkU3f_CNJI`!#;Bi>&!81Gsm#Z62lxz409|o%(28Y2gA*lX6U}KG{+FJz!0$n ziR6~%l=y?X#Ca)33^Bn$X#Iw0<JkDjcyOvwz#<)Al$suGXb4gRPH#l0F(g8b5fN&P zajP+fxd)G3a5Z@Bf~&!87dUwEdmXL>w`<@^a61OB1h-qvVcs^t88mPuxZMI*g4-={ zCB_O2!9npwsfj7DJ|QRtA@QRP(A<P9hn{SZ<&5y?g9ioFpUC>aa!ARw7}U`WN=?r! zE=etlHi(6U42mc?4da$E#3N;h(>y~X+)~E4rA%;3nc|khDTCV)xZQx;3AkNghSLS+ zIAttw%2>vNlT~qPF1W-gF3pWLhG#_s1%`rngZRumhJtuQ5XGRMk)NAdtY2bel2}?& znxpTMpIn-onpaY+AM6;UpsNs4l$cqP3KCIBEKSMG*Dop11r3fAr6O3msU@W;nTdHN zx^QEPi<0#-QgZYW1EqRp87U0<1x5K;`V~o~nK>!N`j(cKmU;&9o_-<m1||lk@dg$q z2Kt$K#U+V3ImP;kIXUqKIf*4{`9-<K`eB)6i7EL|E&3^mC5ifFAPYbi6_=#M8yOf_ z=ognH=A|SSrO?B!lGKWl%zPT!l$n~E3iDP<Joo^`ctb-o6OffTGzv*vcIqbOr&Q9% z;=Iz_)S}Gf_~H_9G}7LuNERY{leYN+IxzqWQd(z0q#=EXb&x!moS%_WT#}fUW~g71 zSdgDmS*jmVoS7SuoFAN+my(oUp%2PhsTC#q6~&pkWvNA(X_?93JQrV(n4F!Mo?5J* zn^}>X5}%WpmmXi1Q&L%w3bBB;L5DKwpjSq#-~)$}BdEv=D9TUPE6&W-FV4)3H`Gr` z%}6W*2Z}yu?g!)ou-c-OOh~!p>{G07YG7_+YGwct00mx7emXcnOY<^|Gjoge-Thq1 z)Cn3<B~vSCc$Q49pz%#IwfcmH7?Y{hB{;yu!qt#W-9DiqM&xTXAz!N*nOc2ABit+~ z&}&YnUN;wKS2DFaI|c<sQV>+8WZE0%?5qdc9|W4$MaG~xLJ$V+%>rT2nivoUoh=E% zI~W-lKp1p5F$gbWVqgGa(AgCr+|10t0K%V`85lq~n1z7>gb%SWFo3WND+2=v*Re7% zfbdRM1_luR!pgt^!uo6s3?Q7v#=ro=E7%wqK=?5m0|N-lu`@7$a3nhe0|-xLXJ7!~ zi|h;xAk4$TzyQLY91IK~+`_@Y0Ky<Yg8T)eL2S@^4j7+Q8l)GrMhT`5wEhRQ1_rc_ z0<^{mCQfe}bbcb}%mL8(kf1&HpmPF1Y|y@bI|c^uIkcV(3=E*X+Ms>apuNhVy~3b9 zz@YuQpgpjlJ*c3)rJ#MFpnaI2J&2&ag`hoypuK>gJ$|6H0os$djFExi7%SxbQP6o7 zpmQof=Qe@nn?YwlfX+_>o!J07?+E5L(AkV2cY)jkawBNJKj`#j(EfbTo_Ek*cF-Pm zko!RUxk3B1LHn;kZUw~~3?r{8DS)mAs({kS>x^LPVYCZqQyP@B0JJa;bdE8UM%RbT zN7lCjv`CJDfnf)fMpg$h8k815GzcT>+W}fI$H2gF07|3lL-rH0z5}4ea|{d&7oaq{ zK2UlD*#*ML`Wirs%@`OMI-oSVKIryxkTwQneFD%us1i^b**_q~&@Ia#CJMd)Reu9Y zqw9kTqv!!~I-q+TCqQX*eNbVLQWWd~-D4L3rP1|4g;Df?I2_RZRRT~NT_02!q!b08 zfJ$6|(&+lo*FV8DcR(d3KxuS+pfyr3waBysRKf#Fqw9k%<ww>6X8(Yy2Or=FJ$Di; z0VSZz!=XF`wE=424k(RoANu+qn9dBSfdx<+T_0#I6HF~KtpGJp14^UogDyQq)&gdC z6hTt_1SpNJ54!XbtQMJYD2C|sfYRvtpv4EW7BKq<R6RopL_fMdXz>A7i%e{Qs^0;n z(e*)#4`eN1_6=xwJb=>Z`k=)JSS>O!0UFRVpftKZXz_up1<dw<26O<FM%M?b>%a=p z31~obKxuS+ptZT^T0s0AQ1u6(G`c=e9STy1jx$Oj;a>oy(e;7W?4s)d@fFG-`ZS<4 zx;{|-4N`}WZ$Q;QfYRvtpv5Y>Hiil15c_68X>@&{b^?Yb1_lNX=%G0QP#Rqy`g&5h z8I=(GIG{ATKG0fJxLPE}4ygJAP#RqyXzeeOayYY~3SwUclt$MFS_ceQjKt8WhUhbZ z(&+lo*Ac?BK7gu!0j1IPfz~F%)FRU}Y9RJ4fYRvtKxZQ%tB0`zY9aa}pftKZ&>2uL zwa7F_9Ymi1lt$MFI#&o;J&gV00JK7Z3LxtPu|ek?L8VZr4+Qjq&SgW<0OkB3pbvDG z8dNDNbr8QhLFcNWYJl+2+h@q_9FPjo8EYUK9iz9;(Di}N-bU90;-k0E(Di}Zsvvdf z7`=Ult`F3{Mb`u3qqon{^?};NAa&>%y?utR57drE*8}2bKnsupD2=WUbZ#C<9Xc+6 z79bT+8eJc#&5o`I#E*a$APG<!T_32O4^oGY9iRn>2b4zF2kIN3>jCjKpaqBllt$MF z>Q8{wp<@AP0U`mV(e;7Oc|+F&;{SlE2XAITy4L|D2g0Df3y4O?=;<0-eZrN2`eJYn z5(7P5qw53pk&u+bnds>gT_31l1y_v3Ku<5|`apd|B;{}>dU`?E2kKYC6(cdw(+j#j zP~Q(pIh=`}UeNV{`ipSINDTD!g02tL$3#*NXQHPUbbX+HDO@oU13kT<>jU*=k(9%k z==mL8pEFz~D&qmPf_nj_(e;7OphMLG;hlh1a2KF7x<1f(bP$!O<OXO3cL7R6n`v-G zpnf`>gT!cnR&X6q8eJc#Z;zxL&a{A5a1Kx!T_0#X0InE`A)p7Tk0hWpvOZ8>7c|y^ zq#Vxt0JY}_lt$JEQUn?w0nzAq1++rl0Hx9OfzGu<*8}2r7(v`Q0ZJq51Gy74)&Ww7 z+#d(=6QC7w29!qD2a*SkkAP@&yaIZd%LXWotPf-#XiNp92hv&ru^?CiT7fG-X=HsM z6`*k#5RHyAj3NFjfYQkNK=#4LZqUaKE<o+M0i}`kf$RXCj|ZaB@e6&3eIKASvObV~ zptJHodO&R_5Dmi}(1ykYD2=QSqy{t=2BOiihAG5811OEG4`d%`{0yWAeVoDp+CcGu z(#ZNi>Otq}foODm!T@651t^WI4`d%`tPZ3Hy?*-vwTA)Pz(LjrQV%+34@9G54-<%e z0Z<xQAILt?nR_5T=;JpVp!V#5(#ZNi>Otr4foOF60h(WbKxt%sAp1b$kRUxEHi(Ad z3}^$a07@h41E~R>%Lk&-u>!OKrU9kV^?}alL)Qc1bC^TIMF2`8>jSwBG*${y2T=uL zLGTTzJrAHXvObUs(D*8dM#mgx5R(L;G_pRBeV}vvKzh)}wI)CtL^Gf?vObV{&{!^r zM#mn|22lW%M%M>A-w$06m=A3baX@KweW0`c!0OP59Z>ZLpftKZ(0DVN7BIH}+K{S% z(&+j?X8?i~q7fR<hLi!6M%M>A4-icYnEL>#{sojq*9STq5Udc5m;r5oEr8PK`atId zqG<th1E3AC2q=xN4|HZASRoq00d0T@KxuS+ps{;2Enw~esQMF78eJb~ydSI(ji`V& z+!~-Xx<1ex0h$&t*8tjZvw+g*`atsvV1;PJ3#j@JP#RqyXf6Ux3z)kA+TdFOrP1|) z<}bhs(TE6WgD(L}qw53Bd7x<la|NIcJ_#s|t`Br3Ay^?AaRRFT0+dGA2Rfe+O$(UY z0BtCCKxuS+ptB0W3egA)XhYEfN~7xoom+^e1<d^bRsRD@qw52mVF*@;My!A~FgHMH zbbX-n4AHcJxe3q)W(Jf-*9V%r1S>=%B%lpU1t^WK4|L8Unieqk0#yACD2=WUbmk#g zAsW#EZJ17g(&+k-rkYT6IzSty9#9%xALuMZ6b(?$52$+Z1RnJMK&TX$0-cKpW}p!p zpbgp`P#WDn&>4wnTEN^4XoI!@N~7xootFq!h(;(t8?+iw8eJdg>_jvzVD1g5`Ug-N zT_5NiMX*9NVgj@wJOfIj>jRysh^7V1^?){n1E4gzKG6A!V1?)ev?0s^rP1|)&RRs* z0^;w0sy_gw(e;7OT?DB^#|6*^bOn?~*9SU-5nT_6uK{g98$fAveW3FgLF&-)1E~5J zP#Rqy=xj!GJs|!JXv2E}lt$MFI;Rn&4jl(T8{QF68eJdg%tmxQAU+4Q;Vl5A(e;7O zZv?4B#|NP5Pe5sOeW0@((e;4%70?EI1C&PB2Rhdgqz)Y$KpX59P#Rqy=!{2nJs|!I zsQM338eJdgyho5abi4rC&|d+i(e;7Oeni&;;zvLm`Uy}PT_5NiNRT>oEC6ljOF(IK zeV{WT(e;4%C!p#tKxuS+pz|R?>d<imw1dzArP1|)&Wc3W1L9jiI|vR?8eJdg+(?i* zbo>FT{s)vs*9SU75?v37zXIB^*Z`%`^?}Zl1gS&E3DAy329!qE2Rd64T@Q#a0qs~Q zKxuS+pmQcc>d^58sQMdF8eJdg%t>@TAbtn5LoxwMqw52mKM7KYjvb&K5)UYit`Bq; zCAuCE{|8h(1GHm;t`BrBB}g4Q-T+m<14^Uo1D#Qct_Q@=fOcdGpftKZ(0P?0b?8_D z+L6(K(&+j?XIG-@0r77@)jxpJ==wnCSc24{;|b6X&<rSzt`BskCAuCE-vinK3V_n+ z`atJfg4AJPXa|S`N~7xoopp(!2ee)Ws{R0!M%M>A_Y#}F0%*sn0!pLn1D%11O`is| z<75D((e;7O!^EcV0aX19D2=WUbT%e7eKVjPt_4sUT_5P2Ol<lBpdGFVD2=WUbY><t zeH_pZmjIMT*9SU36PvyRQ1vIEG`c>}S(@1NRX{sx4Nw|gALv|7Z2AnK9W@Imjjj)L z#wIp>FQDo_KxuS+pz}7d>01Ep;H`kt==wlsZ(`FI0qx)=KxuS+pmR8}=@Wo<@Fbu# zx<1gEoY?f8fU3U$rP1|)&gaCYuL0UI?10kf`aoxOV$){<?HD>hX>@&{b33u=`v6t{ z14^Uo1D)ZCP2UP=hjIgyM%M>A&l8)z1Zamc14^Uo1D)-OO`im`L#Y6z(e;7O`NXF0 z0#yACD2=WUbmk{EeI3w_=maQ@t`BtnCpLW!(2l4Flt$MFItvt=z8_Ha4A2fKx<1gk zpxE?nfU4gCrP1|)&IrY(F9X_vEr8PK`atJ}V$-Jp?Z9e4X>@&{vqQ1zy8%`I07|3l z1Dzv^P2U7)$9D#lM%M>AQxuy%4`|0X07|3l1D!95O&<fa<I4f1(e;7O8pWn>2UPt5 zD2=WUbnYlNeFe}Ca|M(}*9SU-6q`N`XouMVN~7xookxmI-vg-n7f>2qALwjSZ2D$E zJK77NG`c>}Ii=Y21wcF65l|XkALz_dZ2CB$9c=+9jjj)LeknG62cYUtKxuS+ptDS| z>8pTt&>NsMx<1gkrr7iuKs)FbP#Rqy=!{cr`d&cQe}K~H`atKMV$-((+Ob~&rP1|) z&OXJaF9O=JPk_?s`atKPV$&x8?bu5|X>@&{Gf}bWI{{UH0ZOCm1D%hGO<x0aK%fIk zqw52mm5NQD1$02b0ZOCm1D%_SP2UHo`X5jlT_5NSRc!iJKnD^wKxuS+pz~C*=}UkP zBxFEobbX++Rk7)lfDR-mKxuS+pmSES=~I9XBxpcsbbX*RSF!2SfDR-WKxuS+pz~L; z=`(;1Bv?RcbbX*bC)o5^KnD^WpftKZ(7CMG^nHM;{{f}Z^?}Z4#inlsbO2%llt$MF zI<FO*z69t1L<W>b*9SVg6`Q^c=m10klt$MFI>!~8z5?g~L<N*a*9SV&6`Q^a=m10m zlt$MFI^PwWz6R(3L<f{c*9SW56`Q^e=m5k7D2=WUbnYuQeG{Mq5Hp}Ox<1euu-Np? zfDS+`fYRvto?_F)z`(EoIsmZ(N~7z0hC|;B=s>~(D2=Y~ISzdR(1C;qD2=Y~1rB{2 z(18R2D2=Y~B@TTDpz2RRX>@(BaOkUm4nQ<OX>@(Bap*IE4nSByX>@&WaOishRsR7> zqw9N%L*D{u2Ym&UM%VWahrS4CM>GLSqw9N*L!SV&!zBTw(e-`6q3;B=LvjI1qwD*K zLtg{5;oSkH(e-`8q0a)^z;uAp==wh6(Dwn_0Q&)@(e-`7p>GAWp|Jr<qwD*MLtg^4 zLd}5E==#3l&?f<{I252Xy1wr?^j&}!tT&)Ey1pMc^mRZBkO@#4UEfa}`W&Dc#RE#C z>-&X6-w#+qf+h@feZO(&+W-x)9Z(uw-ya<MGN2L_P#Rs|UtIb)K&w?47z&^?y1sun z^eJ#c^l3n8bbbGE=(_<`{{l**>tlczi=jIrGbb~zLczreI`0cp08_pby20ZCwB1nl z1+wDG6FMNU5ZbZ42hA78&<1NLwBZvCtw7zN1+gA9-bA1Y<2%%0x1r&5NC0B<S_ud} zLjgk9X+Y?70|*^r0ikUiAhfIpgys%_&_BZ=^s9IXeLfvR@5qPH>&hYYym|=T(GI0& zK<KIk5ISoGgbv*Rp&dY*e;F7U^bbJz;wK<9(*+3q^g4vTa34bNeGZ{ly@$}#zC-A` z{}4KZ9kN2tj~_yti9={5c?iv`4xxYOLFoHt5c-@Qgx>E4p*Q$J=$T;<x;YL)m!v`H z#5@RHT@Rt-+aa`XKZG`(4xyFjLulUR5c=mj2>omugg(CyLT@<+q34`~(5=@XbpAaE z9r_GHTfKwOs^1{A;6Dicl@*eX?(jnB!=ey+oh*c&tqP%=bs=<#DTI!-h0tEE5L(w4 zLW_n%Xx=mk{XG{#-zkOAM{6PU`c?=%wHHEHPleENb0M_PQV4Cf7DB5)FP7)r3*mn| z0-^7pfzYR}K<Ev3AoMKgCGZg(3=Fm$3=E+h3=B#f3=C`>3=FT?85mx$GcY`3XJB~D z&cN`5oq^#wJ475L2NHkD&cN^%s_r2>1H(Hg{>aY2@RXf_0VMy8oq^#4I|IWjb_Rw| zPz>UO%mCr{Q2ih_$Q}?4G82T~K;=MsLH2>%0}=zN0b!7PK>9)UfiTEEkXjHMr2i+> z-5_^?^n>gL$%D)Q$%E8`+zB!l#0JTM)Pcl6=7ZG1{0*`TBnHw4QV+5NqzA+Yxf>(~ z(htHQ{UA9I8)O~`gVccB0TKhzAT=PpAax*fL25v9AUA@hg<POxsh~2hsvna7qRJrY z@qY{?{^A@UD}q0$K~^F!XN9cTZ94#=L3&5=Xb24V5a8ovU{K^_U;xn~oD2*?P#lcD z0D8Cw#31n>DA+;eR|RA0E&e~*#j?jgR_<S)9aG<ST2@b2^Rlk4s&l5M<ie>bC6`<N zOfq@-Z2FN2Q9_fiT+*F<Y0|FAmx4CEejl^WT6OK8->R7tbv!38xnKJ3`Rje>)BBH? zzJL6(^xV0hbL_46-<|E@vi{Rz?)V>v6(g88?+=~nvBvm!^@4YIB)(kpRteJAd+_f{ zocXW+9eZ_h&UUUXxcY`GeE-u|@%nr6`VRXR?l9ytKayA}6PCYi&*`~^5t8lJj|!?~ z!j3%LX7S~5LAFeup+(i%ceia45<YVfjT~w}XDF0;8Esw6G^<*}V1GSJS)XC?1hEYH zl?Uz!v6j5%($NWZsGrH?ZLXD2(a&_7-z7o1?bPC^gfDZLR?l`5Z+UB{X05SdTDZd} z239k>1r1+3xpHdtn0B9GZrOe|P9}QEXJ^(Y)3rC0Z)fUKX62g~>QFiPMPG9M>6vdu z4ZgCm_I(dN@a4DY42wX<ujjQ4u7)^#X6HH+v%cZmdeIrxE{u26MIvrwd|PMrdz0ef z1K-5{`h-Yq_)^8n^F1`-X)Tw;+yw_-&E%R9v*f_7@1hg-_%-%6GF{@=-taY_HRbu) zdk@p&6XUIJYbxyTW~%<Jv7llG6Z>)P1u?-7&a?{Nn819aEa6r4M%QE4wGw{$v8u@h zIsA?1@>y&DyK?)rdy94kG|rsEbSpnJ;avc0Nq=y{Llf37`T+?qcXLUUiAL;nW?alJ z8u52IlUi}Q{om`iEU#_rJJ80{EZ)8+Hl*b^!z=Bb#W_=Zw`(ZWb}&T;bDfyC>cF|5 zTqoj}9k^%8rLivH!Gk*1DgPH9m}$9L{%W=_b8seWpSWhiud`e}GHV)swTsNKa%DWL zuW3-6$x<f3)Y5$6pJ&d*JFm{)-D<wKdOZ`jzs7?8B|3*LP2-Yyx8%U7*&+s7nj5ON zFsZ3)Z}{fQs-hp5@c1~F$NfbIuDutXP#e=Y_sFkJ#V5{(C*&XJ$T?w9QFx+zk<y%( z8`<wYnzu2Ok1xpKXEWEF$VCk+^)(9igfL!~WmU@ya`;=$<zu_7;n{zY88>s7W&M9Y z<vR0rUBf#@u9%7hmTwl)2j*!r-zZLHs9wjDaDFP|o0St=yY}h+QrFz@rIM9LKP=&? zyWow5d;)x5Gb(c?zRDLhkks7pEuK{+_;bp#oIO5_FYk)nxE0TMQ(5GOWgz3v&7wE5 zwH8z?Wn#asz2J{D>p7c64J-d^6zsX!wyAhV-AX3m-EIlaZH^K}dnKY(wni|X?bkFY zp2l=5J~ZJS7i-D-;Dm>ItY75A5;7MxJlxZpk{?;w$>g?MW5cg9Rv*6L2agUbZ+Okh zR`!W~gZ!#PO5dDCv*oW&RjO=bl8z5_*tPb+HGQQG%%Tc1E8eWSp)|K$WI}yZ<KD04 z(>fRKSj)t2$vWl!vI8@nMGd|RviA80ANVp|bjFSt#;^0W4Ymd`o|R-xn-}Czz1KJ8 z`Hb6cjCbdXM%=Jwk~ZQwG~svq5i!l$2*$&~q7j)I2_-X`R@(<3NNHut=GRd8xr9mj zwcGuFExNw>OAlOIEiyq@V?*%<rccMU3SLTWSgqJF_s@ny`}7mui^Q^bJ=ZKKSjlwC zKJ<Z)J(IN_<Dq+gcg3Sq{<JVH+qrTcUneWqiv2;2EBA_AsF}`Wd|YFJ?b-u(c5=Ol zTXf*iRjwEJ7ah1HFJe#=(>S%%Z1UX08T*)4N3!~S3rTo8SMbJ!M1i(BHd@;}9#7`- zn7{bIHFMDkd%YUx&K8*<ueIU(45qI0+65aTzbp;8ap{w`h{fjJOw0H}9_(1u@X}x7 z!#>l5_&=;0)Kw!A=kqSy(BBkrW3rG?_C9~^+3yzo>%CEC;h}q(iEoy9h9mpT>P3h8 z?065gS!Vn<-4?{Y^ZAyB=De(Zb9R&j+;HT!c=3K2tL&6}3t44&`Y$x`X~|z_;&V&S zc4WW0KAVw!vi8;m%~Af`79RK3vdWtLTf{1}Wd7AAz9{K@MRz{FO}Dch+2_V?ZD{^e z7j?lgzcA!RCwFtfBP)%tWbIM|3vX@<je1{hs~J0;xGiS<nkrUeu+N*@V#dGCVkHwQ z+_)`P<nLH2<7MTMx}~A{+3PI}n$PTuI^g)bK=*}0d6QVd1zTrstB8uUkQ>H4Ja6{N z9r)+e9(E)C7|RCvS%;O%;`v)`*%uZ}%$w0(7tL*@@i&OuLSo&eCO)nDF0S2i83OCX z*%vQQ)-DaWo6tDNvB2PF7`N4my<yxI9`6>j%9@;tI^g)LLifdj(p_Q&6ZQshTfK-` z$13w={naMED($Te&5z`_E@(a&9Cg6aa^^H;cXs338I0_~>n}F(9jne}WWW6WY7?K( zao5(lI}A9~*ERo2Ptq=(u*-qlBBRco+v-Hg)`sS9Z=)_azH`)lu;BL+v66rpyQj){ zS-i+!$|^f0ZY`?}4|miB$EUVY2M)f7(0#GsSElZRgra$3B^!SHS+POdmiLXD{DHj3 zjBh&a8fw3DMz`BOV&7nGpLPEl@8=17+_<eW?kr=KS@QmR6JONh6&qf+vOTOSoG^Fu z);W$P7a|w1$~+OzbY$PVcS}R_qun6C7)Bj%{23f|;ozB_Q3no&cyn7x#II$Q@wvZ> zRaWKQRVKby_1ByDf^N9=$;g;&ztF^IWSz;#{?<Ipk$vYRP=t7KTWQRZ5iNUkNMX(n zzF*IeguPnuMOXJhLd8_El7O9&+!hkNTNgCHy1%8N`Q+!Q3y!&;U&ME`R$6$xTfr)8 z^6df>-zD};NA|VKTN|3+2<g695PY49@00h|1<gydxvFj28*8;anX9GJ^JlIT;p+lf z++4k-f%*6Hnz98i9<+9w{W|}jv214}M+IZ*joLq}A?=EzOD0b=v236FIN^AqvCQ#+ zD6cXlUDe6WQ&YT_U-g`}WM}*&wYgDNsgq{y_VMygDKh`Qc=EJ9A5ZNR&s#55p2qAy z|FvSz`t$Gmi{<*CKmNLR+xxSnx%=<GSbB3~c-<xc+`aGqD<y5b*i&lWarD~n{g)OT zVhFfba&FhHhRHv<R-}naSVu5^O%|CEv995nC6`a^nuc$tTxagAYIvu``s^6ji}j%i ziIWpFbBZL+1t(-~WIE<85@G4Zc)5!yJDoM5o2U6h>f^H~CL9ap^0>F?z_r6%8*;aH zZ`!ODl#-siIQpiyrow)Y#%5;G0Gpu3#i?8x^A{dC$;BG7KH$MC$%=%-GlMe<?(-aY z@J{2)V&`{89e3BPZ~EoX*r_gh;m>rYVCm)8*3Nhv!?Eo|M!u{F+nG1u>g9~=!iImH zTsl`o4EA_5E|u3vs9DUU&99O0tA#21e~3fP;+-4A>kjQSNz+!C8JO@om&-$b-GOuN zq7!U=8~1WEZ*XmE+{^z&zn@34L^NQJf8*lgq5=Qfnbf7vOuo73mmBM`vOtHwYq@-6 zmNleBHm(d7z3{J#$#}Zvg6d|b;_n&@{?B0It`9#@@HaQLNJo`<gDan6%#)9YPE0rz zEn;9B(zvx>L!qvVNjg5v;ZqRnwz@S9CGm}O?`tajp2Ji<Lw~bt9XBhVn8t!1X{>!* zTpINejgu#f2K=4GlsugU6s83eU)y)_c-~pr@GM_+MpYQ&+Z$y@(P?Jk4(05u&%T8^ z{1;#qvs=>e(34B2Hjwf0RIW88A_jYX8@==S56FEn*uY*JaiiIerTRj<^Bc$hTlrr? z1?r<3d;e=G?7aDHSIgSBOdIAdcHB|?a<8(p6}z@VbWlS6PNq-KwF(M0GIiO9Ja`et zI;DQ?fs+x8SO04mOuONj*8OW6m(QD}4bQAaXIMoszV+8KDBi}j%a`@pI=%y9l57u^ zzUO!HX#VkHJiJ^a;@5VjW1BA-MTb4pO4#MmxOETHBX7|gKU$b3rE6{YTFSa)eMrLd zG}aXPpa-WUH{4cc*)5%LbguNd8r%1_E3Z4$tvYb<7<Y<oz#X^7#dn!E)N(4`nf}RI z$yv=^W5KUN)?<F*4u7+`e71;Q__LEKm|ttbUv}2CxDbc(#j>f}&YTT&uwQ)O&}~rz zo0!I>{hA3ivzWBwLl1l@V!c);)}U*|bI7&tzP#im2~CBcO-#|!dQ)yH?VZYGy<0<J z_kO18c1?x)CMNdfS_^IjJa}QmI;DQqfs?jeEB?hbu1<g8Xnl*V+~wgvjT_6^b586v zcCENry(nS6T#xPg_sn0z->PJvIx>wb<K2=2A$knAZwnmSo4eoKNTqlW)2`(j2IY-R zzou(#_#n*6^P5X&ZP<YymaJhrHlGo@tSb_6JCO0?Uy&OX{Y;aNYi<Y)b@)}oTBaB1 z@U4pV+dCdeFh7^?<&m^m-SDVt_lX?KTQQ7Jw=k*y)>`l@kM&qzsKZ}lE}uEe8=h?! zow3W0@$GHV8IoEHes5t)w-;`>>!5gpSLD#!GUl5CzYjDv-c5Qausi<HA-l#I)sgqv zf6acosypUJ9OL1=q7hZ$4BNIVwp3f|xweJat!;R@lWR?_H{-`_(HlROGEM5&*zi@H zbxC|sg8IaXH<hg88~5%NnPBhH*t}RY;Lj8$_jK(Ae|%Z})`dB2U4G!kDu$eii2`~> zf6q@;?A*$gv9HX0rf%?Okqh^N8)vF=z0eCyShuL*-%Ku@8fV7C+M*G^TA7aVYi{_Y z&g!$AD`uA(!|wQmg!%f3iSp`+iTmfawBFTUvp20g((p(ySILDN&fFFraw}M6x7Z1Y zY`bZ~VSd}OD)Px9KC9)~jO;f>qb@kEU&|`<B>z$q9~*xbBl}VNEJyam;#(V<Kdt^g zVeaDQlQOyw7VMfWRw7{Sz-_TYeCvYdBb`wP96y8VxmQZM4;K8b)qSB*yjZN@!rpjp zs}r_@B4q`M0&+3zf5nx=O9MLeC#`L1ei#;Y!7(#j_d&v*{bD5nmHWjC3~U{_tya{= zaa(l6gKCm}%UNYqc1K-sd>yCzK;iEWv62gwaoiRs@-{4gBO|+Jj^>+eex5he)1+>M zi+$O4rMv3H`!%exJVj9l96#1ZT{!sS$AS%;{hB|lvDT>9D+*+v%)O<d`BnMW1<f~j zqYgO!&C`9M@NtG%!G$>)j_fPHil2UW*zq@S)CI@1g<=I4?)r0EoseC{D)XiPauXld z{HsiSZO^kE*_TceD=^p>$8ELZ&QewxANi|Id|CC}T-G}bIQZ8!TYQ_ec1iQG*IOH! zpD^jZSnyR%_klv}g(g0$<5`UCHz#jh(0s2l>VV@v>!=F{A6V%=SWwX}R$?HP#mN3N zeanL8lc%E&IDRdSyc^BFI-RT9<MM%hp6v<#xe<x+YXxsR#vgUAmDhctP}m_>aN*Aa zv62Z^ni_9DTvo98!*}cJ30K*auq;OQyV+Y8G~daMI^g(UQ1``x51>%~F-fc>;8sb# zC0~KTy!EWIA)BHO9Q>l7`(i;^k63{~{5n=ylXDlD_$G;GJF>6M-qO(gWo^_2$MmUU z1rubm9ohG8bxdRzXM1?Cbi(}<`I}x=5@#+m@pW}?UC?|{NcX{lnkix>1}ifd*{^Qi zvY<I4nA>7S{8Cm~mAs2ge6#qo9obhd-_p?hO-lELf^l~IO-qXx%eE|NzFFLSXx=S@ z4fgzP3H6Gc2mdk2H`%^$-mrgy!gi1Q?d|^>qb@i;^w52fu)~MjN@K2|NLlp-g*~=1 zJ>f2TuND-wiWL~__2jl%!MmlQ`N!m_g1gt;|NWGg&;4WzYCQ1nnVc$n>|<pthq2N0 znZ7QuX;#Wvf=_u`KdL#4uyOIIM$fY9$w_@>vT5qf(>0P4clPACJTW>wQ(t$}oXBk+ z328TtIs;R*jotUHJ@chtUa|f2;<x+xWq#EBynEjA`{~V}Wtw&`XZ^O%X?MTGzhXnC z#s?iwB{<#~FVWfi!j(@zuKrNL+lHx!MFr+AI&dq7^+>qJhK*5-C!3g}UyDrG7uq=Y zEZ2#=;Dr3?OrOqc8*I4Wd3f^b{;$jU+4Ht(_<iAG=Q%18FmLUF6Gf~c`T+@VxLB`T z*D~1X$#`}bQ!u~wg1y0wGt)&c$Xds4H!^o*{Ckp1=S+x0!Ad6YNY<9?8XJn5+4CmW z7G!t5IM%4RwOUl*{-OiN_*hlGYiux0Yda(Mas!j~WRVGT7aq7L#QNlXaKeKkR+06= z2^q~yr^>YrE`>OJ?dR&TUEE+LWMrwv_w9hLkxY-?Czkaq-dTSUGcb|(*L~o^`ez&O z?cdGlGj~NpnOEaXe$55dE18PpLmd8#v5M6#Xn5evrSsR3@!@jO2+lJ+Z)$hy%U*02 ziLiEK{Fup=qZ8urDTj5NUYNr#Zmu_Lz8}bK;{PW;Z7na)OWPF<f8L8qRCqBS{jY6M z@<3;9-OsgLJu>SXUTJdmq>2RW_h{@~EqY;3WaG;B+6(?RFd6R;cG$l9z@7gh7wi+Z z`Si7JuXrFP$>%2iQ)0b=`rLb-{Bq_6Coi4k;+Vhmz^UUR0(-q0w{Bv3v|W2c;eMt` z{aPEoE@8U#KQtlzzvU*W6Z;|?_panRp)M*Bv9jTjyQsvjAjYTbH4KVov*!udd@x`5 zYjUIFMt6~b`)d!l#511#Se&}eM|NSuHxbq}zaWS5R;FV4K!^Pc4jh^;DzHXt!<S^% zmhIXbKBcp|#0Mng?w_1e)FHp@fZG}7?I#KhcAW^`duVRSuIu;Azbw3e(vo?4j&8Wa zuftq#Viq>MnJ#kU_L7D_{UQ=KRy8EKH!gfG5+G;pAJ#n4Su~)wohf;<NI?9m1D&UB zZrJ5Ld1<!Mi`(S7hCyhML-k@NWBV@$-gfc-TUWB3hv(#9PsYEtTsm)NPkE_a(ZS@M zAMRkW@W81|u8w)@58Qgn)e*n`z%e#fmG^-Ot5-IB5$1Yhv$#R$`ROM&tRonIZr3z0 zxC?c#@!mr+wMj2>=jrIl?MQhM!#d?NS5M69hF9hy61%+_zqX6asEA=ayIgd}t>-C` zyj%E#64X{Tyfa~aCZ@Tdej8KxyvL2!zX~?w-Z`;1V24Y)LPal=cYL_R4?othx_6DY z)#U%=c5P#CyD~4x;g2Y*8DGo-?kP4=jcXr^POuAYoZG{+X?{S$`z+Qc`<ER!Aj_rk z&!=(Wagl(3yP1^rwHHWPxvCw%5y-Vde))kTO#FA04j+7>r2mPlT>ppvx5M{YPCScb zp6*i{!}xZ!$c&$>n09T~Hn<+(@IR1M?BBA62i{ydd*6jb^0xGcBs{g{>Nppkkh_%W zktORodB$@64TVd^Jnk(ya4nb1<9|rwTz8v--H)30Yv0_@$Mf>5=!E*WPBZP81zAPz zuRU<VhIPvMfP^PbtReP`58UYGS`jWfV~0QE*|%Iie_a{hii^ysJgYQQW>>qW!FN5@ zFV8hLOn_xJuk{7)_iY*8*8aQsn`@oij+B>|xj5DbCp^8))sYvLkh_}c(Qc6&6@H8- z6THHj*E)+%s9Vlty;)>J?6L#*v{;|mFFl~{c0jh)qToJT&5c+4^jWUGG7b1Mjmfzb z+;BbN$A4$v&j;_LzusqdPD&O(&9tztgGqR@=mj4QgVJ40x1MVoeD-85Ss%Wj@s9b{ zhQ@!kQ5PH@hDRN6C}<Zm33!#s$o$aIJB2fI!6!A{1O+QkZmAW%*O}NJd2d<Jcx-3f z!*lF1Kb{|UD4lA4<nD`mZ*9L6KI!w1GyCAlyJg)~CbmnWx)}?Kr;8a(_`6ih<U(}} zx5SD6-rQ0g4@_=mNoec~<d)))UCqif#s5MRo06t(!h)(kF%yB^A>0xx;uo{>f@&DH zS>;)d%q!zF7@6O06Em6cb9wlVW?%My2hA?jraoB2R+MiMYku3|e}-<xf)94O2?{#` zxTQ2|1GptP;@7b9hIB<8a46X;W)iU5nOma6eg!M9iQHu-wn_glG_ghLXEQRtyskfW z?&8LCfl&t>%+|5;ei6%HWd6yY;mF+Bz2}f@jKv53b&c;-?+JYGv-$PH^vm{z|7*1B z>K;s%;<&qpm1oNS<*d9eau=A`R_(vq#FiARo3P;DBr%f-76~(ROnFTDuQag*y}!!D zcI)%j1&wEZZ*6GI^W&B}QR~Mo@#6jxR^Bx_)veW^QXcNMVqt6gp6$rI^nL~-^F#Hm z3mQ+=?>RKL#Oj0puErPtPN(K)E)cuO#P%q7>w?B(Ho6H4mCM9TF6;{6mhh+#<Cc1H zX9X)y%KpWyykFj3W@7uKtDB*)A(~r4W8Vr^-j-v_Q=ca+sM#TAA~16iD^JMtEJx;z zqEQDNYPX7+Owg!kwcb(iKt6`~Y$>z2>4aUO+!7i2*P7UjZf|L5e5a?Iq40f=n85}9 zbraSuX*}ejo1h?Zxrxnd^VWvO4@J5e3yK=W3<UN%aZ9aOdzFdp(d;b?8ow&HR&%#8 ze?M$5yF>op#TBnzbyeiAHL*omZ*6G&vN`I4L;4%Z!?WL>vy%Ohe%GO_$^3|@$%WZ# zSb3hLXE`!AE{!_iV7Qu<x8+&}BlA<~Eeje?xPg+$dNC7$+lyFvu9RmuGI!cW9dIZ< zGqdWxlt=5<hQ@Cyx)}<_&fF3&^e#5B6+O>nWd3`4%Yw#3rEw4W^kjA<XDaSUe6Wbk zt2~>L`Qb7#nYg0AAGG$rm)QntRMg)HPL)0W@$mEH9Y)217kzqC|4Gc+dZx(biqYwr zZl`t975rEvyDS`2X1qMr^HVu`=FX0cv};C-S4N)JY3J}`nK{!+v^eeNqK?Qc?O^Y7 z#q(ePn)CiMd(8FMRrjAi`giYp@jTljR-5;P@4NL;bN9b<2R|nzhb?*D`+NVUe>3DQ z9g>za&7QG0yE0&jn&t-EP{x^(TyN4uC(K@c;9n7|h+g=Ef?g&sbM1oL5;=EkbBg)Q zS{|-5Si^sKZeoOZTbabRmc(L-cf5y}8Qc+W^OJbTci4^np4(h@t$q!IUCxZA?^7(J zkE#E<AZ2gn#D4IlIUkp<r(d{3_A;i+#iAD?mmPSsl<URXu!Map8xB^niphr^$k@$v zT3=JaD6ubZe^moh(q)kddq2j`JxsH`MJGh9Jn&6R{?3Gl3KB(Wxf!12bD4_xwKmuX zI6U0XCG)Pd>y6mb?V1;?ofxO~YZ_R&Gj1&wk=XCT*gK19vbolR(sm~G?X?B>13l~) zuv+<R8~m1M{qpV1>AacstNHU@F|q{9%g99qozX}z@os#&SyW(WMB~+bErqgXrq}z! z93IuNn*CqVaK)qXt*zhJxSw3#Ce2)Q;9VluiQ16Hzmr7*EW8^JZ({PgAF$y1hl9M5 zd`<NcPs10gUR=wy;;&=l$LFFKD!#_t)SdiWYr)izgkKu0C4M0Z-+WlV=!GQwd(9;g zv;M#%eNls3YYyCut|_oz{NTXduL}z7Z3EuDHWsK1YrJ~be{!Y5wtlA9?>`)z>&<X* zr%E4>Zf0E+<H}a9ocIL|KB0|wUyDrm-OBWPwn)H_y-e-rH5PnXRk<m;s#<%&r!rQz z%UmmJy&6BVa%Id}f8fbfu8i2#2fnPe+o5>a(cp=n`WBVlzKnb4a-AvO_eQMQlS@Z# zO~XYV)@k-D8&1@)hIw;!#4bGWt6X%#4%f!By<8r)s}5XEF1UZgUCg3jy8K(&T`V&T zm!JN2bMA_U7^lX+FYR_Db0}D}9a4AlZYvfIu<~!bm&&!G#=Y_4Y>|N7-i;sUF-g^j zCVWa|-J+&*;q7w%5Qp?xOq=Dy9rV{9__LEsVogZG$J<;IYeN%$`avW9$-)bhUd4+D zR0lSG&2TL1KJt$%M{Z%mm5p3E=K~$mI+=D)*HHMb%la)p#9`su0}q+GH2y|5-ad9< z?+fOF_g@+A<g<Q=yO6%%)2>z{ez)(z4sUI_dgLEBTTf?bD$YE^r|T&udSO>Y<I8N3 z3p*VfZ{F8fP`;n(bA8Z(ge3<aWuKpLH_61c@oBlXLeUnc)$>CgayBx(jt_8nl*MW$ z&E;`--GOgmP^Ugyd12DK&s-<=KJ&S$`}?=nf)9GEeEmTVn#o*Y?YZ_EdWy-P- zNcdyMYO+nk;O7#isPkF{pNj2voaSeLcUE5H-EPL0CzNKgTm9BB`0dB~r7tAmpRwJJ zVon8%=?4#8nDpqmsKF|&4S#A`U81<w+*{pnLzrvLe`m(dkLf4#Zr+JzT<I)&!%thG zxQS^ux5$LwbC`aIYc2R-%gUD@>hMyIwW|O3gEk)agA=q5UzqeFuRC@7ioKqVADu-m z{AggBd|Z2hX?){LQLYy;3lHSPGVYBQnXx~bvDsZT;?Hg-x5wwEoh>x0DVT20P=1}c zApal3o&W3$|G3p^C<Ti~)b3|Wie$a!|M{S72*W`~)oJb1O!R{tvU-_rn`<g;c5J*m zkEy6WIN^UPtH`~8D}sFdAr3EVxxS=nEht*WwD`10z-kSHeNl|P^R*0i#xbsK7nyPI zU9+^242$!-JrYq#3cr69r~Ed!a66t`>csLb4UGpkMqP0DD59H?u*HR2N~1oKTcTsl zr6#sj_7|Dhl3s6F(D>{9mWIY74%|{1`xdbBEcq6tr0&kV`Z(A3W`4dq>upLN+~do5 zznp*Tgv#||CKqhoxFt@^UBk-zrMvFoIx|_8@Y@a#y%)AiNyIH?<(X2qhLyLXJcE&W z>isN7=8Ma>HZ<PazjZ<5k9potza%aYyxzn%sXd#KdF|q@3mU)Z=q4=K9KkJhqArkI z;zjIQR^BJ|OIUe$@~$_rb;ar?Bz&17W)kpkdi;)VZuWymO$BZ~j<NKTTCvZSTcSgD z87uD<`$eogDt?!m*k*NaX=n_I<d({aU&_jpQn!MYw<P~E6I;>uOh@Lw>RTHc4;4pU zIAE~J$B&QAYx33wjk(FK)t;9dtJT>b*lj%M{mNN4#BL2MuZ#Z`Cbq2f3`gdV+<y<< zEw$o!x2y5kbtit)347wWB{H^dS<v_;O*dh|=N2)O34fQ18C;OApEt*j_sRV=tUNq? zSDV<n<S#L?xv6J3GCyq+GZ5J6z%8|+E|goMBX&6}@0I#>tUM}anU2h7k8f>gykZ=6 z!J&Hl)7^gOVq+{g^wu@{oQZ$LCG|pX5i8FVyA`awU;3{zv2iWWc4R&bYAC$aj=IoL zzE;d2;O9&+6M?rGjLch)Z&}dz!%sJ1LF1%4VJQ#4OH6E0pytU*_TR0)w@UHdiMD3= zeo^YB<gWnkxogBsCd^&V%JXGfwj=Y;(_lXqm`k#;Wjpbk2JDICmXOH3(8PACKZB8Z zqHNR!hpW+w%lVl%c1B%r_^Y6spkNrwEtPTZ3KLsU@zw>6-;8t<6pB}hnOuln%gXa) zR@4QD`n_TX0TmJ4QWAOBnb^GaGaZ@lwS4}n`c`4b4mK_JAKo#J%oj7G4miBE(9KYo zcb$n%%6sdA#wT972@AG*aZ7ouzskgB1xlN5nxhUl<S$_5ePgxsg8YAG^XmG?6^yCZ zYC*#e$3K4jD|M!0M(Q@DBs(+XQo)C3*0?SS-aIX{M<HsO<)QY>nZbhU9XzgcZ2VlJ zB{z2Dq+P3-H`7!w)#awqsh<M6r{`pD@woit*{=BY@BdoY&-q-n_h-y^%j@rVovS^u zx2EvL*M1+(ZNIa{76#<?i%M|y{@B0B{@bLx|6eq;GK(6_S#w~fd*z1IDc^bI<#-Q0 zvu(K4`HQC|U2{XxJf=n5q7kdBF39YBe%q?R-s+Jwv$xcDO@*DljcaAOJl+Q-q@RhA z6xj4zdxN<r<IgQj?8YJiHsOs6S-CXU2PLF5Gp+irVX!Tf@oT!s3<<3TmcN2-$^;AB z6gamr*PnRX*{C}6vB-tF>kizx$@OBoNJPZKhKE(G)7}L+RCF_G`)exf3U1uG_xZ^@ zLHYFu+?*IsuH=f@&3@-;J9GKZi?fz_Pnfs(z&RV%l<Arqwx44z=dt^7e8PU^4JjKU z8IR6ma@N;eVDrjoCcE-ukpNq##*La>E9Ngda3qJ-<hhnXXrROIG}g4bWexAtSfBk{ z((sR)OJ~nQmDz_4RvozX8|ub~Aqk#OH*<B&TY2EtQLYaC(1gdgxjgQzI}jGl`0_2+ z8=LhFI{N&3|2?cL`SvZ?;on{^8JX1$kJ3eU)biUry7!vzPW4@VIX<!3O&=!w4i>O- z-p_r?mDO#U#)4nEtjF>`ADBCbKkxU7|3({BKJH+ebzalJG-+Rtz}s5U8J*e-s(YA< z=Z87`FJTqix3b}366>_Q5QiUOtYLKv8#2Nhx9-<e__vBlIzMv3ZeO`Y2d-U|+o5>4 z(f-MolZ~o#=P+%$ACQngk?E7UMnOS0Q<r^s!V5#zDgRd;IHAq8!foAzyGCUbm|nSS z8Wc`qnzdPEhE+V{+g9+pkaupZ&!XgZDE@xHzjMFth9w`wS$WDeH+*^4x+%JaKOo_0 zGgrsF1qW{VupZ&&%FzjMDB8*tEiE!(UwGr(wOl9uMK<nT$n+_HSCHB9gDk8f_6rVN z_y~2|r{~PxO3yVGRPAI+))oy|uWeAcooQCI$c(Be#<z31&g?0i_(tsRN3Jt_;~D?1 z<kCqIHJG#bz|8c@2mkmVH&=gNTryM7v0^8a_WfXoFMh1o<USsdZRY=Hcw|10PUfB^ z4JkWxJ||?)VY=k5wZYhx@#cBW4d&5|KfOgQR%k5v5zE>(i%Y|P&4ClbTr2(sH*TER zom#wNzjI^fVbKddng*q-*z-;lJmBA1o0j1DOo+8)x|YFrS=KN4;Rz2FS$Vc=Z!igP zs93)`W&4^vv5XfFi$whHV0yG%V?$vZ(<E`t4O?9pU(RB(-ma<eTbuQp-1h^#H`(h? z{H$l0#^V;FyW90+E*FpNs)kSPq7o-H7W_(OJ*F4p@K=i!wEW|980#{*5Qp8X51hF# zdZ9M7ap!iui+8{A2PQmp=8~AV=D?-tuvE#-<gJy;>T+Fc!#8EtBk}<Wj}2K>=7%P{ z7G+)XeWzR5>2r=;C)7l5SbH-5)YmE~*vHfru4S;rjq$0xmO;^erd9g`6V|+Ml-|Vu zZ^G;UygHsev0A%bKQpi{Q`25h+r(rXAK<Wk!GSxXTrbv#B|K#3lDN0}z@_z~0{0dk zI8`qqAX~gPr*G>Frbm-SZdk-Io|NRunftg=+DPVyv)_4sotJAu9KQYLdUJPeLydc5 z^K#LEKiip{7i({do^pTfffFvQA@&Oo+$dqaay}?w(UOK|MXbxNX)mZ<&Sc!Lwcz&> zCf+Cio{HwZDtX|4*TKGK?wMvbuJ@Oi*xKB)9GRE)gF0K8Q5PJZW`nxp^FZBkKW>SR z^_QF2q<nP~6n28@Puqp8JWJxQG_hGJPd|C`pu@MhQ5PKE7w9G^{5RCiNGMn(W)N^D z+mU(U&bWtqdNM!6uRHv_b-<a|CGQ#&+bVld?|b%^hQ?p=TNgAQ;f^}sP`v(W(G-&j zU0ICGZx3%-(0ImuYeVBZ&8Q0w?^Z`0aQLsJo3WsvSIod*O{OFB()pln_ck$;fS=7` z1_HHl+)^tNkG`8+EIys@Pj|M$zWLih{Zoq&ZV3;$)vUZ*jG_)W{L+rP;PBi@H$kC# ztC-1!-JYNk4p9ALvx1dJq<%dsZ_B*POl+qXPW|Pbp|EMam_a~oznF=DwHvp@ih59u zGiMzukBR<;CbppNy@z6BEDEk)a;naKu#nA&S2tn7?*=iG3BTux8C(GM@HPJUb4y6P z+w8@E+u>z`Zh}J17Laq7h#3f+&2(hmx;~qc`J-#p1&8EbF@p(vT)Cw(?yhI$SyI26 zmAB+q)B%TYsk#{p%*(d!E0hI=-am)@PVI~YgGg=(jd`F!g0xIV=BJ-QJ#8o5gauKc z5S8BA(D<u1>ViYkY%zlicNVhpE-A}oWPWQH`d8It!p(SYi5H+&P*J9C!UB6|ZYhm9 zt66z?o`c3QLgOCpFO=Pp&B^?bx3OJn#ht~hJTBX_9GSPKZ)s@!5x^~#(X%hEPl#=j z@RkLQ*Fb{`wR6NwF3eoV%9C>bS`%B9s&0b9ekX1zi8a@m*t*Iy9hn#EMjdeYsi~Wx zuql{ZV#T|?Zhn1iNsmE6XZ1mUU1OEfhXCfzm7vI<C1x^V)?!wkEzdI@nP;X(9dIb0 zD`qkQG!FCvG_=8GtDBJUW09CifK+L-j4Dsd@k~eNsmfa#8efR$W-KV1C1xNne=RGo z$+Ij*=H1(+eteOt@7R%C!I*lb_Qz|`%7wYtrFB&oU(RgpS@KlPJ4yZIBoohP%X}7< zTBQa>shc}zYTjIVIaBrKOPQW#<Ku;IEc%SwO}6WLPQEf}#*}Az)|$GdLFazet$)4q z`@bK5*S#*ZwZEVJ{`>dOzn5lrE9=*0-F~;>V|m;}k6-`8HhH8R`+vpCTRpIPGp9zw zf`GEOOE?Zxl?zX)pOMh~l||G%cGE}gmh%!vIkn!qZSZJY@M<DU_gsg7zdlW>VnSE; zOi5U2%CdDg%V`^zfbWq_Pv0o<EdQs|Bw9ApVZ#%FrrL}h_peO6^pK_ZxzL&*HH}>j z30u86y4I^jJl1QP#4ohwE05z#N%7>U*Jo5TW~)Tx$2Way7tAqeU+^fCMf$AziW<R# zN~_fbbRrpByw}|`ELFLtzT%(AK_PviD|dbg_07LEbHTTqrc^%F710V2??jq@Jr`WF zVa9@oUQJW;lr#P?9Mtj`5V`BHA!<$ILy`NP3B9#y0y>s01t(8BFYDXVr><e!pD?$W z^OT-aL_VwIPrid}--Sc|7$0=g7YM1lx^&`nyMy7|PxP^b-gn$^;~>jbd$$cPoeQ2_ z=a{x@!h&x_O{sY^9X6z}3e*IbzMpM1>9?@sU&Di3>-X?n&e~yn&?{d(qekGMmb|J) zmC?bhcvX!$#)DG6O_R)p*L>w}y3{YY=Ch6C&9l>!EMDij2mH%rk(RM&OgO37;;r{= zd0&s8(uzN#O|IwES5&DUOnS|+>i?XCPIu0%f4vDSUp$==_BNMe+Rf$#?-*H5*S0M9 z*U6$?Gjl;=Z^F{koKovmBc7%Ub4**qa7fi%ex_B>Z*9kqXV)Fra;-wv@#J03Yo`RJ z*mWh$73MfK&vnB+r>0NS1#>pEEqLV5X;#&-;8RD!MBjBs3Rdl#mas9FbJhOY37yK& zusiGTE9*JeF`%09pb>A=uGO5=esenhW#<h0!R>fh^0dL)sd3I1EIc=y+Rf4Rj3ex~ zisQ%2oY#J+IiBq1ycXpe@XN2M^cU1=>GS7VU8<hA;LqyWGW8pb9gmg^<&<bRKJ^#S zDbjMhs&z2Qn`2eH_l6^HSxoDFH(Z&_vejHbXY<ui3BS8@Ii`6FU$JjVXzlk`h$!NC zIH~>fvc4(%94}M|9@M%nAhLIA!q#{}5qY6CAN89iMe7~ka_!c{1z+y5yq56^_-4`c z)r;lne!mR|TUkWctKE1Z)^uvWO2m_ooGjj93=b!*{y)b`==P&5pVd`O37va6vi8kS zSeeY3HQ#5$8B3N@eT9g3T1~&qh1XnA&iEm5(CfcK#-5*CJn2)-1w`&nPT2ZgP{h71 zq4&HW#BGzOu2f$8nbS*OIU-%w@n$vWwdyGg{@mv@>xgU4481cgVX-ZT*8b@U6Bl!? zs%uNwxS4ZR{q%%RZH}yMoYQu-FZia+a@xkcG2tYaLQGNl=BYET6|GlavETY2*L%f` z3Xy|eu1&|%1=oC%ZCd2b8MeD|!H>Hv*?;COcv980)oiEOVNF@}i1hHLO}~ZLl(RVg z^cT)4IL6YX+Ag5C^T*qj%8S_?pT6c4dnpw1SN34id7+SS?#oe0Vvc9G3+QZ>cYG^z z(5RU6)jYoqceZo9iuc)YsFa2Gy-LK(?JT|X)gn|kWj!u9I7!rD$CDRlJOvxB-t!5l ziElEsa|tNoI~cWGXv#eQ4d;ZKHt9Bf%~RL-FWqD*VRO=nuj_weBA;7yZ2M~k_NV3> z4cI5%)OoVtm!sG-g|Gxg_OJSz4A?tAtGRyorrYo!^|a&X_F(?f2~j7V_-<|AWWYX? zJ>rC8`H4d^PyU^C;`<f7?$~M*_Ez&v6Ph31(>de#l3C|TLX8Y}allU|Zp)5&rw_?o zd8PA2VP8|LWyYTwtuiX_(-Zlk`ZrH#{=%(u#xcG7_0N3mh7XUdPP{X)6cTy1V7oZC z<%#sj8O;Yb>O5KSqf+cyLJ1$YrN;k;R?7~ZbVc^7@*54<H~x+|;rO>-=Zs_087ID3 z>mv@^JF%~P8+pR9+F$IMLa_k1<qNsPhh(1E9e3jUB`Wr8!H2(|g8z>5D(sk6WDsNa zHGqBT?1&Qwf5eGBTkwfptVp1CUaRGby?@yDcv*JjA3r2x5~TA)p;Cdn_=44}R+%OK zNr`+`>6<4szxf_9qxqhw&J%@tW$t2w9qp|$BJ4V64!*DyD+;K)8MVi<Sm0(_5Q}&? zV~hB8$FFOH`AY??8(L+q*q=EhqvDsM$bMFTqXGL$DQ?RQ{nSK0qwL5Nj^Fddo+*4c z;I@3RK0T3-YxcH}T2B)s(i8c-c5j@}{PCa88ONs{VnqVBjjfg|=ALxod&C_%qxsm< z$x1tRh&fag%Bo!VvAyEB`m__@CH{>D>~qs2PdHx7JF?n@eQ%`B6NUZU+{Fer`dej0 z_|p^l+=?SlIPN@iNXA8M!-VEnsyb&Jb4<A{SKL2(NJd2t<ihlb8O>LEN-K(sF3dZ5 zNG4?x$RaL<Jv;bz{r0oH;`q)$tmwl0!-r%<{E`*f5B~&3y^>gwLEc6K_NmqpCmgq& zKO}QyUXmjFM{$rtubF>Lwf1;-=8(*mG*H|x)H&n0{D2eRrsW$9*!O;pIN?}l$X#p@ zVX4{Foed7SkK0zZTS?d+aN;}VzrlcgBCpPq1yZ{u*I$x3QkJC1{<St@M)MIxohJ(_ zZMcgk%sTGGck4MQeY)yAS+KjQ)$+!Ubt~onv-&J!ep<npda3%xty0P3h2M5&U;NaN z+SabBZG0-HqQ_|RHKQ{+M#eUxGgZ^1W~y!rx#DxiXp@hQ@u!Y7x0#-1>Q>9o_@<s? zKDY8l)TGEq3tdlNlKEG6{p+*3e>c{>F5SC-|MQ*i-<w~Ll&E~UeB0SMy#Jqzc0P&Q zHpx}V_}}_h>*u&IMm#KRYJ1HYcI(M8-uv8r88+<+Q}3!>nD_HjKBt0>(B1S`9&ZDh z9;r5&`l)MF+8vAv7n~C3vf&(8)23|BYtq6~?q>)t+j?*ti)gvxjg0)JQ|!VzMLLdG zgE_^l`xjJAPUvhE=9nhqwByOMyTR^3*&JExl_S!w`OXNtdz0n#TbF=(yMtVnO>OSN zYf88r9~X0m?VPjV1ux6$yPg4m44X{nDQif+O|Zz$^$n=fJ81P8TKS)ovnX9sF5>ue zGKbj;!H_*u5*EMa)Y4aucoNvO>b!uC+2>~`yzL*xN8O*4&?(EAmBum6s$s#mi7cme z6joI89V`+TzOuhBp>-+PaX*&xhx^NF_Hszw)}3)pD_=ol7xTfa_sSak><>!KSJ$Xi zIv90dRpYKg#Pg`8P1}XnZ0}m|$DYG%#zu+5D}Piq^-f}$df$74M;;TT%zO2GS>F*q zg%$r~n^g6bR{WH1S~^YO$~$45&nk|0#W|)`-(4%Sf4?obsNNxe&}+VGMvcuut<a`L z;zDb_Wj8(Q=DfC}p6TEuXO8J}YLZ@gyxz~U)I7Q=bM0nX$D1Yxt9}bj`OkBZ?YLmb zAG3pQ;sPQ6L=P&N3y18Tp0H8T@#}v9osBPZCH&5s3+imOc6?jQG0idu>bAVhS03-8 zS-zfEk9atpg;!i~O$oo_<E<>K|IA+S;@I}&sMV?*UGKd%9Bb$Bl5^T{Z6nK4f8~hh zHcgwth1P7Ju%M<tq1hB1YCE1h+P|!?C#}?Q?Wuf~h?MlERq`qkZxotd#VbWDatip| z&f*>G81S2|DfOMwir*3ki~g&ukdN(pxMl;d<Kdkw+O-V}J~pzft|^2%?ZwGp_bht_ zjXKGLQn^i&PIF$XnzG=_W|r4y_Ap=8+AVsp%35ejz5PKpc7YHbp`0xZ3qF146x-Rd z;MIFhvE9uJejR4<wy}jYnDX`|NiN$OGH1azK9<vOTm#DMo1X4-2>9>PB)ZT2!Uo3; zm)>(o-S^vY%9+J=zEXtmX~Q{>q6OA`G;5kvEwpB;vPLb-K`V71g@{c|9Mk96R=@Ii zuhjHuzv_(yPRFC{oMu&%78E`4^U0ogmUGp<sR<ixIabwAN$5PxnN`=DuyQj;R=mds zpY8?klA4~%DXjR<a**r)Y)~8ckSf3V?(WCa?>Swt@ZE6Aouf;PBkZ@9<40r8Yd<(0 zPiAvm+ck5+ms*zBJe;TO{5RZNR-SC}^uEuAgYQ^G?R_>}Ok|n5-)X}MNoa#9{B^K< zQn+x){?3HXsVt`doi<#lYPu!;-C%9%Gl462rzY&was2Dd5%$B#@$hAiuwRCbkF`0& zc1~RIf~)E3Kc9dapAE;d!*V3_zzwF!xBa>p)@(I)e7TqNnq|j=H-;>)Lpe_U??`CY z=Fs{#F=6p;4z2&42@^|MLgQ=s*BhD5UQpGQ(D{?a)ZTT&m5VG}{na9#-2u0l4*Ff) zoAb)!9Y4$0>B4Ia6f%D39Q0Zr)tUJ;VUH+xaX_Uyw`Iq<<V3!#`6-I*9~Vc=Xg+2h zdBSniu|qOj^b!@>U%rl<(R@x;=ZV5^4({R$)w5b<p2Sz`=be+`c{Ew6B1p{P#}XNl z`-Wcw*%$APIN|tHSm%u66A!VXfWK_q#RAsttuj~Y4<3?HS(mKHepZjWctX@!C%#+$ z8x7bcck_p9vftH>n9+RacH{}idVf$QTqss#VAIrUsWCP3#K9jqpvw2Nr=U#?tAJe$ z`^D_#cCQwcNpo9vtWQehld4ZwWIrkS{jt_r$LwOUq6vPR4A|$|Mx1c`maKEe@qK|< z(S-k2+{FeK-K{br^~Vm$^hD`ASx}?RT`cf&^MvMC_akOB-{97Hvf$q>_KNL%Lbv_9 z9z2X<czC$<Le2Lp?y^h1B`dPOW#TTLU^%Z<rsRA|B43exsv`T}?1&l7hjewGBvi<A z7YF>(=C+iuKYvIjB&jxLsf^2ZP=LM%Rc*DPs%?4X3CB+sV$T+ovT<8_#2+{$Q?hQJ z$ic&*Ad4ov^{Kt$n6JuhdBXnOAsL=)n<q3sQsaN5b@pHes8#d+*dZC0Z;6WRSDhng zG)Hu{%3SeJPvjGNzIj6PvrwHgj#+}-mKp04pv{ExGlyiJm_@bDp4|Lzo6Z@>#Oa{G z<5sBI!Pi#pUwg&zWrA3dfn8gx<%&6{ocI<!-)O+TRgK%SLq8>vPpW+LgyttwV$T+A z?Q4};l5X3w+Jt@XO`RtSyM?)nFU&sg#P<o*+$u2Pw$zw+=#Wg$xl~2=r>7%lG@sy| ztW>ds3uMo#a=+Ruj&BUbiUR8US}i?X!XIlrU9i&>R0*GU;=A=ZVn*|sMx7@Msztbq zCs=p3%6!SzIpg>+NUX?U&%9Pk4c%l#_Q&oKGn!8s>pW4g`kZ)Yj^&D6P?N@blL340 znI#-@>%ht3B=a)6R|`s2xGg>O6B7BXo^P1Y{N^Yq=B>nvCd8jQB*PQ7c|tSqc9XB? zyVXR4UZU3X6EhD_^IF!K<~FM{wcBRW%*4|w#)r=wNuCk8MJICF#F>v2l~1Q+x=rh> zJT$E{wL8k&$k=9bWx^|w=Oq<s#l=rweBZs!{QKwQWwGm@|NR?#zP5h<(Tjg4hSz09 z=j{FWe~;3{NB`HROgz!^e`W8R#;^N%9G;%#5WCs9;MF{qZds3jzY<NV&xEhov?r`& zb9~#%a{7)*<F_*>=dWWpWWTri{gsJ#f?2+%+jL~E-N5O1SmmJCZebI<hJ>k$IlAV# zY`7K4a#UX};&D{dByWyuuY{+>Ic_*-)wF3d`<!jtWgUOUa+=+MR$nnTNv}@4aA`Uf z+T^OIw4#dlU{W{ds{6hhjubV`%I2JQt0MEs)cGIubMw77_{>`HE~e=zpZW^>{)E=c zoKkuU5ihlxj>$HKzMBK?SO0r?@!^$;x4c=7rrWk;uHDG%c+!$3`>lJxFN3C1FP2n) z<%su8O`pOAayE1<c=VP<T330+p00$6y>iD2R;h7_-ELj*tDSS2MG2&Cz4PbMm5EnY zvTQxC9`UTGX_vW>&UNJ#{|ydu=?j_Mc_8SMy>z>P$-S8gQ~3o&Y+Dkx>I;ah7g+Pr zxoOgL!8Kp2n=Wk^TC*8s7^tW7=5w%n)y32qVLx>_%x-ipc*M?WW;J8Mr{|nvmaPk3 z@pFjnp0c27c0y+~N0yz(hAW#{wx-*4J=EE3>3CO`bJ}kP$G^=SVH(i>VY|A&tY+=} z1s_#eR{!Z+@Zv1X>bw2{e=?g)<GxBP3pMiFaE*^;>35}w=MGJqjti|ZpTFSGcMh`* zfsjAC2i?pCL;mp}RMJ+5j+JC}Wa@6ybo^Q_ptF(P@vO|jAa~&_cAW_`H*>y<^Vx7G zpW~IjV#LGyEWF_YYeXt#4rkiTPMEq~SmbVR!q$F45&KCAz3ZVao1E?^n;Gj8u*-GB zxum8|-v!oepR?f4X%^|6J#!w5-*?*(IBUTtcTO=)fsnsk2b0nTLjH3e6bcu<^2hjK zP`Th0IU$|RY0xVF-5Pa+wNLMP1=RB$<dRp+sE|156|a_2BXv-#v}sYe(3)@RO^?b2 z)_l}(Jb9HRJ9i7yVa>g!2d#DsOgSsGrd-4Er@mlL!7EtD_3=vO#iu#7{!dJpc$;ID zozsRJGZy@MJ@3etX*aqSJloAV?bh@K-xOI+&v6LY?zG`fKgX+hw+)BnIi&7+ZMfvj z(mP*0;^|iZweou4YG3AVQ4mYQRuRXKwH()eh&Y~n%z4eKb-|ZPme(;}0pHk~zUC=v z*el$45ZTnVKJw&hnOwhspRp|6Z+rv3PG;$rbr1L}&EkCpJWP_XJnLW5E00%IO}EU2 zbv`pV-d)Z)t@<m+<yG?P5fA6G@P1c{cqz=%+pik&^fim?dDV!w&smPHSB_Zh9q`Gn zX=|9!lx@om=aibw1!n|3%iVvkOuQ$@^3>jM!@-LzqNiD;=lTZxOlRrVQCacJyy@sW z)fN9#4hk91JGdol-^7HKDvodOa!j*qSnzHl%jw$Q1^;HUX#W8vU1yHzHaWhsnt!J* z_-M<r+Qu=UMD}1-e#NxM$G0m-JpRj~`dvL@wL`!!m!{Hl3L4dl2dlz`r~KzW$W|^G zQZpxEu_?>c<nxIZ-8t6K(TK0L!R|6go^6`Y{0r1qK4K$Qbm0%U{ypHtcPkv!5#r;v zd~xsKA(<y~$%^cMuY$_pujd~xdXliil)E^fN}t<O0;Kg+I;gjH<dDpjeTSX+9*J)- zVDF9h?|Lvdis7Mk>4X@QuYv4qZ%3SPtdis|z90$e0k`Qt&^qgwuPs(Ip`IVqubkd0 zBVvE{kW7zXf+G9V{LKdJ6F*0uaNK(Gkj#<16h-#0^&1V?JKG~qIDTdod#14UVD}D7 z%Zz+b$FdAwRbIH8{%SE_QKeYX1$$6EQPbTj!*f14k<V*?k|O(KX;6(}A9=#DM3cKX zpt`SB=E&mYn5C8(duF!EsFWuq@<mmHdX@)u&Nx1|5G$JSSB<;)!s?9^n*Zp7Miah* zYA`W}9|f`^|H17U&_KpdNwH@NM#ZA*g7{YDCnfSFS#O%qT-DZU=^=B(iEq~Y<V3z8 z_01ESzwOjH<M>QStmwktzE;Z<f9JN!e0iUo$j7BDR%Ec{>JH1|fLlGSGCkYV6Zy2N z{kk4J)N1(f(5geu;%gxL*5rs2jx~1N#TP8vTV+(Fb<Q|uD{@<U+&^+irsQ3!BKytd zpdp2x=>=1ZCfsjnwUkhaJmFYa4jTDj;I`C|KYB>U#V<*b{pw~=gXXBtlLd9U+{F_j zj5M3tvl(0XuRCtG{~E}?QdH;30<ine#2r3ecHu6_sc%m?@%{R}*?_%OIpV~@4=!TQ z7KEfKvOfk5ADlAPd7`juMyutDyJwyF9)T+QV^Ly76Yf;#=ADz-vMp7S{iO+a@r9Yz znoaGi84k6-R;d1Q#a;HxGf=3$)H!o7fsxx%Bkt%SnI0=p%S)ZRSU_^qgyvV?pb>>@ zr+0W+uE;-pNJiz^h6&Bj>U7RHz5<OK=xsD$pLsdrgyVN6v1bb9tlX9_^pg_#xVFRF zXP^<9K=!4fI!_YzJhX~gY8mnW^~(Bx+>Lt{zXu&<P<sX1o8Q*?Iq}TPBbHNBC9|?4 z(x%)rn6F`+399QixAsq*nV2>yGHKbP;G}66vv^PUoMGRRI%Q@?+LW13l(T21q|KO_ za_!W^_vPniXV>4ceqMU-{{5ZnU;qC5d7X-xOWYrEf8BdO>f<JQJXO_>R55z|f7Oe5 zlQkGt%en;AupX3B7o1|#ov`*ahgZDshI3I(n{1oDUK5^D-<QyADed0DvVT{XwNTC$ zcE_j89AcJ9OmA=Mzg2Gdu=uL*vc4l)ELZgvBa(O>&qi~A#~Q7gQs=3zke>VTQEThv zs60W(ze`!P-?(4+P|!5BPdTH8?Vy&pph&FmhN#)#aYh>}NH5IK&bV}mY4nV+m#r+X zbrdyr>mRIY7o4Imlv7~kc$AydEXp<Dr(2WjJEaxB3=Ssw3x~|llsG3;*feXmu+G*V z@Q`|#AEXm@$2Rws$Ge)QU%XAC>(nzU*bjQ~3z^h)C5WCqvZYH;AtE=t>Ctb2H4~LJ zDtQh@%~#N<l{;t^E;waxU&7wooTvWJOK4VChYa^0J)z^1z4#}~)OgPgC+<Op^DnYo zwfEa_WG9R1ey0r~-3z|uvYfu-AMl;C>FGc3fckqme$f@Ij)zSk!}uZxwd7|*i{h$t zS0>(?&e;|3z2TTYhu1y#4c8_t_`=Qd`pjLH%UZjQ4^~wRPN|nZ$mT8-@<-#KTe@(_ zI$@n6UdOBQ!a8O8j$iEsbw2)q)?qtcMJ`8W89KhT<(zg>=t`{PhCA*YujadMI5eF@ zD$aMqrG5^n?;K%2l^rh{9?Y7Z+w~AUk#SvMip_+CwaOe`^F22Br9!LMH%o)vtFk#x z{hyG~T+N~NuQ6e9I;U2?75n9=6!xZ7noUQ)sjv7a*QDB~zT#(Q(^5UP6?<nT%$&{n z>Yne0I}Ho|8MA2TY`S^uA$VZ8az{ZBOTyA{VUv5)6Q-66i`Y&}*y=ARvR-h_N8YAM z=7MWV#T{R|b6l&OwcyQ~vz1f#S~&g;7tGnvv*6Kg4znoFfS;3Dx?>E$)x?dJtK#Qd z3GHrNP&GZFvz;UBUvGluZKlhs_BJHUyvy<Go%4n})|{{8oi{kmT=1}xMZ30l!N*dT z)qmzJc)`rF`tQ^QKYDZx=a_Dr2kBO;PY!mEsurGNHzi^2V$M_Zyf)n1$?|l+*9OP# z1&_{inC+Us;8Q$@*iDCk($za<?6+|{el-`+DdccG%XBbkxxf{>_Jo<R=F+=3>r$2P z!A-xu3$FQ)-qfZqutuaiF{-y*E#m1{7FT|?h_`=Pj{2)bJT7KYU9S-FTB_-iY*Xnw zRgK>k2dmBtPq|+t*S7iKBTg1?KE;@#kEOxxZjnu=-YZ8eaS8aknWa0{FW~QO7VkS= z0iUn4c-y)KRQqf=^DH_ss<d1w;@wu3uly<z5BIY0`m02|G-m15SB+R|2@bWGqLQ~) zCf-`l(Y0PZ;_-YI)#qnGvlxvFzR0n>e&Zit=D*?IN0z7ORc<^;YU;YLbmK)Dcori? z*70h+fR5N*slzMx*&Y<~hGvAb+kIp`W&HwvM>M6LQ&<tL9Pw^8%h!CRh=<}Vyz+_> zFW<BDrsp<iuH7W-cu|#Qbt*@fyxWFj?VMio{0!LFa)YW~QSRakI}?LgzAs`pR9!e> zZ}F?ed{z9QQn;hlQsM@aP9$H~?M(*ki|>Lu>1TD$IHp)~Tdt_<XtnH^d(4UNReVY! zpAavok-*4ZJYiRJtIQU8P^Z#=<AmmSJ-LO&&lI-va$CMokDSqbXttW`hXP$t1tuY9 z^)--vDgUMk%^z2S#-O=%o+wm-h8BL?aa(rWKX*vR<d@D9g`X<i#TRZJaN@i4`SG3{ z_PMd3PI@n>t0n;Iq%(jz>8-6YBCA0I<z8Y%0srK<iv@P(2C?vmgDbGF<-zi$0@Y2e zGFSeCD~#Os)l-_EfvTjCS*<c#_|p>kjNCzvJ*jiX@!e;gCkyIXxr+mS=y6+0lts*F zzLXCdP$&cqDCCP33C!IsV4lvGrJt<G{&6p8*dtmU<|hw1OHh+Wh}+U*{@Ft^C3@+K z>^I+ohM}V)PdNTF*Ew_W0jPKTN1VIZ;8sm_%u<;tpka>`f3czfTTqCu1<jL828};Q zg2taEK_Loq<aJQ9>mI28%ETeRuKC@smHk#HY(Wzc#bOV&&N?Q#ixnB%X>PUDkUikU zcdSzE*@7a_EJ;|zjOJUapjnc(R?Cb#hn)B(ecxcfzP427iNaqU?&1rb?;2)LZhoUA z_H4oSDXlV3z9%R0v9-f%%K)3aSBv@F(l<<K{^SaZd(c?^w#XUHH|~N4KQy?DCseex z%9y0T?^tcZzOoh6OlWDfJn`nV6W^}(jRx#HmAEZm%s+QXMno?~k^L}e+~K90SdqcL z$*q<vY;54Q|F17s+-0w%y=z%LrMY5Gt7XQUb54AdPDjjWzE&hwG$HQfA(;};e8A1m zku#d(np<U_fMzq;$~R1Ce$)(#dQGvSfG{TWbUr0evMvo5d#13BpWAZ9^N1PEz4Z^w zzdo*)+q>X2sEV)tF$*+c|L0ET6UE5WO3}TR+aKC2JlFa8$SJYPBSwd(d8W196god6 zGR50)^9i4i7nC+13F{71o5p@+T146vql;%W{6RJRmNQwGKF3_2{rmg*b+5O6|Niss zzWw*@r(4)<^n6lpzcsSh?4RICZ6VJ;_ixR1Rg(UHiAm!o%N3jKA}t2*SeJlm(St_W zoUhg?N4#Tf`sFXUMquXWOZH|zwo08-iO6Jhe5}f{TE;Eli$&Aba|#-DiU*~_g{R~Q zt|?V_eEFO6T4fQqny`rZc66okUdh{qfBx7s@m^vP<yXA%BBJTkazULUM#rn#oMO?= z0e{PyRNpDDuvCe7#oBZ$`ux#?X*0b8$_)<|u?t?YZ%b$m2KRFcP9B~b?Cw?CbZj+e z*v{SsFRGfZ%BgGYYfR`}yY2WEuXBnK*@BKQbq-pc<~%jeXT!ZpmZ$p4Hy%_qb!`{O zxuU${kH$fz$DGh9*XT2cw}{R54X7<|Qk^Dv#b#E*N>P@r+AODIyaK*kH9f6!3HTq^ zB>K<e!UxNysd0w#hcj)u6Q+tdUS#GBs}^_sIGN+xj~|el)w1&Bm5JA`b9&u(*>KL5 zC6%4!wXJu+zo*;=Yk9Y+uGqnS(9N4uYyaegiNc(#>Ut73N;!UAFQ`+f?09y*kj_^F z$G7Pm({7slyab)t%&Q4vN!U4;<CVO_hC|jIQu7=(Typ1>iuc)YDx9<Hp2LQy=?i{1 zvt<97x?suM1FLiPwk`PL)KvOSS)-ceVAXNqDf+@W1sB0?dlPbWy^pNqTGbVQgqvLD zR95^FZ#rrg*^wDqZ+cM3vuW0E0i99{$G49;rddv2@UE5RbnVOqb=?WA^1>#2<|iz* z7dEMzm@qZ`?TP6!peffspefgHY8rJK2c=k>CM_3UQ_AT0ay7@bNauiWd`(~9DQo;! zZ{m$pSRrwnvpjq8PL`?Pl_H)bG_88C8u2Ei>D7F-h(}$VE&7%X3CpwUvR`?uat^4r zJ!s@FaOJMUhCABj$F{sWuNaZ&-_#b_H1(eIg&!$Rp?0nTUy7Qp?(+=z6V_x}=Na(H zuxaZtmZjV8upW*~|Hjg!s@+g<@}}*wzAw`Rr_`GtWYgx*s+*Ls*qc+!oKtM)%muIX zImD`47W}g3n0BKk+-LPOX^v^PdKY|aWI6qW^VK|;4R_QzUd20YIAjh>YqOX2O{w#{ zP~ouQ)P2q_x8NDqvev6>?9)Cdm9L~xDRMAMU1*Bk^n|%mjyJP8ukD_=;Lmvuvx*rD z9^L0KyH#S&v;5O*4zZh+;C!&-Nmkk`k2fAoujExC9?3M#ny(!3N~-CWxqwcwx#Qh- zj%m_@SGM0ZTq_#qeW5_{px19<6T7B_sr&*WwzCtqo);3y7g+OgFSOGCv~H#HT6Rt^ zd*2P`)T$GrQnxEc=z9j##W(Ttsjv7^*VKDXWyK%KCf6{*kiUB1<s9ERr&V+<cvjCb zZPw0?%iEp{>ugSey6oM;VE3Z;$}8%v4swMywS^0=`6AnNtXyEtC+Vg|{z7ZM<u^St z{&r-`wHxymq|8cK+sx@D@4eyNN|w~~>Jjg`nm*lEyRpC+(kR#aSM}<|3(lrf|CJ(A zULTzicJ(cXShP>T-&_`N8Rvk_E*q|RHQkynth0HR0{h+Q$QjLd)<>Lh{BH;vBlic@ z5=HtsXJn=b={!l;!wwqBf4zi5FPgDs`dfuvHLn))WyvQhvVR1PwH})ual-La6=?j~ znA_3=G&_Ax88o18!(DvgwoUKqDb0VRK^@>cohJ)^h>1N*n3Ar@{*)ioN#_E!5hk`; zcElcc;(KKeY9o9HbzUK3<bMu>#>nS^#>ijX+u>C_VdhaMzFoUF8L;m(-#nrDUm$2m z!5K74t_K<=XX3V$h(CQuCgc{Vjlj=c9Przg+p@#{^dT7&v*@PTlbfG_hOD#GK{dsx zLoy|ObKs-ox}Y)T_cEZif(>X=y$v*=f8dZz&$~25_NVtjs}w-P6kk(+gGL^7&N=bD z0u2^-GDn<n{QMTwuVfJ`x?nfE)$&Bl87ID7yr9|iTCt)F`@35$HU5+ZvG899*U1kr z2g{cRT-vw8%TfZ=GYu(AP-MUOH)2L}6lg@DKRuC8Dt+UG<|m+fG+UJ0(qnyUBA?Z3 zP<w_^?Ae0vBHWfI+`k<@JG1$qk62Ma49JnwKoxHyXl7&wXgD4`czxA)ncXXeGDU97 z74c^e$*6#4CMwlIErt25GF#@SB=Q;QZvwTw{#M@PD*{b`g9cDU_!1S_4<|>?XugyQ zT1a8XT^z7;Qmc&1^$iB>TS3z(f9%AbEieRy+*WXh{O6%7?y_69*)fD`vcCk4QluMl zTb__Ra!BS&U!o%W&)|p|%?Cj(t~Hwt*cV>~_0pxeiv=RjJMpdR-)z9X5j3y9FO<zZ zolmGZ;)G+dAb0VEQ=29<e=7p5qxghq%~S@<mrmH-(<<`?RH<`q-!P#$vA@+)!}gRD z-?8(X4cMpZPJ5*FRKaR?tL2Kf=RgArpoZ5)ohJ%AL90JPBTgJV_W!>5-_P-{w?5f{ zRL6hYIeXE}M7c#<g*Nx(Xr@VKnmrTjPkyH$*PgALH8UlxLe2Q_8KuofaypkE(OJB- zGtzBZ*EX?8wbiLhW~Rt(NS!ou>fz@**O`C+_v7uZxa#`(+28;E{rA&5-YDPg$^ZJS z&E*vz*L#50-+}t^pB~vqJ>TUi(y(c};F|5z7W{E$k+v~z{HCT~Ytryx@zJy2ZvKep zJm9vOQ)@Yg*v)?M0=%^<E9%S+3W*C}*)uI+Wi)5jdc}w|hk$bCgGIC7bw9iU>OAVP z@IDt@vt`DD!ifn}jlsjhEY_i0%u1J(nL2)q=D4<F`hq9TEZMfc0aefHW?Zu>Y}!=J zac#DDK%FAEpq}Tq;i4<c)b%P6PtuxJ#Wo$iCLB`VmB4ws9<<WOnPd8$J9$AY2`lGv zX1({_aE7UA*J;ja+QL`%Pe^Fh=8#&a7V%QA>DX(Iu*#+dFM60Orf$vU=u+prcB6a2 zlbWWj(*&p3O--1~4W8d;u?{V}dSzl<H>9|302kL)jSD`l=6mvE;#`hZ`(`I>Jj}65 zoMW0r>w;(L9Mg8qS@5lw<@6oLfbVrpPycxZ{I6^hO^V$2gyE1XfB75{#)y}(EWP|{ z5l<_c7O4xb`Ig!AXu9B<kGf5hWSh3u`3C$7Y$~0ntg-v4<DOIZ_*kCa_uSw(ZNa0B zEYfpb0)7UwbjMgVeo$o>coT9p-%qylu3y04a29VHr+{Lg4Ohh2lcTn7SC2?5Z`#E! zpi^$*_*a-S?1zfuVQ-GGEFqJ9y$Ms}g+yv+By6o061o3JfG558x-WDc*lu6h%(w0V zR{k5#c{Oc%F0iKD(D7%!Ku&?S<I#A59212VfB2hR7qurvg~oetxUr7q>UYJ6Bu>Y( z{6adVVvcX64jNtefew-EO?~C@?m5d>d6kHV?^$@$<=QgWevxWAR?HE0Qa~iuWy39Y zj;{HR8;(8a^on!caP2J1()WrH>9&qH1rJu0S+oWJyUHT{#s*qX>??nDB7@QK>33nB zA}PnK=LL1HDXjQs+obwVZN*RPrls>#R@f%nE!%o#8%ybAmeYIN7yPSf620es;X_E% zR6Fkr6`}{V?!(5btDaw(7&U*vkH;+8f2J>Za?P^u{;kdhU*@sAmT?RC#?tiFPf;UY z^~Qsurmp*nH(u~Ho!YM&@x-uc)qll^oYkxneqYUnbS6SO`{w*JttLfz1^iBFN<F8( zqFVA`(S5ZQ_Z1@^nzQiAt46%M&(gcyhUs$DQ(hL=?+Oub6`CF$7hDslq){n%FlxHc zlzoi}b8mxlL5ugfd*-D}zH>PKtQXALaMa0Xby8Ep;=7z$@*W#b7_x-gJ8ihJljZ7u zpAAR8vY6I;YzXOJ@a-_mX&di=^3Sdk=fKPEqz`iahAle$xl(y4zp#m2d%{$GL6N<E z30vg_MB=?S9GlPKW#_yhti*6xXqDMPtKC9V?oLnGtIG1!-etqVNEXrk9vd#2vP`|r z(!B<<j8t#uuj4C~H}bMvHIHn{)HUp0@a#6nv{~)})q)3&T$^^K3+Q}jZTb~1xaLD` zQ`>ieHDB19jwv^V#+9kG1)KP7xE0OWbzU{%aR;<bQgrmn#A}%>OZAl^mOBP~Q)~MA zPEF%~HEi+Ssbu+Q30rizEj9MHwpw<)IqSr?$`aHIngd!O2AW9+^}x=q-)z9X5>!~% zf~t&2bIm64B@BnepDg$d8h?GF<o;0W>4N>Bar_EnZcB-GClAR?;Y(L!e=H0dB|jc{ z!to1}*s}%SOvQ=>@;4f=_s-umq4^23&KbwohGIn%>Ka=uU*wdUyuar7%~$7)qyAYZ zzEAC&4A`5OB1XyW%|NsMpmF@4t3h>}8)(*_le<`8_xx6wEA2XG93O$k>T8}Fg4zbR zCbr6K`3@RVVBb8U`CTEXm%bR(OPAv=4p^~yLi0mj&>FGhpjr(yss8gcyk>b(462i> zk8a%IW!drmz#$ovdk3BPCPjjpG(4bQI%u36G!=bw{RRW}y{|#Nba$OI2NxW7;_IqU zOXPDqziC4Ar_=qQTKU8`&}zmqv1bZp4BVD0Kx5}Be(>sYLFCL|E1IvU>O5IcE6ZIx zVP|ivObMvlzOx<F{E`%VwqV1}kD$iExwJ$+FHNx`gL~6kEmz2#bmCj29XX@<7HGO0 zv{dcFj%lqjD*362d{Nn;5gO36znKqg;QvJ4E|Ks|zN*cjg>B+u&k_tkOYg)%Rj?Ab zrN;b|hh$u`be=4zG6jWaYpcwa_o<0|LZES$XO}^<{%MTn>g;bVxQi#uJnY1`s~FTm zbP_AN5PuM~<Ziym!NcI{KcV8{6?fSw>rxciAJ2}M(d;!H)K=JRz`k{P#0keceg{Hl zH6JSj)xgG}0h-yZGD|=cJaeDwJW+^FOXRB(-!!55k0@v)-;~=@;~r=v|Jue0&7Z&n z9>+HInu|l%W?aoxb8k8%b7YdvlLh<4xr-;vIPb(a3)J<$vJw=Upkbv*Q2S6<>{-HN z{U5%6f5^+}>R$#`^YtRHi)D|0tlWEeQl4|x%Ovxas;TCQOMI3r&0Dsl^mB4u?BiYU zVy>I&y<0bba*F4yCDV@l$??4TcG|QPDm-`JP1)j6ro6Ug>Fu?5zW$x}`Ofcuh3_r< zfB&g@U%TIa{_p!|@0LDcoB03linDXRp3<MVM`_|6@Wj0K{+0h;d5ScM&Qr|T(U-9F zY=6}MyAL{!-4<T6$=dOvCCh42jxNv~dlTmt^I3m<ojLyBcrrEEJ!-qalzo#E=7zGQ zUKaqh5Nh6J_+&S~<<NTPw&CJGmZ|*85h;R>S3h%z?QUA|%a_Hwgd@w&X~UJvEL-E% zBGQf-zHa9RuL3Vm_w8cP+0O0wSLYyCyMRfI$A(LmEWP^b5l`8g7L^OE`6l4_aXH5| z3E?SsO61mW+N$gLaxUk!oBa#k#58>s6POb3x8YzacqQ0|VA0vZ?rz$gTJ|0rPE2MA zjaP}tnVf96_Eog7j^XSD&-Sr+Kjq9iuO5*m>3G+hbK34{3;uC6iM~_MuuzD2DbLbt zu9sx7dJn80_QEgNJxg9iqt4)<)N#QnHj@+9N;$sF=D4<V?t(Y9EU#_-0{*>ck<O`* zSr+=ymqq%mM}VdCh7*n~q5Y~6Ihu}N^#ybazk<8gdOLsqU8%gXnlsDZZNr(41?yz{ zGj+eaHT|*{So49gsf}G|O$m?VW21vw^VKzODMY-jW;yy^G2*c~i|Tyki0tN{5`Hgr zIj?y_Cn4tCEq>+ko}uZJyI{_SmIaTVbC~VwTJWiyQ*7t#1zGbGHl}l~`rn?=sq6RP z$Jy<II$PNt-&(SqmT?4+l!P|@3Kv@QVJ@UN{`BG8O68^T!X|Of8&2)#?8@85a5?Jj zT9%`iS+Z-UEO_#eC0kcXqt@=ARk*;Ey)zT`@;UyD7tHx!;&`-PFvsMv3(xXT(Hvqo zOQC(lSMJODj_|3jh*OGq6w@>-UNPd8Thpy_0iDkVj(3-HO#7|w_}5oFDN0*~L+YK+ zhD+_7Qs-48p1L$GN*7-9Ew$;<b>TH17em}uw8^}5$yXJ}m(w|}-CWX=IrVN^!rq(U zn#ytBf=8U-l@)(fn_Sl^ulVKMbW~1Z#Xt5Y)i{L}lD3?OBeT>T-_GTjb~7F7w08%C z-HVP3U$LK@(5f$FvZpO!shQ*B-yC5-Z5=N@=M1}@A-F8GM!U)Mo@+o+e$!SyC5>2> zh;&WIo8BDPcF$Vyho8f2M>%BCM$DhPS0-NUSnz4J-?1%Xox<>Iajm1w;O`92EM@ zku}e4!<C~fTcue}-*F50F4y$5&O6}0Ws~R@iNl#YR1bQ+SIF3-eo$*CbckuOyT9zp zxn2Q(l$uQU`2~CmYufrwP2;b|L96pZQ+nq#Wv<=M>iBcJaLxvH$D{qiIVCKPPlGwc zc1~FE>N<y5wqVGA$%8_xVWa8x{<5BHl~?S&DbzRr=DY=Yvl4dp3tq9Glh8VuQ)-_7 zhD+g`Qulo}obu=FTBj6|>k&|+dr)e<wdi5Zow5g`b_-3pH$P#nGpt=Q&!luoxt`-s ztAlLjLLq-R54!OShx`*hsH86(QkyGzIVwlY@#}XXor$U|envJe6%)E*H#=eGY0g)1 z{u}P}bH4h{5%%LTBo|cvxO8RWrEI^$TZ#<swY6HV*wfxB;{qD0&6*GDth4Hzaa;@< z+nv7AfPF2fM_HxHU3{Ukp;abjenKK&mHvha&3{(=ABXiVeKs1fFRld*=!2?+lz&S& z<}GF7n7_37RU~MzeD{=AnJfE2eakS=>?&xI`$_|-Z<(IRXC$qEH1w2Xxi+XDoF`Uv z;r{GaOO2YYRvDgdP&Ld08r*GZwOj$3WOvC=PvpzmpP<P8@i=Hf+kG|oP<dVj+qz3K zTOR2=QP``@U3}qYcdJZ_{mDZzh$-L)Nn%9-f7G~(4R-am%1qe}YAS$+&;Np!;cYf9 zEG`PDXXY-RaHFwR#^nC_Lo!SFQWV+WE{>eh>{AIJ|Np)SG*({Q+$!@0RHt&;rz^4_ zc8{FVd?`NS#6gQ9v2~YZLgLOl@h$QMwQKCeiUjN%S}ij`74sx-&;WF+&J%@N&^$@z z#tF@DVs*|q=9_|6-5mz4y7PrC{0q2KBdLG;U`DlAQNX>qt(F~UKx^-qxQhj%&p7eD z0#%Nk+Msm`Vq(t}O4UJY?~)SvjIu$S9zb*M-Ju1=MHlY(wOVStIp)OI2AWx4dN|_5 z!5=E1(R{?<{|ix2qh@z!tIQE=oimP)1;vUc)XZwN%-DOziSLr}G|-~PbTMwr6YmZk zlKHX;w4Hzhv;h8u6JOW!4F>FsPe-0`{K+QvOreOK+j527kwY>^K+EaB8iK;|%bTyD zni;(CZ`N(UK9*KXkGE%>_-_3MO|9<)ErD-nwLGDluE_ouR7X2aZk6E)-(<kPls)pq z!5^HUX%Z!F%Z|JvzW;(&D{?{8|C>Q^zfb3h!p!tUz9>+;54_@IX9+lxSk7N^{&s6+ zzts!S?8ndFpb@2pR+%;b`Rju1|BBC<(0r+aG4&R7)c)Jf;FD(zj6Y6Dlv9fCn(4Yt zWNVL)boSO0Muz?wGb7Tb%v=&2Xnyo^$~nt9mko?RUNP955t%e?;!^OUyscuD9y<P( zHS=Cq6~FI?bm?F3djJ0W_xtOAe91Ic`u|_pKlk@3dr)2fXm!{ok0(?AZ@I|!`MN9P zianwSm39k++-*<TSjlp=UoGNMO4BUUrlny5SK>T3oVm+VDz6&x&a>&)>$!ao*K9b- za_jYet2%~<KMv0fcK4EOI#$dXX4$pig(u7Ex!wUamItM_3ryM5ov_xO!>ga;+D2o8 zwVP%Ouh}l__|ultY=(2dM~<f6Q!G=(1#~XWfXr6!th#(<;*Gg1SLKx=l5`!<N~R}8 zdFQ$XSbJ_bqtmo&Gv_qx9`N3=IldPPG!J^23z+O{PMB)vc+r$)^<I~Nn#)?tbKiir zrHMK0c=ALp*gc9}2t4a5%yBA=^IEi1z`v>{-Y~(CJ@XS5UuBsZuMm+kd9%UVRkww8 zrm3y?XV|35r?}#$Xw%Yj3M=-`NSOH=+~;rcepj}v@5w)hfd8CLqW|146g=K+xOVD2 zw+j{JO`&#P0bdfDu6nT?eJ;GFkkj#GHs`fpoQ^N6Ij>dDS@5Qq<#lceUt6%9<A#HI z;3mnACy(5h_4V*6t@xAL<m#uoqRQ}K(shB5|Aq&JY@24)D@MGEY`P__cVNr3n{5l; ztz$V|J7YoJyo6SLK@*$Sgr(e^Qt`eUPW40G)_2dibji2$rbp)m)(FOSWKO*^D`9Oc zhu3@W4d-l{HXRpOQ*Pw=^E0Pegk!))-whY<vP}K&vf)H9OXzib@xzfh-c7IGD@7!| zht{s2CkML+xi;NW7t|@1bG-YM<@DbP3;xBjX#bhG;9*C?((7~DGfnT!NtjwLByzVq zVXMCYcm-a*z?2w|4Png-zMN%weFrup{O#dN<-J>3o`xRYVs@iv!6R!9vstbImhKx) zJY)&o@3-NGCCgQP^@vAqO|$kZM7(lrx|Pi_t#eNQ!z*{EB<$QSeC2*K(w4b)KUvM% z-UWqI6Q-W#=-S2+_S@3&BRj{nAJ&d1#W}CtdcxE<|6XIlTocEe%pBLOTNeBY=QOiu zUhrrsi*&3zcm>)W*tWD%i&B+uHjZD-V>&W*H_AGm{Vt@l)!y-KGv_qRc?;gvG(COA z@^!sx#KYw*yzf;bUgop(&R33j`c*v9!gaf9#9LdIqnlZ>Ysw%M{~VjVS01lJnl5#7 zT-({U;LTf>*IzkK{hyl9oX)AW?_H8l_TpR)t^KnTCjR9BZ<$NxToukSZAZs~XXTvJ zcJ(g!X3KKg#tb@-{(a_3<(=2{4sCgr@4LaNYr(^PEZViR7JM{hSzR-G!3$QF)v>OS zi45O>B8Lsvf?1ZD?_fC`neN@R$y#{Lc5}y{{(?CHb0Dh^#P^$)rj*DzKGo(Fi}Vcm z8qU&f>lN_#K8yF7@Z(#uj&V+#<rz?|-<0}H=!)&6gq`_9SK>W391>;W%~y+9>3!ix zVpHfpQ>fFfh$Tm@-aB)_4?mXdnh6V@$TV%`Q`d-9i+C>6wCOYlcp5{W)2w2`f=Bk8 zW?eB|4@2sN4=Q;!t&&%W$T4%|dljFM$S0)k*Y!Y3r{RN?jLG>~pq^!rSkVR09;lh1 zJy72nLDet6SkZ<0iLI6zdkQ)APaP~^7kjqgOC4yLc0;S>3XzBtj$c^Bo-HT?FVjv; z<dd>bP-H&|nuC392O25|%~8(-_4ptQ%6ntmK%2VWMx1c`R}1R6)r0C^(5Si9yjGbh zpw;6_-H|68zy8)a<GALq6W^=-patdrpf*B%<c#Jk$Gi)Ri!R)o2ATjq=ft-w668or zv7!t1pjvH?8EjG6!GepRzU98-PJG9<gNAqSZ=BHl;<3&d$J{ece2=7K`&OH<_ihIb zEaZWfwAqUlO|a`|wR|CS+KF$|{X|gja^r;Nn#rw}5_gU{@pbJ_P2_Xy2lX!NBW5(8 zXghuFZp?=QQP7gSko!iU&3_8pw7D%;)OWO6dOQJD!l1UwD-p4x3;RH|S<G1{zFnX_ zh&%6Zn9%&Mq195u=ByK6o3zOf=NAjMh;my>ygzeDCIqyc{$i!h8OOI7pk~6%R?Cbl zkS5K8$Wx9*M?nMTVVfp2f4K`<Di|nMG@*7<tL2Ne<*e(1_&!B%Fko+<sq<vP4A4GF z&_=nR8lYNOh}&`nXglMPX`3fB|I!5wf9Q!7U8rekwe;wXIN^AE+T&+u9Me9-X8`Wb z1<e3#SLe2TaXvATkIQ|-gyzJVpat*=itLY5L8~d$#EJy=g67z5k2vu?$_7=yJ=%p+ zizeLZX|>EyjXdF4B?el5ch-q-6KI}(uP|urBS-96!Uj;S44Kk+#2YMMY5-c&F$EOm zDZJd4EB1k!3udtgPnQMUpV(^Y@#dft-z@e`2J9=HBTqQ~W)XX)5S*&WeiyX!?_CvW zT;b>;8IgCOMi*$I=u1|yB7?rz*4f7FQ{RFXPJklm`roGeSK{@Vzj|K)ZJvi!^?&ZH z%*YN*yA;*7T;=nDD6yHU*)uCN40A7DI-;ZexgpJM+Q}@*RJD1A#wVYpoYOqF@`cR< z$!MvWs%e^8*)vj8X3j`^`l52(>$7|9x4o`<`?q%8{o4Bdzw@W&>fcrPv_Jmim9X`H zKr{3!|J?Ty>)i8cde<`bK!&i}(--_$$dWDNAMh!pX{(-s#@?ocxqOZ{**UL8y9fMp zZQ@PSi?xscuz2+j(}Qlig+lJlPMGM-v1%G*BCe)Mb(;Tzy%$rKE4J82e4e&ac_lkX z)_T>5XRJ-TjP(w0ndU8UWxn@@Lvbv;;*bukE6eIR-T@_&2eZrtMcxap`KaP}@;T?V zTU$CGPPsQJVXmbx2e{Xt{(X+srPIPw?7I@0gE_S3`EIxv)O5;RNT<l&@#=9-vFg4B zRV9+kwjRl8nzdU%$8`RJZ%j?8eJU%ejSd#23tfqK+Tc_TDUWSpj7yh%k#KyR&KY*| zMUl_y7dlN>%ak<i`V)GaIlRO;ul<s8d}+*aZD-4ZH>E7E?|KLP`_3ZW!=be=%d&0r zMKPAC_Z>H!kb}A`+}^BIrHsY#>v|!bLMz9!YzKo@b7b9j-f%{lrF6bxgkN|<)K_!$ zh=*@kc+1rzG~F-!C};}Z=M?b8r0Hs%Pe6_8L8)@spgO<5Z06sY3rbR0mu)?l#*(^S zJtE({>65(bjRyuzUGZu+R(J*cbZ6<_!m;X}<Axi~ELZ=#Y&de7#WcQLb-8b@dw{j? zhBI|gmzDF+wVL!>$nkG5XV?!#$HSs5+IQV9{BUdvZDVnbSBiMMH9Rru=yt`3$9Gv& zzbi((7HGP3TyV|i84GgeChUF6@-$!d#)C#^)&H?S*d2VFfG_vus1)s{RqvG|bi4!p z2D5m}cn5r*&Eh@RJ)m0hppm)omA8UA-`Sgfofls7;nixxIc?EGYrgn39jg{v^XVjV z|234OYrgY_W2r2v;lgW5?HymLb6l(JTku9r+i>mIG~p@!f;k5B7d%quFteJp;FCFr zm}U2ZS4&yC?>Yqhea_;|1D#DjyWd~dGq;9!S!lJ`K_hG7D|6j9+&RwqN}Mz7hn3@D zV~((2nvRcObA<hrbiC-!8TMP+@gr||qDA%*POo<!8?MQ*Eag{;cn%tE25p$%tnMrO za;{&%KXn%AHA*XPsNQ%X*mSC1HR8y+qgz((o0zasmSffa`3arO99ebK5>^^HzP-#b zZRd;y@4T9xzEcD5!>9)z9C1jMU-^1sl=j}n1s}h$tlrbV;Ke_d)wOL4esr>A*GymV z#FZs`D~H#8&kg6CSyJb#MZ8aK`m}!kyvuyslvn(SYwG=`ydp*+;z<iM&{p4{X(hCK z!h&B@S-kJ~LDt~82K-iSN=?f3S^droGM8b;qFpm<!NWop?b_K33g;$F)#m6b;|TjL z>iDsgCHqe{Bp1w?Q^~w+>$NbJrRAy->fQn05}LmDscQV!ZQ?zryrRPLpqsgH$UoJC zO4*#N`Z&dA7b>>}|6R-CeMWV~&qPS4eOAIuS6EUzKhtVbw$PP$-wlWKIi&0yH(avk zl*->Ebvf#(E{p4Pfi>S0n;um*neOul_$1O~nKAdM6W=9o&_+34ohJ&_^4!H2q(NPE zd(hPCG4r+eGYhthIaF-t>&l-e!^u@_aBF6(%oO_*hoIZ5#X+mKPwG5b5SN_DC*%#9 zvaSNHf^P#Y!b?r$GXf1?ziTu9Jiop9&g_U2j`i+h&lXGo%>*-pdce;?T{ckn@>4UU za<TE|zvvCx8&#$YT7(ChV6Qvw#5YM<?Ae0U?GLn`D(qzib=Dg}o%I8UWWMkvD6;=N zztMob*@)Xxqi%kyr9|u*C%#jlS>=h<5honKZr3^E_(oE!DB%8susd@sJ#y?|%>YMZ z&~mwzcOy?YR@Z~3ge|x&U(5p?003$k{1V@2z}~t#^2EUpeqzrSTmj8NERUSge5yX; zgk#BOKK<K{Z*xH_+oZXRFZ|%(w)Bt#?L7qT6L_fwTGUto?p=b`uzy<x>RsMF=fwAE ze_|pZ8)#|bqy3RHngidMf!5${Pfg@g$`mUKxI4YovP1Ti6W^=jn+@1IgF!6?&_eR9 zO|3FpK%MPpj$%a@Vviq^c@meR$o_Zcc2Lcn_}Wv@CI;MNZrcr7ZjhM|YJ-7RzfS?V z)sVY5z<PeG%#rDv4cL35L5nIt6aHJLwaP33we_rw-yH}&<!E*gH247;HID-|z7)AF zH9+SK^oW7lHK6(Tl$%R9<ko=~8@%!bjnll|IHCF1WKeqnG+lD%i(kxAOApX~w_C*# zGn&uL(|NLBc6uUT5omSeKTXh@iX5>bgP2o?WTt@jPCWh%n$mf!^F-kn=vamBd!WsK z;GL5jPlId%uQoXOGg!X#LKJ8Lru!xX_PLWIPdI+_0WBA7X_a|$8{|@6Zc7b2&>qQo zpiO<f?~aAeYCfR@3eL_}%Z{_jitJyTBW5&z{eLk3)%O2PhZag{f;#ljBXTPD-u3D9 zR1a47wVFBGg!8qEZ_w+KBoEz*bEiz3G}ljJl4j<`lT)_b(eu;>ot|^{uBCNe`{A2~ zGS4jL?0mUpijRur=f82+i{F1fZh8Hy#rgB!f9<_L|NPJQDqY>zy8rwS&wXYFspdWR zWT|$$#QpR(^`GU!81YJ{>DF`so#L}Bx1{&|>SbukU$Hyol}Dbc<6pspT(9-xz8`M8 zFOlcD;Zh$<?{}q$rw&bv+=bSZF*$y0Wyv<-@QU-@a4o6nk~YV+Nbi8KSf2E~k}OZR ztKUdC52-Bz49!bZKtqm?ImIj|FL>q3(jDs>@K>x!b(-*%npp`ePYC&}{wBt9I>$Yr z-0Wb{Z^0|`-8UTC$ilmtMLX8v!Vj;e(7aiYj=$xuoL3%iJ)0is3$EGtbFGZMWp~0_ z6UUc3SzgQd1(Y!!tXeKS#l9cB)AybOxLM%b<Qk{4V%N=FiE~M}g+lJnP3Q!*Bfz`) z6NBA@K67TxciZ4IcfmVQ4|eK;f3_^zHhvdAR5neGE4N;D)xvSZscMd{G|sT!JdPie zIj;Q>b39qhdF_{|<IC5a*IxQUrmg41+LkW)F5~#~`n|@?+zqCVN6UqCw&*)P^%vGD zGI6}hbuj6<K*)d9gF@E@uk4weurico>wMLSw8z$lb9NbXPV*MLa=$&H)gM~<x4Zkw zYSvC(@bM<g>OV~jUTkGqeb+Cb#$m%Tdrq&s67gkQug&K0dhfI0+&z|5ezl189s&QP zS)}K<27G+ZA|2}-@H4E*RSp_zJAd_qW?-vkgnhL*C}b^o<qyNbAa=nkc9Rlj3Uj{F zQ;B$&&hmA=TEs%{3k9qPz3SC7*6cQ%6MD}hpoG2Y>OKE}KMYN#cJ__nV|@IkE$`cM zPDP{E5WF)X)@8%JqNY#v$~P2>_?Lx#<Ykf0br1Mi%hLVEKj3RIWD8j}i+7Aiz-HGC zSI)9*oi3>J`3iI;-QDTS`kutq>mPrAUJ1Nf{JGGY5QU6A4GB}<3yIjyPuO~2NM!%S zgx=pAUUrTfu6464P4+gNQ@Tx6V>kQ3s$z~)_0tlXf5L`K%9r)^ykePJ@4UgIeZi}} zEZu7rSNxN2QZ?Jle|gnT-h)BA1+SbF(ka$(yqnB1?YEiZ-(t?NAEu6nUvq}-n!Vs- zR#WIPXf5V$zEl1(cq*e@HRADM7FB-5h}RiSmzE2yDduy$sdljHy5N-k-3iU1ETaE? zH(We++F;J9e8q?q@upSsN)bB#0e?@kc+W9{1X|v|f>$1|jGAs;7t$$acf8AZu;{<i ziv5A|m!lq@W#KJXi+I@#S=-^w;_9y!vDPi%Pf(NTKaYS<W=&h=lr;YG9JG?wi8qhg zkisgUXSw?-=uj(b!71kjatZ_-kLnBMlqfkql^4({;&i-fFQBunWx=mz7VjR8tXs@` zPF<PCvb9_xB26E>wgWWZp4ue34;-N^)>l6~TB*GBzOYH0%Z5|TEUwpu)@-}S<+J(+ zPm}2+7S-=65!v-km)r%`6w5f?H0HRrd%}W0?>WqN%v_K(KVk854z2(F2@`eYo_O;q z#1ws%ovg&reO7J7zrrTfXM$J$SRD)sZMyYbSf^Okk#CpzCIj}JzavjL{s#?_eux(< zGU!=*q}h;t>GsVNnm@{cI&I0I<!u(A<!w^jmK~r~(<XIRnuqGAGP1mP=9?4_+VH*l zFs#WS1?pacrrUFW34pqn`JkoSpfg<_fkwA4F4TFlASNl1Pigta3C*wkK`n)+pf%g# zV$T!``ME7KKt=YFdFhJmZ++#Cot@e2lLW6Y%ddmS%v)MzzVL%OnDMEK?1zIvEr$Nc z69;#kJR}qH?~D`QB3rR%3%+T9mg0eqwTUwZRbxfjpl#sX+?F2iPal#g`2<=p2s$OF zoQvD?#DCDHf*ANffa6Dhcn?!PF_BLR)LzN@A{evOvg0ghcV9VZcOPh?;xnk4d!+$d ziZ`>>^28iahkZIINX@t{U)(=-NJd01O_BX@I%vIq+v<YiB7=Kfpox-VxSvYiuk5#4 zQQOoia|G1+f2<-_G{L63)iNXYkQ3h}P<v%AXrcUWP=_7V>#qCjUO4qx!Uk1tOO1CY z4$1U<OHyQi3Tif;m<XC*2aoKZaN>IfS`k?I6<%SUJqlXQ7In~x?-r=r-4h1d#BSZ( zYI!2}yc6HA>P-ghtuow}5^@I*$xP`3wQ7t()vzll?m^ohcDKS>3ZU)(MxP|W8|D%e z*<Zemn9+Rh4XCXFu9<KC1vS*n`&(t6^rt5Bv4x5i1?*`6HNZ|f@tp#nzmy7Ev7o|T zEKm*F{%>ACXZl+O!|qlY6VS9zmL9idhWxohGAW>=dfvSRt*ZcS_T1mpYN>I@0M<%7 zSnv`wSktESB;gMecX7bY9Nu+7e2YMPLbigMZZ&+M?T0<BGAhqE8?djv9C6~{uKy3r zzurE*&?l?Bf-&`G?T^=AWsZM*U%A(M?ow&t%%>+NnOOF{benu-l8NUu<+ajLL24I; zW|nO3@>z1UuxE+vU0u(~GTwd0p4ZlTZc<TOS-Rh9?m55Z(pPKtU;ldN_xJNV*B!5{ z+xL9W{rTtZpIgm5_P4l6yzbTK?KK~#FIb>(;?Mn4Vl4XqmX+$yc2m^Y-jwk7Hiy<7 z_YDuFn!Kd@;(kp&+<(i$Ga!|{iFK!D$+fxvj*2+_;JwN>&r-;~ZNafj7H>Vp6{VU7 zFP-Lm^@VfV-subOWi>s$Ue9njYXj@SuG@kpw<ae%W#*hE<GUei>Vg|dO;`05H41qT z&f4y$7*oV@aZ>R2GcuX$l{7ZrYo2j!*JsXCOF6IIZ(eYSkwse0HQ;4RQ}21j6-9yv zn^tqKy6dyy$AksPyqZ*>+qOKsa%)b)%T|`sGWCeE|C%k{VH_7HRWF^X{8N}yYL4%Q zN31Np(*)PlC_7Hx%^6lZXTgm-?S^Zw3bUw+39Q*E?YPpFC0pJz;2BF(>3JoM?Nbu| zuIAAC!eO>2o9p2u<&J4FRXNX2MD!;_g~s`ANQiFAdao2wW8Wk-Um;?XQ^0F!7Vr7~ z0nfdfQrD}mC|5uDsb9c^L+)rnSdFOT;#`ifI(5g%wVYvpe~27X)o#f+x$$v&-<AD- z0gr5&OmDL+U8fxJJD(+0PATHMWmA!~{jra~qlH5%*blb-76{Q2%BhiYoVs36$71?| zTjx2%_D@@IES_^(O#;MecdF8!d05#U+wv;Mb;BD!mapH`BK{?_@b;-jd|b`aE5)My zf69WBTuq_>odVv}HC>&rrm^wjV~KOKqJ^g1nwaob#&M=I$2HqDu7{IEowhu=^U~P; zmp6yjpO%EfPg$n^^V#rmFH5Kz3%6MX)8$p)teTE)S6}flu1S?&X~kE`rltO>D>lza zxGCniH=ASHe__YwYL2j)EQr%=qViRjZT*zZIco`LSS`QfMy7+W=BsHGavhv?UrnRb z@Zha*;VC;?6YeTH?o{WzHvhXyTku0u7HK_|6<_k2dcUi$_@vpys_v^8v&pGrTFmP6 zGM(`r0guC3yzjXLyq?eEZSNS6{!Ys$`{r4WSGo!jcHS2f1P^x2SIH=0I(X{7QpTn! z30LEVM0PhOe61IlA|bpc(|@l?%#J4<i&U4J%@tFOsE%$j+AXwZx4h#{WsYm>1wwwP z9c+6p9P*3x;IZq%AwR7THo0@IIvaU*bx*!ifU@g`CweSf?L0PodB{@QrylX`3^=Jh z^t)=E|IFjxa~9rp$`OSQ7hWhfO`WfvvFT&W<M8_)0XgYSSM41F9vL*5+WQBra@+82 zCrj!&g^2G_O-1DbYyLAhwx)BM^{jvB&8HYu^pf|o5{vYEmw=~#S-AOBSA4T<I(lAV z#mCwvRekjpS5+f^l{Hy?7uMN5ZNWV^|Ib>V*Q;l2P(Il8UOA(L<KU^(Cbi|lYkuw3 zbkVnLDOlbWYgWAEUv!hucEL42<C}uAIj-GH&|Ma)=DFeBH<qX0lp_8sG;z&Wz40NW z$?LxAjUBE5Pt94lf3aNs=e*%ZR+E%|HQV9HqHfI=?>>d7qSv{`?w6{Cu4oGARGT>N z4d$5kpU<(mn=|Z>spH~kj<A2`j+50n!+LGIGeu|nZ}=6>>Gj5O!!vgdFIm?O-#l4T z&nZTHKLif7i<64y&Q$(8n?vhQcLHCV|Aq<8mv)^`lz*16N0Qre#h%tyOOCR1MfR<r zmGK{ixGghkX0}>-%scDE7iFK8$am><#0kgepb6P(b8gEQy^%AT|5%-W{On1>2GEA| zn$KG};up3G>~&&a9Gh<UYQY~xu_6P@rdG=pb)ZS$b4iJOuYARdChX{HwaoZ8u~lZt zyQD<ETm73RH1{-#|2wY0acJ%A=67m3PZYkZi9K7epPSoK<4NR<=7$e;o-Fv{D)ua) zMvdEY#h;E=OOADO#2y|F<#@<td*z?O=Ro$4){!S1A18`EQ;<A!F!Yq;>!~_t9IK?o ziZ0v)RmAU3JMmS429SS())+YUwpwcZo6suLvo0Z#@6`TuMfS<o5i^=!HS0W4_y+1^ z*0+L=%Zc7>z<&1377qD!tpc_&>?>>2>|QOX)!?=~A#?nYOv(8KMfRQY8w}Y0o{l`> z_^@2(%)t+3VnqQ`8x7bWZ`FCS;8W4z&{@q1+G0fk)z;j_0{3UP%9z-lIwYggpQ^~d z7POe}B^$Tpi<o1FWKzO?x<5SBYI*U{>cw5N&w=bee@C1+n83?j9B`*VHfE_z&m^5Q zj+N|UMFuy!TP;_}opItzI=;bx{VQl6c@igg@r6B8T4k0@+ibx8){fiq#2rwxCVcaR z<~zTN3yYsE*w4yssgZO1kPOdvP}pr1Sk(S{LCJ$N?y^1oX^QMqwYZA~bkY>rw}KWE z{4mvdvY=3#yLbX<4ab(SjRx#5zg`2as7SZtE}r1Kc|!9&P+RaHgIJM)MOUk(#=J95 zd~Tq5`={LzCk`$-d`KoFe;zoFE*>rwkUm#)#j#eE+tTCA?Do|r>}StLo^Z@k<SxE& zZ*Hqh%D41HzFn$fMH8$iwpzZJf7XeQ3$zg7FsNZr0y<=H-|SYI5V`b3zNVdqcjm}k z5z;y1Si{I|nepb>AsH2M-|i0&qc|=eF1=t?^lUNTCG`yxn$Jb*oN+AE<hFbfd-RaZ zo*(bO+?@ZP(K79HJh)eX<ra8zUCgpG?VZKNKFc?qwG2y}vi4!}l$l$mZ9Jo7e0aIi z<|97Jn~(Uoo;{+o_^Qz9o*Eb9#b>~0=%nXFrYzGjKB@CjF`Ku3fAPJT-;eL@jDP<3 z@7&_p`|szMuagc<`MbX&>)`+Y-A}InpH_X-ORO_z%e^b{)}2fn4h6IDnkFAkKeT9z zuH)mSEUVu*2b72&%yJhL(HCA*s0Ti^bXVVkFS#tQZA?Hb^ZlhA47xY@`^mm^H9NfJ z)Oj`V8DV=l%&aCX_$0{EJ;x>BYfjVAYXTwpf;xrTj%V39r&TpA_~y-W`pm64m&?S3 zb+)rR{+-IAEdm{gJuJMeZ^}8Pj6Jgwrmp7fy63dv)?b#R*9F&nRB}9dLu|&isBR7~ zef5ZRR>zx%Ij&jHTkuDcMfwV-R-EUCi)UG;zE?#$w)DKeZ0FfKLYG(VYe?u!Wij2% z;w|eH@Y|v()lYpzwcx>`>B3j`cP6y%=9IGY+Hh&cf{)LP4d;ZGDQWDoKA4rSs!_*r zP)Z(pc$vEYY^zJZxEx=q9kenRnzFY$VXr&KssFPRnkAzXqeROUZfLj${M2RXe!{t` zZc4(&V9r(bGZQ*bb7cLSk+9O5GmD>NS|$AOmt}@N**o8IzIyMr;m~YODLJ1Fm)tp| z<~wch>Rs?+Da-1=9SeTQvSj~hT=3*FOZMKRY@X#`Y??~TR5fNRM!Z*O`XsM*<G~Zi zP)X58?_hVg=>j4D6b~wG7Y_MrdoW44>6IDi*it>mv*AKITlF2^hO(TN@eSDSv*C_7 zC-~S>eh#U7ZW};{!P$FmIOTR5bP^iq$Tyj}#+pnUq9!f)agQZ?&*TM9CbDGDbqV+- z(^Ps*V9MTU345bCPkrY!t7uyAsGieomZ^04>Q8zs-ETYtzRI$6%lZZUoy_7L0$vyf z+C!K8$^*2QY`XyX?3YrO(|_9+)aCFk+j{663-5H{HDAh_j<pM}`NY|@C|zjHx6-CZ z;eu-}Dr!{9AB^f3o>JGCFn8wdPpa&o{wrt~{@zrUr}y1999+mE>dhiO*Dv5_HcNMm zW5CyRmhQXG0e|&bylvbAio192ITg~h;M+Tv(=rYL-vyeU+W7_iH)#^x2iwkY_}P_- zm;5=U?z?U{HJ`J~&U?eHv_hZNKl)g*_e@*x<R44+Q}|voeU*s!MopjW6>mK7YwD_3 zy75A?>D0waiE~Pyp=;LG?Mr**kz?QV>b+vbBZ;P2^Hn2WF*V(qE~Il=ZACTv!J>MV z75_~Ra?Q?Z&orImzTwhpPN{T`uu5~si%JKx<|}H{*&UR+4_)Q2o<GCt(yqA+z8q$G z-NSJzZ;$P=t@mP@KCM@}kr3b1^<L@5i;SjI^VK4r*fg!;Z92M6eZ@cRCe>>~SN>QZ z44T#d@X9>r4QDPv2mN<f`^%nu%JE9xbHkzK98&X~H(c7zAr<ev;gmjSmz~RoTlYD- z+{+GZxpt#%!4sjTt>08MY84JzwF^(NotUs!%kgKvP)@;F$a1=!Ke|^cFJ|Y^`ad;c z;&hHxd3%+Q_v@;yn5Pzzqzc)Y!Q}YXm~&dBZ@_o0rl)m&0srlqMB7++;}s$@SI;$= zbBvqw*@91Po`N~Cj4jdS0<oZDU$*jZn9%&=BWOt$XfJzYdLmy`_=XA1UqGAYpUa6A zO{nc@wR~ZF+==f~v|2&&vxEr=itI<PgO+ZCcANeDt8>Qji3MmaybWmWb_Zw?-uXi^ zDtWVDi?SEooDEtEuvq8Gg1<W4#S?DUFs=*Y+XdRNw)6VN3C;gNr@20?1#O(u;VuqX zwP8Z@$5znDLN%y&sSFxf0FCS0A37vs^6#h<-y~zPN6*eUX4`RFdVo%fIadc-q|c>L zvx9Hb`?<eXG~YASd7|*&QtVkm0q8uIy6LT!5?dorIQ}#TZ3aK!#JB2yN+Ms<Y`sIF zryOgo#hxi_l;*a~*w@)==^+bRHRcK$*XII_>z_X)^8|Db&@b~12JEfz{;+j(1~nD0 z7V~-i2Tfh~mV?HQz2>yaxRj?R@@3_xD6)TSj+oJW477;;QwnI^z8vT%yhEU)@Ibrk z^|*^KM4xuz`vf`|kXiTG*^`ci(PGaMwoGZ2nerVpq_7$^K`#nk3eXQ)ND(o!Rp!ch z(3*Jh%@dlRm4deTnTZu$0G()X0(6Y=u8FY)Q=ciA&u*3ZG9BdBy`bZ59K@b2C}H8Y zl$d|wkW9$AG)4A{raEUF*B*7^djwiJ(8~^*&DI4SZQx-CN)Bs5yC<uz3B_$|K9?j` zG~sSDXeB_3BKuD!?qUOr##R}T^GS((ZmU7TnFU%0z|C#B;@<f~GDkq`<bQz%DU_xi zIeXF(ym2n-oD<(IZP2iOB0P?E9rv%j;`ok7tmp!0TSu!lXtE>?<WSHNO!mhP$%KH$ zcP`HKE}Z&o!L|m_zyfH?h7M@5<kTUVEq%#~>@P2a=AS^r57n03#TP*93ZJ}BOXOqg zhws-6knyiAIV=xed4K-WCO1$$Uky9;_Uc9*<;zE=bxrHEQlB@oy)#%{&M0T*mNN#? zj||V~7-j36KH>9m!o<|%%x)dw&n>#br^UAV7#Z7KHaeW+x=qB`dve`=@M^sD>z{Ys zyMMp*?)UG%p9jmlUvc8}|3@ox|9_8H`Tr^Z=>O=GN)sjZXZM=jdpT8uVd{3ph!p;& zRotB5-LE|0E#`Zg5>~2#>xnzw0p+>}i_QyOnZMPzZS$cp7T#bMZCR%aKP;L;{boB< zFFwg7>agR`i%Tn&x1Q$cTCWoExU6Z?cY!sg3L1OoCCr^!Y_N9IX3lG%J#>r**^Uc^ z*t8}r{>-6uokMKrv<0tnAw#SZ2Zhf2K=y*omVVcisk>Dbyk<Ow<CUIL#JiNHU(bcs z6c|FLot-Z1@ZNArnZ?zeW%bP%p5;HpShC-^1QgjFj5;nbCC+JsA8ZZ%8`og>s?(gO z?z?O_nAOz9FPO8XZ^5UYSi`lhX6h?usYK+|H@(VNk9efgG)rDJ;+0d=t#DzTVr9p> zyE&)57QRwHE1~s2^u)LJ*~={IUan>7eJ;4>lUdUub)hxi1e+d(Hkt183i!m<w6)GB z;1^?4={zNk-%1CoHr_Ru^C@5PMuL1(m%C6-36tYfeqo)WgDj98;8)lC$acp12kdp; zaO5wG>3^3ESGZZWn%|VY9F=C>w5wWBr`*Ev?_<s|4M7u|`3Xz)g-!PLB}|nU6xrLK zu+?5jq<&sPZ}|I<rwR^&56JcL0i9XO?)dUB$F)eGfNwrcU#AI9`7d;k&9|w`UggG% zxTaI}3K35%n^vvAH91`J_N)cJ@>slOyaJ5<H(YtivUR$UPVrB$;}Vv?S~tgP(r-q` zzttRJKWrTje`V2j{eEbR)Oodt)bOT7?80ll=`}rCF0|&OXVawVLTgHO9bcLqw94i< zW#_lyo-xZ)^IOt~Zzi0EHcASouT);l<M^~(NGC{T#V^jLqk4)f{_!@c=BcjuS=_W# zPIZN?QbbyFt-;z|(E>W#jUE5~WYON!yx^fw(^NaZ3qO>aLZx7%>iP4mf__Uner)Hw z_CwpzbE)Clt!C;PdzBAb{T7~bR&Y(ZkmJvO!JGm`$D`|ob4rvQpUxN3`J~`@b-#d) z*v$5aA@#Ngg{)y|?YEz-r>t+lZ<nUjbBZgXRU_VIvwY20h<K>Z!Yi*3@$x)NZ@fyx z)6(BXe_niJS-q6AOWt+EvELkC^L#g4V`W*oUMb@FFKFGmx!hOw<z4@PI*$zpxmiTx zcgru^da;aU>UXt>CmfJd1$3HT%~y<A<QMSSn#KFBXTWc}rqp>VD}LJ?ELwk4{_x8A z3K5CWS+7qYHmp=$`dz@pZgRp@e_@fmlM=S(3ybV;PUw|$JgLrct*URqmt*V+QLl4+ z1Io&qzVfMR{LgFR^;2IFp?Kp(QPZjWN)ahn!7*BJ@@l-VZ0B0l761I3RMXBL-;%Yj zGhwBOBX|*F--355Sx(n>E%>*TMZ0G5g2dSgOXG!1>gFU&trrlPTdB~t`Ia<f58VQ2 zjDEVYQhBYC<IB&GbuFeWukU&V{1a!9w($!1_?|^Ncf!FfTE{uXW;zFab!a*&CirB* zKhXL0g`lz0I?#G2&>H2pvq4MD%Y#_LS27%0U2>roRD%`OCo8ho%C=-1u(z6Tn9%&N z540Q})Qvj_I=LLwILMgUYPq7e5mXF=W`f^?4o%Gxd$!=K0%$jQaw4DAMAjXamM8L# z9g_J1+CKjCFK8jaMNokcIbZHR=rp#)pxx9zKZ9BeSz<*2xu8SqcSoLZ{96v13eE%_ z#lz2CJmJ=tgt%?Z-@fUbaZHl~9a?|%kjxV<(8f74?&5$SpfPCB`D#-@lkLaKL2kSc zD)Ax5%h{QNj+d+7JfXSbjX=y&=vjE63N^|d)TZGCEpXETorR|ZIty>}gyuixpoQCW zK}P{di#<#Dq5?Wuzq{44Bd16s&yQ~vXux<Q=%ioU(}!eK`asJL!5hsM{9L%Q-^yd| zc_--Uf}s5i-}%IzDSXcct#1U4M)yRXI9Twwb%$4Rz^%4cnVxdcVR+o26Z^n(>&>7L z1yyX?5holsojfG7C2Zq_<}Z6ew*b5a4a|e*%-?JV&1BCml>kkaM1z(rh=8WpL8sAz z>cOX%K}!dN#EJs;_Ox1dtOZR!+>V^le8g7g$pXuSM7|)<_Q2{iG4pgjqs@^g9Lqt6 zB1Ru@;`?<RG^QU6YG;7!e~EeKprehXK&KXfy6bt#(8j`BL$M-(deBKcITaS`g7_vy zZ!%zCYpL@@VQyj~pH(;LC;(6!r(BQQ@<jX@&?&SVCNw|N)j4x;#c3zLQ~jXR>Mz1Q zv`V&dwF&!1-G~#8wd!Ke6b$FL%9!*gCh`S=R)Xw4b4VsdFG-R8?o-gnz8YvL-dWH* zNs=P_VNk0l^Vq~4mX;Fvpk~4(ohJ{b+JBh+>!XlU^Pg$ZQG3YNx}$ftE%7Ol+BtJd zTgs~?Q~j0|8<-!T`PiaNW{%~Oow=SqL9dti9J-Sl6!j`)`4Uz0#goG>NzUCeNoV`y z6wl>zRTB5ze_i$N@9*a^&q3GMy#8KSZ&yF(wbjL$n?);sF5mVQJUzev&-NGDpgU~l zPCuK)?zf0RB;IGkF-hT!_1|wzWH_XJGBwyeDjafHtyj~g+NQ7b)HFcV#O$+`rTad7 z*Ldf!;bI)i)bHxx9dwsD#8wN1?4Oj-Db1O6&vnBUW|pn<l_H)Ug_L;(cW?ZgajodK z;FbH66IyRV7UFR^KK=|j!M>>Jsuj!8aN#weg?Qo|*KU1b;934<8_VlAjsa!%;Qe!; zlS}VG`x6y6uS~qi%Q7`zJ>p4S)2e=9oo&+={5r;-6y>eLk@e1R!<Bt3TR{_nLXLNf zIj8MzSx`4Qp*5OQYMoNV%fO~%*&Jav4ZgpE9!>M2$5XK3YMH8rU3WsSjpIpS&TCff z3%(p>c^%^)@J+1g>pNAA|Kd%&^VC;J*mi_l-s)QLX*Z|X&GrSa5?Q)+Rag9zY*N*m z1zOtNG<Vg{vn!QXnmN9$=9pGFW5K&(meXs?4VH!0dpC*RbG=~Tyy4P9mfq<CYd&Q{ z&adZfdSouV=A(DhB!0m)rb-%n-ziF*vx@eCPWIoeeC3g!-}H%HIHy3z@u<IGP6?yq zQ?`Rj=7J%ASq~=h3x)i*J}6`*a(UHHwWg(IN-Jz9C(M*_ynB@8bnT=C|BRYM_t`;a zhUM1HunPKR>G;_0pjN%IhSbdN%(dU#njTpTt@&uzG>Khc&DM?uUtY4j4&gi%=epru zFU!+<w+#oGSw#PPZMfLYGWC9e#PZ%8&|EH;LQIjVZK;Y``+{GAEZ%dx0zQ8RA75W> zdC;g_=t`{bhC34${NrZP-cu*H>}o-D)6{$37b@(VLi<!SK)3nKSJsGAk9fQrI-UMR zHrPGtyYQ5{ri8g$SyIg_pU(d{(c?qlYgLX@``Z(mYdN*#95-C_WSMI3z2U@CmeBpq z8*b#XT&-sDws8yie4oWTx5T0?xSH*t(Qe2xiEj(vty!tO^DyVD`5qgbS{FP#3SOA@ zQI}=)pSA@rlv!5)?OyPM?X%%p(`{-RGnFE;<(n>j=C~H=74R*m>8qX+cum?ZsQVtR zU*6Xvr?%pcf0OGqd#1~)eu*_5EmK_aPq9h$na~v-$k_tioYQvpEqHg9<@DdK1^=8` zwEr|Oc({w(V9wM>Ea21dnqh4d{+U)m)_n_p%w)-~nYrM}R+j9$E&;o|H=Ju`Nu946 z;qRUl_4K~ahJ$`AqV^6O0{a$xGGytV!nvxhGht&k$EyDg37xyagCzwg&)WOQdfGY% zSZ@-z9F<nww98sZ=X+h#FLr@71tyM%FLQ?dQgVE}nj<VzP$brK!>#w6UG}~kj{WEG z$}1CDo}23*P^I5g`V5xdbbVxB&h-lT$IT)g;~4PqH;eRL&w!uhEZsJ)0blpCbRXrK z5%zVvu+Bzx$Fu!{I$I|!_;!-z^c??y?S32XeCK#|-*LmCe5lh7t1s)D^3VA~#bnnR z*R*a6h}>;X*sA0Bk(DL8rfI>GN|xdayFeqo`Jf}gw{M)#{H9jtjN^OIws!f=2JFqf zeaHNsF8E;v>Rw87TWZu#0qyddFLLmA0K>!I1p$9SJLr#qwv&Hd51O}D<F?HBH>K6m zV{MWm`&-bt<Y%PBiZ0wuFkE*@=E*(K@u`|(&lY?T6e}{AbNrCZ6wunW$Dqwpr@}$| z>_I2*d=nNc64*bz)iQ$zUcY$Qfm#7;zxIR1<EymAo-NqS&24$2Zbqx+i?gYU>^~oa zYT`(pCkrBy6Zzag0|TG>K}W5FYO-JHV$VQB@s=z0zl#8`$2;l7H|u>$B43asXm%ZR zdYjHn*zq|RY>Qtl<|~R%RAm1Pn$14cA93Q~hSP^+ru;kN#CPocCIfa+Yrb$z_KTp? z_-}!>Ce-AMJzG#H0P13%J|wf{6ln7tBWOKdTdPb;{fR>|UqC1C{Imz136Ku=lg7Hu zEa91aZnHN}XfB!r3fB}x_N$=T_8XvGfcDL;mL59EitJ}sgEks)i4|P{9SN86OXtag z-zMC}6F?K(U(B^X<;jYG8cv5nC-YtUr}HEsCLxheYq>9M`+P?j_#yyR(CWq$hh$9N zB`LC>WC!i?3kDsDr^H=+p;NYh^_1o}WuSe2{$fQFKu7uUh;5qC{74gY&|HmJQNX@A zup5K;K>Pd7I`O^o2VHI?4);$6WSf4_?M)M!zkvqy)9gVj2M-*QdEy0Xf`QI1*wND} z!vjieUf?Alpv3mHP^?HG7Id|dnH^}K{zn$>;tMzCw#uk{2b~81+B)|Ov|h9L9lRCr zMitbo$p)<(Tnk!_Ckk4Pcj}N#&$SH`nm@IvKhiqun8L<wx#HcaLo!FwHcn`+n%8Ps z@pM1S^7?=Lv*!E6Aa&>e+}UQZ`Gj*)*22i-nO@U6+k3*)rs;m_NNu}0DaUWwxs8=c zpoR7`B2$)CWK4`qTJ1Wm^C{z2)oGHc-X_MUgl9^orDcEl9eaKD@1Kt=*F7)YyFcd6 z_xHa)T%SC{+<)TN_^ofte%$Z(sQa7W3GUGMUYk8D-FFd#PN^oO-|hqMxAzNNsqaf@ zeZeVxFS`2JliC)6J^Z}e=ZY{!WSTb}+sqkeIcLF(k1VU_ItKg^YBF7?tZ`E<;&oip zC4S*GpLHB>N`5x?kv@0ncH4qKdMwf@99nW-8!i^IO!Zfecmg`uGmPQj?|`qfRw{2a zWx4uZEh0(Q@vPv%AnExXnOE=4N|-s9^VK`|4R;Q*e0?qiyMs=Or8iu7O_8|c#deOc z>RAhRcuAa-iiR#*o4k2h-<EHx8grE+o<}ro>gKo>?HKUStBE&HX+;J5LATnbQ~pBN z>OFK0Y+04(xZ#FR)2nJ>9mDno&kR|-byZfxszyBPg0xL0?Kbz5J^8n1!M|n}?LSi& zJoIMK-uq6a&;DL#!c-H-i_IKizeOBBPUgI3F@3?4r!3jEvmx^t4gqBz8}4<pJdLk5 zYTJCUPncsGXtCd;-OKxW_*7Q>@oaJx69}<wPuOVX_!T;v5ig|k)xz<uILEY`Z$x}n z=QSnlEEl|D-;mJiFJLmqf5WAlEWP^55l?5ss*3!XRza@?Me2JJdh3Oz+$m99w)L7c zr`LPG4d?!`r1q;s<jXn!<T}VUT`1%a8)W%3>p`V{p^(4q2a{&c>3SG)zb~N^v<eP% zS=rBjE0tF&b7aZ;Z#XlPrBq)r;@xYOueVvW|IAtN@Gy(^-?<Au_JB%j(5*h&;9Gs_ zBo0csHi3`DGjx2J&3P@-7`pT7+q{*^drMiK{&(AO@K~zP>ZCadi|2BJuVHiMSXDPI zVWTR`RehC+M_Em??kh*E@(%c|*pxa?amDJRkb_|CyAxW!LwnfmJ69?%Jud)W8pg`v zx?U;b?QWK%?^PlmZ)Z`RuN0xZiRH4^Ue$wE#+;|#Id8Zp)AVV++KmK7$D^M)&2}{{ zDC$m_cpEmZ{tt9C9cR<4|B4YwpD$a~eb#01e(M_WTcIhHi>1_GE#jR`)352mYd**} zwQUz%^Ci3Km~&I;Ki>e8GOM=Dw`Oy8z4zR3tQ|VRbaL_XzAa(`Q{wzK_|0ALh8NO_ z4QG+I@eBAE&mx^$#<nc9lD*0Go5G4&su6EAnqH|3>1^y-@N7EAv|aNSeA8t)eFxTT z`o3|c@=im?zrN>>YzeCnc07ESGwhdw<6~vcFw4dTFM^t`?(+!P<GJBjKL_}1<i#vY z=c`AgPu^@WXVY!rHPe+e{xdf5_RRn_HxH?b+uN6>l&CpA)fdp&)V1IhKc`r<cR;Pf zh9ku+rty&nw@kY=ZNay7ET_+?t*DkbSTrAUD4uCk+jXHeUznSYeHU2ssU4aR7N7T% zUAZ?&b6IGObd%{lzW^iW4c8P|mi8-0q;opn?1mgJ@SW4_g;2;J+k<ZB1wyuMHk{+S zO>M<5v!<ipl)>9opFxMgW<Oo2ymBhb*7ZseX{L^RyUIbU$3Pp;{srrtaZFsCdSiwR z&-Ro=J}*zu*(~j#E$mZTWn4gOtFo4Fn$Y}%OYGT#kEUWp6ZUkrT4sQjlV7S8d$!>7 zktG~@bD22w=Qh7N9jyOq!S<h)H8=P^fp(j-Rd1Zo{0LO_2R4GX(18Y|LF=^sf+mG` zPXyg@1Dds#16_=^e}e)0O3<LO^)?y*b22HQyU6a^f{tft2Q3@S5PP<u;L;Kfy>+Yt zaWU+NpMtFVA`04W-`Hxoq6D<t545cOTRNzdIkD9;W6!e~8!t-_(Bic%-#`n<K-KBF zK+pj#Nr`+_rz1`{{yPmileP-9&yN$d&whTZ%#{B}4#~L0%@8?wG?d}t(b9n0uay;3 zo+(TN9Zd@gG|16-k3nb2*ugHEo4#>EbKc}u%M*WRz|OC)2c7r&9JFR|^{R@ZB7=LN zTk!TYx5~JD2OSDfDOMyfAGB)l+$@oUN4GK@>VB<I`SMD)RR*ZF^b$0}at?H2d~FMC z&gA0fj~ZtWCPa%B1>EUvwUjsuI>7HRs9p0>=gER9Hqe|&ORLP4^`N~Fxne~Z_DpZJ z^soiZLV(&SGbOdnY<50)qy@SX@I{_6cvs+dZf?sLphbg+7J?>CKr0lidRt|BK!?U_ zUEeUF`Gpi{1Eo2)We3PuDP^&u33q-l{S&mx0PSqQWWCvdeePdSzdb?h*#h(Ftujx< zK~2S}la+St5OXNdeY)VrjH*EB@p$6g#R8Qbtui6=(-QfTqB`9**}tv@9rmjuR&?PG z$hA)+PB{LK5PPPuxuaF4Bz%Jb`%ciA0sleEHVW9eEhWBz0(3HHEuIl*E#4!ix<_oF z<ZyB3WM#)hzO3ra6Pka>fLdUn^NsXU68WM+#fmmOl>f7A-(T@(k~6>?>}u~oj?JlG z;;F8jS#<fu6wl0;N0w~yNLRlUWHovB)D)Gqew$RD)paHxFP!nXpiHKH=lm(xI?wre zdFQ!Z3NljFouq1h+0$z7&%bhezwP{Ias9E4{QCFr=bV53eebvHQ{#%(DP`{mkKXG} zivPX+i#DihzjtfeTxs<{hEw<aHr#7wc{*R=#sk%+uGweezw5K}Z@uW#bSksS^_%L7 zDv5(hpE*{|_uO#g5$6{3iqstpP5;|xT?ZY|p|;{L!$BkGrd`<_({BH;@mc+^j79s6 z(}e=QgI?_dCVGNvimV(jS{=-~E+i7~y5ZPg7FBumh}W)7myEL{&RKOr3zyBCm-T%~ z6P{w<k<gsXsWs1Q!$qa0Q{looMbeH}<qjtG3x?D;CUo|SB}AESSB%I?Z@N`2tW&H2 zUO&BB0K5S>6k5YRJhf7JX*GwGz1N0Q#VoGoHu9IFa<!WtX*QXDQ_`@Up0IW?r`LR! z4d<AeHfeKQ%N7PNpLXZa`ZqaYF|SyX#nk&Q8&3E^tJrXRlTwv32FI^T2ZhvyuGsV^ ztbEF{HD58}nP1Z`eqo*O{7t`J$2VlI6;RLEp?uIwUL|9X{XwmG1&u1EgIUjoMB?2x z9QzKdE8bn1c<nt0=pfTRvBaoUbM=UP_NGtr3O5wo13rFck(Tuj_-W13t)sf)S8UVK zcM2>1MK`I=Q(7UpQ{Zr9mT}Xq+rm1Vf3rXa|L^`?*7wBDJ>b7ZljuI*3k949y>y$7 zZ5M_gWGdhEXm;F@kJ9<78at&AM*S9=q9?G%xPQT$NS4>SY8v&-2iaCbdt*oA{beP2 zIko=JPM8=W3+=+HuJ{+&q{^oXJ7FMJJ>r>F)2`JV(|(IO{yoka_Cwt9u%vjhg?6s@ zg$l8QS~sE5xtQHwc4h6%1wS%bvST~~K1nrg%~RH>l|N|JFE~Y3c+K{UNj|Ip%;q$^ zF>}EqcMkCCj_DlWt9@8mx=lG({qIQVtcE)6ti7MCr>%cL^<i&=wW-_GR?Jq4cy}1w z7X0Aa)TS=Dro_(iu_(*xKNA+bxXZGdm!r$xd&9BS(-SOIx2r^Ct2bS$7Ftt$02*pH z7ccAk;-{h!uY4oH(($OgKu(Fe<5PP9oliWDSHokwGIh7Hf-m=(sJP;%XVcO?l@+y2 z2aP^+zS2{Uc=wy->uwh9KXs4|Yc@4iuRKob9o{09@3-O9ZO*QDjvH>Nb9Bi&Zg88q z;E5$mwyvs1t?@yta)Bwe9SM7vbDUbgUF7i11mmVI&!*lo^%Z{#n_T(kJAhZy@BH<3 zr84Mp+IX)GM|@dK>%BIF%wO<rGRx^bJ^|&I*^Zyz+q>Xj9*cHO&w|9pgr(~RP3*c8 zrh0R9-SgOR%b%mm-ebeDcxb4doW87YOVVkBwU>-Juic!w;Ef&2Ygw;=f2&!f=Qsv@ zOls;~r@Z2hV#E{1rd9Qd5jnh$U$4jZJ=B37EnwQd;M+l#(^J$0z!yZnn`c(4QZDHD zH=85uhoR%)-yC7Tj2#~v9@JVN+m|WoE3oF9T+^e?oY#KvIG#*~T<xROR62_#HD5L2 zeO%M0dZil=enN-+16l%V&WcLhm+9FCsx-<$C)DnZJmF{xnr#IgX8Lt9Xrvc(4BDPa zpbk6e9GTsbGn&tUrrLjlrrNvL9hg12`QJ3qE~;3aCkZ>$K_}Cia9c`%4uT8WXR6uM zy_(@r^(%#439lCNWr1e?D`tbPrk&I(qavS}$QN}UG;q!q{wQ=-^EollvUoqSXA7n$ zDzg6sO<p%EN1kx}I9un;!3;ZYOO5z*hh$vxKu5^LZ!lor2x<b<9yuhVa&3Rs-PzpG zM!;Ef=m9M!ocL~4Z!ln=`8V=}<980w;5q0>e*5-TOAQmy^fhQ!_)D=^kpXC$d&Qr* ztuiiGpYShiz9kL1K?Zbiz{fhVq6ud<8nCZD9C^a=SB2QK1;yV$%?&1wbxYgdqz3bs zLMDen*WvMiI^I9bLF4?OeSiD^W$nvhp9s1n_baFyn`19l6!0GuY!-d3GA5uy{<1i^ zEi>+)I3$yjm#)ZummSou5!QLK;J*-ealnlyQqX$rFr*&y%7nGSWR5xUE&2>P#(pMf zv)_zX%Z!-wPJEL<;{ex~b)G2LrX=!NWk;NFEPI^&)A_}M?XujKC*B`AB*O!`8s?EA zXz=`q6W^)jph*9<gu}j&nPc5jnUM8!t5!7M&=M;O*gwD3(!=JQ6W=V*4aF-ZuRjbu z<!F8IkW31wxBM;#bd!t#x8)1@)1VXbKwBX|NBF+X0yVv+wpy<E0&04J&R6~hI&we1 z#P;4C%Zwaj_<gfQ&sVlvWxPG>#CHj_QGPDy(w=Rgvw1*+3V%XDop#VBgL{V$$@Iv9 zw$OpjtUu9a{vh;}V=3sIrD>pBX+a|zM`FZ^F4)X!we*;K#EI_~H)uIPm#1J%47f>n z_uCa`*(dLkHs(EkU3c>R|9?O9|FJ#)2=3nhfF60D4c<>btMysqRvjbnGa)A4KE_eH z8_%4uNthY9%_Z7xChz$fGgD4SoL1W0*{&&fe2(87^)n$?d~}R2T{AeV;lK9c=a}oW ztLt;F$6f#ZJLWoQNB!TecVthCME=*Fck5Suz00T9|EK-lKUGKQX2#x?|6izZ9pE}I zU^1ujW!w1{*G%rFW7a}zHi<i4{K>Lfl(S1;CE{^T(<E=sYrDD@e35D@l~dICZE~>6 zI7-6rr^P|GV)YC2EN@0|9JC9$Q~c^ghMeP5Wlk~6i3?uMWa-vbTv4ZbP$;%(7Qe90 z*1iSXK-=qY_AGcO)AY1VeZ_p`h=&DDZO4Vzlvp`F=H?9BSpz-O?8U2<%3IkvyVk2l zC>L2S3oSA`7-cOm<z8>XTxQNwa&8;$F*SW!E|jxj#)72ogvFsOQ{@#Ro@h3$(ihY* zd(Gt+4eG_lLQB50%**<gaItLdSB^*%biB*WF)i9HpkDPL*LOh^8KE^_m>eJbu0OIR ztWw+YVk}44Zv)4V&YahNa5<g~=D4;>c*?$(gt?;7+CTmMT&qjfO$+|ibC}&ov0N5f znBCM{rnX{^YQz(Prd9E35pPnOUfHWeJW6VsWiFso%J2C0IOjA;;VXB4Xz`@)+%9nC zerG}}KXhE8ogH)$UFU+r)`Y3@0wTFWYszFDKknwd_Cwk6<a3T|RadXh2z$Af<C>@7 zl)LQ-dz(2<)lW=lp3I?DH$P!<H-}cd^M(_1p>7Lj4|WILCSIQ^eK{)0yJ^;Yg@~;D zrdyvmr&-Qg@Xo2}X%@@Z=|XD?OdSuKvS|NpT=4NS%j!Lkq<EIUc*e4N@7x6yZ3(@w z``stI`^sj{^$7T-(^NW5U`njthPdeq{=DZji*OIPxbEl{vs*n2KHcUJyV<<p)o~6n z>!t-&GZQ+EIkNsuNm!}Pk+ohe;@LuI^}joNdEXPWU3`~U+0RaBRdGCgmm}<#hU4SI z9AQ7L94}tx2>Z?H`0+HywH-4Tq|8rPyPU&ozt4tqYhGLCmQ^=>EmPI_FWAJ(1#Qng zy1uNhM@%r}pW#8J=|UlUI}<kk=3MoEYC@+tXV$U21CU;<9?R)B{sG?unx5|S3ivP5 zBwFWk;e$}qR4bO=_o@+3^`U|0K7YPdQ1#p18DSrDIj&XkJD&W@am}h_K}l!A+{v7$ z=J{{9ca-I6zRHaUa!p<JYBw@`0)Com8_aPPVhO$PyWz$@maF!z8;)#*y6xHa<$X)e zDX+Mz67j66X;-<h&Uf{uU*`qae0asT>}r8_)6{#e7b@hNLO-#%_A5rbjb=FtIz(2S zMRmPu#B0B%OZ|dtKEHvrOkQ~TWbf7GJhgvvLi1iu@Ol1=IkoC1Crm8nSoLpC!bUU4 zueUj;RZLm%%${S~tV-dw;OgS0)H0P7vlS!W3A23dSBiKj4RzYX$Y6Ibd*zHha}uWB z=IF9>+Hk95!H=HM8P}xpRlv<)TMn=D$`Q{wnl`BmtSM)6{K<BZEnFaE&(ws)?HpSF z=Ozf=-IKT@;Us82=PNIGKb_)=e|1f&MJ%TF{u{1*WZCMk9P!MlY1ec?opNr+zjg<? z>XkEo7#;Llzn`^y^~Y~4#Q~M_+?E}3Cl1M60o~C42vkVAl?Jhxr!%sMtFoU2ZO?*? z^M`|OGY4(S`vyAs?Yk1U<%#`yrl1>YLHCm#HQ_EcxCQDEgU*?E0ZkEKeGi&y2i-67 z7qtE@Nfk7}54x$87j*PVC8*o>a_ygq!l1=wCEI6$cI;26*5|f-@&5QB84<g~PJC^u zpd)%>LEFRUw_2|FGpkj`1=P#idLJ~v06H4=@qN&ls-DyTbI5KH0v(PAI$rhWbkKhL zvxj89^nu3rL08H&e^zt-A#C0NI(X(|crfUk7SJI*)u2@Yg`hQrpw8y)AE5p1SMGzZ zhc8P~WIxLfI;f=?<XQ>PK`n`j?03Nj!0LlW7@|NU4C36D5}=)dQ~sTF;yZTHbcbbe zK;>olXmdsu_=*6~iGJX9dEyZ#96zam*5&bm*5!fD(*+&UU(F7>^A~iX%zV&>d*l4$ zp{E=Rxj{20%G{P3??Ly@J=;8?Ics98Wrr?ksvR`#apaOGY$N@waNpW1j#<3imKmVc ziYfEb6xr`emV?gd*E{FL_Y36eL-TZ=Bv|x=F1-P{Regg2`&47lq5w|r;(*<gT4j!a zjtqR9C{{Ef=J+9*EhXxCetef^gJRthw4?&E&T%(r4W0gR&@siJp8I1^E35=`K^|xW zKDZSIx(fDHv(6dE99?e974Gj2h0ba|k^}0pH-ZkwJLbf93skSqJPbO@4|H0s_0(3G zFXEt^@veaa4!m3Ma46{1zN&^+nI6y){aX5<BahbXuDr>Yb$jE4<{#^H&Nx115G$IX z1G=B*G3el5LC~xT=nO~Dv5tGE>O4`Xm**}vkN{0ZaO<2o*aqHaSI?OG-24Tol80}o zw^Tbh$wb!5+GFzUX-8DHmLzHDPV~&wym|7j#>`8ZrIS=Mcg9XyHd)7Wa>_d+PhCxG zzT+}|n~NXMIGnhAiR-14kZpE<3eSD5j)Co`pP#;^(tqOD`mEb${{6R~_~+MrxAhSx zl_v6Dn|<oG{~`vRP1jj&8OLcdad3t5&$1G-p0(hYCX4qR*MQA_8?KnLY|U2(SB_U% zPTTqi)NgLp&sX?yb*;hd1rOy|v{N~y<XtzMI?3YtTzE~Hq2tHZoY!{bg1bC*!K&hZ zvYBg@G;Gx((l7r8Ez9G$c6;uEKmS;yWjq5ux-|7_u}sxhiCE$p@Kp?acjmsPgwE$2 zS$Uf{4o7B1H{H@~N`=iR-my)3<?*hf>DOnDFpK#M9!_P^zUy+~hg?%A8;k3F)rj23 zzYW(uGUmK?qj$lRI+ko%Kk(J{=|WT13a;7Su;5QGi}W4nHRXDCR;4MRg?VoL2~piS zz5%7;O-GGbuG)KTI8w-By5DERl}eVa>lGrNu{G_AZF(xFxZ*$0L9T6}!%RgFdgZHT z?9o4{B@aC=+_LJ;m5EU^7yQ`ClKp4Kf+t^Dvj0w8@I|Pp^qSC=yAK>8CAB)I*^TxE zkJ>rStlAcQ0(D{A7rg4{5Zm3i;Fmndv=`8G%xC-i$$IW}38?P2JAVF+M?iUg(^ET_ zfc*{|4vBM0&GX%GiJwynw0!zKXP2H@#9Pj$NBTl*1gkj?Ywlz|7*#De#coEz+{MrV z>~r(1N|%(2IsTLv$SL4;Jj!>_t(!y3-fzPRZkEva%`BIra;%$Py;q5N<j^#0zCy$* zmw;-!gGSQ@uI!zau=Bnkc(f#07&0I6u;P`+%d7m!QN8JcYd-NcEn*i~^R2Myk-FfT zkEKnM#0A$}Rne%`K4|q_XiBW-hI_><PvgIGv~51PjYagn--e4aO{XfGT>W4tBL3RC zQh6gc$EyE537xY!v;Iv@01cPSSB+5HC31O{_2h(|+Xb)O?@ws$7c`mU4L-#6I!D+~ zO~;F72eaxGHTLx+^zMg-+GJz7qg$@snzi7|I+oWd9N>0fA<NVKP8$wZvWV6@Z2+zE z`0umfL{QTz<)))%F>Mb+>X{D;SqopO;XfGEE_B6iTEa|Yco){XROPzDihA3FT=hyB zJ6aN!F6WfWH#o2*tkTx;;#`ifYIDbrG6$vRD{53)9gKP|FlFDIgt@OdPTh0e5I29p zpI{d0JtmOF5_<dcERV~-^#}l6Q7hvV@bxlF_guGty<QuRq_UXSyKcBLmu0KCu+HYT z1@F39PVX&aZ40hvZxX%4!ppA~@iLgD*IzZ_X*8@&qCeLv=(nolM{AC2JLWHVG9h8D zIEPpMKB3D|>DEn~s)g2+D>(l27s?4xTJgiTsaH>N#UJh_*F5zVRq_XuR&%cUKRcoG zY}j6#m<>+^4yl?8PgY{^e(M<Uo1rPSPiaN9(7~en3M=Xb4|3V7XH;k(^s;R_rp+1l zQ{3^Qul(cCYxi6NYRa2T?HmF=c{FYHQ_zT2jCkGzZp$6?o4PmamB;%u@W~3G@sfI> z9Ff@G%+Pyn2@^#euYMQSDPwWudo>?)_S|RCkvG3VOSrv2$K!1_V4n#(bfz41aoTTo z(D`T;GSTMjt>%7R4=f`X9u^lE+$jYuH-8VhD*Q0$T=R*oGA`#+68W<3gKl&K9i{tt zyUr7ZN^|bw3sI+>_%6K$ZM$xBj#(;`0vhzrPX}F2s}EYkJq2_*?Qzg;=Ag@I-)}Nt zpQsI*0fwwLuQ&c0$i7jJ+j50HsQ#UosL1YX3tF+Ya&5#3$7;}ut<9ju!Lx`N&3Dp4 z6>y2zvjrbyLARODX|>e&JF8Wu=Y3KlpBCskz89d{SuC=7_T=Utph1Yox}Yiyyed%T zJLu^7Yl@(mb{_8H3zogDGAW?rd%x%<E3*F-16_MFxm89)J|&UQ4b)TqxgWH8zgxZX zCf}-j&`>mJ)c6;uOYQ<XN_YQ(Lo!Q1E8O3LjwU*@6=V^YLd_1oUFDz?2;MP@6<v@A zEqVJ7TITr7A9Mj-W;Lk8KDpI$1?YO)Medso*tZ5poN)Z3Blc`TV7emvNzl#r*WQ9! zG@z6G)}$%2-vrGL+)K+YEQU_9f13f{=r9Ac``}_A=u#OY?qY$Npi5;yHR?vta{0ez zpp6C-T4hYaHyW_7G~~9-$p2-s?h>@a{!ZtNV_v;j(FOZSt(F>dPB`(kfes)jQ3Nf> zJ9<baBoDs6L11q=s6C?%a;c@*vjrPZ8t?G3^vFAXNM=hAXmFt&bn4(iC%#Rf1A+Ed zg6d#9P<T#il@ZAYE#LnRnq_Zjwd}At?ZmfAy1$_KnS$BmR+%g1plOKTpoIrf3=a>N zUa$dOt`r11_3yV2sO^=k$bJ`;+TOW|6<yd5Iz-v#loMYYD79U3dtETK$e<5&G-7PT ziG!y>C+DpH&uCrW!VIqHcYycUecSomW%=Z_sZ(a{xaM?L<ul`E(V42*x*r!FF<HEn zcXQ7){)}ZQGb6GC(;gY8sojjy*?i*62SX$8GbT~fW-MKyJM(hq<(<pEJl=Kh&hz=j zbFY8>_ix9$-{1c}k9pn_oGp6mPw36U>C^YOZkk=s_5VJX7?<zOoG{B85!MASgur*w z?VFR(yPCu6p2LQ)YL>H^i~B<v9{2m&TNEz&EaDhh{cCDrlk&CO^A`NcW05|izM?|o zpwn^T5IrHCO+5=<O=anh^$+;#*Q6RId?m(t!<8we5`J%QvYbA``AW`V!yQFsj%hY+ z3CXYY=UD_<OkMEsCyREhUjXP@lI_AGZ-v%;Q*r$0%aVPjs_n7*bHO!R?Hymbvb=u6 z0l%0|^+tlK<I!kNv8n`?gOfxLRGfTzT)of5Oemz+raM#DZ2E#<bu8XGDk~~=4hDI1 zX3h8BaONmWX}EySb)^;c@&~#41x@ZaY`E0(Rl@I~CClnJ&H*Ki2eXd%C`5dcIOg3a zuGVL=&nMuMQq$IT%HToQ??O}lnjfrkZu)fFrad!vgR$e$?SeWb298hr1$2sp9IxsN z>Xa!ueziI%WG;ARPkX{jcQ?>*XV3IIarT8Oo4*QNUbS2JU{Sv6ih6;AT#-#}>OyNu z%p4zbbA<h5bi63d8TOkQyo9`>Z9&S9N}lw!vK(ITJvW?dWJ$I6*>H~&9B3aWeU6`F zVYEUZ<d5A!C*P)1`_&_!C^oI~7uGS$WnLEgcPoqc8^-`+*9}($S+-6W(D}>?zVPR_ zoa0|<j<6rHj)&Pf!=!pZYyKqkEp5KC`eaYNE+BGuZo<}bArbqYgx<%XJ482JGithI z+EltuUE_Cj)7LQJDgX5kvIWN-DbU(CD`8<Qr`G=Fgo!+kSN#Qa%5HHqsrD!CdGhPJ zTA#`~g%vxy5>{GsWR-JH`^oQk*O%q=-Yn(g@6RiPj|dbOT=S){>Cke4H9=|`Rgwp@ z>=iZYqz_8*3rwkLN?6;g3_1_-n77~g8qUj6>3WVgRSs5hbDpa2NoZcmBD&ve!v$5A zsr5b^PUy0P{`c7sF@M1?ZWiyH?VN{KTDB*wtmVwoSBQAFn5C4P<#cT-%fU&<4dROa zFX~iol@~PGGc#eSy`V_ll!U3zIlJ<tZ~cjm&=9Fvb2W+O=y$b<#|cf7+=bVa3OT+k z=D4<V!h$#aoY!`DE~sfwXm;n&vUA^X;fv;a9&w#WMwZK~{`?9QY;gUiwBlD>)6qVq z75_4uRJ~YC^%WvsMK#?r7t;Cc4w==_as0dChZeWBkjb6N2}{2Vh}ca^nCdSmV%wdt zHNO{><eKJc)tx;u(QWdACwE!0ZCwJYWDi<h=DDo(+p6j7HwEx2`aXpfGt?tq_%xlm zuM+Vjt7(<Jdc+&OrdRdq;Pawa1{=;<x(FJ2dGGBCRX*!A?P?d)`R>{DYq{{654=rn zrcG1p+yZv^Za8(Hqsz{9!>nxwwshtDZ#cG&MfJJxnyHE!wK4~-mJ3e#Yjv<H9GV%< z{V#cR;sIY%SG{UP2Cw7O3no6<6K}GF-gnt>gOTN`y~l<lNlmks3+R;EIlev3F)dTz z%HH0Do%;o@)HfxxPUnz1cW2V&Y4<Fe5>9d@?0NFxpRl`@yn@Co<%qYRS&p7pp3!^^ zbUMq<NuZf`(19)AK{KzQ?b!Q3OUkx!C!Z{uP|wU=Y;Xf~WjyFK_?AA<SSsjjx{07t zcPnW5Q&>;egT6?H$JWml{F~j`Zsl?3xD(&3eo(KN7j$>&+pvnM&lEt*>0Z1$dr0QV zCD2+e6Yk=GA3EHY67vopl9{sav=iT<>!9WG@tY?!zW^;Ve;cp!M8Pg4kx%OK_G6&i z=+5b!am-#H2pX6**tdi4()-yJE1J&*g2wzo7r}%lDYE|rcjB`_z0Gi)GmbChKv&nQ z!|qRu_C3DZgni@Oh!c*spsRcMK%IBx$QjL7Izd;Wh;bKB_-V#%`6BM{A(<z2mRe2S z*^E8<>ze=F73MDuc(HLp^Fu4KXA8DGHLI9fBybP3KwwX6tBeajsG%dDq{#jebe+#J z(2#m0Xleqqo&AeGsG$=JawNalvjy9ywaPr%enTw(oD9z*(5n74v1bZXq(ni>0v>n2 zUQqMnh_kE<=z_XelAyjZ=vLtPqoD1I35x7z&A5vvR844=*)kt=$h{f!`XIhtw>KNG z?*v^VTo1Z@&SFZd3{QV@BA=J5Sdl;+Xcao>vYCsoL3iQIU97ZYhuDE1kE}Y@&#PF` zd<=Bh(VR`PaZ4>T&Za4{zqA0gb3lD#(D_7Fpi_zeg@AS`f~HR5&K;6z0qp?z1iHU( z38-EMt<Kx%tMg=mo%W&f*9wOHtuiK{We7ptZmtD|q7I3l+xP!@?;v+;XJ@<Bi8-K) z{+@3%VBhH-dBU+?mAg1#$JACCp7-gAd|v&VCp3R>6MMEmXl5s9;Unl|zFd2;B7u0& zdO}c|JGm5e8&Zr|(S&`VC7Ejy6xnZr4%^+GAHpJjok@d5{JP`6jh*dQ5;>p^ppu(E zXq|P;-~)||9EP3jXsC1M;OTnzS$}@X2mEsH1l9WWKVBD09{*T**J`b>B;T>ZIkF() z=R~!{xh0^BZ6-u1O}5fiwVrFB5^SYwHCHq9=T5&%UduPD_y&Eho)l%3Dx|CVSy@-r zFWqkb>#BEl^>d12`#;yzpZmV={(F1>MO#{3ul-+@YybX#z00RA7a{%V%)j?RTkCD| zg;>Gowt@DT89Uzn%`vTd%7TA05?Xz?-TAPY_5Yf-V$QHz?F&8%HHG%gb*MgedNYea zoyo3}R~~O=njUF$Uds@gvZpg)Z8fKtz0-zs#Vo1m=MHVTHrqL%PQQtlPjSTz^&2lj znogw)>wu2&%H|N;J#oRWyDZ)|Hjw^Lo}G26is)Ug%d2c>C(LwZDHRviDHn148_W@A zF?m5^Z^F{m98&95BcA#-E#enk^UcKZqhxflMRtyNK#}6XD9NTv?m}ydKe0gOv2Q*N zcCXUrI2G@>;h<Dg*LLBYEqx0<btFve-F|S(syycnH<+4Uu?y-HvN)cd%rPy>E#S9O zQ>qk8X}&_lyXlY{+T>x~S+_!;?4@ftq|`aXDw!QG${fs+SJ0?qJ18|@S)<bOVAOqO zjauo0R<%u=`~}v0S91KRyZz~w=2#A`ee)6)ALi8BKR;pOWoSoxUD)!zBYMg!{>4Hz znMpS-l~Z5wm+7F<?ELo3t8@J~#LQaoFOfxiPyd34nJn71(-wSO%CfqqeZdP|meqe} zE%-4Zq4z&@3ESk^XOC^Uc5C8-FLf-hWxN8uRWyC|VtH!syy2i$Q`dbp$o1k%5#W2; z)FN^&zcrlminB@eo9c?6Vogi?lvnJXk}$Iv>a=&@y>()ae`j-qy%031X-QaGFK9B) zlKpa2DtptSYT-5C(wZI}7g+N#v1yWM(^fr2japsEIRotpd)qlr{hyrB{DM!qje8n+ zRpPhFN(|DvN-O@THM#bwt@x$bbo89kihrt2s_WEN+*FHrrPp+eUr^^WkK<j*;>xKz z-*UXtSBZGo%fj2Q60y?bLWSi)t@t_MdF(eK7qyr7U8(a3_`}y^`p+TYlV;OaGrxqW zrH@%&>nLbc8yu{17nt&2;UL>-4z0SzgvD&&6XPzauJ~2mbabA|iglF|=Y*o6qX4sa z`^tLCdIVUzZ#dJ*QhHt?;@xMKulni{4}Y`p<|{|MENAJRuMnZSNqJdliP*uc-9jSv z4GF#5g{RmwCak^8=@sv>;T-60r8NwPRMVq_-K(|>P5G~TkgZ=h<d6J8x7qKHd^~L} zth349@#=O#oiGLPk#TwoD}IJHEnTO+qL%xh5x>9{Ss|V8@lC&GpFLg>b|Vu!7B=1H zPW~$o&|c1d^@yj*EUxF(Bi8x_{IO~>t@8@_RM)gsPFdrx%|WZ#?|L$)&UN2#Zy(Fk z%`DO~?g1Ycvq;bN4*03f(!FLLIMnoZ{+hf}dE;-6RsUxubQ(II4S(O1scSlY!MA%X zr*#xpRP!7xl2=?2uNd+0Gz;%~)rgnJS$f|qMm+Urah<Om@iugKlEu;I0&6CMx0)PM zRp*~+b;)Yhf-fssUe9q2_?Fc4b)A~Vf8{3LcS<WP6u`#~toKQZ>OP~kVwd{Cq;Aet z`)4O~26JT9%}Q7)<@i>cb6TWzBY5<GQ}a97;QIv=ek*fZzOX-fNJb=XqqLbC`(X?2 z;(%Mttuj5JYumNnCn>UD1Wn!FS`M1V1|3cNLyg<gV;!hYiv=BV4?0d}UWy`n<ZW5= zbiS%+&_=a)pfzs?;6u$_@8|ql(R>k9vBsn&@+paf&dW0gotFn{68z&9d#3PF0W{J- zx7E@kcMIq`)VH7`P}EL3@$F&<EzttqLjDi5Bkmz+WmbhEXk~yEx244Ph#AeN&V%-a zgGU5heuIX9e@k;)cI*e$729q!Pk*bhlN)q@+Wc0TCH(1$d{(TWJ$0Z}-@6-HWuELm zc1VV2UAiLs(fOb&d)Pr&_N)gD@w18*1@!73IXkoY253QgJ?P3giyZi6w9l%S+r3gK zmEyL{n13F$4-9l9JE&_J(+*mnm!8PSRS!A^7}Nv5l>O~U=&6Ghpe5;*pyL7LP92iD za__Jc-y_g$MImUz{ko(?z9>-F`&SxhUBLY%u!CsdEC#JUmj(r^T6e+JX9)(N<`-z6 zpc`lt+E370woOx7WkNs))hB`0vh6(yY9oN!Gu5E>j56pf0~zk(2{UINI(yQwoR7PB z!tHL@!Gk}+v9w^zzvH(*{I{3k@dphHT)L_AB%ubh^KWNctBgxNs7+H2TK9GsG=?52 zRy3jKUF&KS_O+lJ_4a~B8$ieJ+*}+nqxqgDs0R<aQfLKeVJ2wqJp*)Q;{B6{WL)Gx z=PZOWEPe3MYK5IGXz)g|8gzKyKG5|%5htAZW=Vps?oj~+Drj>Z=(zrOT%gqftlX9_ z)+Z+Nae)@{gIiuENs8>rx7p3p`Lu3>mJx#POe_=Nw(O8Ucu2;?4`dT~_oKqjoL7ta zqCjbm7u3t&KAquX_z7Q7eGk9zCVOJ)CL5P&C(ra4O)l{(c~x+(*K&Eq34`cI3qj}T zv_-l-W8B)KBYavcRqYvPwAxI`Z8<4v6+LHoHjA!ZIwQ5>l-bM5b+6C<{hfaO>#g6v z|E&A{ukQctOTDqWn;xpqo3;02zen6ek6-`8ZhbH}Hk(lz=DUbtO04sSd(JFR<<)N_ z9Gf$}F7QY1qiHPCs+?NyT{n32EqGPP(!Ew;MIGZoA#KjAdmbCE$g*tx&2oCpe2401 zDUMtUGV6Xnx-#+3v)zS%{_(MBzwx|KAbHU1xPXaWZ^Be9$BU;q!*(|=_>t9QDyO2c zvkyE1d45*+!!?_29dDW(tn%hOCGWf8;7VvU`)FsdyW4cZkbQj#6K`{_y63;)#)JjG zidnpKwplL=Ew*l2`b_9boYRIgMNPX@o1UIiTT!ohkn6gD$)4VXrPZ9^w!uvB2;;*^ zi)Sx0TzgfB1yp)%oU!0ZAWQaKC-6M9o}xyz=|NC={a!HVgM{PJ{{lH%PRdF6JvA59 z*~IO5^)IKG^`r&A+Bv7KfY!3J<L6mT+SLVFRqY$_J+SHNKi>fRUFyrW9+Kt+-_=&f z(%Y{P@zj~c^}I^NTW^-5>s2Ba`v!c{YuftHBjA^2Q)$|{>P+aoXW45{!G^D8N*eq1 z53;ojhv*39l(0EI)fdt!Vs*SKFQikZ?)cSSK<A^1<JswNkFNGP%9-`vW5b!6rd{nq zI^P{3{kT@}%BLGE+j>_jFO?TC0o_vfp0mr&al@={$F_9kyKXpko5Sm!?}o6x1z$e0 zyk4WAQLT8eN}Kak{rrSxYYwe{eF=-dvP@O}onX<OV+bjgi@vT6b_XrYly}*1WFw2I zz2Al_KUucwt4Azz4JbD~ShQbt#s0p8*8f5#b4=$yo_^2if`#vfQ;jUH+$^hWdlvkt zWXZ09%<4>^v#0Kr$Lq4DOZ)<Bj8!y#+Z?Q#oocZ5Q@+}b1U<*2%p7J>egQuhvvkjK z4%q6q;l@^$tMy(Rj?}W4{&(7NWi!iG^Sk1QBhz3P)8DlWb}x!-`sFUT=7V5UTe{$y zFQHAx!iCp-5^h@bU0@C9_MhY?)3~h+m$i249*o*8FeT1q!?}qpss3sa>zxArX|qVj zfUa;+Z1L9nSMln^i@c^&^-2*>GMiSdzr%kyDo48Mm1dKwm=JiRUtREu-Q<Ls-JGxD zJU86w=XiDBb;F^C1rLK+wD%?{wq35sZVJ6;2@SQ9gI6Zr+RfQD-($nE<s4quIIit# zf?NXR8SqW8>FYcdjsKti8m{Gi#3Fj%al^%$rc>>LIz>#5SN(-_$^;>MH7-N<n%<mi zHK}UGf^Whsr*A0vtbP~9a(eIF1^@1`Xv;WV_)yU_^%P65zDmT?*(|Q%f@{8UH$CDP zT=S8?X_B#6vPE{TV}O<ChI4zM8DTTKzwAp{?|^^8EYfrQ13pT#NN?rP`adUOqMhT_ z{lYrmlpMcaPqVB`VsDyNEugctXF*wG!pzGYujaXLxO1KJRlNU(L+7C@O%Jn!cGtOG z_)*yun&fS;cF}F&HQTHmKQ3j--ZOu}la(ylbDaZz88wxzQ`NYw81de&=~KPxjR%oU zG9vNC49iT}7vI!*vS1JR3UMRNrtTnyhpv_@_T|4?%$KAJT22P4Bz8<`l`+|W{E*BN z(5C9Q)u07XQDQ|GWI<iaaPZA^_0eL_7HrVwwv^CIO62o;pQ_0I7}P{K1sY=iA|&=~ z!8OpSGSQ$bQSw2f_250*9(JHRY1b|XU5qlB8FXCAW?pW~6Z^VbEnk4<r+<R3!LjHB z4fr2EB-5h@T1E&S@CO~|{`ETOjQc>4BSH6<cIX{Gd(!bU=vLaSbkI(<1BYZ%`aomb zq2Q5V+d|Nuy7_5}?0==Wivxb}aa&5<J99{8O5IT>zGI+G-c##0O=x~`rnzA1vjyL( z#EJy&gLWLGfacl@Ky&R(+?F2m4<3>!(MwWfznQ<;fPF9MbpL<TK`ony;5rjDUf;EN zWxtig&4W9<EH(D`fi49;;l#J<H)uT}=(3i-pj&$%m4MC*u;DJAkhNh#^EWNAX9}C! zT4hS)lM?xgKz-eRJu-RcWJI=smh-ECT3_9;*4J^+;I>x7r8Y*hf4}#&zvu!Tup4{8 ziSLm%C~$j0vnZf-0IxPrX#N7)%=lS}+ww$S9f$sH$9#6Nq6zmw7x;j7^&L%)n9+PO z6LexR=qUc_n<q5C0tH`=DQNcO1ZdeK$gU`G-JG${;A<fJ%E<OdT2B}3wdF3J;0d}H zI0#e`n{Zpcn1AY!j0k8K=3&tNbeBqXo+R8!Oytx04jQhR&}z8?bOO_(*|Kf3joEwO zMx1ag0v#e01sYU39XX@fFB5)b@V7Uhdjn!mIq`i0oe$i6JK}`n$2ia;hH0%bQ|5y< zbIg|OTRo*Ys|j?_eWD`!*Q1~#7(mAq+q8mK=^b_Ay9GK!XeOxb^gT@MnZosCMRw`` z_4mJ=|Ie`AO(Ly=G4*Esm0PKj#|!_&Y|DsDx~4R{E46J_?^MZDwSPTEldsM3nY-v* zXI}7?ml58|n~zNEn$;QUwpw+X<WJ$VJx0<qCDYXY9h>Hwb}CA3=F)1n_;Z!Tw*TME zx&C?I{^v2*Yv0eAyZPO&onp6s1kc=g_=^0(HBJlP{a?G`qxtMylhb<*x@KKgQ_#3F zzwX7d^DGIiZ#ksSsYSeGXgc(nGc3|6V2jI!TT5Avu2+kAY}PdCyU-fYy`s-KuW_C~ zTyW}~N<_Yx<IlewW;5IaKB_deo|AI~Ei8T$^0ZsM&*hpxNUo4h8NcIKb&hE_90H1O zCC<1ObX(xcz21bGwH&X`sYdAegBQw)3!23EZn$)orS-j9#8bnjMXx!-Zrkhz)rrXx z`j&FCqKpyA;Z2jOh1Zz2FZiO=R2n8QWp6{mUMa_)(wt@y-T@y4n_A~7uUPYQnU zO{=Vhb+(B+e&snR#Lbzdryh}Yl>^lEPgtH6Z(68QZ0mS;H^;Q<js^dWS+raDj&G65 z^WAXCnv?6j=Y~`299?qW8*WLm96hfd@%S~1sx(XXUC)4Dj!mWW)HHtEH+|h^)ueCP z@Nv@T_Y$IvHxxVrK0apQe#)UW-*3Z-N|w<5ZX0ftvRtiq+i--L#q>9eH)taMv~uD0 zX_eNFcWpVQ{g!b2%gUlX$Lqp}n5L<#lqL2*VQ8AGRrB`9M9}S`_xv~9n$Ov_-($nE z*J24#s^tn1+2u`_GMh^K6f~;24pu!EnDSriAlr2T(7mEg-vz<<iaIx~T5rpBI5OwA zAd9!$;fj-Azx&8|%6J46+kvMyrgKdD$>n%=HRrV7hK_%$Im3P^J05<{8CG@n;*79| zxtw910wQ<kCu}_oxw<%~Y0`9oHCxl5QztK@)%!}`DQetSj>u<r{2Bh-U@h-9#T7sD znp(f9uBg#CsH83w@|Wjel4#Sb`)U!7#F}Q63xoF-eq}km=amG{^1LYtJIlcX*~h%! zy|*n~@<Fqyt(zn4mx<#;ZqBfuVvZMQbA)9Ji_}j^=zY%Nb!+2{Yf;7=UhkbYoQq>g zwfEd`&#CE?EBJQ8KWYb^%%SrWJO7;SRG!$*x$58CgpFtW4sThte_}$Xh2vRU&S_RH z3%+e+IX%ZS;CoHe(|wKs`yDqN0$t7GvEkBv4zB$^8%{}!72SH_$FjN>G)V-S2Ke)! zQ@K~h@nkAX_FVUXUtUe6>y$Nq7dL&CQ_%Py-ozWHv_isC?s8Oyd()}+>Jd*AnpU|B z>Xb=2f-f#+bv&ERIW4OfGIz4G_WzNIXW}_t?eo}h=e2lZ)Yo!_h=;W-yq8(DWjzCS zIBYoeoU<#=Z^Nzc99{PvHyo=4Z!XOC2&n27HkeboO<m)6T+>%R8OIGz411=}v9Eb_ zB0<yf=y^e%5=qCWR*=IIbq^-J=2-Q=JE8MsrO)bT+gQBMs;$^-f6yqhX;(MrwBI_8 zf4w=ve&{(Kj^+&ebrzfxK2AF9?<ceJ&*TLeKU{pWw{8~_neV#c*gh83=YnfWl^kDg z=D4;}V9H;<gH_uFru;XBj9#!Gbh_+!s6cCfYr@349IKXbh|RWcN;sJ;p}({4(2<Ep zyqac-3+Zf~wcwjC%jrK|3*IeeInB%Q>UNS(cB{Ft$(_~&J}>z+MfQi5I%gc8R)97; zA3P*;WgqC;H&f8AC1z0X9kkV%zrX9jH_-z>zR50`KljIq=5uM@1%X8qYK6IrFLZ;t zo9&>ZaTIl)EU1v-E*6M7=frm^9(3G&_{Is%ulhmV_gI}L3+{mqdOHugq3$$j!`kbm zd!pIj&W)JSe1;vgQa%AxX`ETXA-9gzpl%1>uhVLFuNDNPDY731RbiL-BTqQ~@DqEs z;1j!8kwERNR?8KCr?<)+*={8np2;U=y=g*o3TQ?`_J|YTCD5GrT+o@Z-#|yVz2_1u zn(&{IyVxKD)YE()IioqCK7@rooUuoKUGt}UHM>^|o1SX!@UmR7uea5*<LyBwzE|=f z*Mim;Zax5-f=^Xse+#OT&U^>C6?`O)HRwnj(6O+8X1B`lY@e~~dw|A{+Twt&jT4$b zfF{15Dua&tW8p3iu&xYY;lIu_<I&3h7p6A<uoRXry|4pxPCKZl8U^a9mbAB8zF3p2 z$bJ)ak<h&_!JrG`?)SA?N}NekWIxIrF{AkcXmla&&><NY(6;p|P0%L0S*<cxK$i^& zecv>p`PpR9>WiO&f;KU%2BiyU%dKlJ`(YBZ)bhlc6h-#Cpn;M+(AIa*UOy4PBt`ba zn?YyvAJuuHum^ONu?gs;139s03%;>%TXukU!%JQEJAU@0W05rI9sz04JpzXh$&@_X zG@&_X9_R=?8PS7>w=x{#zwY>NQD?uE1ZXM2QP6(D3wL#%Echb<n?sqc4>}|`VhU(3 z9u%&iMGntoK-VL6w90G&t-72E3hM304#_;p1KsAc6|}blbQnX;v{p-vmp#6qi*Ui| z;*hbMd($DAkTTG*e|sZlG)J|y${Z2jWWe4Fy6=7`=-}npqo8X9K(kW2LHhv}#hxwr z&ckhaqW#>HcqjJY|5bDURI+*%roO0PjJ;L=<-}Fl<Arha3;0EQpB}$);l`hP8t;xd z)vjHg6lfSCGfVz+=^u{gN>W|b8uom=y=})99Xs|YPe;dZ`XiU4oqsG0?ftCkbk2o# zhep4(`Tp~9@%zd-&;QT=zH@%`vNQGb{#^R=sQd2uukk->eunNeN<CG#@6@(E@4WwV zab53LxWA97+hD?iihNhGmxfJ6>!l-RoMgG_7#gu7lI7>c(8!ki0wU`7&nWHn2$&Z- zx%H;QgxHwbt<}8>`(Ih>9ExT+%KJ5P$zhhK*QGWX$P1->ubba`n&qdmZ$wLxFJqUy z8N0|!X<dd>KieA)UCHH~pj$7!px`XWr%>JQ56MkQcem+Wie-74wtce!zfj8UvXqCv zgrCH6b{ouD@Z&+O&ZWmJPydx~HZbPcq`YfG0XN4d;rGdcb?yOk3hleUs5gCTlG8aO z*7T+;PUp^SmY=2k8#&$!ifsRqI?+#P%KbOz57qcgu>HX;w(gBX!=IH08<g%?uq^oT zF~DKszEG|JJ!_eO8Ez~$Pc4qvagF8Yt>lOnYtF7-o9+^M$DLnU#GVN@<(yOz%hPb& zcRNMwps<L1<s{dtt_3zFR@}z@3f6gS+}o!p*uRw&d&tjnw6Zv2$$p_J)6XR*3OSaY zT_3rlob%Icv5g$^0wR}_#9qoc{=9pClfit!lz#p6hg$m>CfM(13TSzy&Db@6FNemZ z@^Ho}+WUAke7u`-dbjGtR5#T$m+Bna%W`zu`VAg?IZnM*<~Ht7xScA@Z9Yrk{_jw+ zL?g$-3ua=OVvdzx%EZzP9m`I=*14nA^yf{i&LOs@N0096T*_v78k)b+pqg`&^!>Dl zeF9ImzfTvmQ_*-=+wXdc<*D-f%?3~TnN!Zov5KsFW!Ug%DnEnkifWk!5&u*cc%0=p z6?%SyK`!T}uje)u^eNo`Bh&ps!ExhTSFx4$jyGeI65Rw+s#C=BlpO00EY~^2)AXog zwaz7`rcXyUrSA9GH?Qd|SJRs_uOoJpvixinjcCzjIVvg|xx}3F)ayLZ#il9S!xA6* z2|g(gPZhLN(~#RU(^V^4c0tW5wFL%Kvl&zLud<1(E0b;5^NOkKLdSxLpJHMwO&xcZ zIg2f8QMkQBko$VC!u@5M-5)p{H!flAHkq>E&RSJ&<35Gk-_6AGq#XBM*~IagqwDdl zO&;Eyr!MEECtedsd0w9K&`j{j^r;&-Iv4DCrYH6?w&~Nd-H|gMv)r5;8?oav%g?{x zH*(Au6zRWzUMaMOVL{D4_5}u}RZJ=CzcGodbIfn3S=!F%n&BC+=3>8VmVZE=K|||H zrwO^H6Ix&UPIzz7;ws@1@FwV#Qm>lEce!(id=yXc$sIj(R_#Q;<Y6TpH4VRyI+wJX zKE2`8Ipfy!W|F7QowBAsuTJV5ifejwOg(amGRxDqmm_CvX1Q5w9I->2<>%t_8#tb( zGm4x)$0)M$RZPRDsg(_fuFU42uyzAOz>a4uKeNtn<jCdh`Z^6X9(SikllyYB!tHmg zVtEXX`#yV#C9*hfJgy~{spMFB;Iz&epQbk_w(8t*YWlOJROb*^)1x!t5lc#0p0-YG zz3DX}c4uenZQlv;|FyacCM?)dD&1YuwO|kEaP>}w>KCPAew+&RRpJXcrru}Jh`YtB z5%kuUA@wb*$TCC6ZKtetVx*gD<}&LzaXN1N=qR>Q+HvREM6qT1j@vF9imjWXaR0AG zw?W^68{bvBO?nr^RJFCv^q(MGKdm*|ZNmJzKGz86fI0OOU2nMr#Fcfn-t?RhJ3Dg6 zUzVR|=WpUT&e>(Xev`*@&QrfncNKpMZF<xBI%0>{Q-)9CS`1wQhZ#iHhp~vPbX099 zS}M)hn&~=WZKW{x^+tvJ8>G4~v@W=@%BuUy>;-ogig6pyP`JI7kK4RW;r?>HZiChZ z7C*$qGR+(-eVoP8I33Hfl*RH)9qasp#S)br3q85TGOZmeOTER?<Q>iK9XlkedgA>C zokNjLkM?cTxg^^3>Ei9k8C-7}H_4Z>h^)IM%kb0Ri@|lpb<PD52N}Dr%vligR!(f0 ztmC%2aItm9j{98A#TK$VZoJE^bBUp;==nUIGagMj=kMv<QEB?Kn13^eF=v<Z?~NYZ zoTnzUZ7|U0+~m)n^6;AQ6LH=|!EHh!;nOyG?B+c6x_z@jJIAKmZW{}hbAGBmwt-_h zM_0Q|_mV<}r<a8pT33FuaQOB~#o^&A8^#Ix%NV*Y%vccdR8wpvuj5X?ghVUBl-Xxe z9_|u;@>WM|p}ynB%Q0drr!2TrYsYOoPvLexBe(fn1^YLaVu^N+g@1&^G9?`=e+7x9 z={kP9DIT%oEX&WQ+L0}DS&nX99kJvu%hR*^n+?=CHtoK)sX(0L6Z^G|9O0Z@>T!t^ zwQ?CW;yW4+UHQZ9@a>kG!$YY&wiEIDSp!<aSdPxBPoHQdFh%-ZLgFr=lzd;E82P4} z<K_`92U(7;tBzP=$nw;#I&#KJmYa(2Hxv|ed`jluz~Rl&70kcMV>RcgV!q7=6BT~{ zF%-*Vcl@{XvCbj8rX-t_O0udN?=21=s&Sc6`&)<mJE!Bn8<t`V4^=iiau;WGUG>es z;gkAwhSrt!k_y@D7`U!CDf~ZMzmelDN7vW&8$IT7p3?pDbZYnix$56lPW)RU!~I>u z@n7Cyr5+WHZ)=Vy`6_AHS1EIUHgPPw;;VB<sp*gK)rgj<EJv5G-t6(3^Hg`%MuXcN zoA$3ieCVy>iT^wGx(hlM?073C_R_?$(%)I^nUUkSziv7)7bO(xmuW8G2%W_!qQ9L% zWaT-2hEuNE4vDh_Qu4FT9*S)Gv%UU^Qi+m=S>|!2Qgw~n-*kNJo8I`pPk7iS_~iZj zBT7B$8sCa_E|oNW+E5)aBb{@T@UBe-r#U|@l<wXzZNZN>%sQ9Snm&D+r*lTH>5Y8# z<^pz(PxaL^TrC^}?p*D6-Q^HqXVB2P(`~}v;|^l)emOGy>~>~wUGdYq;nCJ0hlx^r z%o_3bOaU{ZIX7*UOMYl2^kl#JG}jki0eebiy05e^xbv}4ERDnQTe|;-f@IE5{Jx2T z%Y;PQZH_9PRn+L`)j9K-<)(W2rUHGzC(q9%2`V{${JB%-5?|B4U6;Op{r>dy|Jnb) z|1#H1-2z_OPz^at_|KipimVx_DVsM=^P1L~<~FM{wcBRW%)~Shek92sJm<3Ea^X@f zIipQEGq#;DGW4G@Gh*9{tt!Tcjb}=xX&VJUfAM|yI`i+J&lkt8fBv`i^}hP}dG+(s zx4iTei~Jlq+w%9;{E2&%CSLr%cFQyM*J})?ZlCAE2)?-Nw~$VmgyUCX&S?=Qjo+#! zmvbssh?}mTXEiBH@XEgSgqiG|uk4&R+&Rti)!ef3EnCy}t%s(8yK!%QFZ>8-3hh(Z z*fk+xt2SqszIw#tyrxO3Ij%_wO{wclm@D~o>tR;!FouI$PMw=6!WfaS-t?(jD5rqK z@n|xqS(HP-PQMK&dRao>D@Ej}I(}WwF|A_af@jB;e+XQbCVb`I#DtkTj(3|mr&+fx z_*c^;ss}#JziDn(#osFvFUhj>R<o?OaSSN&*>J0Ec~aETbip+nnH^8=WXYCw3HX)K zRI0_2I$dbZ_MQcQa#^I;D6IG)anNlwr`Gy<skY50#Gp&U!-Ip}ld1(m{_`9Zau>W} z)10ufn=>okeZ!fxET!@a5qS;)|5=+v<La%JT`eevoS#?T6l&)i@WrF)s-L<>oN~lt z(E4)F<o*-gVE3r~3L1Yo4qBZTo)TLww`^<NoCSZXIm~YKE_k$@!_2B_!Kalh-8zaZ ze)%>X%~M-(Pc`CEV$-a8^@vmF4iseNyKOjQ26b9_Ip_vo7017aIm0xBO=5gDTr%g7 zy6>{#)Oq;X@9T@zmTf&Yo5M?u^V+S}1>hAAZUNs^n!bt&PWf+jkZmn^LL{c>V|uW= z8^2J<Kkb7`>jgq;lUpAj-=?(UpJS8iH^mi^3K6eZnr?wk&g)w6uBhp0omW7;=s~V} z#f%?92fa3KHkfm)m?P{bY-|6;z03Np*!c(iv1l?qr>IfMdN9gdXv#Z*HJc|bcypQM z^<IyFf4rX!*Yc(bht${~bc<{{HD5Vm3FJ-*J$3Lpk9W{p=*{bmOI5zAIKDMJXe2$i zH}mRT*9~`mbG$mQ8u4&53va(##7mu~W8R!$nL;9a=O%287Z$0Xlh9i)IOR?PW83Cy zVk}Fyt4E~w!^ZZ1u2kN;nB&xZ&kYBUvWV_?-f(d(%hY<;4JQ_}g#P#35b;IEXZ5e! z9Mf*}EqLb6G0keif^QR9PM=X;5v?5Y?l#NUe8q@|)1k9(50!)6z5Xj??0L6y#x<>c zRgGP`2eTxb9<d9o`Iy=?X}RE<tt|__Y-M?U$0y)hUDH=NH4S^E8wbRaEJW`+ZMbO0 zGS%K^!-;>;2o2v4I^lxL@#|;KX&C}n{wN*{`Yw2-t|MXQbB<T*f{$*QR&DI~SLGm= zyi&#v`Ga2ZDj79G2eqCHitKGm*eWj|vVVF)??1?dh~>{WS0-N5W?5<;d*q{awBVGx zGZXgKa-6DfOlTJ7&<f);+tsz;(|1m>o$U)=z2_9GZd>r{KgYBiPi%OWKeOhX<|TaP zUU$MwXV@wiIrCDLay`et+8kj&<Qxz49rW6-obkuxpw@p?4Xb3sWm|7qb9B9T*>Fsq z!%NO-Ls;j6FHB9Pdg>b0%m=H=g{FYkdHDM&L=<s6oYeh)rj^mH7d1Ysi<%N9%5tu% zo0G7ynX@S1zZ7@zgc;|Z_-0MtWWc`iHE2U#nb<Rh%b-Q!$3a`;_k$LmHFIw$Ee@!# z=C+i`JAX)Kirq0MzGIc3;fSlAf-#z`0&$w`7f)U3wpszY!|oAixcittXh0jZ5TXin zlwmliKl(z*#>?`=xs*h{s@oeUH2(oz4|uQ<w4_f|>{)_If2+(C(0MmX*EddR&YIn7 z*<pLYiSJc?S|Xp2zE9VKM^T_-fG)(mce3#+o^WeUtIQVAg}Fw%L8I=BI%gc$op9p& zwH$O?FE{7_deBCNFUev>2K&HU96MWOTtIs@vevJDc=Dv9&ACG|Cil)d@lD#j(SUs| z=)8ho9H2D?r<QQ&tz*(yD_Qt9{)0@4KIqt-y=<^M13~LFyXrw37|w5;(7Z|S&|(wz ziDx5DIDU-<Ej|nvD+&Ou^z1wgy0SL~)XAUGDzgPN(qUx2aYFMu&@#<;{5nq-{0Ci( z&=Ire+euc?T0B<q>jw)ipPabBfPLxO$P))E(#4)F*rX5IL3-?v%oRV-R1s*m|KlvN zq6s-57lZbf{Bjg~w%{|Ucfaq<A(<~`J6Ys|El=d1JS4*dS~0rAoV(b-3UmWOe|jRH z61O^djbVYVZOHmLRe|gqLH97$nR6FUh&bxRH_KV<nZj2gZp)1G5nb+?d`6(toy$SH zL$<4f4jnjjNJa#-s_-yqv%t!8PJGAAK^q-GgA660m5J3|tujaAL5okN<4@h+!Pp{x z-LdG~6OVuy&DZ)sM+>JW@>#KOp3wZpQ0I(ez8&ajVfd;N(B({lpad{KA(2lh8FXjw z2ctR;S@1c5zxZ^{I40?FTV}`|IV7{BFHMpCEf;t3gh(sRL-%(uvRn`1+jV+b+!e=n zp!*Zz4}uOCPF7?;d{yU+W9Hw}A2psP*noC~EQvUAF#G>~^S@uUwyAD<2VUC%sqw$< zoINS>kzv%d8Cy@-Burbltu57UW@qK1Gb+(-x|7d{$tfEjzScF(^jXQG*<H&!FI$GC zrN~`4qhWk8CopZ2`xzgj&C7m3P8)o_bKUjN|NiN{`~AK4U)8)9`@D5FJzTx*?Kl0p z?kA$1F7ewgwaq_sBO%PXMu>I6kD4Y^KXr|rGZNNzb9${;j(G0Zw22vfrttp4zf1k) zYYe2%%yOt+Jl(#E!Exauy<m5@-vS|aQxYa7bFP}_wIQN+!7r{R&}}7qniE!j1s^V) z_AJO}b>5tWopT|Dc0yCzae*}@MvjkNSysnbz-EKbUYQs*eZdcAmTVi(fJ^6&Zn<`= zZNZm5me*$#HL3*;R(<9;HJ#He!Xw}#Q&Vr4aLB%?2@`*FuCnvnaHA(x!td)^j%gmy z0%mr#KX|3u?~JBYF@Y<#vlDiH=6toCBW%aa1rPVKXxn;S_`%u~y3L~hv3t7knr-Ti zADKC>X$VZQnV7IPUvNsC+lF(iVD*K$uk6da&H?}4vq;<c2N;&wE!%pLk7eq8-wh}J zv4q;YZn(k8a&^Dwh9f~uv%-aRt}3pmH9u&?FMOr8FJb3(o#O?s^8Gg)+6|iyesg8w zC3Q|IdH)Tk%sIOB6eHdiH9ayHTJupFa<s6T#@?5#Gp<?P7MyZ-Zo=Nb9H;7=5}F@# zXw}V4SX|7ZWzH$KGZA`P!>jen`;N$|toWzjq-vI#5M_GbVZ)U?maX4aBh>r@%Iyvo z#VfDaKO>>ln?q`ya>UDUmR@$2)u6HMFHSt^Tdxa?+@G4z`yF~F!sK>e*-TmQfL|s} zrCBVg;X-S+Ph0RupVKVDKj5Q0i}cw&9G6%9v1)QHQ(G}hG2)Ft)2sQ)5syTgX5Ckf zc%{^IE1P55&RVF`-Z2Ne7b!RW+QxJ_>fu%v-g1?QmwQ=y`IRD`Zf0@ySBiLR&2m(l zB|FAD;FDR?)_3X}e_0M%8HXiVq;6M>(Dw}ZXUifj14<mAl?QccuTEqzIzG)8*4Z>? z!7Edi?z>I_d;K>YNoFzq@4n&6H1Xm;-*TE#zbUP#W;j?hUu{Lb(m}4!rnb`@VY_B6 z_~^^B8hlcasKbsYFO-Abv;M1U?E9$-+JUd8F;gu9e4nl0nqnr$o0~bVMSBJO(`w?i zViB!(-*E9T%hdnQ8&0H6PqF|n+m~axYVWe)$V6xpAT9Hi$19(vTizVgb~Y_|*UNJH z@3aNrQ-x<Qc(}~hCwnQ2<Kw%WVLufdFDi3{{Z?}PXv}f#hoa-j(;U}!H7+RWPngRN zt!2;IS(h%^elm4N*iUnzoDI^BNBISErl_y@!`kGk#S&WYu;Ip1maFwX8;-=XnEv<K zaK)Hq>*DFj7N>K(1Iojjp5F5h_;1i83cHwDZk}1`k}tL3J%*XWB6}w%Y_%5@sh^e5 z+q>?-7O!(k5!vZYmwpSZDdupzsdTVvxxkeFatGPO1w;1COIUoHQ|tf4go(?cyEfPD zd*tPlz0txEbgZ!9^aan3b4;^pTky@3<+P5{irWei@2r}BZ5LegfxW5CUtrCW)dq8p zMGJ#()+pu(J1r<O-+jZeaCHG4%a($ZCtHKvWwwA8ihaofRdS$ndhZ=SB=bcsS&{wc z39UC*WOzU`>qkLX$SmFqTHd!9w7f3^w1c0EyI8<_Ht4n*&{i^C&^$dWckzT>(_3Y> z$R{T98G&l&d0Gu0=2^YSt22$?)_f;A;)J6;=#+Q6Lr#2c?i&r*m+FJA7X}T(KaBxR zw;VkrbEWQ_6W^on8w}Wc6}c@v?9LyO*<zOc@a##)D$qedo7uUchY8D`bmIF2np9y+ z_XD>Bmhvj^`=Ksvsc~(&+^d8V(8YKEds;0!?wobvTUDQ&$d?4Foqw%fe{i)4dnf2P zpwDx4&NynFcH+C`4mzF)w7dR059oLzWzep-o>ohZKcIa8pkoVOt_K}!2dbVcufCdo zF9x(OUvtL}zD2iv`&e2nSL|(Wl{xY~Igw9F9<-nEam0+~u+~<YC7_jsR?|04Xnx}l zTHy!U!0=yO>{-GG9&SsGeV?NK30X<xf{xGE6nmxss@hk`ojW9R1a$nsua}@2?jxw> z!732rZJ7~g^EH5dWhrQng*tcf1j!8(n%`a4Ipdi3`1J>^rwi=6TP-!-fPxmZh+?TT z=rZIJhh#$hQWe=Rg4S|GA9Uh-1Ue}Bm@McRhk31*8MdH#0psfr&dzN1s|jKe4+q!K zWy@E#TR~21ZU&v!YzUgjGU6^ahytBe1e#fx2)ZfoR%#+&66orPU!ZB0L+g&Op3?lx z3^bGFE>?5_v>zn}v^C^zC8!y8#)<D2cjS!bL#&fQyC)nf3T1i1{cW!}z6=Ak#`;?= zSAb4AT4a0;bOhC{Fr6m~^FZwe(8S1-M$n#x4A7F<1BYZv&VjaPevX{c9M|0{^8~a< zlnpf5^Jp>X1Z2?K(|e1~mcLdos)l>0^!&<ps}5OE%aK*=*@L71-_QQ{%bvCS?=NtD zFEKe)^7zM}yMw3CJbNZeE-B@j(P_z*r;VN~Mo+Okol!X_)yz0q^5&T{mGfq9?I>}% zW^}2tV_GC%;2aGr8^eH-X*W-u$V}7rKYd2&+V8mQ<>vJVN^5`E>EHSO`Oi_;q`2Ek z(sf6IjsJi5R$1?%^08h!_s3jcvl+9VgN`QH+Ogo9P*ZA{z?E3{4R;>1e2rI$P~2AX z_`dFsWYasH2}}2KNS#-Uc$(3)NL_eMnV#dvR+j7-<Hm1klWSW9{vDk(cct>$-yB}{ zZX3>Vv!rg{#dtX?U(NBSEr;0&p%9x%35$2KOg*m_@kFR;Rk)zewmA!aNj0fn6TTuN zth4p05zq2(JWZ)-zMv^#j_G%7GhTVTD`@(qF1V&Z&G9fRi?%7Jl)iFAs;T2ech0ct zz6C$T%#)(B-*^NRl{anWQ_+~K9`W3zX;Zqu8uR%J{yb%o)|m@EZuR|4D<dg^kh->n zi7$L-gk62hDR#Se!LNTT-e(k7R5Be5S}uHLouJO<84KQhWjTG<HQ>KsljuLk3k8>- zN}Tgrub#0-`=AzlS!BDf?8@3{;4}1Ux)(f2Wy${Awcv|ZQ>mPqhP6_}`MhIWPVMhY z0PkJsPgv~Csa4;WFfo{ORo&!-jckrz<Arnzk3+g;JAckzsl0MIM^^r&gIlKEoVFlu zQo_#fLRait5?cL*O>9~dmgWnX?3<D>HC_O84t&)71wSUUWdCVj@WgC;!L4hvIlbQd zZa8-k+G9-bpKEn#wtK)nuMG#4n!4=OZ)C7KK4s?+t87~EYCosg?JCw~p?`f@yq9oh z)paDSOy<a%@3Y}dB}=Kekj{7GreCs6qVMKI0*zmOwpGwCamUAN^Nwx_t5kNpXv-P) zTfy<;VvcJXf>UhT6V`fjc*Xl}I9JrPDVy_Jw12?A`7F{omC|j&hK?I9>NK4Kodwka zZ8xospJ63r-M!$~caCX0CM|f@%i{f&Bg@`v!<o-4rQ0JqGj+eSHT~Kxyyin)Q(I-z zR6G9*KX{r#_jw0=;cB{?r=U@%e^9C(dVa3@{JB<_y6*L5PQBNdF!wIUDLID?;G>0= zZ#*bz>bkFZBg4?~so6oLa>yNt{=y;m-|+FIcfRGw+BY|0<zJ30dAAKdg|Nm+X0UtF zeYF+!k_Wl=t7YuyN?3Yd&_qsf&8JOz%R){3Hr%?+(e>VY!!dVGFFF4W*H*GD)mMsG z?ildRs_E-H1&#mFO}z7<%lpN*a$UZa5#4kuUo|2{zG;<Z)6qWV75_w=RP|I={B&+w zx=wY)-bo2F+c{p@d2Wb#;>WZ6pBanxp4J5q@3Cmtwk-I#k!5vFCDdgvScBcO>=iWb zDMdUEYnsF_wB{>|<ICwB*KYpO>zfbi!<#t%<QL8<;Bh>vFPKvz=lJx!u+FBI1+R2j zy4NbNuv3Y61iH(EPcf$Gb7*;Tl=oYgfNKAy)HLBMwp|H3^@Xn3w<ok(IUZik8MbTY zf{#&6q5pgWzOXi3jjQBu3$9T+DD_@RW2S1vYmTN%#hlkHv!O0~b2Qk!DqLvF{?3GE zIme^+f;nGo9G`~Y>CV(OYF_Zlnp4cWW5F+V&S@5%3({H>R<g2yPWVWZbi7;5`Ap$E zs9dfG%^&Zn`FgCD<$Dri%lAhKI}U?8WI<i6GClkWiF{h~6BOAm3WK(~U5`BBSYyXs zeBp;Cx21<3Xzc@NG5eQ>R?8PQXPx*q&Hnyli|m(epaw(v1_Soyzo1oUk3q-UodB(Q zNKWKa+Ug0Keh;{{p{4h~%KHVsCa>(b>WDq>#P_NkbS%9)=#+%_pjzXy=?*W;4A2O} znRPl(7VOsNE}rmP0@Tese@I4TA7}%*IH=Je4{9`kE{R!s+KF!wKWI1q{*4owcdR{n zc4qUjY|xel-G-nK1+psT;Ijwpbe<^GYJm3S^|i{RfR<XkcLJ?{5CrX&p9b11pPtC) z20F*!Q#|OzUbXKJwVp2cB_;MuVOokJ`&Uq7q|+JXOweJ>uSCR(F6?V)wLB4HrP<WJ zn&D9U>jk$#m-pSt1$E&SK&vLXxh*C39XTX3C9c+9|2CxK{wYtaNWgYRtK|w&(0&j( z(9QJoTV+&0ep(CKKf1RGw&DR42KTyko+!vix6d|aZ=MTZ3aarAboUW>HEN9scd>xw zOwjfPP({oQx?~CD=8K7n>}P*R%xJ!n4BEcH1iFgx6=Td&nUeHP2JAa+L9H;*VS#-q zitL9$8w6kGfNEfn!(vQfK2q2PIuRfXbRa{GEqCz+3D9*=)A&K>^=GqjTY8*N0(IOs zPH2Af5OfWNidfME`^l}A5;~wwgP<)8QOBJ4PJzxrJOMhT@)u|s%<V<}vnMzI>H{@v zzJn44H)tK`EOx)zD~?*A8<x~J8L-bZ1|3vn$6Y*O_Z-k2hoGYzsv}MuEI4pTW(w$- zipOW=4~5QZKJ`uKiNY>v?&5&k^IK((fbJmf6$Y(A1)Wn73c5P*sLq*#$3UkLU9M-G zb>D9txT^nht5o)Q;kT;glV&DncW&!>sv4zjcsfJ(sE+d4BPPnHkL(FdTXb{LG|x2d z_bF*JB2%W#m|5X{#>mL`jFFN4isDCm4Ce|jFW#|d?Zxla=O%yucjH{;oafJXe+Jzf z^!MEQ;<L75k>8h_RiFF+uk(p$XUV_(x4g;|C13k6PPw-9wPN+_Cm{@=zD=FzK{n|- zyZ_m9^{v>!deH4NhgLd=*v<Y0uLN1Tb(L1su^ki=7r3%#R>I0?jx2q}h%}dg>+cR1 zOuId2!M~U$(K5(QrmVc*XIceW^(-iynK1P-N0%8#*zQRSew<~=zT+KGq<S#Qcv?c# z(saQ!lT|c!Hze%c%Xv!PX~RLMrmp1zIVE<EPfv4-?aYLhE?M=jJaSkazfR|zHlx!1 zcz&MyhATBqx2gqoiVYm^KIWLVTIh;>e?seN4yk(%8!pAN^iCIE^GV6^;@N3Q7OQie z18jUZ9GeI2l09(@c8@wPG$qbw!?~R-srsrB>zxDs@ip<vDX;hu-_&bX%W!$spRgv^ zGNl#244aPfsjP@o0^b>EuNLu2sOi>qVV&aN&^?iN-Iw(}nWw&D|4D|+QHqWi3f!Bf z+Ie00!O#@C&ne)GOVialmw-P>O{V`m0yepCxaQ2V^t!;B%^#a3{BEx0xOTg7!5?=H zvlGxgblvgutc<K07kuL96x-Rc;FUaw*zVp1znWRR*Ql+KjBU%*HJ!cS+c%ceZ`=aP z>zkhLa|!rg(Ii^uaN&bf)6`omz4@vUPaC0C?Ber2vMY0c2rLV&@oqA`=NV8G-n8|b zvPP^*M7p5kO=He$yBokK2kvNH@aQj#bT5b2{#S`S=@Ts+ua*nwY~yzP>Mx{I_yg87 z>0PP3@-k<ZImfh}UEuq1?z)3lp;ob6&a&uESh`)<B+g-jSKopc3t3jz&Ry_hB}?|7 zo&`@t!S~|)l4~jr^MN$x=JeWhWv(@!yx`Am4zn5l0Uw1~q-A{qK-c-s@d^04oTYm! z$12dlfx9`g?EE%d@n+d-Ufpv!ZJX+f*=iB*9<zMqSBiMJ8XBJuAFWhgIv>(3+Ajd^ z$0|C06z8~BaaZ87)=txdQPzS}?lmWXkEnFsaL<$F>1!707^i@b=UJrh`UU(9Y;wKk z2XR?U?b{-s?2VQzSMNJ-aGAE?Svu!5t62-ab+VkE;~elksp;uImw^558x9?2;k_=f z=F6<(hI5WZ3$FQ;*R*K2@S1OuO^@6K*96Xlw3*b;&$GI;t7E~JQkK_u+ycrZ4_2+_ zJhlH9r%!hCO%~B$7U{Qc0Y5LZbjx@Ke7()mJ=ZnhZ#av0jCa6ic@}S8jx2lU4QJf6 zuknLUMQHJUmuIQTwBgQfj#ux!!1wuzafba8b9`*f8TM1v@nSYd*l)<r0UO_dqGt8V zscS79Uskfbe&ZBS7T@%hPhI1`ZxgQ{xIx!6cNO?P-_;yi<(y)XP61yvn~vtFE(`tJ z%i?`Tb;VD|rlsE$SJY}8G)isSwOmN&dvVjRaDg=+%$wT23#|E~;`sPPu*5m7eCUBv zmb2zq1^s4l{J59%+7BkjldUY-bA1AS)ijkxv83j!M7+;y`s6Q=^FhdwuWP-0p*)`( z=#H09zd_YnoX(R4Q3;8BNyj%%X#Vvbbf$3SWThQB+zYnkalWp9@@m1)-Jr|v?jCUB zy9KJ6XM%=;e}n3eZrk3~Q=0!>1Fb=;1)VR<4%$U%13F*$@FAHH&?S9~o^LW>2cHyJ z(GEITxE*w`aB?DF6zCLzs>`7}EQ>G9GzM2MUI!1CPN;o<#a;HxCio4$Cft@9;2V7B z9(Lk8wI8&6{W@r+{r<=q%{M^%@a#ZC{<CeGL7mKKQ0>+SIupT~yLf_SL#s?lKWIz9 zeb7}vn<Hm5I~Bus8$K)zmM;zX1v)xVKRJ<43p5Du;@EjmP4@OI=+s_jQ1ixs+tTA% z<c#KP6G4pyCQxIcyHzHo9(1hXI?%C(^_vXXn?ctM+nqin(_<FZJ9~2Tr`e!e`Myn7 zs@MTOf@qaIs2OnMpU#s7|6IkMDHPgpTV`AXxwRG4Y3JoGp0IOjt4s-KUS(&f&XWcE z4;Jt6Dh{~O)GEUR+S0$2huc!({pmw8A$_1G7-($&Ef469r3TQ+!nT@C?b(bi{MQ{n zO<UP-m7$xc$o>*^4&O#l!|6ocxkEBvo`J5~IR`qmS6-|rz^13wQerCT4qp?oX9|}R z6xpwWmX6*ytMg<*9jJK~0lHtw_}C81;t8`r+W|bqo+)fjfbTIVf4{Qd>V)heSl?X} zbPoaOe1u(dT4j1bdmFWCLE|={WwLQ;itHa}p8vc_)&$fXJoyuJ%!IyJ(F8ltIRi4s zo%l9|ialFUE(aUq&jW2b0q-+hP;vc=yKE0=B<d4rd1}h$t)Qi+d4~_l905&P>;nyV zSag6c5KK+v3j!Ue@H+-{ce5t9<%=@V301N>PZIv>|M30$l`BkhULUBchn`3<_j>Id z<Ku-rj}4A{S}&RQ=Z0sN=S)8dP2suMR!-K;JlU_AsVeEI{qoFv&rM4%mjrny)ooky zrsUO@DL#iL_;_~vwKZ=5pGffUU-7!vh4bE@FTMNycWv$eU*F^_k16T@yCSAr_i{dH zkK+ITVO1aN)AnS9Rg?*_E_k>nJ>E{VVd^&Zj6LiJwb*?Y*k*qUWEH4!z9<^(o~7FK zC|zJpp`hc*t1Q`fodSOGfxEJx(}j!86QZ69vq-=33n;8_>YXMWV%L{2@iOPCIEM{4 zrm|ekSBh9<4m*0lq*Udrh~rz!^NCTXbKC>UIS&^77P@j?cufJ1<KbY=FsuFrAGMl7 z^At38^(Aa&=jd9m9-;iprY*Qg@L<$#!6|WG8_sQl)@7UB{bgUybqe?=)5N<@VZ{x# z8yUKePk(cYRZd#)YK^$T+M{AZA@^GoI%_$y<lHudOk4oE&}@y$ifYS)Mc0L{#5->| zv=>%iWW4goOiOv@z5mdmq&=nwwbm<Z>@q)?^<Gh<PUN7}d{vD~vx8B*O_#0<tSPp3 zyjjh0t$NOaJ!uIR(mDz&end6(ep6a8M>*oj35eskR?nXa>C0~Cn6_iqf@k`i({{~X z@GY6;v`Y*4^np9s9IxJcZaB1?Q%cTp!=>e%QsJCoKh+#BiXF__ub@#Ueo(3&Tq++@ zRi8iK>e8({7IjtbO{JGuQu&o4-WN1|ny+-@flpJ{eT5q@w3<%Yt4BQXYg*;pbks~B z<bIA?+vX#DET;E8He6|B*%~gOQ+x>4Ix$|>_v97J*KpxAAB>yY$_3VZF>gBNFT7^c zOSc(e7mu>6-rKmKVp>8kzrYlmdGKBMQ(02;)gsor2mD*lB5ecP-<M~^efd^~b<?T! z>JcgSO{?CkN4$w>dL`PVdQN@CPur%Yc`7UR_9cMUe5_NC$a{QK!tbvui}n%@DLvJQ zm$fXt{b~_UxuHW7?&m>g>FGIse9d|72e0Ev#)DD*LR03+9^G;+(j%ZOyy@#VMUDS) zO}t$!qW1n9E_yYcx~~}V#Hwl4ezk}<c1^GTt3@O&?v0nz1J4=H{^%*#u(V8V#a{D+ zM(sl2&g^-?E1+YI^My_Jv?VOnb9`LQ0Xj=BaQ5NVYjRx!YSf!d?|B7$5@_1mr=k(7 z7V&%yI6gr~>E*ogc%RkuX}{8q2YF3h|5a{iY~oxNTIt>7I*BFpzSD*q2U)J#`)oMk z$zr<SX~UJJEL)>lPTz40_^#LV^q*tE|H>xOZ8J_!mvPwf<YC`rB?j%iGZ%bxWLYi3 z(KXLw!>#F@UGYvEj`4GP-SgUTZ7$1FdDVz?X2+X1mhFgs{njDipD&BFj7PvnXBO$X z4go*CS-N9v8b7GA3%m)rD(@rPS<12Me`7+YJ4cqC^M)&XDrbbfJ<4+Wjbnhh_l7&{ zoUi2l!RJ-Rd2G1!om1+*&xTW=O{_i}Zp~Qm<IY)wIi_)-O_qu+-hS`wN|$VvcYOJj z<+Y4=z&DeoujkY??yKB*;MUZ&U-`xh$EH*NRU=aTcrLH{#np6lnm|ZB`$3^f@Nt!| zLYi(p7t-0B1#P6i`x@*H+RJLM5b@BSg*QFFFLUh{UB}0<oX-|~Dg%|qpt)f?&?x$H z&?veTx1~qi!9y}z)`2=jpk?IG?Zk>E)Pg#jxm#G@x$=Fw4eGhiRd@Z+q|@+$)lwsE zncb^|5)IHTf}jC((1|mv;?ooPl0bXke))r14xqaN|8R2`PmtO;p?TZc<Ds*f&s+m_ z+J!;)-ARKw?V!0~J5Z-R6?CkyDX5Rx13FeX1zx>;`3BmQ_jhKi%n|wYL_R6wZAU^+ zITkT;7hmw&G@<!RoX#1?=Lw)Q2ROKkFIacB$~@VB>W~bNUaBJdQP8c2i*HAqaNK$R zkc<mx%Hk?$qri<ntb3x_zk=2lblO6O0zN#n%Gj6vYB66B=yu?5ojPY6mz{Fr+XdSD zwo`uNgyw&1K@A&!ohJ!DG`Nccs`^@GdXl3-CkH+a)p?>YD=m>P>pf_){V-_jpnBv9 z$4@?>osNdwmLBm(56P5R!J7b^>gW7g(R>fI&jB=<Z!lxK>AE1kF3{;hi$Oi_pA4XJ z{-#!$5O2`7Odik){~fKC9-w^!XTi-HSx~3lfV+4?<*ZhjlIO<?i=Qb>Pf%q4dlxi% z{tsjm_+Ukz>7cWLUs`~UYwm5eToH4?iEmLjs1XL*ZMg?@dy!m{BKyhZp!);o<Q7aV znsBeL)$)Z-q9XgvTF~hEyjGbfpc{GFmT#KS{HPLixq~RTrN;dOhh$u?`F1^c2)=E3 zg&k-|<HoN#PZr3<c7Uc%Ty>r-*eT6jJOOk9<t@;{jx!f^o-C;5;x3+G4LZVMeL^B1 zSFTu*K@8|J#&_w8?2pw!r%kNMEi5h)h&^#g=1N(jBKybMh#Aet65&2l=>{FwU^TN< zW(mk2b5BQ}aQp^Zu(7?ZRp!b2)I>fuWwD}wIXgMRGx_3vJ)ZG${(nXx+q+$$>K?vK zPi})v!ZtUvsg}<_^t@9zw{k{g%CZ%yDYI^5flew|n3^;*aGQ#;a(_zN4WpB142(Zc znGv}~XXDg~OCK$Copv%y*Z0rgb+6CvwVxMz{dHA+{q^Ge_utPypYd!Bud@E%)n{k@ z{r_L7(p713_?nc7C)o67p4#oVh(V`F!SU*14zXyrfWJCTs$l|Gas+frjUC_q=9m^~ z+xYF+`ajDUS@y5omA$O*$(FaLncH3K*$#493!B6^Z3yaH@G+<<^qh)DmEysya6ys% zoe90woL+WL8?J3;S*m<H(E@xQx!l33Zq8Hkej5&ULMquqk6s45yM;EL3K!71q_m>S z{9qEla7g{EgwC%lrrU4Ld%XOa&=o&noni^cyTTmPtfwyc$I7A|<96W#Thr8cDj9zS z4r(0+7sn4LEq=YM@5(lxgedUA104&VEM&=+^$)1BJZSY?c*<JgHQOgG_#?|Ay+&!p z50-;&*_>MWcG8C<Q_LK%va)oia<1Cnp3oT#onSxf?<?yW>lN_3swp*3eZ_6%h<Exd zU*i=b9$vLhh~nL@9FdvdbgW$f+@n($UK6IMQO9{u>b{ajrSw7Y;yj6iR_Fa8y~R0q zOZb*;y{FUkNwbNUPi@5yy{2A2CGeGjdTNj*da5h_6*sA}v6%jM+;BzBI>}<|cEyM^ z{-#~*f;!**ntr)9iQ0kA2~ceDmWwkfUGjyu>DYFGHJ^-|7WE6Q`BvWaNc!y2E!R4P zr`+jHSj)`mCGWf8oKe%Jbm2AIn-=`J&S|z|=7OZ@35&0?O#Sb+q2ukBPtXgIU%ggy zWe~gF3ZB=H@eKI<m&JQ3XI8w&hBH@LO7oQ>-YsYODz6yva6JpJ^5=@FORo!=%yZvx zs*c6AUm@acEz8mK3K5Ts!ST5vSatmzt4mpeQ}(qd%x&j9W#_!%URBel^`1q44#qTf z{T9k8k#Ky<bWmxzV8~ycgGtkcL;mX>6e<_I@`v|ekiX!SIQI=cIqCbJFdR}Xf9})8 zptGId@vk#yScSgh;ioLxwQUOu=O#>jFCg+(V9mGerbqrlYd+>TO^SYVXm!q6HI2O! z66WeS-h9k)&AN5LpXHopJ4%=ydh;pVSlM-do|VzAxeGq6=Mbx$v*6W?gpFs*j&50% z@4w+lP1CG=wTM>{O}EsAb&BO2?|O4g%NDw_zc-;ZUdW`T2YeROy%KYt^r^YfIrhGH zrlm{1sWm;SY%;a;3HTJ#v{g?{qgM8y)pnsNwG$HdGCKaO7s}ai^4*NEqvirRTi6|+ znhWU^@i<=P7t|@^bNniGQ0O{rp^5z*t4UQ23%)I8Ic?(}P`=pKVC~a5|A2b)CQ&06 z@Y=*?mR`^tS~rX9dc}yh>?}v$t4BQk&Z6qhl6`ZYgx|}VEU(`fHG)s~Df-s1QhBd3 z=c)RJgk~ehqxu3lC8pp3{vvtDtM>(UwzVzz#ir?#-6_dpI+?{=S8+ux%RwV=&R6ps zH{5y7`6}LX!y(uWSBI;Y^-cN3(mP)<;%PmL>-KxykFS?0Y3!Q;Igx2%!rIFmUg4bA zcFtMwrkCaQUDtqr+gYS-TmwGtXOTYo?O1`<dyfqsRnV&c)$L_{NBk5{IQ~rr?c`<x zO}a~gCfyrbWwu!BoN;`nC{}c#b`t2SJ5V{ieMWz_0eh<~X!sO#?oX9AsK<W%kW7e| z&J%?yP)F{!7U=dk&_t{KOp$||w=f(^f2pt&bdG)$Xn*||Q1QF@CKKp<VPV(`t^KX7 zmJ%|jo%p)sQxo~zo^PDc{3#sN69&~0zmmnCDO^idWdCZv*?_$hbOitBP;O8qwpEtf zGUNTxLoz9R@FQ+7oCQ^4??C<gdOgs<xe>ReL>%b4;X2S2zWkdE*r(cq4x|69bH-73 z7e}}z`$y1nfn?C#w>C3cEi+^fJMmpo2OXPV4BFWSs#V`di4{!%ojcIXju<!p2Rhfl z4b=JnbnG|ir2Z6X(1NnLt(G024U(_kgSP*;f<n{+6r!MAc;E$k+c!*Te)ku2Nj+$R zo_$gxAD8s9!r~%>IVTUvOeq5$-~if+cq$N7je$o27M)&Z_i90z7${&968WTfL6<Vl z1RV`|+==fJXh{4V=)l0M`*wI0UzmN+iSLs&=xl#gohJ)sfUZOa9qacKbP8{gBDdv= zxTA+;j^u&v*r^7!yOcrJfa`yg`E!4*Xbwp+To=T5D;jjTbS0?$HKWz?ge>S10?=T3 z>*dH32REELBr|1Qf+G84>Bt$)r|#-JQTS!RT^vw7t5xR6_00zCy=%WczH8-i4m7)y z3F@%-v|7HHV*nnjIn@4Iq52hQs75bAk^LvAvOfqqd8$I4yV#(rqg7@~JLtG(S#HY} zc{^FcGx?H0(NHVMT|B{J3aG=Lp2!yjs>pxWfX)>L-L5nh6qtNsMHfI#Cy{yZQGkRU zWuU{aXTJyCa9r5bYPkY5nV|o_@&1*5aYo**M>jzAeC-d&?SOj=)h>BSyfpE=_Oe83 zlIroBg)-0Tju+0cJbu<CO5I3Pcc-MM_esCGTPB_Ps}gB7S8b(gW?poV+QmznlaoBs zgS^sf_h0{d=l7q&bEUTHo<INnd++`E=jW@>n!7vk$yED~SHAuKtv)GSMd<H-&}Bgn zL^t31<SEkdXt}_eLOI8i-W=C<%>&<T`-S7wI@O4LS;wEg-=qHDX5T-9H%%zyPHV#A zR+g##DiKefaBeZ5*R!6XY5t<D)K?xkqK;o*b4=SYb-}a0EZ(+$0l!(BQq5+zXI{PA zm#|aB@h>ZjwvKAXj;4gAn>nS{t3*7NYFe~iU`?66<44AWQlLr^w0w86vC)icQMZMr z%yZswuBK^|H^((?p(*y06Pmj@wc=biT$E}$RW7XaNy71JI;Ys}47;{qTfYrQa++p+ z=9m`c6Y$%mDfJw5RB>nZ#VZr<h=Mz?7gRHTa2)hHFJN-*-i&Kn(Sjm#T{ql{X?oPn zam}J}LCVa8wdV!FN5RkLJax}=!@a}cJ8d0P4*q)Bqzbw=_s1_!!G_*4r4@hTnq2u* zSNyVTI_jss;$LKws-E(Sn@SO{_#lVpi8$V!&N;36uHBC4ujMKc4{cd^`IRD8I$Zc+ z(iCb3xj6U6%0<)tWLMVCUhv~BOZJ~x3!Y47$^P5Dpd?3e+17J>EUD${5$_qAJ{=dx zDbR8}>MxuVqQ2sfZj-AOOK838h8w~xSO0r%IP&cGCslR<y`4M%F5x&3v|I4XIU${5 z5y!j19MgV_KsKPOIUc^v5%x>f@$puc)jgbDdE4aIZvs_OyM@+l)Q9Z2_X+qF(^MMf zt59>2k;OW6n`P;ea&5<-@d7y?EF6#43*>C^6uZ3Yj~jS(-Y))wNtI2n<dq^G$u!N1 zR{@`?yInx1Sl;n2<H4eO^%eHX^*rgV=Fqd6+KZR<P5Gvlv8N?rD!-5j=xALj@Ldx> z>>N*4b6l(HS@5Np<#kR8!?Msa>87t~!c)!*fbM{L#Li)6)x6-7IEUEIB3M=NeOcd; zcS<Y%SvRS=v6#Mf@X21emNV<TQbd}5)2?ogY1Q_Qe~k`u%~#K;usrB>Up1pf{h-!< zRgGUJ2eYJQS{{nrpOn!1gasU>mOGzcnRsm>%hG=Ji036uo5Y3IluJ7Pd@qnw!0vd| z?x35$V8}oIgG$jdXFjgZSB=QwZ+gWptWzlCc(z|iCscJst>!@^bJ!A-y|u4A-kGy} zov#w{@IDJ~a(hCQ_TJV7AK$U8mhlMq647+k&MDvzQ<LdFuYgZ(O<S#4mddL{Jm+lM zq%XK;`_F|Eem~8Hb2i+DxNYZ-|5qkn3}l(=&C)%`Kj5o2OZQ!efWPi6-Zl;apZ!_9 z_j&|WZ<blM)u(B}yLBw5-?|5Y797Z{WmM=L^om!@xT6yBv>i5FQXTA`Rj;D4uP>oD zT=&oxuX8Hk)p^{U*G>vgvF%LQTg-8)zB8dYn^WswL&D<U99sVy6DEptta9T&Tp)J4 zZ^18X&S?<_(6Ko=!%~&4O$)w7vYZBuk(nMW%5D1fU0}_J>ZZ2yf@`*XO!8U%(TrvF zp5_HFYMQR{scXckL_D6%qN=YJ@!G3NW(nwEm$|#uTnl))6!x&O-*jJY_iBMT=zv~c z{^ML{4<=-b6$R8-a~B)j>TZ>p!Vj8mSKl<D`4y<UbpzB-y9cV)K<B%Beh%6SFDO=Y zp>9^I<%tZ=JU_l&(Vz+8?Vuj;Je@O+isrBZ=7S%8tn9bas0EGk>Zc^~Y2616M}xMp z-#Q<0!tqZc=(6E(v7!k*GL5TE*w^ZUZiG=6d$s_)JWuWr=q@18l7Rk=2JFpRpppH# zt(FpZL955?L1*ajBYKzrQbA`Zfz|>3%AWpdldMV^=(=GO?&1knpgI_I!LiYD&?0%z z3iEf_pd*i!K@9~?&{aSu4#`Yu11-!G;w}!T^p!JLXTK;LF{Am`?#L64e;7b#Hhck{ z2FNO47sGxs7!-P?cHEX8*CS^%pW_7`CY%h~3C{pp$Un2yQew{uC%&%rc0%Eqd~Td# zMFDl<+{FSXK>=DM_DrFSi`#Mq=%@e{J<t?8=q%tXpeg^m$%%YM;AIEklN3v?gKh`P zyPUei%kstfltezR-Jq)ooW!0jC{f|Il$d|ykW7dcX!g3R)pAAbaVNe<paqt_pv#^< z6^cDu@D+4|QvN0x^K?Ed(4L5#F3>qopz3!iXkh;++($<@FSmP@uw_!K%#`(@2@_CT z<<(x$mIKgAxAUO(m!vyrEyGS-?&1lv4m<JP`W!i<`HYZQ(FI*l)PpW0`kw^4SxJ=J zQes_VBA*v%dB;c4GS8<sK~wbLkh|F1cPw;PbJUzxnIq|-216xi{UE6IHTQ%Q-=*{o z2JCaYL9H*)CDhwzwaPr%4La*d5R^FES}i4BO5B+vGi5vI0F2EMCmc)lxQhj%k2vwY z0^N$#`3dTuJ6}O(46gsr<hED422|BU&lvo3N6z4EMrP8?O*y=$dyJ&zjLw8aWhbUx zG)n#4a@=sa@cA`M7o{cLRLbQ&-D7jfXz>}h(>%u9=RuSAH!hvf`8Xl<(dU@!v#aa> zl*O)p{kL`-=!C&Ps;Am>Br0F}+n9d-U+)S!QW$b&P@Ive{u~#^h%8gbx2BNcM#rY7 z^AuLdTYh=^-|NSEk!>m&7PAwU+Hy#pSC2>)biA0#vU;w6K#k}@Dcz<?+lAJAWpI4? zmF4vw3sArRjY&g7RBF12MIGogqPyVJh&DQGxER!Q>bam!k)`9+*PLR}?g4-8npEY~ zSNvo;7__lf!tbpt$F!Nw0p)rJi`oUQ#5-*`WC`vg?s$?|`^w{GRMW9+&aj>B3tl9% zthRLzsOff{aZSpY)9anrhOlW1z8qwEt)r%~yD4GsRF<df)owfxYw9W&%=x0>_|)*A z(ro!}@c!#J&`oA<odRm@n^eUFuGq{2Us0p48nMhX;Cour(>iC!x;)<t1(y$3PF-p) zXyPZh=96R7qROVLeX1IDW(TD}N8LUVXqu~4dG*S~Yy6yE_dPe9YXEOD%PnGA7W%K3 zMf#0Tz(-~lX<3(mpBYWA=TufiDM!5VYI<d_5b=nwY1V%Ah*z3Tx1?n|AL?vA3maIU zyR7et5zAM8m57HLO>N5s*L-1ZIu<Ul=2LCcqV2+KzF9XtQf@MRqv5mq$u*Yjy}b** z#Id}VaR~Uv(e(8c%Ts&*4F_Mr_SRJgySr@{4Ed*fP|05?<nDrwOx<mwj$c&{3RMeT z`J-_#s9o?%oZE&otSqJBf;!)0n|^H<T5~}?W5>LNrT>LY<`tSOU%RIiTED({7wn!T zuc)zaLPGCk4zDoIYrEzw_`=KbdX2J1wf(`We&H$q`46&fOg32Cm9KasgU|6Pzpze` zhT~OXPBH803x0)jPTP?OIXCyt8y{KEyWRo64VzNusjt|5bmNR`Mfqwg_G^PTnN=7Z z^ipj)rp*!dlgkl2{mkk35p+qSvE#{f&TF^+Snw<_X-b$I3tc0A?wx(<63}H(oGj8h z>MMSvHucT|-#6gVzu?t;4)7+k)qTgefcMtz=9qSC`hv1~2{Rvayqf2`;m&l9SMjbJ z4)sF^at>QB@0;?^<HC>3rqD&|2~n=wRU_WcWjXp?G2*c>i)z1O#A~0XOVb6`6stPk zG&@*TE->Xk^FcO$!H_!@hHaZKnz2m1@3`T_J!l0RZf{qr5~i}E&g!6$xbT%fYzKqP z1+VOzn=rGT^Hm?mwA&k6GOymBmC(9f*yK)k!qR?06T7B_sll9GaV{I8niu@&Wy$`N z1PQh|H5sowUVAoOifYPSyIIunrYz^R+mjd6^d&SO=FpnwwBaHv%hdgT8%{_;E*fxb zdR4C+p;V;Q7W}ysate|4L8H&m5s7ztbF3y=&s<P9HKBFApvj)bgr)NZP4>-Cn7Us` zq;_J$*6Vste|(H&$zH<gbxt|rbwJZ4Z_aC$(-*u+WqBRz9`J8Di}W4P0l|tb-g<n? z`+DZ7ulO@-vcX!{GKCet;+l>=69R8v_2$T`>q}T^<@h$6V_Kwl!1uf+%M*WFTV=k? zPfg_GT5l)$dqQ(!Q>&%M-u70Rp6}_2d|LD8i5$GE)$k!t)<qoDUw*q8G;a+$+ouLJ z&7KcBP}o+iXhPkTR?8PQhe6BobV0|<+$#f3ujh$9OW2?fs)V~*EhS`+JMo<o6?>*o zq{(f$q7Jm9?(P{UzE}Ix68VJseYzezgxm=82Q($S#LSj8T$BB6Zsd$+pRQJ!642SX zMe{-D(SQ!cI}{0;4+dRm_e+f1Qer!(d$~U1gk#BpLo!!DSM)uaJ*BYt*@BO3pquGI zU0}#Pv!LGkBT%W&?~`HduK*mw5~(C9vBlNzYAdo+HN0sG>1(9E?wsDHT_box&~ z?`8wYwF7@a9r8yGp!;S)HzLmk-Es$7MmH05SI&1gv1ba`Qx)0&f=*#NbRRwmAn^)x z^pD2gd95-%<)Bs#=$@sEpx*nfhdNIb;?ffNq{KH(Xnq3P4Y9SYRc1+kaw4A<KWOzn zXz)Y7$STi|?-Que)C@WfatAwivB9kKPJE}jL8Bj=;PvIz&!7X2w{^A3T!{t^)P#u@ zU8rdQUEQ3n$o^IwR0a1KSKQ>=1v+i$PM*$_1@`@|mKq|UaT-nT;sC4uR+%2~Vg=9= zh!<93&lZ${?v1nuZBLAYcbF9<t%bug`J${rS2xRuJzHQry;UX!eCGh@c;kOEV$Tu+ zK*uwK*8E?L5-SSW*ABW1=$I4VD$st!jk@PRryAF)aTiaxF|}33WHYFVm;gEy^2{Nb zlrT_Y08atDSO=QaxN{ZM_~HiLH_+WG!vh-o@dE9ny!R$2W~t?hobyh6i*|1?VBZQ_ z16l)`#IcyyDx)%evjO{B(3r-qlZRyX{Nb((w*SXo+ft{AQpE=wo<0$kB<FP6#dz@< z6=P+7&$O<!s-WWo<-E?AL|vP4xwDwv#&^!S#I>$7Pkw5d>6ym8+0@9`#x1RFrt3D5 z%{tPy=S%nQ{C*r#$FIBl{rm6V@2~I7eb;lsyFTmo`!D<JLBsZQ|3{xxn&=y6lzQEN z5yP7A5{^I9In8b?+VpYv+p}u-ITU}iby@p#G2~29T2Ui#P^q$M)p7wH&~-dV!OO!c zwGRfp=E&mbn0C`4p!{>C^m5+xlez8z_5Mwwd<x)(!DJ4p`Mw*xa=}%_hyMX3SFcRG zrOR^ky<)`Uz@|y(1=ehRX*nb8WiIEno6QSy+7k9gvOM)yxskx<cvO`|I@UShr&^Qi zJGB+R3=SqKH@z~GKOC7f8(NJOe_yG*@-JtWyyu29oGhiMSx)OJt=K;)p;ekgYMpAt zOGn7W^U0kv!Y<BaS*^<1_1=BMF+mp9e)WjgI!%|jIj=>6M#4e2n3kQrGVxw4%hTVG zAxC=_>D*em<NEtM6DHPjuBz)u*!Y-ZRefVZr<UVcZO&=C<}LU(m*w;w|A6nFkaL8$ zF&vJ3*b8l!JhTgT_mWr1sL?s7HD6t0S3|;9ZH}&Z_YH3C3!c=nWP|Pt<YsxDQ);&? zv@E^p>o+xx|BOw%eTpk0lx}1|mm-#d18v8XSNzNSj=WP{@sGVpb)Nc)pP#grZ4GH! z@GXwzw2V{0_l%~ecJ2Z7atFDl3!3Bzt@*;-bnG=}*iT!>i_ba2s&BGN_<fY+yjB72 zBwAK}xH2(p9{BY4GpZWZoZuB=pu>bqSw!pIH(X?EI(4yi#x<qe!XdTV2b0)^LjG$U z6jB$y@`vkSkhtKLIM)q6)ljFsvxQ8+f^JKk9ovy<dS_a~((M8!=LFV#5^P%J+H`fF zPe6_4L8*9UjY{K#QRTu@>RJ-!3Oe4D>^7M5b(^Bb|FkCFZ_t$p;`TPBDJ4vfPxS?L zHqBU&)ts<Vnse3vDG8nJ99jQb6Et;?ZOJ;X5|L)!wClH^&UED!^)?5&;#D$sOh{O| znp0}MV#HH*Xzl7QKf@~Mx3S~L6GA@Oz2U-B?o3TsTg&Ms@3-MxAxr9cm5B9_O?B(k zSNuqB>V2oa;*WZhYn;l8U#phRF#|0{G&v~L4&8}3d;T1&Nmk7ZzA-hWP7}Vew=ZF* zyx^7n{Ryp#j)%oL!m3hvFK6vBKB)CxMWagNVAgyEjXJA?QqP5^tPx&Qs_XdDn&aBe za%iBv5e{~*Ixjq>{;2DWYiyiNUGEicybx$QC9fXw#H4A}a{--gJqvz;R(8x?kk+5D z@;GOfz59kU)5Mc4O3PIu-o0h{%C8pj5PnVL%3yad(WYb51=f7>ZCbP)a+q*G<S^mr zn5N9NTWuX*iX60J7n)+*m9Td*=c)Rs3C-OcT6N6{i_ILL&KK4(f{lLt`o2<m<5~Tq zTUPDwOX#%a%-YwVu<|C$)^3*5b9@8JH4hfWtFNdxKFDRS3?3V+SI)3`Y{Zj3)xz<j zEk{_jz9XnzP?H92mdr6OU9xq?f-j~lukUySZ1V=6RQ}&<L*ul>C`*lf(^@Sh-hviK zzXx^M+(Dho<&iU*BWAYBTmkj9g+S-fe+KP2%hCm1Fbg`LciudagUx{q51R`w^v3k9 zHeug+eZz$2f1qnwAKnGk!4}-b0l!2*oy(JlWI{kgwHHNo&N!|;>csb`e4_z-@BIxE znxBA{ufKK`E1Ga`*SX!L7jCw;%B0*sdPwFAAE>j=1iCda8NP1r(NEC5z2Kt@?}Pg6 z<sg@?2d&1N3|il&FZN79a5q!9Ci_{?mhY9d5homf2Y?o3>2O=Vn0M}w%#(A;itK-_ zK%I3y&>_MHVTTC&gSLp*`@trdJMy;5glF<)S%Yqxy{B`=QTenJ-=yOk4A|F#4lJw! zZ9j-S=ES$@ep(`5)ppSFiu#~kX^(ZDEU-vR<a3LT>jW*v1NFyGfEGFassnY_O}H&r zfc83goS7{GI+G8yOuzEw6?fSsvp|dSq`8YHM4orz+XWh<*qIJG(V%;E!PI99HZXHr zN-P5%1L&ypB%wxxyEwoSbUP7veIsb;S&b=o@deP8J1W;V8nCZr<hJwxoeXF-d;N`y z;)935$0$#@n^&ZwamFzpG*4mQ06VmBGUy~>P}3m>G*Yt~bV7g_$gTXKlY~zll2HLI za6jw2{u%$m<}2Gk>l@j*izl1}O+n~@4uezzT^b2G%HJC@vGE}C)WHw4Ktna4v6W-7 zpwkx&xGg*SB4#wtT6-k)lw%F(pu&yT+?F2i&L5K50$TI`5_G$g-^^B-6i|~1a&<50 zZmI~-j1E7j-32;mx^gmG-Z>eUG<Y<vPy;t=<hd<ZfUXHp=}T8+KMQKWTyX-m7!Dqi zNx24cDCo$8b?2S<%>FgqzY_nSHEz?%T5wel*;W7N&a#RV8Ieh|9)4;_ZJX7Z>Nb<N zKQL|5%|mCDj1LD-j7;)|o+Av}Qg8G4j+(LYscRW)TW5Br=R~F~Ta&u%(fjh){Jeja z>t2`c-M_#4_rKct&(|;CzieaOlcoN-uXE%737*szdbvMKwcF)d^~p6K9;t9S9G0BE zzetNg`>n%;3jL-~J~fRhk%L+4!XoR1))by(xh1{l*HI>peeILDm-lUXr>3!YR>Iun z9H;g<Y>0cq<+J)v8H@BA&w!5(O}%NtA$AiJCT`|f73Z=6bQh0olWLgYm6}NjD@$3n zn(r1m9GUivrAbx0q2T0Q+hu)EzNxRMS2@U~+SFFe5w>f>f{!&#q0@vw8_RAzW;uFY zXwAl#p)<lxvK)-sEj(qO>xOfJEUEqK5$}1LK0O!Cxd1(ZMO@rpR&uSvia#0$mCg%? z-2IW|lf5yQW7T`F4M*x&Oh2=D%en{rE@?`ACUj-4`-VG8O}|cahE>d1@NhGWw(Ijm zi{9-@5l>@bRmFGE!Y~!bkI9_Zeuz1q{LFD}SJ#4)*$H!hbDXks*>KO8<*E4&w#&B? zyqmh-tK86V4)`g|(k%knPFKviD&BF!kz8oa|7`uTz9n)hD{Pe_(*CL~3oYkvdV0?> zpkBU7)Xwk12Z^Ss`y4O)kZB5)VsXt^jCebp<*2+u#N+oYs-?PZo3BZ8dcAkqa1Pc- zOfL?0uW}a#AB>YO9P&r<pqsf+$UoMDO5cS;{z@NAn)q`@*jIA_osG(lXTJ;SlyW$} zUCc4fvUNe;)P$Y$g~0duo`>#nK72LU-K$<T;}6q8t@Zo+9=mT>kI?lE_@mHdYUdsB zNu_D)IVFu+!-H1b9H;b@Bl1NZe<~hid(EM>?_Cv7`r?@^Q|~)(IKc=FwQzgmQk60$ z$FJ{&bOO~^RLUL<Di^#G=egmGFiYumA)W8HSZ9R&WjV<8UM<6-J7KB6u!-F~@O=B; zhJ>xzoL%~g5sRTKH~s9)N|$`KaeV2UcVNr4n{5l;<gvVd>mKkg7<?tpMyCxIW13D~ z7tkqEcf5LENaq`e<JWp&osAcrc$PoA#^Sw{BkR5QhBFVLPAl&RokL{i`1dnM*p68X z5@#nYy)R%=*O4%_UQp!jhStorpc{~O3#|F**fgnKa80O+My=FAtLH*f_D)LJdz<6b z|5*vmpe^v0Ed?i!*89myuB}&I7Ha9Y;lw$XP<w|BHx{y7-S4pB$VwK|dXEiPp0aGs zSBzNZ9q^s83A7k5-aOGldr#+rhkh*Dd*?4G>`RymnyT8w#4%k)*I#z!--ZQ0QdzR^ zxCDHPgPb&Aa?onxY{RviW(%*`uI%{pyCC?`sq=z4C0vl{c1_2tVh5AH3xxbvJ}8t8 z9gsNt-&fZ2?2gvQ+qbKO=Q7v@b;1=^)C(Qty04s3VR+EXT)-sGZNn*f@cn?d>N&fP z=N&D$Rw3<p(w6g@Rl|ZWIZdUo3z{vfpIw<4H+R9GuPoAcTmwFSXOXsb4ESl!(w$Q# zy)3jey6NaQg%$HuBOZA)&5Boz$l`N+%gr%urayR{?SADI|0ND`J%%*RKNU>AZMb&o zJ+BKD=1rC>YFb)lT>29d`Lg0cYn_ZiYn?#n-)uU0NM_5s6h-!zpc?wQAZRTfXkAwB zm1ETtoBx2;fH`)7j`BZqNT!D`U6K8%{$>OAiI>$~3%ErcD!64s>OuR!H-ct+>p;~* z#5pIvS<IkwT692n%~r6-Ewwz6bJU4%7pOP36SS}GUm|FMn>VPX0NMz?YU70FkDzJT zr=azMdqI1zK_d`HK!?Ult&jY2YBsmtI#z+W81|F8>h4X4WVV3LmVL<sS`|M9bbarM zLo#1L+sc2+g9i9P)4LzT#GWNw0o`c`n)aV4$Zfge*4#g;B?7mbT4k=>KX*t*<r`?w zydQKYZgRv4$K9YV=DC?7pd0%RZvAp$Z_cZQd`0G)Cp7;9jbJ|nuf_viZWxts7`IfW z#~d^e;we@naCdgA<%-%VtujaYK^^w^WJUIqpe6s;mV#F9D}%13Z*P@J0o}Wt&&zFj z0(6@ZPnyod_&W@Tx?eAVZg#u~YADngfOgPzwaSF}gDx5l1ue#FX|?pw0Zl>d23-J@ z1)4AEYPCGE=YSL6u8m87n3}Th1Rcv??++R^SKzjkIG2{l=cT@BLi5L&I%gc8F7g!o zca&FQ$Fw4WSc9(t>|6Oj>j07x`K0_oLkpn3{MMVX6;q2Q%sY8VrsNyw{=L7EGn(&# zHXeYFGXPDXe{=#Jel)*TW(sJpz{IIKPZs>L0F9cTcH(<AyX?cWGmc7znxKmnTg0zB z7JpyaZsl<{Ns;}nGU)W^kD%3fY@pS6^IK)U%+@*Mm}m$Z-%m*7^Xdn=v{>hiW9s8n z(DjRVLFXL5J>|sr=r^dHXv1ykA$R<c%oe|NMfR7V-GS-%Kqn9|ap<pWezOR4E`V;j zBKyyFPz!9c&XWZad!^T3lG*d;f9>V@|C!I<knIOo_CIEof-ViXlbw|IaOK9Zwq>0& zLC5BGn+Tuo0Ueun8Z<^Pe11mcmawF06K6hBR6c!Vi|e$`cHa`eneCnDEa#+EEK6;h zIo0!gacus(zZ=1e@#bfL|NHmd_v>!Od9ws7pN89Pefs}j#}m=co`3n^%Km7`y-Xq2 zgo%4OR>?VmE9d1xI)!|WXHRoX%Mu0;?@Bf8dd)FycID^QpIPfmzorYX*>ITUma+Qf zHUT-wv}Ju$_>?ki<|j;@%-J>1cf&1JmZRdrYYL^o%k+CWyw2}ny&RP;=6Lfh=e611 z0sk19c>9!AR49Qjoj2zY+X?T%t_^liTFtS_-g`sKw1g;AbM=TU>!w@P0y>kGS6KHa z>=cIF8Ryj07B0MIOUr`7`3X~(b9T9b_tJR<)I>L#mO(p->fh&EU9y_A;LAsr*CCvz z;v6>I3uSqluLizDSe?_XYUYAZ$F>*!Ibp^UdYPsBt$V;<V-{~2_kho{S-j`^2mJPG zO3j-Cy;!aK{gsJ#CM@{p&7!?$VRxqKovwtX--S%>O;4EWFDzm^En#cEfXI5`H6Oj3 zCYcMYDK&R|d7SfF<-`SV+_ooLyjJBnwZA=~xfWU;ANBW@m6UZ3`1zWpdyad+S8?#o z$$Q-)r@}aHxYD*gIcn>6g^0BDrd`#-;JaMih1Ucqg6CiD)iY{j4{DYBL00<7#9Egw z0k6i>IlSdsg|XvFk%Ljyf>Z3KB+O-HN%dEbcrVlR$zJ)!0^fj-d`-RA1Va8vA5?mM zzwu#6t?<DlYoU<;3I~PQq5J%1A6==uGMFQ4zVC)JOIg6TGFm(Sy~?8fXX=87Jx^y` z^D1mQc3XJOC&s2l>_Th4RW?0R7hLl(wrP?$qz9{a&}uboRNc<JbjfmS!?mCCRc<6$ zH+8*NzoFqC@UxhudkW{Oy7q*P-5jg_w<UBgWigdkh<GL0bZg>ngE^^Y$}4v39xQsV zykfuoL9Y4Gvl00F=UWB+GIM;)%@LL<D6+RTVe4<sE_>Gv$M$o2<z0IGV{I;n*L$}O z=jvEexmjMzx(ED=hTMX4okjYtN5D_JCf9lJ{_Fac${TNRK@ZHE3OO*Z339i9YruBD z4R_Xayt?nO;gBs0ue^H1%lRz5=DVa1N2Yo=E!r)xW}2!-oy9?^c*urQRrT|8tS(vg zFZd$L@;b&ppp5lkRlndAdEuN54{c|J9o;UJv&9%PnIQqWGMdfttGs~DMU@pl*_)QW zQ(CciTEa|mXsEr*E6`uI^-eU$tK}SFKLi{PJ9CEpQgVEJnIr6{o#VyRoMFFh9X~#0 z$>!nkvh&|??br82i>1#6)@)XGylKmM&3eXyKjIu_7O9Y~b<7%HS;<(hfS=_o-8#zP z;jz~otM;d<F53!P*z#T_Ld!Yew?k9vGvO<?oe4YN3tj=8yL4a3<c-jpF9ME_pL2w5 z{2ec=7XfaT%r(_y+5kE+@4PBxGD9h1wNF5m;6W>K!6|#&680`-c`C1R<AG#T*Xys9 zQx~7*&{F3VyV<<p)p1U-+3o><vssEKL|AAxt&L_lIO*wvpO-<~=VH$~@!i_K!GL|H z9k=BRyQ7C>p8PxP#P@5q&4KKK0PuNv?~cLF%VP!g*h0mM1lEEUZqMH^q4`HB=$<$= zv7!kvpoYS{1V#3j(?K`Cym9=ugF~Ta2j8Y>f6$RLFF=L%C(tM?oBM_d&5uAU@GeH` zJX!Duv;wb4mD_R!XlvAsy`bK@xY#p=k3!s*8T;x){|j1q<bpPPftm+1YeA>?7l=Jm z2v1UE|7#3dW8Sak`a{^f0o=I+U7Mrvx3g8I2XrR=RC~}hHJ~k8Z%g?fYCTn$2U_6v zK24GRBxo)7wM0-A3~nYggEr5BnhCQ&QxNW;+i%6WEj8>;9g^vZOHgEgdVSrovoo7d zh=JC#ftSs#dJo<_cTeZZf_dqQd_wM<Cp13;9f9*I2DIUR4rs&uaVNfAr$HwWDuZ^~ z+i({LblCN*p3?jfH2S?o7_@l~H2%StsK|a1v|iwCh**(;J!n5-j2UcggX1R9?Rjfc zb)G2fZG&yNpP3En`kT*gm3dO0kjTd-DOMC<)6r@vaTe471KrSc0@Q7<;sn*fpy`tB z8x7byK?CBJNr`+x#%wz*Ei*uS;*D<m!^SmUoC7V;yTb??H3y9%SWIk{;SmQNV8F(0 zDG`78kW5G(=x82LgujgxD-yV_3|bL*V@|7#%68Ds$?6RgnoB@U!!w{;5J0{5d!Ta* z_D^WFl!!rW1}r=Y+C29Q6!V}H0Xo+l4c+(Y{)S}>c4;1zIkGKPk^L)Z>%bAvw!}&m z(87v&tuk9ygO-beR*>!mHE%#uK)*m+0}p{NiTq&%x=QIs;JvlYAFt`0aa09a20rLO zVb}dD?(u*5>w@?H6@Py@O$l^EQ09f%siN&4KiDr_mZYlW6~Qa2+L7ckO`(hN2-{kT zqqh{IMJ7gUWYZSfm=w|5A#o&YjfNx3AvH%4<*p@N5))Mwl0+slEN!tB$q4pxRIoXJ zX6om<%JjP8yXW^jzw^EQoQc)@f07f$>mRMmjsGQjQd_8VUy^FKi&@3RHDy0kxEwwn zWyzl77EmO4FlxH+lsLZ)=VVz@+gV<(Ro2-5v1|JOUk~^<ACzh8+AWx~#oqC$GpCqk z+k#h`EZtW*SH*j6I8rK{alR(Cm64@><*e=gvYu;Iz-8D?{)DJfVV2WxodW9h4sx*z zo5Z+mxa7&wJ6&i^k)h+oY|gOPf+F#b8;(geO_E-FqyT(W-Z_@nZ$Ldf(D?ed1)x(- zIZuUim|4tT@W>T1wlCJ?`c8SpFP?)*o8^vdS(Wd)!KG`#vwbYyx(X}yPDq$3%<*cT z>xMh5EMIT4Xx}k`tR<4WXI#4Ei-_anna)1hQ*}AI-nneJb&uufbKy0CDjGXm64t&K zoMJaWVeV?qQ};YJ+`G&2biT@sgk#PUen%}1x>*Z_)Y%_YVu#kVF@Nt|nRw$P%hmnf z8;(@6nAZDl2${a%TP(|I8^?ff{^Y3BdnYdV#|OU2H?c2a>3Ja&yFPF~)^>Wr)_6gY z{f!B|_0U>&a=))^rmNh+EnfLv8_s=WN&T(@UXnLo@y3IQCh%3hGEJv+n_SnaulS|k zbW~1p#Xp;aLbGj7WzNo5jL70|y7if3nkID9`kh#tQkCzzO}|cag#AzjuUh{*dBMjC z2~(eQbRCmByd|vK*zx0C&TBse98VtRxMtM`9@W3Xc`D9r!@W|Lr~e%{96SZ-krjQs zxl(!Y&Hm)5soPZ}Qo@^7MK&GfQ(W;+wMo@a4SX()p5lsH-GfHk1+T<9Zn!gJ!9O?u z1V}&j9E*1C1n_pU8rX?7FFK*;!l-~3kLRmKytZq)w3_qUOw&VKPUZP-i0fMLhn>SL z!Xe-zH)K=UZ<g*DkASb`EZui~0%{#L9Jve|Gg&?F$d+lhCN22p$8!3OGx(gq`RXh7 zw<NSmIUfGZ8TL!p@v$^#*iU)Ki=iy5b9ZnZ*4$@#P%2+tW2R!nYlo&w?t*KI|3Jr? zZW=G|`*KcM<G*wh?=BY6|K1xe3bRaotLc+H@hr!xeZ2`A_j0VN?@8!X=FFPLISn+b zzmw&3jBCJmwx*~5oCE$FHi?>5{CfHjyxy;J$IB%g2fRF+j#Ue-`4rf+$X#H~x6r0X z%Z1l`3~rhfF0`i9*72p?L92d&DYcCWdwu5}ysPy;tcmxV!ipb)O}$(!Q};V<@PMq9 z*bp3AZ&a#M#_IUhUQnk{-SKQY$FwN_0PAg1m!s0Gn|AFM*7=^;^s8NP&4<*cw&g-= zz9cstn=ZKKlA=Zx`@t-JA(43Z4ac&te*mrEw6shQVo6vV%jxyrf5SONmQ-(+*K>UW z{<*V&j~eJ_k-qB|@RPC0^_oyf?b#XOlHLL#_2vhKiaE3Dx)N5pvTXHNiAa0Na%j4Y z!-n9}*}?8b^$IKMwGVQA7Y5(*wfcHeRPT1Rh^MhEuHTg-bo~SVWHg!X^9=Zu)3jAj z<%A>XT$lyL#@v=C?wvj)^JQL|BKyx5n{Ujpl*qBvY+AjA;n3=mfSTu^G8xq4ed@o- zfPErp|MxFm&`_@!x8;ieO|6z5TR?}wg3i5pB?lTm1+9xuTX#70lw)}@=m<K{0_pP` z4A@)!L5s%%b<Q}xd<`0pR^u)X`1un)dH&*RuzYF2Z_u^9_s<@ZF>%v*qG0*hGG?h| zM(!~uzDw4d4A|%H1+C3`3_7R919a|<6lkEoxm89)8gyWqmRM21KW*+}ft}s0G9jRS zcu7&<b6TV$W;7q+1J%!v_2&1jzXr0el;O6_0FD2q^ns28z6`32K}!Pvdx<?;@IhRx z$l%Rp1NNovktYuR05@)aJ+HjUw+OWVZR>YX^9FP#@8ip$+xK+1i!ZF&G@<zm=(?rn zUGUlSoA#hJ4)6WMiYC<0Xtk7Bldi~q^!nb0)h6tVL0xalvxj6{o`Eh>0v$b*V+dN5 zckGai%CwCWnx8!d9VBGQZJBW&G$7puS`pvZYI!18=H`c`|8Mc%pV17O7GJR8HYfyH z1?sLGEcg!^KbJk_#CHsIqi_)yw`IpQ(Ah_z@q{{A&{+T6Rv8u0j<mH~b)G2LRx`&e zwS1uxal)}oT<qBb^XaWJPe2zwvw@bteN+M6z&8bSYH?a3pVD>2@cFl8cCQq+fks0> zt2#S-TR;aHn1P%Nx`y#yf2-vQnIleoyFfQJ?c@So6?pcLj0ors#lzX4*$7=w?CXQp zIfCvLPM6F(Cv#<6k|O&@5$@s(H_GAjHcy(sX9Iu+m_SX2bCEhv6ske9@!6XvH2?7h zEzFYxEzAQg201&YarKnuPhvV}98=WAiUL4mD;=OF&sQbx;t3IlocQ8?xgYp){y*c? zJ612j9eda)ezDPWnRAvO&nz>`iA<SxBj}{j#|w+5cBZ<?Z9KCf6>=!Pard>LX^|<* zDo&ix*?3jy^pQ^upaK82s<R}YYD)jDyZ-grJ^AyHVf@!S-@pHUetG4|o?d1B|EqKN zf2jWtT9r5J|Mp3)O456CrtQiSVuf5}YuWhi%t=930lAlUMx`p>MI8T1O6UIwZBh>F z0Pm-}R0lqprpU(e;$_aT-5ubIeDl;aZmLGSPHej5FSKUyObNf6tSqnJx&_q9H}MvM z3*`-lqWyENjI4SWd}3wkj`0lm>eh7hnovmn1n_=3<=F{Q-e=WU?46M?b16&dbU~eR z6UV>7oM9II3m$4UO^ssdy)Llk6a4h`(qQ*2eIb$g;!l6{#&UwLvjr`o=4N>fy3SS) ze4TB)`-X$EETZp~Z)A7`{H$zpP1<ZQ2X;MRGv_o5(57E!j_G%7QeSzzl4-hC+LXFZ zbw#x`_%_@BEC;#%D`r@v2m53%ZDr~Gt`ec@4L<SS&MV+gPLt_Amw-=FO<U_+0zk*Y z$jyfg09abjv${0fR{FBme&K^`)dC?k(g)q#1w#ID98^jd4Ed{cFv+*+mAy*Dqr9eB z^$HQMBAae)HalD}?PlYGysm_u+l8*!&q-+IhgSdX>3*`BwT%ltUS(PRr(wa1SeDhi z99{O#8;+?J8?2plTX4<Stfou9h1V2IINlWIyk_0Jpr$vWc{PVt-TZ{b)|^`Z=Os*B z4qb({E^m+JvaL7FSgyvqZg6Q^@N757G^@r1-(Iquj`0a7H$7N%UwOrS)rg1XEWGno zB2GR_vgj2TSo6uNY0+<?HQ)T29vv546A0_c>d&#dv}@9WFTO0V*Qjdz7C2b-n)6h? z$l)!Z({Kzu!D}$zD?~hzXj(O2A>vI<)2n#ph(~Nqv+PwPR`~~1%N{h+hpk$lyPfs; z`Mpix%WU_|U69zAu(V&;#BO@R)b&Cld*>x=oi8A=e||#met{`9jR|XS*zhd>qQ~<3 zjcdR+fu^s0N*ezSn|RMbPplE&Z&aF6qU-pS@1W9mp^(4E2b0bVhTO05_Q~#aWigHS z-*Cl|Woy4m#4?wF?>S9R_xS|;mueEN^SSUru4(E&mkSj>8&0KtHs=x7iDYc??o(59 zWeBU5b^OT8d96a<@#JLAYgXM0zC2}lZNho#o*TG1_}^p0!Obk9=6AcoEpIh0_>|2l zX4$YHYhJ>}$sDWd+Y&lYgGY1{mS@!!zw&q$)^w{}Q0KFR<K1+QY2A7Uw!F%B-*70K zL+YLXhD+5PQu94FoLbJ=73a0#mN`e)cFt=*R2@&cb6%^OwcyK{wT5#_x6Onmx81+5 zOpI$=@MkxtnMLCQ(ESR#W-R!0ol|UQ>w;JM9Aeci3x0JZbV?TbtOm_%=qj$*tAEhw zH0LWh_YHT{IbX$lY;a133;@{d$$aJU@;OVdyi&x|_bjekd6#Xy6~=P3Tsh*gFN<n1 zOSY^t_~hhs>KfIo2dm5lru>&Y$X46bRj+*Gg=*8O=)BXJ%H6QUwy*G&M~<Z9SAHR# zLM_L$S_gwxb7aN)Z#W~>wClU@8OL|)LETIy?&5$ON!B_Zd~Ki}^HLMgCb~n1WJ2sf zJFc}s%gvvIj>%KyF23;N-4c#_?W_X(_}NcdgSLT{>T_Fq@Pn3{7wbGxur99#)g8K^ z75t!C^XBG=6OJGM>YO>a0<<=MesUt8QYq+kT|Vw&f!%XkWv<j82F(g5D6*fe-)z9X z($f0DvnLDYCM5D1Rl}>67jhO~1KD?e-#DT9-$~Fw|7OrYza?l%fEl-?#QkH3WJ0Py zyS_lH1b&NiTXvkkCkVSD@QEDgY*hx(wlC0$0CSEw@of?Xozo)8ZF!=;yVX+S4(OOW z$hk8guY=YERQ0sVO!*JGls@e(s1|$mP3MfG&QT}6SD=-MLhYcAdpqdVo0&RK7Sw8j zPQ3wbWxoyD_Z1EbQP3v)AMBv9bDsy#&Nya9ixnB%o7-x+VoxRFY=8}*vjIdw>-c%Z zo-HWk1RY~=^pMP!JkY5()}RmtZL<Fjs{Lkf6bRR3|7pQpYyhgWx<Dh@i$RAM)!2Xr z_~*9Dgn;f4NCNGH_?ss7OyS~O_>zF1A3#e2=A|gI=jwval{*9K6W{G^wLI|_G-_iB z8s^vGwv?C$8nXc{tauEH@l(B^_50IWEmzo{aN>IeT6*A?l7457jLLJ+ayQTgf_p)W zF?B#|4<IW7Y^z=^=Bom2wf_U!YM%hwYQGJ%9zY7T(V(H#vIBJ4;Z@M;z#DsFD{k_= z+6_7$aWANu2wGPWnxM%37Bpq_3^X1Rd-9OXlXaj47NAq|57ok(X*})0@}&V)pf!%q z-*v4vVV^oT@`PiFK4=XgXla1_(L*vOeu;|gCyPO66whsyS+YJgk<aW;<NYiB|C##d ztd9fL^YCqUmdhsVcv{OE6>WZ8)_3@3Vey$lnaP(HP43(><;{~kRmr&#p3_QZ{Z`GK z6cwa)@=j_{l)BN}OOs|_e7R+c&&w?ymu9~IzH46feEYiRyX3Ba{=B#D{rBgepRX_e zUMB4l_cQ!<((C{K#X<A+dm!`mN2kP^b~0_aC)M;xUm$10$8WFqNy`KlW;gXd6AU>g zq*HW;<(6^WUt1;)`zYJeR~~O-nqEy8)+w}gJiD7?T2;q_Z&^*L$5=|!-!)|FZWnd@ zyOTv*M=_&9<e-<ju*o`sHAR|^7u61Cl?#Z}Pe|wmovaO-@;tdU*gZ;G)o|^lXrVQe zl{9wGNC0gDp6{{Y;7t}$eYG1eteQ@37u5O0;CPksVA6TvkoyIEZJR;kj=P0)K!^AS zHKk62)fTaKr7GddE9!L*a%~qfiSgWU=`l<1eC3GLW?93vi#BtF-JZFiqAj6UnZs+I z!-i{-EK9=$))ezP-i+qB_F8C4{gi~}bm$DW>ut`<w=#;GPFV}-Y?`p()ou<k>v;>R zW+rrUb7s}`Caj#znRVZN!x?3k()o%Jd3)y?&iOT4aLojjj2-+3z22*2)W{svnhzZ- zwyZjMW#X-H&aV3|8;<#Nczxr%_KVx`<#f(#H%r9(=HH!{u$PtP>3zoyj*SZ*9p^N& zn!n&vIEUEIi3?u!bBa~ZSnz8;=d=pYd4Zr-NzdD^Ox>-zj&FamoR;wl_#V;p)Xpv7 zzfF_qJ|FNA16C}(;eu;EsW&as7h3ae*Ik1-k8TUB*{JS#(iz-yFX>E}TMR9Y&&3&* zE-ANl{3$P(^FhGzsJ&2*iQ0-kw?vk0^=Mk~N{*#l)+gZaUKZ~;P63}Uvv|k41?=|R zaAqz`sk}<WJARh0*M-)6n05C$uQ>Q{^TWF8t_)$f<}Ub{)D-#&a@f60)1&DEYd-om zP1-ID-lU<<d98BZf}9e)^_$FRFZh$kBCVse;)h&Q?=-=Xe<BB!#3Aunw(6Dnvc4m? zSgzJPZa5;$V*1~2!<8OegSEFdb4<J0x*)GDVQ0DU75m17R(~Or7{?8lu5(J=cY<62 z<hJ2f$ATZfJ{!z2y$2o4@q1@dx@7Bw1z#Sryq56~_~z5}RZm6ZzQT<MX-!@B$~RsJ zHl3<hj&P|?iVD5&vEhbH)2rV?Iun(_$HkpfSW(M(&?p>wIKrL1nXf!RXAJy?OlzEG z(cYV=-)DbsUc%J9oLzEm8*Z_4bj|nM;5K!^lTeoIyB-0*;@~Gu=?hM&|69Y8-VEB9 z56T8dzg(GkaUsjp`~DkFWU_?XyMqs$0u2+{f`^H&Ojz)(o8@%Qq+?rN<vDM-6UXwE zUlDw1us4f#?X(3SMOjwYq(c||y|^3fp0!^|V_#cBZ@uu8I~9z}wuUt=_+ryk%BQAL zZ2~#$p64Ljbit56W(VEMg+ks5>TH^|;MIN(vDrJ#+k*e<vUs0?&Y76=&$60i)dfE6 zK7`{{oYRIo+c{p{_uFttpHs@tbHgQjPAT{A2e*XXY+8`fm9UkWvrFD{!!dUbuX(;3 zuDP--U9TLm+_o{{BzS1<-%91Z>Kv#3Hzzbp{!WUr)Yu2w0QUBf6W=NJ4F>EJmx4~q zOG)HQ0?ls!^#(2DjsootSL8095Ovat?-pn#d**Y{VWofn78E~I5KmWR|2sEgM)M)i z87(DZ4nJPV@bJ(7wW9e_JE%7dIx*&xt5}f$Xw-Sd--cG1BfE9ZI6nTa^F-k%s4slU zDQ2n663{%i6=({$%wFu-g6W`zdHkSBcF?h?AGtvt_AF3`-44`Y&xhBRU(18#O9iUi zT4k;%|9-4>)-lOgtmwj>##T!YP&Ia|eWL;U%*PQY9KV-<1{W$p=i7I+T54>GIC1bp z9O!%=P#p}~8Mxxl^i~-c^RplFWUqkEar+1wM}PblUcabtgI4QX^|s0^IiH@$XBEC_ zLi3yNptJ35K_mUrV$Tu`QWV*b{@-B0zSx)VK<FvQpP=J)QtY@bA(siug66P6^A<v& zlLOM`wpw~XjvU-<z&<k+WD$5iU|04syH^U|nYb-q<nIBU_`(&vc|!9;8?k2#rhs<c zF9z*4SP44E0CaG`ZqVMe^`Jw9$~R7Ee&P%|e9n~H(xV^LP&mU}SX?yWE@&Iw*;GaL zpQ@lv@Cwj?1Na2mE_MIfD+gDAqJBDPuttsBa>c!ahh&b(flmJgou6|A6!mv94A)(f zSpvEd;jIX0_23y$ZymIaPB`*}<Nq8`OTiF!d_i8aBKu>|v5Th=r|Et932M^hRtT&M z;(G*IvT$r2Xdhiit7V36sv`T#RGl-9>6YA<C$52l6ExOPuMKL_oOI&r>fda@z8I9+ zDw|bzSQZP+JnF=^3UqG3MjqILJU{rxfCZJ;K#iKP4HKHbnd+Qzd?qGVbm1+?rJ!+{ zUG^Vl|N3Zu&hmFEsHU%m9f`X-@ZO1Qi_WxVOJ<496wg_E;*8;Q*7qxlAC>T(?m5Ff zO)^z&mSn2hJwxM@*OGL*&xov_7`Z9TO<UMl)Kq$!Waep~Uv=jzKRug&-?IPnpPKWP z`|ao3*Z=)moi9`QQrtiH_kMfO{dWKUuT7bFqG$RUu5AyVYB2Do3$EG1?D+U6c=vCK z<iV`erbpsJYYKV6Gwyet1FB>XTKW4g*n2X?f>nTT`rL^}w_Ka;8DQtR;h;@Z7rQ{t zmMIH9y=3W*aR~UT)pRsWFl2vsLZ_YM+2tJ5swOV@7RGIv3mRvv7SP!)@A#MLAlGu} z!6EI>ePuOc9WPX<9@Huq5UFiQ*s9Lam9G$?yp4ZZXptUxL0+88hI2wqo0bc#Dc5uS zd78s4!ZBc@^M;F2O{e;Wbw0t)fV-+ImKfE2R&m8X?Sn$xoLPEm5vyDRe#<na>Zz`% zRz6s?9dbP0Viw-xEZVu-^xH01m>tx5ucQH5D6h=fHQ#5$v2<`3tl;EH?`3^kX0a^I zSB!XG*R-i$V9j?K$DiSE+cR@F@H!qX7s}Zp?)cQ^ppv>^$X}C#N#epG|J4o(nG0X} z!+J1?U-(Mhw1k;2{^`R{G_?htXle~P9xsuF*Iy;#WiU%`Hp}Xo<^>tE6SmG57OC$@ z=-n?k<<1j^xYXBQS(cV7MW{Q2JFnA(r~Fqr$Oftmi#QJYh5q?<W#UC&mZ|k_8%{K{ zgu1hI=S~4#Y~PpADa)C)Z+gPYW{xa<<%nlKO}oT}bgnC`*gq?wb-s{EjQfU5@tjiU zEd&lnKHUmkxaPinzEw~)zvD-lgHp!@r`R+ntmTHBaIe+0sa$x?b#;yZ;!V79Dl2}> zYPR?XIvMZ1T0}~H)2ib_I-rZ~wGIk}LnCzd{kc|?c1>RJjhW@Njb}hP^TDF^b`qCY z*-uYsHE}%b%AzgneBp;kQ>dMPz?Y(?tNWY-{**PD*7*h$d2G1G&9Zd64a?!k^mDLL z^}EaZzK97;v7eRDECjmOKBq(zeEm(4wByxyL7i_Bj$i8qbvFK7I3w&V%fX=C0$1W3 zHk=7$DfL&2c(<A5tG;5yL)f*04|Rjxy=<F~^$V=|<lnStwp?50TF~(V*23T=dF}#h zN`)L>avii17oM`WF=6j)&Qt#<B{a8lYW-_YSp4FlgdgYxoJq4Fz3QF68doZBJj}VO zz9XS?GiO%a%!HM%IkUENPTSeP;GHwe>A!Oq{8Ot0-E1#l0=h-wyReB}OTtto$BUae z!hQ=metgYw?Z-hD@OZyv<@+lWuQjtQov#>?zF65{?WV$}uVpG4|LvN1`IJ^vFdcMD z7Yg~ObWrKKP{?1qgGsSXulB1)B-uLp%62}~G3^E)mV5>}zO-}pY^zDu^A`M*WYMl^ zT=4KJi*{}Qf{%AuR{!Z+@ZvJdYS+F)Te{AxL_A*0qWWBL%~pHImrGe*&v6U*R@3x# zor=bNr5g{<!Deu_2fMrZ3qg(-(0%sf>UII0ZS0O;YdNQB2weGNaWLq);FW!I5@t?i zDa}`p0G%t)&Z7Ni_JW7g+><T92Lk4?tbSt*srENDznHW#-(p2=Ppiz4^Qnn^Ql+4Y zcF^f~paT0P_;fr&(26|JW$wBASiUQ<{{(G*JE#XbodtB-jXUVH8+Xuox|TXm7W^^= zjp-|c#`Hl8$JT%jwt*aJ`tvzxF+gwR3CHTo$purNDHzXgl_@DtOXMr61}$<E1a;Jv zb)F<dfOcKSgK9L;fcvR_(3SIbV$T+QQx_`|sPAjF%-FN3VfN(aC!n!nZP0x!)u8=z zhe6xg!28Wp>}|dVvhUp-dBX9ZHmGK+5-SS$13KJ(SAVO_6n4;+J&|HX0kuar?(ixW zxIGKLAP=<6%^9@JtrOHy7vnCTuoAS>9(1(+yLQmDHE6i`$Kxd&a_hkRzz?T`hBUT( z42oGQ(*v4Mc$y139xpMGFAH>s+m9l#XA3@Zf{wcZ)oHP(o%k-9gWRgeZF%BfW2@zh zwdsoNmDYUb>3nRUjo^jsuvKpSDT#bar$LL_!CneE4QkHpp3o|D1+=kU2-I=^EGPC% zVQXKj%$E6SiF`)JeaAs_C7``)-kT;g{{yuP3K+O8CG=7g`Mj2ct_T2~K>Jh+bRr_? zUZHoOv2r`^;tLg7h_Q0;iF3EIB=v7QR#}Td&o6ifx&w(z=ZQkS7HFoVzg0#AbfTRb zXk*4tKCx#CpBT6;SJ;7C2xc25G*`W0xiiPo<4md|`&rOL`xPb7e9oamGAVvDMWFiu zF4&g8TFh589W+D(+9ZD=QIY*HD7C#T6e}{Qo7ZZ&B4?vaxF-8W&}2w%xLA=u{E0&{ zCSsc>G^cd7T4sPwMZN@Toy?U6or)JG_H4m+(5ZN!b`;xVL{nzAXyMdn2`00TdjG%q zy#7DyizU7c;9C9%ctPH`ouw1gk}416bf&f4l#(+#<D9Z=Mr4Y2;OP@4GcR{u*1Y_3 zhPzJml$j}MPbQw>iS~|4n=!M(>x@p+wFqrx@1xFc(`NF{f4=M9o!`m3*Iobm&u;(S z@85U-H@mfMN8*#G@gJ|0{r|5#DO|;<>Zq4k=b4I|A@_1Y3-XvbSIK#T7v!Dhn6{&5 zLE7YmmA5&v>^(M|v1;0NURY=Q#XVm?gBRopuGzrtc=#`8*ePL?dHx$tUE<u5zHie; zhC}kwdrMw<ysc__w3_2uMZ<z8QB7OtDQn2uGG2~Kmu}ir%yI2@|AIe>EYfF`S5%lC zbTe%_bzMm3lG=(Y`GZN>9INb|Hyk<h^L0D-G#RHIPo7<yti<4bR&B*x#fUUL$GeX? zr&)I|_*c{<x=t-)$E1X%)f`gxUK>tzEO^1D{z)r4T2SQv%!J-rPA@sH4c7`;mWm6n zDLx6VDiW5TGF#U7B}`z-{@Dr5S6M{otK7&q<~bwmsV;}u&CUg{?y+<~<yZwen9rCa zt8QAt%GDfM_nkJJiDfDM4JoTZtMTd><BJ#`PHMOI>ta~*B@c2yo@UdcZjP|kLL&Po zCG@@*nzCnd!rFM@DRpxa=8AKk$}2N^cdg1=V2ZzRPJw~rQGcPFEfW@eYGvt;F@&9E z`rb#j^R6TK%yS;jtbel;R{DC+2z&dMbK1@31@9bLPS-XqsOwB<{mdy9=eOa~c224L zej85db9ULeZMe0cqbt9Nt8KFzY}fUj+E*UX>F3Q23*PKxc^&HpUY&NwEnuVjhKp8B zr~a!%q&#k&5q8yFP-mOA<Ja%PI)yBbXN5VZtrEDhZ%V>UY1s0xb+fD{{bqIiyOl-z zPxFGrFCjkJOU;E$<~eUT^_!zh&TqplPL`wR6(b&Zv#73Dj#%v*@T;z=^qRnw+Gz=U zXD&~)czWMq!@)PO%3^P@yIV1*mN?|7b#9jKSm%Jh>@41QyaPUeXYsc64XAE*opH^m zuxXdIu+De6reEzsYd%OewRLlb{jza<%yv-gKC~9Iyan1IA?x^YImfjNFK2|E)aAT( zt8>8@JC@f^I8MoVZ@4GP^3>ja!@*D%(f#flF2=G<t@qw=LYO5~IX%ImJJ&DZ?^+h` zH_%gk^Xf8QdAyQny4B4wZRd;y@20Yx-pcXnzUPKR`5aPqej6^;b4ulhJ^L|ryMV~u z$q8Heg+%lP))Z<vp6uqhwySBum$NLd@4&Wgew(;bd9R}5&;J5B8(t>)tbR0`)9hCB zf=}!mVwSVO3tM6x0`~fCIO5A<TJN;s%3+qR^2!m<Setgup40hIXS=N9-@BY)C!lSn zc6VP{&AC1oe#AiTQgCa!TIUn+N3qHDpG!cI&xUKq(i5YWZdZ*+4{zGUF0iJY#qsB4 z4zm>kA%Bbxx|s`v{1ZH=#1CIs^7zWc8=qOO#_wgh9F-*Icvj?K&~M=@c5@PD{$wdV zuNd*po8{|z<%oyvEWGd4BQhN>{D^D{jVm>43oemvx_ZwspvJz*)XogD>c#KeY^zIA zP64~zHk>nMNzGS{cpumFsb1m6gUqHb>3PkWxgzQ-{**Pj8nJ}hdu;%%XgRMkqxnb? zsHYC98o)jESg~gcpI<ED$n#@tF@L_`_mwN|vQKg}r|Gc&)dj70yB%@jV1YcS6D$Zi zOcgY$I|Wn=3xR53UQkhd@Q{oN=w7->pwru~34>;V7dOo|W}kaD@`R(=$wM+<zJZ4H z`8OM|Hz$K?G2Mm_53Mxj*?kRUU)&Aa#r_&p3yXn<_9eNC1zvBS(ERH@Xnx&W=gESf zyHfY$u&<N_wH5q9JLovLEnkR%jy2B&Rl<Ux9duHl9du_vJLo_Y)%~Cybo=2G03G{4 z698Lp?A+mH+424W=nmVXPJENtK||4tBTqP1DS}qTH-J{|pE@M-1+>BbCm(mQLB)(# z8Ik{o;7ju6wa+$Yp9s1c@9SL9>9h)BMFIao$Kh#!&g+R{czC$<f=xc?AYITwz~6d7 zUCT1iiGv-W69;Fu%6vHvYSoxNf2{R1VTUeg5m_(nP9e}n#}`au&lYS0wY@-_+ogO# zErnjtEp#WG_%6MUn9+Rh^JLgr`kSO<K{Nh)LDSd!xw(rCZp?3$5&5ih=3s^$x21+Y zXiMUB(3ZrnI%gbnL_r(q4jhtExwdIS^E1%$cC9MKJU_l$pregvf?8_3<w5J=ds=0_ zfDYPk-3)3zfn!PH8mLiIq6?ZSIdw=T<Xy5N`$bT%`K_c_k-+>)!F88pOtyiRINsDb z<ERZfZm<|MsPGXquP(-2d?EUr6W=G$dBe@1W%?ETpo8&13jo}8&N!wtzxp+sTW%fr zpo3Rola(D4`I4+bvA+*Aq7(o+!Jx0z(nA+?eXV!IjAozaR+$peQp=s7BaG@zxr+lT zCbi1&q{p18Z{hQb-Y}uLa8j$~iZ^GS_!e1;Jxlmo|6%s8xAmpJCVv3c^OZXmrGggT z-?f~WnlvjgFC%TrOcP(>EVY^3X<{=~)711-mr2f4OOy1~JG0}V5#Qp+9oFw=%uGp} z;X85WL#dgnW|=9|W-MJX)A#eYeb>J}wX2^~yzczhT8roV?mz!<JyT{+!Py(D`j5HI z{`=m0&5F?b|L<#wb+6rcYKo0nhtq~@ix_qlHz_r32&npbX5zVCmeg>8HRdWB|3wb6 ztrrN1$-nsOzTKaVX169S_*BL+`;BM7SBIvfeTpmM)FYB49nVU0Oapb_oWb3<@2O2s zui2J<EqFLd)X8G)uV@xmj<6F#CU=?>mNIil$-8bib&|z*y3m?3S;vpokS4*5gtgN- zyw(>>$)~0lH*NaNdF}Sx1%LjrNQZD}&GXoBaT3EJRd<1RAy2c@`h4=#!7T%Sfsp#f zgwC!0$G2oH<Cr$fJ)qjWDfOH3ifWaEMcf>(^wlCBYBjZO7hGeakg;b{!qn%Sv(}Ze zwQato#@ON=#&L1d<Kpx_lQKn(o%#o(+y$nr6I@fw=Xg__^V;sI3;x)$NZYste2iz2 zK6?Jh7Oi}j4JXZ5LhXGv+^}Q0y5D2N5l$A<^GXq~K7pO4yYuc`%Sk(DFL<|@<+QDL zK)oOH<*0|cEWF#*B3?SP^nO>1Sn6}(OGVSwb1E8jng^xst7}w>AB@_suJM=Wpw)US z?!%h1RU-0NGaORYZpk?LQ#QSi=bO@sAFNHieab6ptPZN_Hm$m^9I?hf;BPsLw~cQ= zaC&l-cdkRgZ&{YpZyW-)J8Zb4$nv#cHR54NQ`>3IuwQu$4<{*iOtXnIEMD>{x@noe z;2JS&_RCuP?7-{McG@0{l2_KK6*y>hTwqG9$A)`GO`l|&c;Bh4_#xfYJ5OcBANeNV zYqrxbhuwGEaAO-Z&|b^WwVb3Oc;%1Q!Jy>=SM25|%zVxH>Yn3<JIyR#k3%|fR^8AY zRdxrp<P|e^*&ob`SJbEzIw<AaH0ip~ny-%`fo56z|IEa5!YrxrDiQf_KO3z5G+Qvo zpnpNqyoAMnIkf6$CQN2!2|ceI@g}S3mAz8LqqwG7^-2+0UID+idK=71HB((-Jw0J( zEHlUSH3ExNzki==8T3QQ@vziEujfK0`z9q!l@~JE+n%u1UPz?AFQNCkiQ(Ew(!y(| zDr)RCK4|q@V2bUWguTKXr{?=^IQW!BwBBLE#k-)Hd4;H=N|WN0PdbiQ!}X7D5xYHM z!LQw%({6Mwc($BlnpMw&Z{{4+G6k;eoszIKn&Z`d*A0i{Ii&2IH(Z+aJlUdmGRx{c z-3wm!v8=8&YA86#r5IK8=fX_o-u1%Zfs$@culqh5{N^usqs;Q!);Hju-hnM<HyRc^ zvgR}c&GD;qm|3<g$eNn4@iND%co#^Ez-_~o!%(OF&Np^9%GNo&<<&a>4KcG8{QJhD zT{CCFLq`_v+TH~poti@RR5ETUM!a3la<rW#`_I$`PqbOG_day;n|*Im!rWZWQ|~-C z#A!lZ_V2|^<>p`xtvH7b7r(Mht#{gRvY93Hzte^rr&+EFpEg{px=mrl&$_0i-xOB- zwQNf5Q(93ibg;;q^Hsd}2B-c75C5`g|Fvu=I4S7jEw|sIc*&<<-3Duy%@$nq&93Ru zZs9c_Bbz3PHf`PK98kr1&?;Q`i9)qCckzYY(_3YpfEKQ?1%nng#d1p<voH1q)w+9i z&Kyh$2i3YfpzCUlG!DI9Ah785g9ZQI&J-`55OKzdZ&t3@Glf!VZp)1SpmXuoq$slA zeGaO7qr@Kvo^q@Q9T4|HPOQk_&dgRz4N=fYeu3Dt1)p@qiVSQ)ThU@cx2l2<aEsqK zq4^2uWZu{F9R=fJxD|Kp;JftE`-8?=$8=}0q6wg_RxjQjapL;~>cO(LZ=BHl$UJgJ zb6_)Qxma={pW5~f6PjOvHn-nc3|h6%FZN8q@OhAprDevxnXQ%{xuC5DmY^$I%(*RJ z$elVQ^Q4cz>%$|hmKTq#Ud%WAyoir$I%v7zS<rGpanQkd9NfhLGdE0VUK!iwp2?S$ z59$Q31#LxSk38X61ez88S_zu_2Q4$71KMP-3!3{E1|5hur`1xz=8O|xS3T%@yzH2L zzfQJ_Uw7)zTi5(ax>-3fkxwlX6toQ7#RA$JCN%##2&&Q|#ELG&fNo@;ws}G`xQUR) z%5C|A542D)7&Q6M#a$c_@t*6?%u@#oyv3d^*dhyGwx6QNei<~ZA7!g?sC%`*q3oB6 z`*NPS%9`XQD6*df&G}z*)_J1vSB1OyLM3Pe`}Ms-{g-6EYy+K*rvqvufL1kvt}t{1 z57V3kML+16BhZP$N8TkUvVUa<HM(ZB%9wz*+6BGd^0QCcsiaVLi#dN^ORMFHGoS-% zL7n(Jpw;s6psn`mn<g|r1T_UqctK5sbI>Nj<>R0=g4{Y!6!v`!{i9rR!2=Zgpizje z(%hCF?~fmnDFN-azX_T@$uA6WdA~!k<$4g`r|`3TRx}?3of~M8kjMuaRHzhtmaysC z)ru)a0e4$kEjvK76klUOPTi;TWI-hhckzT(8z(eh{r|xH^UM8J4$s&?bv^Wko65U$ zrcLVH;^$uG<uge$vvktWiE4>2mw0BqJTf6l$y!ra)q1XhO7QZ_N^>7t9Q&Bu7ysDe zoXqmeDyI9@S1t`M6VlZ@Sz~wo>z&$vKjyuziaG!Nc{S*Yn}614KkMCA`SfW1tu;6Q z|JR-ru5zj7rk7Y}&X+}zStnJv9NvnuoL-~6;<v=XqVob*`s4mx%ICAoYK;`mIA8Je zI-7uq)H|cnC0qC%A3Jk~Sx#H<VkOJ!xy}K9gqlomv8aNIZw<$n%Q>&j+$eHc%X)Ic z-npEo-n(u99d+*7)a$3XqQ>l?k~nmtGiGnzD~}vr$FJ?2({4Bf6hGEBSiAHQ%hqzG zh&2DEUF`xo<sy!Mf3j%L@w~9Xal<83mfmpTHJ=n5FD~Z@yKKa>{Kqwx>^IQ6%>CXO zmM)p9tYO=qFn2QNDLs{ld_%{dt2xagTmwGVHT7N-4(W^O%+%c^>UdR_Lu|Hlz+Z(X z)jpLKl|l!DxH+=)l_Hjbx^|$c#PX+CCf<3^@ygzF!=ZXksl1Diek|QCWa1|bJ`RSp z>FPeGfImD<rgbjhEehKOr|j!bn5*b`^Ev0W+kdopmj8+7FuPF;S>Y7(=fjnW7X?|S z?)TYn;w4MyYnE<Z)fIKx2Zg>1UHPMYFzCGCm3wcpe6nZWWGO9Ij?nWC_+QW@y3ggp z2a~3$bsiUf1T}@`&4UaQTUMRFGVzu?M^``RwTi1nKC7Q>W69n-dBK-Dme(?F0pBW` zzG|^Nt#{aPP^hWPTqtKt--1u?ImIfcEqJB9{$PRF?Y0F~T?w7F(3J>h{e5ITL5B>4 zG^L(XT~W<>u*h8CO1$@mLk$ZaUS`qW`zXq1^+%tk(0h&nCHYNP?fe4%NHm%5^9$JI zw&B`QmZj^}Bhq0<``vV3*7wCsV9Na`RzBIy7LG@^3+HT6cYN9}s8eL(cy+y?PMMhF z*ZG1vg}RPs^$rH{3tp+~N|4+wcX;J)(}P9%$}9G>ALNpU&JFWF_m$P0$|<F<67h67 zi|cgZHD&6KAK5vs{m^zi*?ViowWw&pDSkq0jN2EyImq%_)-&MWY8LQ4L&8nHQ7lv6 zD?}`@hl~*yebo<kPtq3%sej8WW53ZBa>jsy<6EYKM(RRWY?~8y$_rnKciV92Eer2^ z<%pN(S$gNIN2qRNI;^=%_8|CvBZh-g^I?k+{byKRvYNZ#ODoH39aW9nijXd>(v1g} zO<n7E3SPdIVdnU>Tv%t5z2jAXL7gzA75{RZRP_{AR7xHU+AeTqUwgt#b=Y`G-}1gE zakawBLhGZOMDMv?D6nsuYUg?3M@3WUKG%RRHBDD%u^i1;ig+B(qAIT*@j9~U((HRZ z57%twb-WqNdF}S}1vT>$njb@_nU3cB$x6=k4)`g~(!EAu#V_xsqjJhC{_!`dF4~?D zWeU3KNRMUfceRLT0ZqG(3+R03ZTe-}BwFWp;X_r^)OTtbe>e_mfv!|aVHMD`w0a!o zlfBhk06a>@<9Ko>OSY_Uz%P-e(sN20)q)4Bt_w}s-<{Ch&Y{)EVYZ2{E%@hGmhLw$ z0biL}x@DaL{(ffh2F)Qn5jdo3zTdu7<*LGpy>k*~US%njSD4X!=YGTq$NI&$H}Dq+ zRDkLXwa6LGmwtmP<OEQKY$;YGU^^4EH@v@9=7>1xm{QOID@CH*#TQ;}p3wZIO6=K! z&xe+9+?&C~aeqehn`zrWZ<7784YZs2J7|WTIr4<#$IYM_b{DatfOVjmU{{?d3#wE> zd%jMBj>t<*<P!qz;(k^STHPk5U07Un0d%Lzi6x*-bPS-GbxE<J3-*nzmKrsP71<A4 zK})iBHMPq0fDY+@YOeD{!73$@FY7<(%CxiZ4}{KYJ~kUvAD4?gTd>uh+tLHH!oI{y z=ZV5^Sx|k<2)Z-v+#wkr(BikF@u0b2^@tOWKjT4N%*~<tcVj*jh&uczkPQ)rbTM~t zoY4FWv<C0UL(l*N=rX!eDQ?S*`R5PGq=2r^xeL0wE~dX#<_oCd#PvT#k^Qjm`zQ8J z>`To-rvreF7JAwYnq8ORE)Mw3#BJFD+F*FB64XH#bNHb1RAFbztHpd#pcD71K&#{{ zds<~uKqm-PUA(%(%ksqeq(nY8(8;%t>_A}}AXXG$H?7sOW6mijzE#gR7_e^y9a6XV zEUeQGI&=0_Cg|e$i=caa&zu1bYJ;a8?#>0BJhNRKbodSEj6_$lA_JS&R!fb!XPx+t zfp*kQeI0Sav4j_NsQrvqnIoX3mA$?14~3p`G&%=5y&y@E{iQ9a)2;~Ww1bxno_FH= z1iBBm`51h9<0xpwLBf_<tuj;OLGuy*8z(fcx(2!{ASYX_DB%9YR!fgJ2c7t4ftp~Z z{jD-vK*t6cfiB)HHw0Z+JF8XZOZY|u_Eu1;EU@J+4(PfAx+n1CG|+zgcDRQwT7nXU zbqDAS1JKnA>Y%0rXl!I_N2|<|Xq__$z5gG~e|7vn1GAKo0;sBo-)^(>!xWv<Ct{K- zJ9LC|B~#UAN>+Lp1)uRTGCnh{Lc=gPGcsw~#ZL`UZlIfNPWhY>PE&h1=fxc7xu@o^ z&pB-nebMNw+y49DgYq`Nu8MvC{(aTE-@pHUetD<r`LT)9|6koU_w)Y$h=cNa<IGaS zeHJmS+1|F`PdAJ7nV3xvcOMiKEmydq;T!Oip~;ntCDdOn;*CtxtLZ{I8!MRJN=}Y+ z;;7&FOgGp)=rm^*Kj*Z{#s%-Dl_y4>2Az{v-X!`>Iio`5pqILkiQVjksaB2`U0GJ& z^$DnvJSgQaG$qD=!!<E)!#S6VIj+qFou~<_WX-Hgmw-kdg&{YYDmC?r35D#Nm@u)L zW0jrXh8r^${7M5|w0%Zx#ZKviLBEB-Lyna!rP?f~V;utiYc+|kgKnnhpYA8CY3p{O zLiV86>@No3<#^tLBKO-9dJl7eZyKM;vQ!*$qUmdnYtc>tbq*U2er6GkujGfGXzGV{ zqG_3o<Ja{<I)Tb7ehP!{8n0zPXw)xorM53&XYc!?TV5UK46BfKJiM19?3aY&<77_o zQLdjk!+sk&e$3{$_5wN<J6U^q-<E$~0k@_lMuBhqQE&SCO-18>Amo@lwHq&Nnoij( zMLfxBT2-$U@dk9HsbWOZ;@<{yX02C@(DDqZ=5I>ngUu0Ff4MU8&UDUK^Sw75;^&mw z#u4^Q+3~R`%W50vfG?^|SL621d3?NFCF1d27FB+gh}8~|(ppiYTJj+1rlJ3h3C&Nz zTO)Qnd2~70-A!L0r0;HjrtT(N$E)RnI@|0WzxoU66bd<>%@@!q<#c@O%5u7d<CUIr z#5>KVU#~gCDz0+zEPp7|H1(e61q<&Dr_`Z~{raXY>$|eg4}4{BonOEwwWh7_6gB>` z9JESq+BEyS;o7fh!c*$m53>Ch3i-nVz8$%~H(??-=PEN!vE7Xee%)p9UIVRR&Ho#g zs%(A9JR|IFB+KbHUIFFuO;10ueC=0{c&O9V#?2A-OVaW2YmTs;-3wl5HC_GZ7hv;C zp>6XqX%4S<P8+V>V_C|t8u5GwCyRF&!^26N(|u%LUgbFTe+u}R_j{fjE-HgB{W;Oo zZm@RMdi98$^rlymO{#o~D}GuwE%j4hQEPY5C|uym-nN9D_QF>{_rGL7T5~pArp~zL zwO%=6kMKdQ_sSYo1_!g|t7_Cq9hADSrg2jt;&oQjrR~CNJ}WrhOy|6I`$Zzp@;}*} zW*$NzHTnnL*abuW@f=hFEmr|;SdaO8?aIU(Pg$<k`))Xr%VPSQ#e1#lioZe!jiPN2 zecWv=1fJ1g7h3Znv#D*lz?u-%j2g*<TKknWs<aPgeHRvqciwQU9$NRGoY|igm7VJ! zVCB2v+&`Aoex-=_Dovk2m(mF}b?sNVvBDXA%0L@S=zrf0H>NT3EdMo|W7>_m3!b%e zPP3Y{psX`t=4NPgzKa8G+T?EfWiGg;K;QAOI!D+qamUAJ!xN)ct8jFkQ;m4*%yP6} z0X&cMTzE~XqT|a}me+S20=BttxR=%R>A%X2gv-axcpz&x9R-~}_D)u2oY8y{bP@a? zN6>M#7TlIA>Ka=uJ3=E)IQ|74@A61XtmwiYThM~MQ%-!hR)dZ{u@Wn~(7W!Lb?JoL z(^_S|l!JP3@(GIUhe7k)m!5-K3!vrXpY}ouV;gV&i`t-L;je+JVpY(3yg;#{2{rRt zEi=wmfF@*L=7Pqh&w;99(5!qp2e;)3&>HQ7FLj<Q_z^4iETM#z+fw6yU#n%uor6w% zt3Z<g8$m0z{)+m8R<|qJ!FQg2UK=c5IstT4{}#}OF{9&~CN#gx1TBlV)_JnvKIqo8 z{i%xVhi`*gHqM~#yAF48z{SZsyevEN&K{Dva_^86-=peH2JF2upmSOdgU)G5Rb+qp z8RW*NpsE?XbZ*oBnV?JPK$G+T89*z@PCM~+O<Z0u^;yCeQEp3({WDuFJ3uwrD*41j zz9i62`(M*Rz0IAV#scUlp{t;?WTHU_)pCgyU5Gt=Najf#=+xht&iB?fA3|&j<hcy0 z$*dY$WqLpt@M@`p&IbTZVZRLm&6}J#Bx3?<vYdPhT8{@B<<|$D6|X!0Sm-IoGSGzk zc580S6QIsA52&+zR5)@*^F`23`<V1ZKBaEZR0^bBlRxj*isl<eVnqS<pc8*Vdjiku zg6rliK5okl&{__oNU@>|woR>;C*~e-;`;?U>Hd%_=(6Got(F>hPdM=%vjw#iEkNhv zf%@)g=N~+KqF^_>)iUD^;&gzb`z!mcGC()zTmprvUu&yOihe>OUsd?V3C(}Lf)3!< z1RZ2>?2t^)HPBiRwd}&F&lHS6n<qi%E9QXi-L>y&we*lV@5DC?)WlfH3R+&F3fc#e z2Vb#S4%)T<giYs3!eRLzzJGt{&%fKGT)~)nBXdhMXgB?r{hGX~T+OCRUK^s4Q#raM z9C8AVXk2T%sG%e%*xcc^MnbK(!%XyGhsHrJ76HyhrePBobWAi<ND|oyl4p4_A*hXk zmF19Sw(ZUDr(<7lpZ9&u?(e_rcK!XjI?XNaN9XdgnvdZs>phnI-!xT6Xy(VJ$i!=} zrY~YJxzm@hG?qi^z4wMw3t3$IRU_VpG(9qHGSySns5Cto1?s;}PncWElA6BmV8OL+ z;VJh!6Pj6tGxGm@YGUFL53#9ub>f9Y(<yafogy>GtKFPpySo-tO;6~o=Ez#78gc4c zQq<Px0y@U?7re7$IW6lE@IRwT^b`y4d6kHlO5k(OcUB@VAYRScbzUMdDm%wJz{nF^ zT#E~?DHZ{5CX4n8_{Y@58^t1;uW}<p)$yr2hgjvj1+T8Xw#dzqbNp({IV}R(<<Apa z*0)4V_)479hBHP@yH0aX+dXf=zgQM+8<z_O%m=+Tx*M)NR?HbzY3+ECnIr7CgyTnH zj%z=x98Z4cy!K1e@g?6uE7&<Tb7~7-dA!eT`V_t9_!hGp6Bj(X&0%&*DCD2UK_%6u zRq@IZZ)}=g*(*jovTB-DuNd))vFTQFQ>s}t&*7E3nGY7_tF8c@1|tvM4hA_5M$7SW zF=tq&kjUO?30v)jML@gB)H%G))yZ6rdTq+Gv|KqN-M(p4Wz*L_WsU!$O}yt6!Kb*c zQv{#l`ffI))4%iAjVlu)zHs`i{<WHO+Ks7@J#;;g<6ztZw!3Y()6elL-gm<xc}}T& z-Wx70X6a40>wLK8lUCCrYvDE16gBEN4oc0R1-)F|f2P$XtJw>_sIt6{aSiwu*7WtA zqK3WFjRVJKgdGhR$}yS0;FC3{m}SR;SL&Q%)}7!}Tr&i&{85E;UuP%GG=(npD^Is+ z&D8zQ)%44=N%WrMg#y8YUh@?)YLpIY-G|(L2)d?M_@Gp6)1=iL*Q(|%_+sW?eCwP| z)23>{HQOgF_;Z}oEW!pdH>?-7ysu}PV8}nqgG%NCA%Cq8CV}ogoR-kJcHY4)S^MTC ztTb_a%giy&a?*l#oGhnnn-~21$)f$IXF+0n!qWYMCUp}Mrh*n+f_Kp6?PR<h^|qAd z=y!#P$F(e~{R$DQT>^gbG?kuH)~HrJSan@+%75*HZ2STtHC+jd&(1qqpry_sc6086 zSJj+i)^is8O6Qzr0UgA-^XJ}`iC08fwysx=Smqw^y{_r$Je3vpzb$>TTdxb5-04kN z`dz@}obZ}Yl1+<5o38Hj4){~lWLoDO@QJT!>pNwQzZM6rE<TT!f*e#c=WbmPOTyk* z&QqY(C5Jh*>SiS@zRaOj-<~k>G{>rKoMOA@F8H;db6SLdK=I=f-2d%5Tn<GB-4?uZ zZ)U>GTFzH;P8(t-F8HU(qFn<z#MqhRA0My&Osk+@rjC!JIm3R6J6<ehS)FUbd|69Q zJ>v0M7FBV9HKhWMFOxa0S#~aX6Up-0l;hO@u7u`j4y}Ka6Be7YOjYGcp9s2<VhzI~ z)$sc!r7GL_9ly#P6ml27@<-@kP`c2SeG?L9in4&OK$K_s8ZNj-z|d#)Lo*iby%QIF zjAL0{GeLp<;$qPDIdRbTIZe>^xra+Q^iDBx=$~@jWcoFbeXTU;Vj0lJy2=@#-eqzk zUsZJOk?x`i^`H|=JvL8hegqoPy*N?l$$~$upsQ6|T4h4&4;+#?0vdn*B@e3AVs)M@ zuuMth3j&!|eR?M7w)2_RnoaHCBTW|UJ`P$BaPPbm-!FI2hWqoNW#*DPXB=0abK*M& z+V?&6KB!uot8>QjZN1JDg?}cX9oIF<F-v7sK<CIu?cO}0xnx?a<qMf}PJEj{yZEY> zgEm`#2OW|oI$5b=2Y3g)NWD2|J%AjjxiG!evZE*Rgk$ODNbsV(M$n?XL!eXg(iPdy zg4z%(A4i^W+znbrb}m(s{qAYd0D6Ap3CI6@pq=*eVnqfub6PDma)pkbJ$bOATI|_^ zNwZ+f%>?e|zgo<fB@Y_ye+(M!pANdSRt9uutt9Bq+QWxrO7hYb*>8gOg-3(B!kf(w zhR$j}Sf=x2!4A-D`>pAq`-DJ~?4U-<tCc!u9M>Fk;(Ik6v?pyfeDXZwo*C#+fNIdv z1zGOm2{SFF!!_COg4PV&?rfF$A`S}B=ExHVKS+SCzX9FuH~%Q;gyJ+s_KTnfTh#Pc znIoW+kfpLCPdHvO19knc$%qwAn0Fp@Iv#uh-2~eL(Dpe{Q>A8RtEI#o(4u%y*MG67 z&XWZ-#@xjMJ7>4bgn;JZH%iV1U3#+*bm@%;XgvVvP~j{=(7^?uQx^O{Q#?yStuD}3 zzkE=CT^jBoiFKf(?k|BBX8w`kE)KX^RUEgi`2}cPf15hDWrsefzs?F;G<xQc%$8}O zllP54>jvkv%A|m{A5@itnhDOJW&$&}rN*&s2hPrHz8E7`^x;wc?B(_U_+QRwC<689 zGp|I4inf3JvY%C1sb!(SEvMj90;euq;c^k->@aQe2sm;=LeQ{dE2u7Sxu~#cV;JWm z2|-1kB$tUH8{9-VjaUUayG*;b3JM;U5j;4p;(e6=x8F}e)qL^w-QO+ce=U5v*Ziiz zrgzKD((nC>2Q9q+^dG$NzBFxOvwC24>@GPGhkzATZ<cTzNLnrsVn08j)0;CZ&VNHl zJ9z!{8r2oQnGP1s&iQq!=Aitte>P2`-&8VYs7AcZXgYS9Bg}I8f)}bRt7E;v7vFq` z>;|7dFUGiZiK&{#-a?+sQR#Y)H;=Ns)>YJ~S2@V$&8aodcf&=lrc=I6u6e59srP=N zkovxa&R(;_1zCCC8?God-C`HgnLHm_l~sSbGVx9%%h&0`YYIdi4^L&$zUzFU!ePTH zV;0xNvy-D%pH&0jz{1SoCFi)|nkUQB^C}U`-2%ROHGR!f)2J6e$hI0fcztyFvc4X( z8%&p1?cqJBv|Bjjtbk6Lh2vL#VVy!Y$FurEI;E_RZ)bB%+c|l`J7t#By&SJ@Pm?&u z6)kKM<FMgUG>4SD+lEu^(1F;#d3L2szOgnv;%zdm^8gpyb^ZarIGak-&K%it>YPf1 zzGuL{vn<jwUI8DQS)}K>1^oQX(jDU$@RgmV`>tQWUjGe89z(m<&rS!s2Sw)`{dn72 zP-ip0<6UQtX}=l3M+>YFGTGCUu=KsK$-dr%srLm%YI_p4{udItpOej#-Yd)DwT$zc z)r<vS3Rzyyf!|-+v{HGmH|MGP{)A>e$D{X!a<)uc@Ts0d?50UyX6W5{;Im-%Hz#x^ zb7W29m}b?#;F~JT=@|Eba?yiD`xRI0Z%b&c_g}C#Z&CO^UvY;WPaYn-oe-t1$|0q% z7V-2gi)+79#M@*R@UpzsEUN1jBVLC!U9xQ|l~dLDZF8_n`s|^CQ~B;24k|Tu<tyLN z@DKR8n58=e8glFEQeSz1XW<ngv+!!*0|qYMoN>*lnB$e6O2oUhEMNN-A|5J3nuMoW zde^H&EcFfelGk+gpG&}>%qCN_IiF7z9Gv9LF@4S)&>?Qu-mxtGt{(BcplOq9)7Ntf z8vj+Bc-JX_n@8_dR{U{qa-FBL;@7I&%BdSI9KXhLPP@^+;Ms1@X;u><XA6MZ6kG~7 zR_^Lusk~EO@XG$?gjRb2lbWUk)!g<>(|Mj7PU*3@epijqbr1N%(qwAq8t_S}X{(;P zMy>KetL?&5YG)+uJ<fT`{aKQQbPi~t9_SjFcQ&OdTlgKHmJ8|>**ISH7t$$Hbo`nx zpmR}a#ZT_0rE*Fu{z@D)(igmPSLfizVr!u*{=#cM_%*d17hLm&v+0<)z?x5Ap~Di3 z&3$B7{++YnM>9({52x2Yj}6zBeKuHo=`-iGo81fEII_H!bq@IVm_>Syd%#A&4Hrux z`!kqXLjSvMxWUbGHGaEo+vX#Cpi3n`^9H*IMK;}X7u4C@v*4X2%jw$Q1^-N0wEs+5 zkl3HFbia^E-MoaU*Uuf_0`9(lWjPAkI$75=$(!@qDuF3+jvLNhg*NNb^JiLJ+C6>2 zpLh<lifIcTWtSb;Vm8Y;z|wWYiAI*t`|cZVoMgFb?`^=|3EGtX6SN+0>!enhE$=}K zxtT!=$Usx?d#l{vgz)_W9VvcDZnDyjT5g3swd{xgg1YBl;>3y!^gy@4p5HK`IRkXx zd2M^E%#nQ15wQC~i?gnRwy}GP6-~I8pbpw&e<bpRW0^T<nY29U5ZHE5odLSt4K(%c zCcb$>^C!@CH79&QwKKTWyz1`Ceya}KLr#3J{wF2!32if87sNL!8gyPQsQ<QCpSyU1 zWlyV2iGM;OUr{P(2VDnfoF8--N`6WrpV$5*MfS(-pj~P9pdHt4LgwjwS<#y&G*^Ib z>e-WtSarS-yoGLFtIQJr<U~Fz(48}HKnnrlx?5$Q)PwH8kxNo!KUxpkLN`6)gk$Ar z(0*_w&;j|cKzF#_P}F&{ATA-1PYAS~{26Gu!j)7|ACno>$IONIF~1*N*>82?@7z|I zFY%yz=r*$M@UoORm!8Py1=@q~(NX7&<I@Pxyh&@T<%+rIo%kMs>i6E)ktZBCfd&`k zK&KCamZ?7nRe5()!tcznd~p`EClNH7?pOx*(xZ#P@}&k*plc04=j2ZW4efu85__fq zz69qz=sdsdh!c**XAa3MF}uebuF3v(r_LG2G(&F77kY__d_|z;f&YR)Tj)SX7OVrE zRO>5NWUvq9usi0kwwl7P7gyY6ugptUWdGP(b{sTsvJJL{P8Kx0P$~9oL9r3H<%xNq zYYjk6z(1j&x>%LlQsds?Loz*VpoSu7F-YgT#@UmbU*&+@2-;@=S~Vc#4!ZINy3R2Z zw9YYo(}d<S&|v^EC!P3qnQt~=-?<w!4Fz78*VQV+V;<45+Jt>+Zp4X$6`<5M33T+} z;m8@yU;ppV|Mk57Yw(eGpz6N%#;jDy<AvX>gHN7`NSm}aWuI%>$vJ*=tS-JxxooLp ze9GsH`6-`GsWWD#WIxPKNn5j3Y4Z`EquY<@D4#xJr2LsRS2ESi#Q2oYr`q0kzb*F7 zIWKQ>{-fo->$UdRD`V?z>TR|Pm*2G5^l!CU_P780Tt7wq{|u?`zb^YBIaz}tJH{j6 zQ&7{^JQa;v^@CQ~>KEev|KrW)RH*3Qw0Nbet#|H^)Wb^qMGvxB3x>ovY`B=nGPPel z;z>!<s^`Kw*A!ON*&Y-s7r0W>k+4#oGwb|}=ChG$-c7qao1T7CTd}_<p*2}ufXA|> zpylx8Wz(jdQ_a}ZmM}G&v+JJUhN$@qeh9N<?{Ntz>W<y{_gWvz(&xf!Hcwgb#*pQ; zuDV9N>Wu_b$D`RCX1jV9eEQ4MZDZG%aDq!grs!+yO4W@si#JAJ-L4*?<Qwogp=oKK z+KO7OgGSn%uhuC=ywhs>CEFzWPBmjkU&7Mo98&rFq|MT&=5ltOQ;x_rbo{uO<C=!A zf<zI=!wHkMmrdKU&nw`US5s-8szx>c!K!|tDgX5kvdw<mcX!ncg&P@qj!&C8#4IN* zc;(J1W<7hsuW*iOJDL_e>*tvEO7KcuOTx@_H36PT#un#yc~<<px89k}`Rcr4#6yRs zw#ufdc1{<5=ro1y^8s%ztMdr>lLuZ!{VB6)>!Rv}Vz2W`5$WPho47fzSvM{C)6QvT zQOtC3f-^^Z%%4kFCS6QrnR=V0`;KeC*M636Ti1Zv$0lXjoohL>_RURL`IjS0-fx4? z#0BppSx(nZT<~uxi}s&}1rO)4X#Z_o@UbIdYWSO-iN$Zf&X@kTi$g)C$ixnGyy<O@ zuJ_&>j#+bp4;pyMvQ%FoV!30$H@2p)?-VrtS2yv_Q(3X%r_HI0(^#h7_u6p6jwQ61 zrCZiL;O}G>?>Xj;2`3a;j6*+52YUxy7X)9`#?JZ5&T&IbivF9hzq%Y@72J-8f3j%H zdR_P-(G+Uu81O}=>FPeefIY4oj-BW5vUAvQ?J>*Jc$J9sXZQA;WNdLh_s&3*X+zws z1%DJ-q-A^qK0aoVUaPX=k7<+ZI<*zQf}4)MQ(j@G67i_AY1aCi?CIGn-*RM~SB^;I zbiBKmW7=;C$G@U1+E>&C?mb~>nweGc^va}5{v1;Goj06{=j^ic-f(NRc*6Fs^J)>v z=1r3#o3{3;Xw-5Yv`QDAvbQB+uQtc2|1%Pr<s6Uh7s&a-<M=fEZTH#8l-Zmt&S4A> zC#>G>r`xHkwBlbJ_>jOz#fVo)O}C~C>lAZ4-rdbP?YFVx-{qWP6{&2yuNH_M^wMlP z#?2X4$?te^GDp~N9><T}9M^uFV`)-s7vQt3{Cs87wOE#=>@2TsTm#A`|J@M%I@dSg zpDc^?8~1>ZcUh!GIkoC%B}|lZyqYhpQzq>AHC{;Pqk-eudLf;y7ysRubE~i^wQQb) zK?<t?-_G6duS~kLo8#4dhYg38b4bNGY`C<YL+ZZ62CwN0UPLur{pT2PM=WXkwF+Cu zlXE$)-D+R(C6VQ|jC;T~pAGjaS)T6q+;Ff|n4?X`$>PZ){bkd7<WyGtDQ|L3lWDlS z>X%j1(Mc><zbk=P;_?gWlu9|iE#{aO=^U`#b;F(WoUiO1HXORoA+^tA!=-HgCwa%M z1=ehO&eEi)-B57i;?iL6EY_w+r#Y|vU;=O5jrE<-{3TcCjN@}V(2lyER?8Q*pswad zSBZs|5;@16_`0e=H5};T^FNHBA$~2;5dXYZ%Z|06`_A8QHel~Ow}fNe6jp&aP4=_* zeQmEeW`Qn|w?BPICdJI2!(Eg8E@)A9Ub$G&h4Y&X*jvAY`tF*b)o;Z*PZDZCSJVBJ z<F@R$cj}PLmAcbTe2@A;qvwX)mL7T2j-H&^e9a8Bn16b!<qMrz;Irr-9xa_vd;f~F z>=!@K$$lVHn?Wo1H=aBs(*qjIetI8tJb*js?iPE{((}tlExasO?C)%~^f&`L;hs5S zMso=0gjN5fL_VW*(1to!P-k;DXf3}nXf6L&(1GgU<Mj_8yy7f7<sWDod$!KOz*)_w zq(Myw(6Msc?71yFK+6D3?2b6`O#+Q0uayGz-H(C#?n#R5H{&-Nu<r$3k@qhiRK+cB zE-Wevr~!?O+?v@cGsPIR<KTm*U`!0ys;k1w<z6Y2v2k0jh(B>iMg_D8;4G*yab+&( zo|$Q_mM3~5PB>oY13BYPn9h?0`-MR(^$s}kwSi6#So%2f#K9eB4#|X^OIKvS$i`h9 zuzMb;?+!Wv7<8ZSqbLSY*wqxhTF4h=JnfOjQ-!^tksD9Y0Rf;Mc)ldJ<q7%Ihh%vC zk`>vHf)>$V1f6{Yx)G`4&N(N(RkdQz6uz-=TdvrD<dBTYHj63guNUl823=iy-ihy) zH)uM-aI(^l9b5`~cJS>w4f0Sp=$=1N+wY;2Sdqb=UeHkgc_+SOpwk(qii1`KJTsD6 zYPlj8)VRqMd$yp^05o%Q7B+J-6}0DGl-u$|9H_xy1={qd51KJChaay0ap%f*D~a5B zhXYSJRz{0GQ!wgpl?ef@FWI;_;)LT~kW)cB?Roz{F#r0|XyI&8@P@nWAGb<D3-RyB zWz0-Wo1~jO(^XE$xciJyv{s~Aaq**)zL#?<WVDUX<fNp{So=`YxO<xBX&s|rn=L1F zBBxDEO{#P_d&Fq*85iShY4AyT|Lkv;?p^o&`}g~`_51n1C7eB7G~4~!|0`+dZhdeE zjpP5U*N#-#bh2vmm93LC7`9#)(kbS5ynCE;TJ^jI|E3k2{d@LcahQjI$(_jwOPM*P z^c5nWUg6wwy}s&jd@Li&e%Fhem-k)KQv{#Zaht>I8~n!cGZrG3wRSTftg056a({k8 zvyJ1?$(&|UjsZWFnq1c@uBhTZm{cwtQs0u$2|Kjr?28zm?3FWFww9|$q?tM1{mF8A zu1COsohDH|g^UW(gI>8!$I1oQd@^vnn9dP)yGE`pxTd<vv`krJr~JXF&m3OjoYyRi zp|b#QR;^UtdzIyBzRHaRS;wR7oMuvjA@{x*_+(GCaJ(AJA$EKEf?o?+ymeGpRI(im z(&o%E=a{y$alyN}ET{iAF8FtuMLXl)G1$?jZ$U?!=BsAx;XkNl*|f-;BkVVe<HygO z*M4X@o_q}%>i^Aot+H!D&Xa<;)O_itPx*>B67rk6<P~pZ7&<=f7uG4d#{#<FCt>+2 zP;YjPYrtQ17VkUm0iVyac<*%ysD5p8{QMi20CV3BciK5$=_^G%OlILduNv_Z)R|R| zczT@0^}T9Du1CNh*Cx}rN*3_8zscZje_#EYF0l))**syvo1&(#=M**K)o(nAYU;9A zy75A;=~TT^#1q!0RqG=TtnN9hwBjFMlj<fG(|(nREJ??=n>nZLEMa>4b$`v{{d!Cs zWvkxJ0(EDFuDlmq^T8c_=lGXT%7$x?SqrTBRMxbJU0_Wai{r;&j%zCfrtF!Kur`~+ z>%P~9b9Y%%<y9lzM>c)Zx8^#0GvOIzoA}Ndv#g9}`3C$fXma&aTJbBh>8PH{ihsUM zs(EVQ{wy2I*7=GNY2B?e!tTE1oK`LE`1dbIm_^Tm#EA(@{RK_pTsNFDhmHgE-7_g& z@~yb(5x?M?kL691X3O?wt`$|+*sFce>bKC8x!xP@1vPyFonfr%cyzsRP6@N)Q@w*q z`~u)BfVOcQUinX|NwsVqWR}AGzICaJY5Rh2LQScsSW3^UN4(2s`MO>`;$b>?pV`ax zEWOICKG{>lg++XY)_jv|deknkrjW<+<Ymrlpu_H`vb^2`b=kRlpo56y9e?f@$oU}T zcr;x1*^k9@IkfiAPMFxtxk`*f%({2MujicLhG99!v|V!-eA^4zu;SYEH0gMP#n*C` zh=-t?mO$G~A36rRd$|jn#QAMF^_-(C&Tqr5?VMfZ9M^vEI-XSLxK`D;;7i!?q^Q?t zlr(mWAFPUO`s6N{v!Q3fqjXNQT~ii(+Rh;s30ns9^?I;-QonGBys*y3r$RofpGk8} zyES>iH%6AzItnYQxegZ1S6oqVc#zAtscpN^nlIW-$NGiVe9~`PWZZYSAgtQf@uMxr zwI84}D%%D0EN6nQmFQgXg{!GlPer5J^k9{_@Ra|m2icgLy8f%&$mm`=BkbvQL7h$3 zj#s}6>zK`7@QahhdyZ?sX15JjLRq%vD@8n82OXf;&F?E)IN>)t=)9IPn{S}IY(aC` zv5_;HgW6hUdO-ccsh~0KFQAifzNv!dhe0RS)*W!-n*`eYb&Xl)iGpoXBA->*k+UbE z)5D;1cK7##?oXR3a?pA!!=dt53o7n_4%a;e>dAo?wp~h4WWNe(ENrxnJmL8FJ7^U; zXdT&|CoC~bEj@BiJMrD}1TC892Q8XE1X?r?sx&}H*B%m&IC1a;=;+!nwxHf-bF1Zw zFQBnt@T9ZLe9(#Xx9UN&6SIXYZ}LgygGL(mM$Txyc2MVu!rmFJmM_4I=0ib?^jJX$ z=1m73n0L;JuWNsDBA***vEV1rV!;#F)E_>3vf!7R*fWJ`X^QM$L1+4Ox`GBDz*7Sz z_Ew;A^y0`9j=w=y%@@mZTfP7t-+u=@<j)Bj^3MltLU@%Dv(!>!@5EM_p6^MCd|L7; zitHC#L0A19apHRfT2|M4I^u-mrzp@$!fCBCOF(1mR{j34L+MZ0*@F%X(DgqUddku6 z;2{~FZ=ln9m_hUIOrRxtQ(G-N=A3onTQwcDM9);`$$~o2Re3K!hwFm&HoUR~Ezv8~ z$~z~MQkI~|e%Cf)M)MueYWex;iF{n@Ae+EFXoDETuYv4KyCY5<{E;R0Y(Wt>s2v7c z#UKZ|4=FuzMzdRq<hmffNuWyyuDt_A{_IxE7oa8hH$m$F?t!-c)iZJz8|(nxhjbgX zicn9iD4=cz=n`9F*qFeAU6q{SnS4pNLA&bmbj~;?*^3ojm~;G)%#tq9m<VVaXe8)1 zB+%Z?JH4QWO<${}hRq2lzBXmh{)3lAcjn0SfKJ@k0xeg30os}OHUN}7PaTpmIR`&g zM`33XXu%_|SkZ)ijjfh9KK%c^to|SSgmp<=;2!=JNQED>GQ}<-ZPLt*pgs0K1$4d7 z7)5y-p7t<~oHa2uIZw-K`HJF2pBc}J*-f6Acv@-mkuyh@AJI|1oU*p(4EvU?CpK*~ zD(<b@Uwkj-_v3q(>p%bdcW&pp_uuc--<|vWdA?BOfBkv0KB6qqyEc2%YPCRyYthaD zaq2lgd?I+Zsjk?;ebDW<P{=t!ogyB`tCKm!qTK@iN`Z^&N_lW4xn3<oZBxSGg12Ao z&EF_DBt(^NpDDr^k(b`|YcoffMaP1NF-=qbR5D^zBc5tCEz)he`c6^fpTt3_^MX@q zIuq8e6@QdBb)M%2zgY|3$bgSH-QSSVyc9gBy&+h1{R}IkU7ZU)#j<qUcm<StY`D?Q za&^0v;N_?!^`=?B1$0bjFZec*<@6c#6}wv!c3Ocub$2{3d`N7XI!`TQPhY}RUB5@( zd<ro|CC8R<9LTB`6ge-nrjW()WF<@XT-Sgq%Y#<tLR0oOC+wAT{He}q7U3Q6F;xAt zmb<l3NFDn@C3c~ZzbXfljthsZ7tkrZ2|e@MbiZY(N~yKu+jfp=l}!uYakHG>yQwGh z>ix!qRuRX;%p76ASR5Ze<_NQ#yWquAmesY*3x4FXWdE77;7LQm+Wo>)?tKyANuT>x z{X*P%={j|G0X<9538(k|u{^c++u%5H!J|wT=~y4|g*bP-0=}lRblds`{LN?a&Z*H_ z7HaIb;YuFM*6+#@;6>F!I^|l9f4Mose%yjovXvF5uS^V@zTl%)Q|LdhfGc8&QLFb( zTkvBYOZJ}j1y2;gN1X2R*>KLNY14I~HRZ;RKj#bOY?!p*Q9OrP*POP8A$7b5l}<tn z<<;-!SqWLsSnw;IW12<hf@hU1-m$&`yInV&*~?NYuN?92Jj>U3rHF@DyDk3}s2%ir zuasfao-mck@nS2>>bc$lf4rJZ_kj*iQEc({yJuUv<g13`%XCiAZ9qA1N_?{S&gD3@ ze{w?eO%_pm-whXQnod0z&?&M6cV@3CuK1VTq&iP=#ZUdFrQ2+n53jUsPMEnDwq`7C zdEXN|SMVji>B1&4ppyqUr0zRzIJF*fbK8ssKc0#DWcQj2O}R5UVJ$P{9@~#BpnGiJ z8#R6MZQ{*?F6k7nw=7Nh!s7U}UPx!t&6zX8u9`!RiTN(9Gf{oTPu8ZTpkwni4;q;Z zT-n>3urprZioC#@5ByDSv*)!wT=S)>>DX@JHJ|oDgKcrUuk6a&c?*6dHJN6ysIFHB zPjT=It@&&L*|zZ`$7l7Q*BoXydKV<MB`kL4)T-}GnCQw9s;?CB#;WO+y+XvJM%Yk$ zd9Ztsa?`DCEYS1rg>=5hHT`NASo0yWsV%i>s-8kdjqX9M`|281_6M`}tAbnLz2^=V zc;$I-2%EIv%RZLZGLRXHn4)hlRx0nE%yDYI|AvECAx97FWtsY$rF)IqieK?fN4G_u z`uI9uF#@#rzF$DcbozpCPEDz+SW4HcM7-P0^7Xx1#6xp%9^LWe;pSj>um6e}GS=dk zqn@5+alOp4x^~8bAAu~{a~uMSG{N_2?CVUJ%jbC0o#R?{+k!v)In8ct>UtPbBYaS% z=UbX0`%_R&Hc?sKwLqUsVUIZb)k|00WsiU+Mt_Az&S*XYT0i!Ole>6A)eO+lEucOz zXt8$r=g*)+^QI>#vi}8*B_Co3O%j84)_qY0ZNi`2YPq5YbnPl=Xg$jwv^q}?v_Rl8 ze6{w;t)Nl#TW@MWr{<NXB=T8-?n`+CS`H95uT|y=_};g335x7TLHFk^2F?Bd1Z~NF zq9|4r@K>3;SfCm->Av_h$Q>J*xh*sHfsUe+J>bN53)JDA`B=>rx~jfJ+`smU<2xbH znEyP`sd*r`I)V-iV27XHQpC6}h!1kk{dUkrw*NqD36pi6C`6_t@<oA;hX0iW8l08| zorN<2G!}BeiSN_?^h7?k#h!vTF_4q!*6$Dq&*XEv4O&<RT7Gw;4s^&+An1@G9nd-V zpcMjopksSLx4Z3X1<j$PC-NDAHae8^au-jy?7G9!@<smXLoy;|pd)5#L9MSo(CWOj zL_RHW<qW>jy+d#IuNBR=Om&_p)ER@0xbKG@aer0kiGu7-iSSH5tJ@nUG`~5gbH*`W z4AgT!a!7{f*`^82k2-bE99(hAiSHC>t@}jKg$AIZ^&@i0itJyXgBDzPBic3F*L~b1 zyCe-Xj1HRP0gaH{1+DqG1Dbn}KXph(WF2UACTKUprC6OO34cHv79}@LXnxVHbH*`u zwRb^rk-&Y>2{>;KJMm2dotk$IbW@(~e9({$VtK$?Pz>dRnqc?A^CxMF>_<V@Dqpk` zD+;*xC@5yBWycv%Lve4!jOK`Gtuj|YS2GHIjyU1?8FaPo);X;*TR>^esC>hO<~-18 z{I(~Z_<m(a&S>VXe_;Ogaq5Fl8`py?`X8^0WsiTX+-v>DC2D81)m*iclT4OOyYpsA zu=gpIo++Jvudke(vgJvdSJd2+w#O3e=`znHj-QoL_YLw+%8L#vvszl}rIzTiYzg1% z-+R|RzxVrj%=O3L|GfFN_x}9zmi2QFEqk6PbMwQ>v$x(YwFfQ5Z~Y%#ec0dZR)Uzr zNwozJ-<({@ux5+2<Kvwyt7ZHGN*E7ji8ejz=DfC}X~C1TEZKM61FCEfTAde~GI!^X zr@SqjzoZFH@fXb5An$neFo)SJ|A3z%O|GD`$WvGa{>ZIbH^WM3cjtm%wk+N}99eeG z8?LB5PmJ2ST{R+2ylGc4$29Af1$7e>S|@W#=_y5IT7vH-+1b6|g)_@)Ti1Y^V?sRX zy+0t;m*vjSS0-ND$Fh`PEh1gc@#bWXYu4Qh{w!sYUZc3;hU$$BS;wd9oMIb48?Idy zEv&Om*zxOL&S@4M3!ZIc@t(?=rLPi^b`#vWE;xDjYOs6Jc46=iv&SsF%B4QpOLaM= z-g|5~Rn6HY=dt0|a?Y;#9vhC8b9lx1YzXUK@Fkb!wT(x>H^Zi{aVi@BZ*g0^O=#!b zV*cUue>HXiy`4YityEsj%%LUDA!gaQ;MI0cv1qS=zfn!9d8#XZN;fT)Q(f_w>7da@ zZo{>^ZVT&t&ujYiTX@X}&8D{F!fU?hHXSQ%3S9@=Tz6}xRnTu!$B*tD*M5jQo;*7} zDJnbHJ)nxesdN)dYQIXvdkgS->I6>5qpLa0cC{|}w4Ot(a>jyJGZHqQ)jL$MYX8K9 z&b!d{31|61o9i3{su>R&Ef>BL>$4$d@`8V7S+xI5TJW%{Y3e`E3l-0f&bX$Pub{C@ z`(W04b&YxI5syE!sQN2Myq0OYq|JG4C+J{aQHLE*-s}x_uVQZc^k3md!enoQwO#Ag zZ)Au!oqDev@g$&W)qLfMHzG~1Oq*2o)K~m;Zd&?IZN*=KgGT<sSLSZyIUM<}l;vx= zT7)9B;+K=NDP8g<vgw$*;F?dhO^c=ruKC8?^r&2D&ByAdNy<%I*ED8Mz1Nm7cP_`N zcg`E`IkG&pci!MQbHStU9A;5&0YBNAT;D+tAl~_F_e$lB>Kv=`OEi~lJrc%ZI+?}$ ztt<G}wm!ub)k+78?yIf<^<b|Ho9yXLSZe3^_&7({PkqOWx_XbdY~3y(a({9{Z@-U1 zL=nfsNt50EWi#hG1nlzKa4x86({;f$<=T!v?+fL8&~QBZUm!;$x-T=d&iJ5GX45Kp zwTL$wO|RmWA|ClP%@P;TDdly1%XiR-A9nLlZOSW;clTMon(vpn9QDwbh4;Hc1n9_x zewB!&E&*S7ny#Kx*VxyU&^upX%AWZNYuh=!?EN>KOVc)(vx&3mYni&nf5#@?z8R1q z3Gw|Fr70y=j!!>xi0zyNK0o=jV90;tgF@#8uhcyC^2uI#lVxkUD){Uvn}bF13M=ZR z4stz*92ecsA$8w-!>ReuF^N7q>(V7+dzmh4*>xxMmJ3X|)1R=`nbYgMdc<>)rcLR> zYsxJhf0`X+D;Ey=qkPcKUpVC6j?RZVn{*woZWq=uYhUo|IOjAC=r)tt@$;=FMY#n0 zHfl=EQ&~~1ez2%sVTHX?#6!{Wq$u9)iV-h!S$e-KMm$YsvFtdPoXD32x@PqwXlmZA zxm8BxI_PqC>xdJMkey#iK`hhPG8{TxHsNaM4z}V8x4T+pp4>kL>Rf{6v1Pc64XoN) zWv1*ubx6iV40LFVDrn@~6m)DJ=qj8wprh0FZ#H0Gc|z;X9GNZKLFe0BgBHin1C5-6 z_QKbjfJV+w!E67+udYnD(uh6c#CPoaMg#V#^Fb^0nn5e{@<A)~ltAaaoxQpzhyA21 z=$P|atujkMGrU%yec^9F_vzi62^#BF1obZ4T4hA)LF>6e)7?)Mxr+rV6XA2{U$0&V z?*OX?Ej<_KE}j57hsMPI<RO_Qed&tqZ$bC^`pg9#+OpAredm18QFMPnhv2N&d6Mu0 zbVFU$)vY@$EhW?=W;CAy4N#bzJ|uI+4s>Y1anOaU`S2;{2{A@rm-0n{4%Gdkt8>P2 z`Ee({P4$V1d{yT`Ys!{gf2ei#V1gO9rN%puV?kB%r-z^ofQ;OhE5agXG~Y-9U0@3e z&^w@m4M3Y3SANxbvf!@{ckzUoH>-X=gDrWq&IaF3uXogm@7Hh80=UBwCk__aaTf<z zO>LFwIS*<qXh)oI{GtXrHlCl`vV$MAiqIG|*M9QrAMY0nw(5d5xgR<tQ}PVdN>J1} z<GB8W6W=Fw&=E+`ag7f;PZ#W{dF3tJlb52%{&aW5jOG*0p!SRicd@|ijZ)#7>|a4E z1#ir3l`;9An#dOfIz{lC4d@6YA#TeTpgH^}pm~bFraEUF7oK+FYkLj42?umV-5TkF z;%5sseFY^5@ZmZy{DR|OE!bubnl(9cNXDcuRgwLq5qI&0DA2lq)1VC!Sz<*K_Ra+z zLkKzq7_@)iF@<+s5MNg`=+b-H$P)*>L6i2M?b%I!O$XKV)jyULOCJAt{5judRbQ3G zV#>K{p2{aJmP~Y>Y~~g;`N#s3&Yo@CJWrm;)R0v5&7JLe>50Y3#E8=o-Gy??dzLKo zotf)B`H+RmwJ$c;zs~#p{e0Z@*H!iV_rKmZ|NXo8=$kLQwl+<#e^qQA{ntGpKp^B_ zekym9X`C7Nx0!B=E6OwuKH}!gn&Y<N$s^5@xPPVVW2Br)GFRIctL&Y#;G8qd>2*pg zzAGL4^g8zEshW%Vl4q173fY_7K68fY37JGXY<RVj<*1n8nmSF#&Qz9cJCA@Y)q}V6 z1*UYyw?166`=<!_fS5mbz8Sm!sum2f=twx6%%L^cXG3B0f>TFXxOcH!eWxDrr?5%t zyr9m`cUNbGtv$;z?OxvkzrKW<%$%=eeK-8+WZ^xh81eCtW{Y>9Le!^>muDt^+RNfA zryNo06p%Cb>UVa>ij`N*RW&y19-LJzG{veR;q79MQ*#_Pyt~QrG>YZ5zT%1k{)27W z99p)%8$Qm-HkhL&Ev$1RjcYakx_{4|6kC1=<bI#2{E^AAS6@J<(#CP^dqJIAM#s6? z9Mkr8FSs`$;pcw=lZf9@KG{djg-veFN_fgIU~+SQ!qxM_BG!EgU#%QFKXYE&Q^f^7 z!e-8{<Yykg`B_rusYH~YRh<#GvzO!A{jLR0(-IE<<<P3_OL&~jxvFM*!of<GtLs!E z{+KmM?N^T|@(DP-?r_1hdlMF%o5phbolz^~ESCKHGnIdy7cyBPu;zzsliPElHGia= z)V2$(`DNXd<S(#h-?1Q{)koM^vX^mqz4h7f&5k9NPdTDo(Q)Tx&TH{L0S~XUNbAja z+VO;Ak?M3dWA|gLIadAYPdNDNdhwrIw>iY_Ph4=!onx9!%YtjuIj5}?yb|fM;Y}*b z*J*+}|D&7Ov^m56=sPa{!7Fj@RK9A)CfkFn<mWl<NNE+&wJiH^X5z2u9A0yrHazR+ z@QQWXP&R$R8D^H(c76fxDx1Duf14B~de3RY!!nksb}kz}HnN1CV&V2vTJg=f>8QTa zibC6ilfL^Z#uTw!oD^L@({fVXv<2s^%#)%{t8u={_1^G@ol{E2VZ$SFPN}&L8$R)K z%-X^cwzqFV#QcP>_QF#t+7ix&>mM%g%5~cC&5R}Wn?l5P18AtdJ26xF?_*A_n)wNb zxjD3Ay*GS}WeNS~vf+VdQ`W}W25XN#7rIg*esI!m!7INk4qkE>xMJCtaC0-qtGWIg zYT6eZlx5NWR|ttvo2sH`9+hjK&Ip^_%Mn(q?zl0QGwi>FW2ex;S@G%`rD_LnJr|s^ zvn%25ZH`m6UK{?+Sa4`{-mwC+I};XMvgR<m*Sg@8JExe89n@`avyI&!r3+li5Ynk+ zbzB?GIjyc`!8u`;)33yQR^MY|IlX`Gf`jK+w4ZTG$+~U$6wWzoj^Bn?{+wO0ej9$B zWl@z=i}?ILm}9z(<CZ5O1=`EDe*49ex=kUX+`Xx&T6j%8hhyt{ft(2C6<>s#di9l8 z6bT<}YUfz>w>ROVIA_+LeaAB=f9A+a<(M|lKj3*nQ>wU+V$3F|j%jzSQ=fVKJI=zZ zrx@|kt;vmFV2zDZ#wVMDtJd%4y{xs(^5CoYsu~-`56+sercugs@RqvZluUs&)q;*Y zr8%zc?_Y2zp3|(R3=(KDRd3(UxOU95N$b60#02FP-!z+!_N%S<sM}=eG4HSwU)27j zM7~SjktZCNgZ7KdCo8h=O^=w-{3rX}0l%jS8$i?QHRjxw5^oP4l9^(E(uq&aeUkzE z)mK|M;wLzD#7$_vanpFZ)r$X<T4hwGZ7^Uz3p&g!i<P_hLS0v@Ov<x6o&K48yFjDe zdS?&Gd?`;>1l@CIz<yXc;)LVN**a$q{?HLC3i!#&T`UlD*oiNze3JqDM@4SSj6btm zEj`xNUe}J-tJxvu^rb*{%RLiYA+cu)dri45U%WYWNG9cbx+42tA@1S{|3Me6&N+EV zMx_3n6Q3KXyZZEY<cWh%0>z#!_@ysaBoO^M@1JT(0O*drBVjsc96$fnd7`jYp1b&h z9cV>&Uuq)Xu5_R74-d6kK15cX_?z=Ai2X09W?XpukPMG}q9Xg!`b`Gx$#<LmHQA?{ zZ!%y%I8Eotf^T+W&lL9Qb6aNAw6$7#ghiZie0^KzjN>oR)h#>cv|643?c}QhE%X0b zzhOeN<AvkXjoFWygKUc8xOlkK;8xPJAoizoBTgJl$rpRJph|<=vO_l|k?+;=jT4%W zfJPE-rYW+o1nsN)tteJBA@b-UnUeSvMfROv-#tBEc47W;Cq6Fg4F>Fof9gD05R#I} zcZ@sYgyYi?v1bYqCc1~(R|_m^f4!jW<(Z{?k3cg9k3pL(cY;=Bf)*BD`uO{U=F<h6 zExC&)+@047I^Qsn?-TpR3C#x$b<Q|`Oc5(G$l74Q{<IquoNOQ$g4Qe8o;xISWqz_E zduQo;kdMxuUFQ0uK)2<^Lo1J5^Wv4wWqqwODSRo3plj|XG~emeIpg?WMyx1c2k1^) zP*uOQI&wzy$7G!+3ycyI`I<`Q4lJM2d~2D`8ONGvv7!q%CbwF8$Q^Lvi*ny+!2VJ= z;)LUK&=S7ev&0@A4h4nWg=nMVmCb)_b)F<_Xlk|8usiF-=T<CtWVs3Z)85Dv2UX4< zk_jnKS7hJF#9h4M*M8x%|MsxtrF*XdRruLcz<cjL+!t%oaNA<WktERAAiB82WsQV` ziqdq2Fy%!o+Cq9>0&6xl@h*~>=<RT%L&-6_S4rrdql?nDSy8J67q(RIVE6LYU~SR} z=y<;7Ys&fQGrvZkuTJ}B_x{ZCm9oXJ+a`XM->SR4?q{&d`mgc-?|X@L=4`kp5^vGT zwBguJ7FBWKHKnqSFGX2i^KhJ6ryP;Lc<TB;IS03g)^RuSmd$dguKoVWU&^Us$&Tk& zCSHtbI^`~?Q)J|LRq9|;H^-`Y?+r(anr3|$(Ahd|!MC2<25VEz)K^%~PT0xg__vue z%wp1lhfGaV&nacp7#`GuT_PeAYgW3XOx*FK;6bTt$G3Q$Q;NuTZ@RQwculc@<4t9b zYudt7?3)vsyE(MtAlIgq3+QZWTkwi)d$L9MS(O#@R3ehjvD`Z73mUHfd|;*W%1V~4 z&xLi0tsL)ib57emXTd+ACedFkyy1dtz9>09KKt&$kEw4ty56~Mxb=_aD8G8dW0$5$ zp-o%soC1CsHI?Q;x3F98E_&thKCtQ2f8`qrW$Md9KfYy=e(M$RGnu7Z#x3BhHcR(h zw}8LLS-fMs0yaBuxT4FlRbDaTS!L6%+4ou>>U=kA`n6kN&4-JSvDi-^F052uD&+V$ zm^19BpyNf*<iE1x$Jw0MDmoTCDQ3yu`z+6AbxBjg+_{|KuB;-<)BQdh4r;Q9)_ZIS zoV?(ZJcrmzU&v+kF|`S=Jl;e$y;{GI{c=>2cGIl)Y7traO}8qWQu|a_?4FRYQ(oXo zyw8S1?>VK`DMY-qYdZFtBdqgY_d}7plM=R;3yR$DPU!WAcFG`KS)YJkGEJpof>UyZ z*KD7&;Llzb={2e=c06Rb488;Cy-Gw1e-r4ox-}jFf2CQx=lBMEe$L_@>l{!mdC;gF zdREdMSzp<cdtcf4tp2A1*=bkaG}X@U!Viw7(0zUZUwoRbO0gVWuN3ikJ&Wplm56L! z$IQF^57!t^UhsyG<@H%bjrqzq5<rU_+CifxTURPCzRaohzb9d$Hpi-etqB`%bFQ-Y z+u-tq5jq7uZ^5@bmeVp00pAOnp6>Gs*zdLBkUytXoZE&=^Esu~t3*8A2pd}0_mN$> z_n%{*{QYSOy(W$)|8iWj>RIrG6LJDPQ`1*HRgM4ZO}u%ED=buQyr^tCwZ59;aAb;f z)2jE%5jpWqujZ>pJo*8N(4x<`gWZEln{G`P&?#1SygQq7TJ?+t|B6|(_k2tAS)JIF zu=Kl-$-VZ3spkbmZ2J<n<_n70&rj%$7oM`GF=4Ho<4bnVYn5fNg(hnK2~n?eT?78v zvPi%24fuGOMOxN7V5ifD6O}BX^{yLkd}X=%-*Ll{zbvNn)grPUE4Bq!dpD&9v6OyS zig*{y^0i+r;^Ayz4)FQX4=sb;z4ohQ+);}F-Brg987y1uZn)O;o=-rLdehc#3L3W4 z6Xq&GhRJ3x_@mEZwxeyqqx&3YyJj!=G?}IQOvRh24?#;!3Qk`A0otjv8FJ!(GiO%a z#DtZsEL+d3L_AAs+7&LSb6s^sz4}2ee*u##TKi62n$02g-eJQjcFrz2mkqbXIl88E zUi<M6JWBT_<Yf1<zAft%PB>P9w%zTV+bWX++BvoN#N!(?WS-nVaY%*-bTH0Qe$WCv zX3$YHpFurM&>b#y{jHWAu_v7PUg?TGQ`p$jDr1tLoX8gh8iW1~+Jd+FX4b!V>;iG# z?00k3-J1@{JOS^m1NSqTxGg2-9XKR2W#4HhzGI->VN>sKoY4Hj7u0hP108rjrPVS6 zbOnt{a`uPpEWRkvAoLf|X*B8aVnq}F%5WE7um%tBA3h|*^J=ovpK2+G0^O$ze%xN! zZY6Q|gcIMX|7nSQO8uat^gvVZH<tN72tDOkn+<X;H@9U*TI7u8D+@tW!!n>H{Zm_I zN<cT+6zxw`Wd92~ga6Qe(5e8?_`XS+BKu=sw~FFt3qD<gFQvOUce&iF1>Xe4iUjOi zS}ikbI$LE_{1X%TqCgkgluT~5d=YcriEq>YltjKN(2B%A#-IgykJUeFJzelaOzc_0 zlqAr^$z}ugi8kDpE94Fzk~snz7WoBQ6QA@EzC7^k>t%AU6t*_C%4`9h;-3au6p*(? zZ2cvfC!izmAh!+8(|M9$F}>ANL-wc>-!XO2V2BjAWrto;B45_^O%s~Ir}7u_b6a|> zOHJg9GM;y6_T=U-&p_+mzJY9F;?Q5$oRjuyAzu}!`~7Dk$gParmKvb$caI)uiU%}v zegd@jes6cHWk+ws3CFwF9)`|pKB5D<WN0GjlA&`>e7D#^mkfbgaNExul6eA}dimQ8 zx({h8Xw9COLq(x1&vZZAD~>Pu#EJ~!K=rU$h1B{WzD1w~08x`#WsZQ?FM!TrDgsUR z%sTJHcWL=%1NOO~BX+(Oi9K7ey`@#=$#0MgLFX9kJ8?*+$E>>T{WZr*Yq4hvn{LBB z^y*(Qf2n}=oK~4D{waxkLZM<sA3pv6?pwcyW#Y-{n=2SoZ`AGpPuPFk`TSVT3=8?T zOz!Dw(=@kT+i+&Z)+6ma##65uozaQ%4%~cVOX4-9(?@(<&mM_#yCrnGr$)ti@tGxC z#nQy=lG7&6Og^`=_{ZZ{XKVjiUjMr5-v0X!_wB!Lf6nsj>3K87ZhaB;ee><o_JFX3 z0e@4qBQ#7>Cr3WI6)4g$Yr8PGl77r`I!9%NbmaD*>g@Vk@4RFADlV|5K*RBHGG~}o z>w*tlO`&><8oRm@wqE0yHQVmqvyaRib}JuctI1{BxCIn3AB-|?pAq(QC(G+I>KfGs z2dlg}PKiTqoIlFK9qSzMGpxxqOgN;rC1K-NmaE(2`XA~DDzC8YPgvRPcA=*7Bv-<o zC*K}*x*LIxH|tK=$<6udI%n99nF}7;vS{171XRc#)QYz2&J>;Nyx~?I%TaN`H4{}e zcJ?H!eF|Pq{#>hRley5E^6wl?s{M(3p8R1J?&q1OwnE}wL#FN)ZO5mzoMMq40betk zj!qK_v7emK`I$3ool3+i&w$^0O{xF<0={cDJzZwo{_x8EnF+1eg-v_}*L>M02s)4D zv1<Eg;r<Xi_kb@pP2l@l*dQCF>zcN*u`FGlcVx@8nZ5zvN?BgZdIbF2%OX9;A>iX? z7Vf#;0Xy9`ocPKTTJO8zMy)c(G@G`MlV1OyRiU!*x#8Mb)<Qa4#T`NW!%qrav7MK& z^Sr<n`}Ty^d|{J4eF;nB1x5BvPncRSB*MG5;o+KXpoLSM*D8!1PafvHW|hZsaFXbO zijyxdtI55b!g;E$J7I4&<i3`zETaD%H(dD4GIcw*Z~e>dQy(-(f~suKrlWkyEB+}q zsrsp|*x8h@(wj4Dy;8(8ahB5S0y@{_9XC8NWZAr8f8wKwhqeV9tZggi4671$eCW&( z_EW*};$+US-%5@jwGK*M7nriAH(~8nmZkEl5$U#$H*;Gat`S$(`0ob3tmOw|Q>&kp z<AxMggSeuf+`|1XdP*yPF*hB}Q(SRRG2&5T)2!DV({7zISbM9OV_GG%<6T#l(|hMH z_-E84>czsVuMqL_H%n{2QpD5mEUxk@5pV0ELHF2rSyEK?8?OK(#|_t<nl2p|0Po;< z&Uwvx{(?W>Im|MILjK4ebh2$a^<On2<(HU*-_>vd9niM$?ZP^RB93Qm4hE(7fZ9$H z`a5gy9Z{b7Ug*l+#)O^sg|6(MpV0bWz+{dU@8u{>uYijDrqFwC@T-hVnoQ5BYE-Ho zjJhs7B~N%wvApBWdf_$8U(Rml21n~>>)tMgoDJfRN4E><l(0BH?HAAqQd#jUwCU(N zg%$sjn^fPatf<sK800T>g|D@8%1l{~SMNMG+==FRCGWT4P&=p8Jhu&(!rcUPA{kk% zLw|hgbl2i-T2wBu=39ExBY%N4A8i~@MjBhxW%I+YGL|`5wOnY*f1`tJ(}hC*2p)9W zE*$bt_@Gk1a7b-m!p0NR_MBwwnI2RBbp^)(A#RQ=Ij0R*HnME>SBrS&%~HCW<@DbP z3;yM@X#bhG;NfBxZCmevif3Uqr%vUuxIPzNGfhonUrR#oWa#B}$`bdVFf`58s{MLI zd2Tevseg?Ld)qlr@pGEp$jbIv{b)6Z*ev&epRp|6I%+F^c{UwArvzS@`HICfUn%01 zT+=OnA)U`Wj(od}w;kN_OyT>fKtY*iRs%aW_P<Atc*~01JL$yNc6@^Y`%+L}_yee{ z{lp8ptgfroa>ZZJ<!YeKdQ!Ha!*OP{T4wmp?_4~k`HLQ?8j%Mr?`H>9%%E|9`*Vk6 zctC~!Q7-OcfhbF@rtZ}Y$HcEY{!ACvFNNGP|Nqz_nIrQ+i~M!B9Sxk-eB_+YlLb4? zxr--wZJf~jZKBQ@$7i5EV6_!@@r2)2+?FrmLB068Q%-zsxuAJ>&;==SphNI|>o@%Q zzu)JHf)(g2-o0fZEaKOhB;sS(KQgPwy;@Mn!fojRYH)1n0v#M{3YvijO%nfWX|;TD z_oNfwC(r;p8)%*0qbY9-raV*FqRefn0U8@V!3Y|v23<N+2CAg%XSG^-%sK4DH*5N4 z1NN1#-CPR_MGyRVWR-E>@M94BOg(PP7ohcYPvSsJ8X`AW+~DI{51NAi3p&gPH2(3! zLhRXsPnu#y0=k<G*tdc@-G4yK^a`zEb#wpb3C&;J#GWk>zA2}!&VKW5<c#KfO@V?j zG296?g|bih=T@w2KDbfm$$|=S*dBD^I|W*%H!)P_$%0!+iF`?*O?H0`K`oueRvDA@ zcMXe8*jIv1^#RS7pO69FSO+>~KBlo%<_l<)p9{1T@*!yb{L5<~dsq$j?ch7K8WeP& zIJhl4)}<%%WktX5SUjb<qNmj|<4m$5`^n~r8O_&{#EK@^O$04~JnF=^Nqmz5`(7(< z%M<s{9FpOAm#D~o6m<IF1@P>9k@}oDmK~s}|EqsN(-ez9Hi3O~WwWq;>4b=5PJFXY zgIZ;vjh3~dpiPVuTV+Z>DXxfr!-VF4mvzoKD%wc9YqB2(or-&DpUxA7Jri0jSIC@o z;#&mT7;p<Tol^(8-Y4Ru6W=6X&`}51S8(X9W0Hu!GyUJ6*?+189i&9rDi~vL)PFf~ zRrdJD_1D>VKRLeer=g6NjFyyW?6jPmJCAsdzRW&)?9YveEfzaP+r#Fp{#j^Xf9#q2 zzTPgrR=w6^kIckGyZ=ati5>5M^hC^W^|@`Ai@(pWKKIz}|L*5|&i}1BQ@vl?YhTRs zdaKv3_lBsdKC?Gl=;Z0SQ~!L*_49v!eb^tcLz(4g?&ruBW0s@e|D8~(^$W1uG^5qf zn_*M>bSAFw)jSIIKm8k&?rh*$kP*iq@^UxJ(<tr88GI}^kFAc_QO)sbZCs+@J9Uk+ zh~rAUN*eb!&ugu0QHcI!#ce)M!M?({+n{y9j}u~IFTb-q{g}VW;55gkU}5g<Z3_1F z?A;&In;vc29=T*Y=c(*_iHUqdDcj#9KD1Lh@xE%l>yIf5Dn2lat+ZeW`1e9!fq^L> zbBew!qsY34fekf3`59ez%v=!hSfx9K^AxZDMuS+6O}ghc6nqzaa(iyNV4YjQo1#Y7 zs*VLU_m}IO5oWo`IDcb7qk{b-u5N?b3w|6C*SWNr<>`V?@x7b=`)Y3PQm|gm#Jzo@ z!u~58IO<h3*6nI@t(w1J&qgWk;yDVt7qfAH=X9*Qzdf==pQG#e>kS^Eub8ITuVmNo z+53y(=A^cUL#E0n!uQT|-7$AT#Cp!|FU(Cvo6~fB_?vQO&yLu^&iQF>)FzJoDjMH1 zjw;!D1=M{o6ML4~^k&00ojW{De`b{F9CB)Ubh&(!hrZC1^pcdsYr-kwrAZIB2|fw` z)8SgtzhK9`jUMhCr*7}sXpqjisk-jWp;)yO@^6nS&8TMVO0Q<_3R%tX@blB(hC?QL ztS7>%7y@>LvHZOCH?oC~<>)cyh$Yn=r`ED<G+4@Vb9dXOf@aQ7ciT2{^b3f1%O_1d zCOn1R{>&jC)f0VEM-QD<KjB|7#Wlh$V9qaz?k#N#Z0dEmH@7P6uCd|X-mS3zo4L** z$EHWqI(06&vpkJ$Y~ATH!S+kE*t$O=4S!Z<HYi=0!56T{ggIbIn=#|5r|HaGmzxx# zi{-el_bJ@ZVC=roy5L5VVt0wW<IcN6VrdMH+p;CO&6^bNS4(z(U~w!wz^xOcpwS<3 z^3WN@6MeBq59z9&@ULrijc^T^v$@apmPbI`u6eCD9Vf*8wc_61udqMUxVvD^f*r}+ z-CsBzEAP+N@i}SM@TQYRBj)OMhEL*U3|#^F>JA%I#20v+<v103KQ-}|K+5a)sSm9L zpUi%rELbHVa$6>0qMV9Gxz(9NJjy4&*_=Amt9au3?+LCIvldvqQRy~mUSRV~gnM&` zg7rrp?(Izq_MdIKH_ThG;{{Xqmfi(>9tDe~@jI3sPS?2;*!1U{w$7o*rbqMiBbJ<I zdAj)5r^EkdzklMz>hLXw)!|`QILnE6!v-ajbu0mELRbTqgt0umbv1IvZjMd9S(^&( za(r5wy^&)n%hBDhHhDC2p1S*Lv%zAHO|{aS3WPa6Ij>6>TqY#qZkjmpneY^KljOu{ z!YRw|9yzpD^@M)LNu?Y`4ZZxMN^g}k*6;0YwRD+q_tvylYu5?)@AkRwa0##}QST1f z$L#Pk#N8n=t4>~FwlyQy^%cer`<%ob1fv8*vhST$+N!K!{_5PJ64evi-W)viRqe#~ z{nK3~90K0lYIl`#40soN>QImB3BH<!*4aK2<ZsS*o#7lHW8L5y<rOf`rlU2|cfwrj zDXq6%Cg@8aQ+lJIv97Me^_F|Uy)Opb#Z3yki$%E08x{8d4-{LN!sGDqNOFTxXsyhG znnaxi249aerkt+*>i<Yu_`0e3iSX(!*9_-?HTIpZuUrE1>}IyU^qi1u-P-!veZqU2 zxvmm!0dFiPyGl6+yfdHOI@4o<tX+TWY|jbbxg%O!S&nvcM=lX%c{<ZOVg@hE&7-M0 zcgmXnoJ!R>B-iw)O*~@BVwR_GwIgQ~v)tUfI%0=5%g^NX8#tz3V-PvNmRV%wx77?! zwO=!~W^Q6sh^|y#P_UNsQ|Y|~!FNg;W;ahMl`3m&zjy4=7u6GGHQlY!P7~hmo9rs# z8t`U!x9cp20J%?k+{V2M)>Zo4+Zz=AKd}=_v~(=I#VD4k>sWa(Q7lc{@!QYEI(PD# z{_Nt9Y;k5ex>h}MNifUPyUQbH_)M^^X=`0wAf{0N%Vq(GsXd#<Gwuc@(_I_^>k2pn zW=vzbnQfo`@RsnC^}A0gm8fcL(^=Uub551;l-uGuXB?W=tUht5SLMX_l}D6%R5ke4 z98&UC)97Dw?$8<46Md^s9-1onWd6#dN^8_K&aFMIl&hpM{c3t*nNUi6-ibqR)lcN_ zo9Alb7;xuii|a0jfP43QS~aiBC{%w^b9lJwF3*JhH-rLOer;zEIlYxlWTmC!&RcJF ze4?9jnyn*toMZVZeS0&9EoWD*^#+f>9H-{)KYxfv{lvFdXAV_1)%@4fIposx=)>;F zB{Nx4@6T<G^qDaCN^@(p=Y;vC`rR8E7wp)<-@Rq-f<2q2wBGcdQ2Ulq?45+8-Pd%% zbAlq@`4T3s6PVJ^o0O=<&vs&-JeNky+<1m3>-m^P7M?6<NO~&RptMy@<N8li?#mMu zZkL#FU!SW`|5`$9p}ynB$B%U`2{aXbFVs1c(e%b&Z9{>-(35t%qe?!?8h!JQDCsI_ z*jvtQt(>P|UCYJ2y-(qP`%9feVNH)d8%Hj=%ks2zbL5P}EI0R`Nq*=j{N#S*0i~3t zM-N+df?8b}o?iB5Xx;fOvf)j7YQv$}?=lYi9u+wVMhS_mubt?c<sY!`ovPR|!Ib>S zvxmMao~X~~?KYUO;6+^OL^q)+zjG22j|ryK@0-|K-KOyWgS5_}n5IWN*6IXFI{uuQ zt8?Zw%gxICjRmtgKb?P@B3LFQ5`XWg(pz<n{JQC_mYx&--i{M{r{wsrxmL$%=U;}S zli3+uSCt7YsQGBHz<~E3<Ake*4iEEGPK4W^Q`(}cVfI73`^wA(HIFmJmWexl>)Wgo zQ{VK5-#$&SO<1HlHf5rX<Iex8VrfE--@@l_F4)ZRsXsPVP)t}veQHhj`zKRbo<_2A zf0lAA^K=!{6MPcC>WI=CRgHaNt*%yX0e)8_XMAS4x&L~~!*xPW{zrDZu85IdP_a*M zfk){+=74=s@&PlJ@iJ_>Zo(w;F085Mu5?68AIs5YzL87bu{@2Qz4^y0ssHtVrPn>U zkq?>%fgVBl;eJGuM%&3{JqrV78MQenDqPc063p)95fW64&=wSIj^Jz-axqAns?fF3 zh$WyWLscV5WTHZ_z{HM-=B;K*NfN!Hh7qe3KF--X@6GRr_qNu(t~?)Az5o63+dJ!@ zsZE?-_h{wZ|KHU?_X_;nKUGKQ<_p!}vbQQ+2dZ9koI2mJ|If?~5@(cF><~ZbCfRh# zT^Q7*tKvJDG+iKM|Fne8XpXFV?i;R1HQiEfN;R9;`tZtJ&kc9vSiXu2ttoiIa?4oF znpg40j5hD(eN)aUW$c-gFqO^m;%v^a-P0HR$Z9g3r>e0s*KqCDZHgM8YXF=%PCerQ zo%Z|Br-@fjZAFFXK{s>3kbScgCb~mcVXd>XEmaY_$#;3xKGB0h#hh7kJ{ztiHQiDN zAEJ^sHDRYV$E*7u8xGBdOmwI?KIUavowLz)dG9&J2wmTR8o`57>ag+tbRXHwSeJk* z)`M2soTu(NZn$@t<!L*M^cwXQKW51qtnEz`4zX)Un5fLLYTxvPjh8uBf$k5qay*+a ztOMJ>Py-#ge#af`UbOz6;APO%Kw^DUTf6X@FLF)CmJ6==q}jA+y6~EBx=oL^3$D4S ztnpLgVAOvVjlDPhB+gk`3r(5pzu{gY%hUV58xF374qYduzB=*3sOi*wm53){O{?~+ zM7#-Xdi7r+BIz>Ave4q{rlmnFTlrNZo<%h6S}vgT-LvV}bfGmLc$?aCo2J$|UZ~JT zIv0M|$#XNVW!)AMxj#Drw3y$fEn%&a<I7^sYdc#OyeVaQeb*`A-*fN+(I4hby=L=z zABOY^>ugeY1g+vP6LI{?FQ8NS4LowKxAW)EmC7r#IkN8iZ19=B;9XYJ(|=w8@w*eE zwD(L~@X)4dYMlQC3-=ADesgwB;|%*P<M?qk=d~Yljwh=*u2r=x_+rfR+NG?vatGuZ z__EiYf(>7v2~DY&J;>J0skN^^VR0(URD16YC#JH5MzeI^bq)BtoyFV6HQ=*7i+8Ri zd->{bGEJ#`N-K7EB<y5z{A<h+_TvoLZEr#jiw3)U-B-=1VLzy~Us2;1%fYPwY8rC4 zc`rvLi#JVT7g|%w;`maS<C^8%1#eneUSH)rRo|Y_e4A72->ihi$2qnBcPC6d`}~tC zXszn5&!F*sZjM!;g9Ya;c;?PAZCAsBZ%<iH-*E`|Zr1d)&Nbk_VUy@F7G87jPg>sA zg5cXSqdB_d+&A26=j@vAzv0+)HGzHLfrFcKtS;@Ey5LJQ%WE62fNzyeU(M#WXHLD} zn9wZZc+^}tr$oo`DZijjk(}dIeIcDPTgR{Rf;t}=9M3XB?wm*|=SiRW7Mc;>y|XP< z`L5RV>$t$04~|W3&xO{6C}-5j9@Mf|(Ex2?s8`U~*O$;6{-!Z=>KxY%*XFS-HD-A& z;~MZSrRnQAHI4tQO}y)%r(cTK8<eJ8QC;z;y2;gzB{bLHCwt>emaFCJ5lM=UXUm0j zwoX}4HZx)7Q<l>8Y7y^#vwVH881b;2g?GMUMCRhX26K)@3$K|p2XbVXWz~r*6K`2_ zbm=QbJbuigs;?ID+N<f3x!{^&amSl>2dn%A!6$x1zdQS}d$-`3gD*gr+|^lg7YkT+ zw#tOqgGMG+fiB-M6?>*o_;?A&yK77w@2@$2P6wUc8+Fu)@0R}t1NND`I!_iz-)1*Y z=PTL`TJ+ZlTJ)Ez^CY2yiMu%9mj<_`1Zbaq$i7ofe2cUrXEaBF&MT4!omW(!sK|a2 zbZuSsXOTQVzDuA*?dO_6n*hMa-QV2qS9`_reT7)j1pSQ$?9HHg`;Xe7-EVASMFIab zLF*GcT4h51pE)FR1T@<4HT-S&Y7_QO7H-Q7(A~95_&^I2LFfLR0bL+iZ3h}eG2*s- z0Xk#okUwZ!1LWpAJJ7X(OYJvKX#NPA-g!FpbK%q?fx8o1Emyn+ot4lITA*kH8bLXA zNM_5qWJUIu;DvNwL8l>uE=Ky!0J<n>BIu%^<4$~C7ylL%KT7~z=%exe@F5u&zPTa? z4~K%T4GgHg4%!ytm#E186%>4(pv5JhL6;(~0^Mo!eX{}k%&j_47VPe8wLEe5hJ3gt z``<{NGmeS+VnqgLK*LgtBTpRskpkLB$j5Ej5qId2%$0SiitHbwK?@UWC&SKIRJra` zd&M#Ph!fu>X?@Ve3g>*piYDA`Znb=&o1)16Q#o=*^T8moq5zxjR!a%pbVc^3Y}~~H zJ7>1aguG8p<V#u&+Cv&C_Do?Rb3t*@g*%}28)uUh+21;YZs$WkzxN#IP~>jVC6b|_ zs0W>xuxoa!Ob_UI>Zh4vMFPDtp!0#Yu8lb1Sfj^Xe8FNytBeZh@PM_GLCa?)xr;BX z+%%#2ji}BU$M<exMHBW<ZnczH13JkOa*y<nC!o!)W*a6ne-g`#+tz#nv|Pe>vjO`? zSJ2)}CGO%07R{|PCi+Q<d_ka<Db?VEhC$Z|f)ZPivslrE`16Nk_Wa?m3*H~cV!7_V z9=MK&oIm*C{#ITkAwgB1YXN~x8NE{#&m?O2N*)f7I+Y+YF+zKpl9ZsKkCb4pz`+g; zM;4|ImcX8?x1a|NPmIXsRT6p@;^KN}Z?^5tzZcehjXu9~b@lwuZ)>?{zp>K(bZP#r zYq$UWpYIVj(c_^g=y-yI-pd}&@f2y8TBeq<XF|f%$Lbg6{XAvP#IbMTi%%<+w-$4D zUFW>EW8Q)%SxsBtscY=*OPKqWCDr`i+o!xN{|#TOa-4eavEd+7Q`d2!oDwd_r<*y& zcD5{dwUwoNt<s8n$`MI#!G-aeMbAD5y9Y_X?aRD6&v(Nao2Ff#Ij32-fycSESa|i7 zB3?>09n<Cv+u6S0g;dkkd8!)w9?D6alZqCe;v=|btGwgOqb#p==0b~=>StFb-fLxf zx?btV0^fj-dQH7@iYxw@98}u;?Z}o@d7c|?#5BG7EugcpV?o-)gq6k|S?d)do_RIx z+Ag3|&hGfPoinVWhzWdToz0w$;>)%M%~|k~k7e~6U&tu8N5G$wCewW`0iU><w$?cW z?DF1l&Y2~3zCwh5b+X0N`yLw(eq#~+u5v@eJ>VxZOZOD$F1mGfg|9r`6g9oNuO9KJ ztZCMM^@vx6O}8fUEZce}jiq$EaztJ^<d)mIrncpRYre2H9h)w==8}>|mGr@^{mS4C zo&TXFvAX%)_Q%`rc?DF3H<j|KX+$eTybo#mG++J3gP^9a`^q<7gf*SouNv_ruxZtQ zwFsSU`fb5~by>XMI0bxmXj<y00KTu~Gpv%hw!H7jKJS2f(}P_56~X5-)eD;3tFZUU zo|?<i_0DBO)U*XZ3R$virYv}3$&wxG6!6QcsWeP*%HIBjy<1tH9%qrx+4BGNVODQG z#h9XxXD2H$NS{?+QNwUh$(v(UT}#5o%^a)hrzUi&Ii8&_pi`>v_|}x=w2gZ}`Qe{? zPTiT!@oG6o*p2xM9ws$Swez{~!>1{9ALNk48!H!u`^&EUJ7d9*yDZr}9A0+L8?LQk zHduQpT5yf=^aXGBvAot*()h2~#CuL*MTGK=7haHi7yO!5f$m+<Y<jgms^Ou|#-rfG zrnmEF-%90`JdSUbIj339T=4EC%jw#g3;v0+X#bh8AaQ!aQhOnjx~2rt(@9aT=IRk| z-?AM2t`zaOplOo2;F?f1jatToR@41KQzIPH=j^R~<?+4@a?NdFQ<t>Pku7GodKMH- zN|<QNu}aQu!;MastM-l?j{IaX-S4^KiZ9F7eC3E`{sG?&o1Xsj4yeD(Y?=EoSD0g3 z4r7bA+&in%B_$k=kC_f?$*XHbsYbk&W;vR#67l#si>kaz#OuJOOZq}<gsnL)YwgxO zShZVVihV~yvoMENT~ET|&m3Cya}y@Q?rUCGwyf`noWhEK=1r<`3M+ozYBpTE^qIhw zdz}e0TUko`l_S=<1pJq265Z!^;e%Dv)H=5dKkUH!SW9dVX05kmIjlKPA>y$uw3+#& zX{GX7RhFgxiV@Fsnl_0Gueq+G@jtkU_nqpBAL32D^ORTodF5=l)-_Eyq}Kdk(r3<9 z&pD?>xCMOP%;FvE67V~#DK!jw^YER$)vr82+gax;MkrQEEW28u-8A){>xGK=rqDjM z6OJXC+{FREdAKb*K-IFzKG1G5&{RUHI=7{VJ!m02Xg=cROv{|RGLQ@9Kx+=0&n)4P z>tPkJlVCr(c$wX+gc2ieOAXLmK*t);ZnAt(K^zUbkl?z`8OKM7Vnr8ZHX5+6lx%;j z^>o2r(DJ#Pjjb{z-;+RR+<}I&Jwfe+U{Gbj$Xy(ewP8Z@N6>A3Ps2e~7?(oL4!%YF zzO`2z-_F;0qOeZ6VCu64AKAo;Cfu3VYMJr&pcCIEOVA{S61U}vx=F2;FXn=dRJsqk zYX@|1&m(=%eS-5_Wv2W;cu2-Y$^Fr@xL-T3i-g4c8?bMD8*#$1)*RHXc>!8C4{mLo z{SLD2m5Er<1<;j8GeOf5-`zl08F7mhU8o10o&RTUs|*k5070+y)?L%zChP&-?CS~I zZ~?mU@9kdDCPFRH=1kC~1aY9I0_eWJm(idLcbMVc+H`njztxMqpk0r%bq;|J8wS;6 zpj#CsKsEEz$B{FdPZWt21=vn+wd}YWdBX8;6=))3R;!H3`}9P<AkenaYS3*DH-BW@ znIlsotaHXO&mB~~9XKQ-(g$j5fUC@eic?qIWv842t=#}s&8N2NJW<#Mn#cMIs)cny zTSMlx%BYw}^{h5wUwadD@IXK8;DO|b8O`^M#EK@Y-(bMr4BEE&QA+Gt!j?&`GE+cD zDJW%wnopwOyO!q9{k5X`Mq6dfQkg5;(-QfFG(pQD`dcj_*ZG2u7=Gp>R&-(SgjUNF zv7o&cpafSS&TT1Scj%DJlzAW*&OH9$*%`-FZ?PhQxuBgP-#~{WfDVjWYyrPF;!_Xk zPJ*?d!x%v=$#c4(TZ}*xZq+kdWuDAWN#tWQp7ucN=>iGRO^wzYCNxj4KbZe&{eMPj zeeHfwZC?x9vv^cxtDm~smA={MmTYlJ7n(L{qF;B4MPFgTv%2Gjb1av5su$l@*}CMM zpO?4#Gd10%n}z32n{@K7%FIids*#hP*+t%eUuyf^uKv%tQrmUUpI86A_x}9z^YNAE zoy~jpd|JQl>6-t4#ZPJrX@VCvuKC^-`Q(77NW-e*LOR=e7W_KO;=M*?MWyh;pxCBc z{DL~4pRnAL_Ng;u75JAFEBo!01!F{BaMQ2b!fQ5IJ06xf=mk1B#cRW<Ru<QAfi-2! zjvu$OWZQTIeBx}{s`S}l&Lv|`(DZ+qc@wA;XWy03tO_n$HUx{FpKoQfYtn+E?u3cA zIak>^Y`F25<!ZcAMAET`GW&PR9t_$obmiXsgqci^caO51p6ed)pQ}l9oodF8wuGg> zIi&bG!zvS@z3Yq|yJcH%nXw#wF0f{!yyMBAEZMqB8hhs?%(Zg78Ori{t(rzX|3S9b z99nkn8!oE3Cs<5P7u4Cr?RZt^VA5xfRr8%T9N7tW*N!L8rZ4YXvQBYDt@c5qa^Wkr zJqbGv9sl-n&$z~wubi<%_@I}(Vnz+eK`nJb5!?2Jt@?r@`9f<xCO1tg7hLnzz3I|< zfi;^iPF&9?t`o`F;(ad9RFi2#To>dt!5Ir4ak5Cy^$qyh&C(s?8}QYgrTeaDK&``u zBbQlB&275EXWnXB@U4&K^c&ZJ?+Q&%`;=GIiyh?J3SLcjV`W>oudL?Z2@5{TvaJ5o zxZp)F%j&&pjLSl6iknRDc?K9cZ@AXTvQ%7X&E}p3Z&X=cuT|0b&)meji$%2Ff5XMe zEK}cR@T5;X3vB?b+n4;xBZt4~)qI7BM-ol5Qk$0QDXrMslrU48^VK@lh<D{IU*{`C zB<@W%SledI8RjKqa<4aGYQL~Ztiy&|FIkT2D?~hg4Gp&^UxVGFwhK>rCk)<%Kb`a1 z>_VO6=l9P|XpZFs*Hui8PcL(btrQCRD|ImGx?sqE$%8`Qg|Gb4J{Y7gbfr)CNWrw5 zu$6xA=B!lS*)McuzW;_pD_MA_3$OVS+H`C+N7zdNk-gIsw(b`Ysh^k7dtKac?WAbo zHCwqIU)FM7o9P~~&1J*Aoh(oHJ8d`!y43)5z|SN9<$XQx)K>gSZ*rZdy5iTa#|CSU z3b9<h@4exO9g8WyYQ(FQrd!Vibc!t<@2VXvvR7PDFMg1#UMb@T&q1&CwsMCv_lO?U zdOs7|)ZF#`%EYL73x0I6WY@GUc#_JJ9qSwLORTB%or=b9je}MG!c+R^HDu;)V0AoN zE}XMP-0`VD^nhVuoia_wula&H7w17XA)42lma2T^aD2O*W7^FJE<UT@J!3h&w|hZd zTSBY9kV%ZkhD$S9di50}p3Y`*%~y+fJDugIyjn!EOF+@z$p&+_nkj4SWj<(C?E`I_ z*e1O4crVfPDP16^K+y3h+d(&Tp^$&92bK5*L;kWKOtO-^yy{<Clj=706+ao9mKL#W z6&KLiJY~T<R>%bmUs<&OfX1wxIi}mZ@t4*7J9ojy(=4m^ycFq^pX<Bf)^E<P^QsY# zJ(?zYb6i^`G-cnUgt@OdPTh0caPKe6)BkQ84tBGM-j6s`V0LTTf}&Xo6aT{6!E%PB zD%)m&&SiS0P{_({nNc^t)zV{aq9XfS(30{q6Ix|T=BFp}73D{?sGfGL&juZ-V+T4? z2fTI;bkx5pXnx*OtVm!jXny`ZXcs@|;F^!OJq7nTaVylc@=baTx-0&x4!5O8ev#I? zOEM)wI!_dKgLcs0Zf})&!k?VT#|F9s=Mm_5n~P6DM-+ihJ1)}Zwp{TIH1`c!)$mUq zRIhzZx-&<{1hh+XNm-I2`&-blLT41=)#WaA&?vyVLr#3Zrh|6qy$9Xv3)&X=(j2r+ zUW2<h;3sIaTV9FOx=S)wwxuYte*|4@_d|f&(nAh(I6Y{4;!E(@!ctIw9aQ&RPg7+7 z3A#S8*&S4kfky!}{$;;f%;zSp13D4tC&-2rchCt53f#p4peyRGfHvYk0<HA>!^B-Y zVOJMuqde%~X3$3YcZWe6H0Og3)%o{whh=d<$L0ym5BYS?IA;2Tj&W!J&C$cJT2R=P z1G<&~wC3Ok=+LD?VQx#0GSG2K@N0c92E{CuNik1N<f{T5==0~D&Y6P>ps@w_%?9j? zm+CxOP@~9QED#C0X$f@A-$u}=!9NA=;t3T=@Y9IXmVj#Zl{0moEU=y3YI)+#F(=S& zlNrr-0zocqX|>dtbKZ%sEqs#!`%*E`t-i+&$%KG*e_jNw{J7<<^F+b!G0(lV&Bw|> zTOPH!i!a=o-zu|&8?@ZzBdF~J-gEe-5_AN`?xt3mC+kxa`Pe|KFFx9dJxeeF?RON9 zn9=N!13KYo)osvON|riL7VMMfE}k$0bTJTUW#pA2P^$|x_5oVJv3pjl<%zq8o%nu% zb~zp@1|<dX;ZQv0mcrqgd|sPDhYY8SJzFp-RgwK-rOugytLq<_e|@}v_kx<KD7Ady zvdKCxO+4LB-Pz`MYRMMAJhi2YH#IX==bpUlwFz9?Tl5v4OUz5Uw6ZivE%BX^=GtJZ z;7gNcU-Z;|*|TJ-%G{rI>tFBu{`2u1(1pO~zn6om`9I}Z>hsK$KYdzXk#+n3f5DU5 zLM!(ssdl@VRosN`Ur=RH4HsNfs_yvmH|MoT?|?F&4foFceEmP~V1H<xbQ5oyaLAqh zgvC1{XA7U<++tprdW+$Zd~~c`sme8#6?N7Jg|a!a>>M{-`OLD_+*0;(R9be^F3qN= z-xOEWTO8y%E(AV~|0qjuxX_v+ZO4nhIl`m`MC$tzdL_B9@k8zpJGoZPmEqd0-UVM| zno9YUHL7_IR(<9?b)Ca($Fv2HbXla=s;&5=aZu^JP{`dEJaMTx-c7G|3+PN#Tv4fU zFz7Q!)_kuGXD)#ktRM8dx;O2W$2+yAU$IT1a%!M%tm^87sNQtJHJgMTFYe_Gv!1cw z$3>RxDV$z<ir@>~%!Sqz>pR|T=eSlqYr!A3bmSx9)t2}5d{bKSC$P!2Pie(3(Wawf z!Xf{q4hoqIT=`>oFsQcamcO9RXCcSCXU{+Sv2(lNmHU$uTKfe|bOhFXNo_jT%@MXU z3EC`q@io{z%U((2pTa?@dNqxoN(ZAhw$2E9`IhtA&E^Gf8d+Y0?z#15k)Gom@X@HL zcb)QzJBkrc7$JRF)}~kMEu{}fChZ1S{xL<LV}sp;*afcGH6_dxa=hEhayr&4pkDMK zm%U0xh5bRVdX)?twTP!zpC?4Q3bU-<J9)v6e=ONGO$(lIvSiQo1W#m~1qa&0Nt?@k zWM8gT)cDWd#5+%Y#gA3RhHHDbsjc|K*W~(5b;T_8h&L8ZuhIo{HufxdX3jZnSMP#v zwJfJ?`~tpPHa%U`Z7}CowBVW#-ylx=RB-Of#7oCHrR1GAoO;RPs;>m@#NLLS%9PBK zZR;IS^;m1!)^pQXQp;5%^qm9#C9_DMQC?9Yb<oY56WoWL4XY{s<-GEEBiQsxxk=T` zhX3-apKMJ_%hXovH9u(7E^x(mR>IEpLRaFQHaImec-YIL{ddZOkIpQs|MV_cA(m{h zdhfgiKl)g*_mo2hbLO1!mCclO1z(&fCOl<tSHfOtj#K|z5}NHCkM0-B`6A@_^!nWM zAC;{ILTdRBCb0{K{O36+q%M4AjgU^Ms^i<&oYQuuLnHKEbFh0+z2b`hN(Z^t$2Mh} z-kF!M)Wq>IGiTUO6Y%-M*3%dKc*>GpGkw96yDZtZ4gpmj8_sdFq?+$$I2@U8-Sp|b z+KmSdkWef77#!^GrrLBWU05ebeZ?=?rlaqaR{Ya$Qk|y)K9b2Swkz|hpMcJ0Ysb5P zIj8+rar`UH5oXc7;2~Gj)P24ee(*JgRzc7Bcfal@yYlbk1wYPkL-#M39gO-dG-aOm zhI551soE^Bb(J;#>o)P`DX;k9-P9|mzTyvmldIXvM2pb-E*m1c7W`u8oMr*7`|tcY zbY<cdrlwosf;yWgEy$Y<K9Q-uC!uw}u*sbtN<P_3&4o<vO--1}FCb#uldx6E@#9Yx z@Db)wO<VKSH1^I);Ir!Y=}LIbrEq6g^BZGOL45l|l8y)8r$Vu32^);LEj9MdZ?%+= zJ><l93RLkcE#EYu`4#9k_BWtYXa6&D7f*PxX+ra}_n?($pnC(a8Qqy<dE!ixBKuug z&}}=vBThKlA3r1`VrLHPiXHqgWo5sW2B_10478na>U&T(crR%8LOJN%0np7r9XiKD zPdOHGi#=Pgl^L{4{^%i@5<bw0y`aN{_fC#H;rP!+>{&tq=urA?5i^=E+Jf2%prd6! zU4hpvuj)aU-fsKJ6}PSV7pN<JWFF{pzC^KS3ZV&#>~AMW%xFHN1nOOawiDKZZt{Jf zmdM8i+Qo2K5i|wC&uuA@U&fhtP9_Aj+i(%+u;s1%pjHiNedXhBc>SVs9&}w`*xXi` zCF_$D`K&-!*t}T}T6;KI=ZV7qd{B74T)M-{QX}v5A(@^s(4e^vXu_naRVD<qx;_cC zKL0Oh6XwP)P;VV{*Ai&o!#B_k0LJrRlK>ayMuLv)zhkEJWP$zcR!faH$DR1vK<5Bo z`l$0HAto`APwRB#3CArb56N5sT~GAU6m*CZCuq$nX!IkuND?$%5)IlBAi`~V;@#mx zGG9P*9Y2#nqx#?(6mHN6N*Cz7rh^%v@CCK6T;3%svR`Eco%qz(Dsv@0rVlh*0veJp z1`URowztY`0kzzWj&GRI{H{jqnL>E7BKzNL(6GKP=mNo6t(F?Lr=0kXod+#B?Ohj9 zUkshs5V%_eK1m^0T&zd{B-`r@y2lx`djWKk!jkEm4A|#_ng!oLCn;P9ojcGDaw}+E z<QDCp{TB{qh;dtLygzbC#szem*45_78O=8?>O5Hx2O3cDhP2WiM4oc|{Ay+Yy8oat z{J;ES&yT5t>if(svmti~2`=&hT@=J}M9Rg)=!U`~!N4HF4h<o;Y!~pQfErE$8#gMk zZ8Y=A^^$bboDkF&z}0e4N>DZ8XoPE%5z7W45yP&I8$b4eM)3=FU(2^uFMnHmZtwiO z;)|-%u5mxb{d50AjvPGuKl-H7M9K9tmWKH(Vz?6LyWvb!)2`PX(`FaG{FN_K=T`6C zBsz(O_q$TWONFLm?t*KIxEwE5vaF8v3aGI>DD_=v${XP|UwIr~PUpOKGwI>4%-hm_ zVJjF8ecWuU?#giO_T&YB7+Iu6IJD-uYyj<U6c^ShvUR+=n^Ua1W5KUr7VkYS0mj=n z%ClF>a%P=Zib$((0v}P-xZs~9i*^k7vOgB<s|7c&OblvY@KLHMbe@XFFQtQ7vrP@w zKC%{Avyt8L<V}`rS<ir7E*s9>WJwhlShIb~f<Lk>(l#Ce9}Am$kFiWOkL-D<GYNKP z&92*5Cf=xHxyr8|@u;F{R=S{$>HGy{lM`l!g03cyc<0aZHC`d&q3QI*DBkU=5ijqu z^nO>3Q1uV^V$pQ<oQg&r<3Xu#*tEa)^1dx~egVG%n@Z=YYe>g7Wv<=M>-e)=FlPh5 z<57P>@JwvJfKHK}<JHrgV%fsrj%>dGxFaha*Z1(sy;%t}W1)TQcWKM|p4fQ?{Fi7F z-RF1VgH6*^J>`rV;e%TCsv5rx4rVDgJ(7-T%v}4Ct!a|A@S3l6O_$h(*A$yL-n`6l z&3gKRn&yONQD`6gk^i#3o@+uO|F{n-?Gn1Y>Q`0M(K4kK|LmGni&#wU-8WoW$+Gpi zpw8wA3*HqqJ+1Qz*zdpL(0op*eLfp5y<Ycd%j20WtHCuR=n$e8jlu5V+ir~xN`*E} znl7-W)YkE3HOIBejs<Tnv%KEx67X-UuTOTfET`5oPP1F{7ko<R5VM>JK9^~C$AVv5 zS-kI<LN5Eu`<L~~Bg-S;w`)_X*?y_Zt3bCXzE@mfKRcn-U)V%P5PUilH%HjcwgoTd zvaJ3)34G(kpUDfJEb}&;vsH*?X}Nkt`hHmR#N9{srL2Fzzu7F(XVh0zm>zUn&7t+3 zL#(oA!7F<XvD-hSd{+OO%?Z9f5p>;c&w_7-ET=;_Ud1_VxD(3qHC$-Thi*tU`{~2Q zmC8%^3!C)m9o`aFDeQPr<Y1P(l181zL8*8ZjY_tIQO|{^>}yJxYvy?KH|MqLmIZ(A zbC})u5XH0nku;~-t=0vf*g3^4Q=pB2EYO5b33x&$iPQ1yY|d%B`WJjV%mSX+Xnt+5 z_UR+==}ZrqS$O%?B3^Q{^!h7DJgsJN6&GGprtJ7p@1WHF*^utP`uw?8mo9ys5%zK| z$F-ZC3*OvgdHs~*RQ<e!=3q{(y6FjvTUn;&D@3H2I$pgmq_eGWLDiDhhdLWo9nWqT zgzS&uoMxE?b=kX<!R|%(6<5@A9^}$(YWps*=8JUGF@NDTpX8esMe98Ov30u;<a8#% zDLMjcN|hWzo04K&0=`)_eSN2-@jttXw+)um_Al@2G2730dDS1WCf78<kiQxSlZqkJ zImH}V*Epv|xdr_8Yf8N)aHX~>VQ0PIlLh-<a`|NQae?}U58vsWanwBR#CHsIW#82G zK3xyAL>(%o@-0gDuU*l6s~<Gd3)<SaV{WUAiah8DzW$99n!n6wwS19Nqr5JNZ_{ni z@%gc!%2-+F%)ti+VnqRejJS&pR)MBk`6FjEdrWSX2?1?wOaiSz|0NGP&5#>jX_~~> z3a`5)vt(PUBKunr?&1kIr?<+K@TVs76~!khvi}903v`G-;>5uZTw>1_lxTx`ndcA5 zgs|y6QTPQq7wGnjv^#TTj%-g%<db@zqR4)-7PPD$bU}Ts4tMbd%UbvmMBB{1F665M zU8(aAw0W>Vp4(F6Ut_DKgza%BzEkUWv4&^zDMfFd(EQ38bhuqxtIQSqgNI~P`asta ztOrd;fR4GV23=9Vv$IvEWH+eK{$1zEg8!iFg*SZrHJe**9e6U}@LDy{hRrGWK*J%` zn+@2fg0>{QxTtf+aqSr=zDJ-loO@kCUE-!z%Z#;YitH~zw*#ev4mCWtW2$`%Ulr)W zqnb&rmJ)A{I`MU}Z!}<E3_2$NrwHie{Cu#VSk7N^{(5O8f2lz9IVZkX-$7Rj+HqTE z*d0D3vm`D}k^QZ1*r!dhDQTM~G`|C%0L36ybOCg8aO-5yIYoNh#R0o!w952=S}R)R zpca@js0F48+CK_9D)30&<NP}ehuU8&?0o#hBVb1JH675!P@p3NLAwxc3WEmuEp?tK z)GKlq8-TVSbjgAS`9Wt?%}+_>Q_=@D71LJ#aDJh%jhWkW#d}b2rfr_k{0!7|T6M&U z?^Zgf+s-;!=})h;1L&Zm-6gM_WuLrDP-Oqh4r(|&25rksOXTyKeW!2rl;)3RI%gbH z&BclY?)JA@t^nOH{OI^*1NPp{5homrK>HT5Hce>$q9yih!Dj|;%ZUH%b;0rfxb-6> z>OeJp^$qA=#p^0(RX#Vo6gtZjtu{;Ync}9YGg7zs7@qcsPMS3_^{`D~+M=0(X_Iaq zGM*{9O(*Kr2ZPkhmNt)VHio%9W6YI|TxRy?@4DA#|Ni-C1KO*2|9<J+@9(+mZEu=h zUoT$ybosWcX8->SpVSsQxi3q#+a+yJ(zWV3A=U+dewnYa7H!~7^Iu^5`l>P`OKX?4 zzpUh0)fIEpBc4b!ty(UmQ>N$m^)%<S2%msr)`LN-IkVQQMyTy#xx8w(>cJvw!7KOM z6Iz=&q~u&TTsq0po6WL1#;Osr3~P&R>5?*Q$B)N3u2u9cc(R6X+16`fEKAE(BGjD& zzBx2~?NiaHS31b{Tp(o6jD*G6oLc(I5l;l0Rw*|fO}p3eFy#K6gig?Hq@eNGXZM2L zL7n*X>JiUans%iN>s(h@vA-js^)`ppI;Du0nN7!x^A2qZyE$dS3qF?BZ#@HS+&3H( zgj{+m<_Nl&JO*^~9hX8(QQ6BY6XWJD_%oSBdXG!M$6oW|KNr8TOug^D;RGW~sJ;7! z8y{J&u4eI$@d)^QoyGgEPr&cGrqp=~D}E~-EXv=(dU)l2*@Ij!p*2Op|CP#1&kLE@ z^(9PwFCenFAz^F0pveA-3BA>vUVNO_e(^iL?46br^*YBp05qlXO<Ci=WD~C!i|Bse z4Htj1Os)6aaAFd)4qILBFWU(^S@=GScTR=&vQT5k4OiB&Z2hhZJ6ZU<Zqu*lf@?l- zH?>_CTysSkd?Qo6pvc_^W<2Rz&4oqow<h%R!`db7KC+pz&H=leHk{kZlDb|YBHz&Q zr!}Wpgm1vd`7F||yJv(wUCSZnDH!sX;b0QGaL9k9gF?#%uKZy<7&Kk*N}jMz@o^T& zR`t8bm-RjQ=NM3b&B!Oa)m+fz&cuYJ--S)=CL~N%0{3Ho+c|#p=D7Al*74+1mTX(6 zfM1bKrD=M{3r-#5yf)i8;9o6^^ciR+CcfXGG^K>e@#%X(ogzVSMRiSe#Xo_ALgxjp zTzNa=TF`94EB6``X71&9^^9ZMZyU$ItSs6!(-%B+YMNT-e4#?~pccrNo0vGJ%hYDQ z^3W|}Ulv*;++=#sEue_MX)B+CMy<s`tK-5`Y$qq|<>oxKox^O$%mt5_S)^?p1Af-C zbm#18x*T@jYr~CqELZKFHn@N$hMYO3-}&?E%ET*@EL-&zBA#V6?J^hADVKNrt9X#> zziP&gi*sjO^U7Du*du*VOI}T*O5$KvyrM>()j_G!rb*#~Yqqv5_+rcQ8g$pyNl;q* z*1A%8FK>8K)YI*XHxj~|x+0r;`BYc@No{iVQ(o~ax#{RS704}If>-_+9}G%vx+VR^ zU{2~bwH3R$4;INQt*GZX$Q2L0x0zo%*xk!sDWit<pjN$##;!>TTkQoQlN$G0GpEk+ z-4NEb;7bg6okz9F!K&o~Q~oO+WScG+@`w4LTe(1poPf?I*mTLOs`BKh?p)7+zjIl< z-#7<+p3LGc>l*M|r71OwrBq)r;@x-fC7mBM91o{+hE<)lmGFCPaZu~Mg2pVxh_}Kl zNBdPG9!o>({wJ2f?or}`Q}#_un5*Y_vz-%kvhbd}-I=)?Bpr`#7d~?^Lm#x#&4RmF zVCH!zzE!E9^KZ>Srv=Vwwe-+QQe;01>JeYj5-Yk;*VSry;>?cxyVacU+L<`sw>Q62 zo2=}Z$XDbkR&?QhGw6!Go>mzie$cIV@#%`}kBuW|G@m*TI^9l6?Ad}c(6RKOi+YdU z2i=hingiJS@$V0TQ;t>Dpo?8W_tV!+X|;SI8gat$UpA<z&;vRNfLme5w4#7NFRwVu z_N)VKlAj$hqxr;N(4Ik1r}CQ;x8;iCatA;?&9|UKW^HxOIA+z06<x6FX|+5dbKHq< z7ieqy&iSB40imGt?G8KfwS5OIb=$vbLi0z^Q1jETwRMl#1ma@YFG5aIxN`5T6W=4y zNeaFGn<q4<fGWGW$DH^sJ>P7=K36;PgyT0h&{YKDpk;Zjt(Fo!ktZBKmhyjcevz=n zl-p8ce|M{8$D7kme5>YzwhJELG@<zyXjR~m%E?N9j`4#0bRh?{NB<RQKqT(uA(@nG zpiVJpd0^h((2A)=7v`S@9WI=v$bJ}fT7l+yP#X-?QV5Pb;rJy66rz@(dvZYw9YM>{ zicCRg@SS$zyL7Sr!?QDv>8C-V2fmW%=G<j+uNG|Q=e9f{f8>x1PhXNE`_ajfGnxZ? zTV<x`rzY|#<%$&rfR60zus!C)_v-vc1NP2H{>P!G9E-Wdo+)fq=C;g82MvTY>O5Ic zt-@VA!FnQSXJcX_AD6g)*8|9%L<W1xUM=MFIt{vsAW`hu0;42F_KP$7AB4_ozV!?= z)ZgA}nepbV6W^rIpe9%iXlG+1XlLVDC%#R*V$T+A?`V~I0%{4efeyL&m<bx;e;l~S z(o!S;)FBy{vKg?OqXO<0zgozbWGPk@U=KQnQ71u>{VeFz%qvb}MHlw9wOXFgNmXRO z3tEv`JqdIzV^SjDwZF~xudJWW*zq^j0;R6cowV(U$zq#_n_OplZsRfSKEr%k$H@Ck zh>5pP^cJIyKADvVj27o~rn$}ROg{s<J1{b3Sw+v8NtSah<{mb_J?FIN{`;kSch0Y$ z_qr<f{rmj$we|b!*KN4jEbSKeZ)NV*yO4W<4?*q;obG$ra<T@4_FK0L74=P_eF_>? zHV3mpn;wY^tSS7;a?4n~-ksy%{e@4?2D?Xn7n~C3x8Yn=)27*caW@@41isGo53uvy zaIlU=lwbWu2BYKC%ba4C^B26D%F=z;CE%}IlWH4_>2(2}ttW+emVe7>N-cxTcfN7H zTN&(L)Gl;IUvN!<mgC`K&aho`7JTewS$)SfphWUu)@qKf^Hm&|qm&&3iuAyPj&>~x zbAPg=iVLmT-mw65wy+L#x>LM5*c~+9yWe5MiDTY|YggSC(Aj1TIbwLmf@g&+-k}^> z^PM-GQDrI3SBQ95*7VDpBdnrtLE@K0p7f<_Ii%jZAYJk+2fCt$wds+%@S2azO_Rih z*IZT8sFglw#V<6awk=`r%>IO^r}tep9PDEewfER?v5{qJH%s>%mw>OoS-N9gz$?e^ zfZ8~UE#7(m(qDPJ(rmgVt#f$Gv`*nGcN-IS@(WzCZ%Al8FKl8nF=6R@L6bazHJ_xL z7R5GQ{pTA{qk2%PO60KSPS%4_`N|r5*$-OngjW8W-F;<W&UFa*x0^*e#v|b4br$Kn zP60pTS-RIKtdNpSit5hw3;4U1#rut4z~{v*-m;znzbl$j&#A1aW;|G=+w{v^c+H3N zux826D-$nyv-FzR_B;;1=M+#9-gMQ@DPWJohGXFzUh^C`T>HtgbiGo<bG4>T--Xtg zt7!aJI><I#=XinEzL^P&V>z_yXCzEK3>}eJS6BJU<BdtvtI{UbbqXtfx`U4w-rJKf z^D0Yex@}*k?si$nzdKp9Q#hsMoHksV&LO3*0=^PSUpe9}Kg-egDiMz#LY!7qWK+6i z>qp@kVJ~wzuic!v;Ef&2YgwOwf88w7Askx&IuaIpb7=jamoU+qW0jrDh8v$*uEy_W zIvkml-84(HY3Vnm6}18fjgG_Ch}l-Y@_5(I@>O3AynsAkIU>{H!Vk%&P_r7L%UZis z4`#hr(b%VcP-?!4MkUX|D0RUp>jc*n3p(C>&3SG2)CGU)In63S-C<{r=`l4|y?nA4 zTR1)~7toocxZ)RQ)6qV)75_?`RL`lc_*vYvbe-~wyQ&e-7@Kx!b57eV*cM#R-6VR? z??M4T<S-_UrqENc;q~*gtb%?^I)2RNy!M0J@#JsLYf+8?RmXy7T(g=jJjGXN&30?Y zpZ$V41uBk5*9+#9s5w5JFQik%>v+{(Sm&DhihmXdg<h)(=vcNCoIHEo_Uy;tVvel$ zUK`H*V=3ikIbAz-!9PhB?LRFG9)_}L|Lt7xaWBhi8@GTG-Gf;h(+%c4x-GosV_egu z--2sOB^+O}vb>&S25p;v+p|)6uQtc2at^Z{vll#C44FN<l@48&#}8iNQs0))DFoS> zqUZSbG{>}^6T#PS**XQ(`)oLrJ+C@-N5V<P7H_#cYfYvNmv(bXy?5SlYB^_-z})kP zWUlNx>csa5G$rab8MZzee7rEI3tu^}RVKx}YF_>s$NX%uq6zil+{Ferx?5#LK7$s; zM}TgvX$9R{W31WazMP@S{Mo`^t3h}9-aX*N_v%0Bnp{xd`7>xyw`eV6xF-8sUC>o> zemYMU>{bV@jThmzd?A1Mkc`Ma&?yL@Ljt~dgRTRb*J`-}wD`>hG=Pu=s&RjuG0ZzB zV{#9%Hmm6P%6_Yi)QA&~zZ^gd^GrYs^FYhZ=bi<f@1Ky!$98?=gyu*0K?D8FI!_kZ zBqj1GNv}B+ddl%Dug)3A9CopyfO>n-I_`#6857Wi!xGRH^=~(W7Mv%8d(18T*B!s# zS_!($cWSH57tnGzF3_0;4|}fe@G1_dvgNjvPzN<@KvNbb#}CO|u>-B&SKna3-V0hd z@C0;2&}%8s%{8s9mM^}58Va1Cimk6z=81Xq;oYSMGe8&WWHW+nD%`%Z-%8@`c_+S8 zpwX3ypi7&!o;oCRL@z;+{VV7&pd)8>o-C*Y&1FY{4*mg+l%H9q|48fUg5976dfuBS zH2<puEp#jpD>8@yo%iGiYI%XG*HcqLLnx4ij^?1Hhi~P?iUj0Aw=$Z71{O|6#VobV zI13tD0B!X@XCqcL!4}m1nhI)vg@EQw^tdfG;?5nCK{{3#bU0D$(L*vv()PB@p4?mo zs(jDDR|GED`TmN#>=HiEVvwJpYljuNEnk2RNGh5R+PVQcP4PmqBKu*`ioKVtVnqh` zGGy0Xk_p)cy3BWO<c#K9_dshL4M6Mn`&wmGv_W@G%YZ@?bd1Cu15N0;$_mxLuei&8 z0bPFarx>(wL8^1z|NG?+?>}6yLzKJN;8tg=%$on=pj(4FpRacWRrb)Wc3<`{Y}u$e zMd;Lp5Xq(v7Z;vuw+uQQ*^*s3l%%ws7>=Gu2wrWv$U`gGVd8?0i(M`*Jw2cS|07X` z9Ue1OIx^O1tkiH5*&uW-^7YAm@$aJcf8CRQckli0k9FlmI^E3v90}(B_g{NbxJppn zO)s&|GdB{%EYpNo7rfGB>0Ya{qR#N3P`Kcg9LRx0$t<UBoCC_A^-TZw>jD4fJ2p+f zss+~+9ALR+951KW@IYEh&a`w%h)Twuri7{899`?wBHpGoJ<8^|RxxG4ld7hzW<twC zt^78eo5zwWF1%)Y%Yr|hEYdpaD=HWdy74xhG8fXh1YK9Z^Vf$f6K`B*xtcoTnou!k z);q@ySCpD=SvIBmDXiGtld$tM$E$eH4Trw6@V-}yc<I=5%wKSg&`plRnpW)zTkmpq z>8nIMKEcTXULjue^2)?(kt|EY1=kd_I^GmJSXC}GrM@Adxs*jTUg1W@w_`H<_h=tf z`Yjw{J11cyljB!oj%gOt7d)HJIc-<pf^V`cr|;N9OTBW7QkC!VO}{p$B}HlPnY7?x z9gFtf-US~&vaGI|vfza!%j&C~UGd%<j`eeT-E-e?O`g-s-hIQlX|oe7z!M7kjz4t{ zvK{w@98=#dKhw&{YW9Lp(>cU;wk>$o&mp#Z`hs8b9MdF(uiUZe%G5QTzu=o4%V`;> zfbS7aPwjjH_B(AjB+e-n=eyw&Kc^JvZrk_J32g9L@Y`N4n-TVLF2^+w!6`N~64oYj zc+L0PaL%h~({zC~<)V&1<ppv!Ok41%Az^VkhgSY3$+pcW_*g>Yoj2TQWVw3Zb;FU9 z(B9ZH^<{lavRJl;3xjXCHDx*dw{O9}swPphdoq`^B-A5bUS;VO7hdzpuW6CG;F@oR zO^?Kd)_g2&nq)4x=Bld3Uyg%T{;;*{TXhZAf>vVIiyma_=Fr;LoUmA!Lu)#uDR`Yj zY<J^=U*|cdRdg(P7SB0t)<)H~;A*~uMxIT(xH+d)TRZ+;%A#G91DRU4i7_o*0$P@* z+Z0;o6|lvB!>xFZuKgYxj$Ml`{PQG_CHt*^z%P!bQZ5$ol|V5~pW+p7Jg{o&vRAzE zqOR#wy;{VRpU?)=>Wz^zt|jdj2&rd2DAX=^rAGf?&~o7`cI^o>PjkMC^WG5CzTjUi zi}s(+1rLi^v~xud7l3cb{L13WuNv{zmgT57OLk2f#AS2#<h=5DE!A{syD)gAhdSpq zY2hjNlgyTFJy_G!wO;wg3xTFn@6{rnm^7`LuNLtpsOgn&lWLywil5p|OXn%9_^Wf! zXm;-3O9clffo4kH`At@0IK6k;f`12Dw4ZQF&GXuDX*q{fywiqL+c~=Kd2P6*&)H?~ zx8ayQhnL&-hoRfb6g2jlAGBf@nqoUEVee$lQ}vS)njsfRy$Ly*?<Xq>Y7L6BblbQE ze0|T-eRfWBX6XHS37va6veG!FS#>S==E-t;jlzm*#e+rn6;{*>ALO!E&-lS{&`Y`L z*f!m^&8Ny>EtBtatb(eI9Y3nFWY<hs@T90|>p69ey9yD{*_t+$3$OVu;P`XDV2(ku z-m=ib=%(IDEK~2hZ1Ct?@QR%SeCOC_7Vj9#MsVfN*CjCP{`_BU%~xV|o-DWvy1B+5 zbU!X=g<0P0w>whU|K5$5(R@f6biWP<=$xNA&>}L>T|gnA-X&-x{hO^=kpO6uRK}la zpqmIb8nCZ@9(lsCs!YZ_ozH4F=s10?h7WVCPApq)_i9181h?f0@CAZ%K)3SE2i?jy zee;CoPvxNH=CYvfy0q9c1+x@I_OJgpfV!8rA8S2b@RJMFy_Dy+%#a72C=6OkcNcV` z@NXS%%NOy-KuraBeR=T1H_)1Zzq49pdO)McTL04&*)Qrof2eiVQ5SU1|8G#`wtdrt z<|l=qio*odznl#^B=D3I-=_O%iF{StH%@4-=?872JLtsM^*<?*&u#YGhS`&wKY^~_ zNtqAd8+dg)XnWfmP@Ct!0C(|(6&ofrKLaf^yK)tDprJPC=$!UenG$)>O2_{xitK-1 z^nqFkHzu~q@O%diM}yAr{RkS+e<~tYBoGUlY6qS1_i=T^jAplL_!7D&pw>nPsMmc7 zG_5^%ru9RurwZ1fb@FeII`MsC2Q7~W4eW0Ooxv9dnlk|{T2BGp>2MFUF%dLu@N2Qo z8OJ1BZp)0kEyj80WR^SvT{R49az)y}>dXbZQ(i6RD^dql#Gq5B3K+R9CCos_{P*fS zNvJXBE)J-i(JJFIKP{0jYgYg2Da}7XgA5zlxh*|FRd|#oC^$jgb)95I_M4z4#=WVa zp?zEKVuKyoa1T9d4wf%9sOoB!nWD@Iz6~f*k^L&@gryr!pziu4(8y9Mth){xS=!gs zYI$Ny#0kgmexU6T4BVD4KobsJ`k<AVZ&>$4voDQ}JaNzhbdVw6eAprf0bNiGz2y;; z{<r!3|3CbVj4L@o6@B%OS&;jHm#$cwI1O|Ypq~w2sh<ts^{5pyQ{)UbpU^Qh{uq!n z>*A%hNVn6>vm`UK*IZk4=7@2c+DyqbZ6jkFx3tcgZrhBcZO@nP-TD1^S?v1Pe{1XZ z|Nd9^Kjv-E&5I{a*FRc$^Z#$qj{2bAkRA1@!8JcsxDH5#3r&gf-f&HpW$AUnHO49$ zyXPhBoq0R&$K59R)qiA~c*TT5Y-S`Ze$1gY-)+MQR+iA$EZu7r!Rzt(eHQHfn3Am6 zvcKx{$(710#W}Oi&uGrnHJ-iT9aGcOO)OvgRU;mTG_{@P2;0@O;A2)(Xr3x~7jVC@ zh`jKcjXz^}mOs&H+FGWrv3E+s++=7+@!UM4(k0=F8ugq9*;aFC-SgRSv6f}3ylMpK zg4@}7?U}mUcpblr92D~A$ddEjaOEV+R?sEztd4i5vYfu_5%52*Nt8_(de&-ju)Ejn zGl#cG<@;_pwGJ{Ds^a)@GRHNG=?hYN6V_&Pdfj)}aL$$`RbCBzwe9*la))m!_yrWE zH}#4MhSZ54R03_y2aOWP{5^7I;*Fy$SNHpEIP#UnbT^B)txLdf$);4D&djTKyApQF za=v=+zu}NIr_?-$4M8&(d~{`5UDLbZMJdbbzby-XG_z#?Nrl!GcQV+0ve#Z0n&Kz4 zX0yKI&BGkmtmiHGbDYy`$Akrs!a2>d1VjEA9#pDrT2-$W@kX`j)%u$gFRMKhymDuD z!b)e(EOCx$@T-vDeOjr!bG^Woc<&8|`Z=W5DM!5YXX(8zyhi9Y=VdLc=?Po+a&*Z% zZ8%oV={3)9!!=fxrRx<U(s><k@*S+=7nt&2`5>D<bi}`V{XNOcw=&EepW1SYS#~UV zRm~x$4OyNxUHHl$v4cU|1+VOzpD<IM<5eH$wCbyR5`KRpS+w6cUMSE!==EMLqlW9C z*8F)68&X&W^en4hUYQs*dBG1|mh3-G3!WsiWbbtdsM;KBxVChgqQ-9NgP?OMpL3d7 z%v|tjIS2Uq=-DjYcU%I#K4<B+bqe_Vp2gecdA@{R1n6R)+4*xs7$dUm9N!*gIW6NF z@Li?pshwxQezy&W%sHg)Ic&Ieo<qvsXTz!c99?;H9)(`J=MYdM-ehXTqS~(z@!F;7 z(sO||#UH^F5(&#s#Tl0_DYpe*mH0ux@u=WIw{6nPLVtc`>3-u9@RgzID4+U@e~yrz zdu7v7DVDA4l_Q?zHSOXT()k|V^h^3}-@`Q@c0n4NpA0NZmu!)De0-QA>?ecc#myYZ z=M3!Txb{kTO5Lo4xzjmL<?UoY{{F18#(w^TZ0$lJHTDPH(uG3S3F#DF1v{<a<kk0n zvYmH51O8rzoHB5q#XEN+!{t@B(-UTzINo*Um{u+C`1dkr*bg1Y!`d8SzxW&<^BvUM zucTq667e>k<!Ji5&WCF@zJ+W=wA@+n%Hy>{)1~8rYl4+Ds_hO|O&6Z>-|QgUcEON8 zst4Wt1w#I*A5=0HONt5=X6e>dSn<!SNwrUP#m~T|rPBnj?3<D>^D4CJFVCN8HR-kB z70|l8dI6I=Ir^Y=dBWgL8ry|LY}*pH_6vxt7g+N#wQ167j%&L*7ksH@DZWtI(khbz zT5?x)(d3&V`%iPwF7}_3m3CNiE9|jkKMJbD4!+O;4e=X*mXU$(QwQDnek5$ugyt&H z0snVSJMqn`Pe|koIuAMz@L2U@t+S44*<wW(?zXmCo{&A^#P<u-HEmS_ohN)4be`}D zC%$8#Yl)`H`@!zI?YL+BHIRMl{mm1ae{2S=BoqDpK<lZ3WO^cBlr?BIfD)*~ZUO4B zcYt=%fjaE*pbk4PXe|IEcd-FzFZY!C<A-Ei<|Qh!hraEeZOpzAv~aAJ9khi1KWMcc zc;fu*9Z(0;54123)NMZl+M8W%3L0Cm<F<Tp|L7qZkvh=*HU66o*q5HD+u>y?k$>`# zObF<Z<%^(8`fh=SJN{INJzMb6P^@SI=y1CX(3Y`Fx*#_`2U*0#A-}HqO+IJ`!gmqS z0DpU{r9{p~d;Qyvh1O!v5~hG=A-01K6=veLTruz9A(<o7Hcx2&1-jxsNtfF)<KDqT zGE1(30u;0%ZB4o&``wxBpxtMAeB72V^ivY~xY!Zb_uAyWTFmDKx^CbjsL#CgoD<)o z?1&l7x88ylIx>KE(Sfda0PPEmG8O~14nS+|Ux0?ZZ-N#uSWjz}dEyUR7JoY8gyTme z&;<LeR+%aJpmF{0AbY?!5w5Va`x?l;(U9A6MgF{l@I!?`S25~>mR5i^<ekyfd9oln zF_EumI%u{8G)Z1y%xx)g@Ax5^DRQZb?2n&E&S*ZhjQ!EGCknfGxr+n5LCYM4#GWlE z`~nI(a2%bS3~CUT%5htItWQYfvjR_-fKFR1*XOo80lMJmV6o1V1v^eA#Voay$UW=C zcWU=01NMnWb)GD!QUUFT=xmj_ay~VYPsmiP=)#_9pgVx&|1A6WOMi0Mx6hzT9=gqL z?se;^pl8c23*GGW^G@;dxg^<_EOY#3Vey%>mg#+y)mD}YId5`V7QB3E%5q`1lT)^M zEL-xl%rk0kis!5)&+61ys+LZIEx<2d_qx#5{{H>1b@g`j|ANflN%!vgv3}dxHUIyE z_St#v%>hr-XJp;C?PS_;##uNc|4)&iL%@pNb+0_~7XOX=<(hc0UwF+1VaLOkEZVYe z7k;obg`QK;*flF*>uJue`wko2rZ0HX%#v;E9B}JdlEv#YDjK_$4^~wRPl<QgaIgzf z<|S^lDNWhZwct}KOLvTaz*oPfqo94jA_s+xza8I_mFK!4WYU6faV)2GR95Vsldv<G z^HsdVhC`|>ywNP$cl|E>sA~$H2VGBRxhwINM{akejJ@6LgkD=tFFl2b)ehh#)aTSS zc25JJrF-9N!@*h>QF-MXFIbySZDcl><65S$Vi!Aj7qGr+MAB18iMO-z-j#`<Lx8Re z=v-D@vAZu}=YF9p_45*1ucsNVZQINdR%Pz^Sm&VDaUl_1!8PA9n;wY^ulcCkG-<oQ zny=bTm->a)7{ltY-7gzwT&ub*IHg|vAlqjSEjix}7f-TGwRhcc!j&a-HcR(ipMby9 zS-fqW0zS*Lc;^-gv;|j3H>H-TuCSh-u+zrz?_`cJi)u*CZ?mW1mB-83EWMz^bj4X* z<<%nIzGpeQ_%mn$wMRgac+=Kzsv3J|B+M1&JT=dCLtO8IKjs`}J0>o8be_|!s$;>Y z`y667e>|Ap#yxEf!y(o1`v#g!8*ZFqxoXVfE#nvPnVH3Vu4h2C=|Q7#fh(Ycbmt3R zsh^P0D(L7OePFfD-gyf?_OYzq)4$-wLYCEg=P&s2k|nz)5A3+IRVm4@JYH8dT`Ct` z^O?c%=5o+}I*w(b|4Lb;RXDZwL6(N~t3$f5s^Bi{edUNpd`+`zo0iT~S+Tb<VWzG) z<ft0!>L8Yco!<qnfTFyRh1Xv(Vx`vw&>=wgRUzjLsA~MPI4JdBQDbK%UwQUgSq`t| zoY!Xh2b4)3tkUK@Ro|A-Y%0tVU&L_GFZ9p3D-#3T7ktX+5R()N`D=bKX}0d6Evxp= zOz6DJnI-43;fhexE!C#fbE+$<4G$LGS6flfeUNLvTE-8JgI@oYGWNV&C~;1!6WZ}# zyxUiHrL051ABiSYJD-40GEH0clr(Bh4_cWEPkAf2<~xVu&w8Pp4JQ+4gdH^(%mJNO z{g+eBvTMPsb`G)C0wMo}4hn@s$8l!c&#{`cYdUN>o_UqUvaNT_SiY7kMkLxdwRLlb z{ZepzyqP2Hr;_7EZO*W4VUhjq3BC40Q);FqtnKYTP~esCyW!k9Xa$@8eWukV>p2T* zCMGl+b86K!CM?$G(E8tyFmX5Ms(+2(<GSNFN?eXo@(cL<mc{$5;)+_0gGTBCS8V4d z>^v`gC0}68hrf^v`su^EmC8%+3!Bu<N)VOp%oLsLx#8Arj;{B<8{GO9JmG5E>Zh!+ zw<}?;H|ME1_YL=CS)SIrZ#X!aMO3+xCw;Llr`G<d2^051+ve-!j7n9u%>myUxT9gg zv+EqwvIMW}>rI&XoAZ^O--bK&oJAMz|Iv7N*zqA~iS!I`*K+fOW>7i5;FF<Pk$~;| zR?8K&Q(I+@fc8d7+0PX@SiFJZ(DMfhmG`f>%Wi4Yd7|)_iM#m1%{LjKJzv|?6Zxuk zZ=TTn#}RbCJ14iL258=0V(w`tzEh=Q&lHMyxh+?K4({z(3%Y4`Kd843>iK^*1#h9w z663bacz^7WOv)zs2=lJvpw8GkWt}Gr>iIwy2y$^-N`OwDpHg?miSL*#Xv1H+SdoA% zXv1H)d^f0f30kQ2c&^S91<U?c%M8$=`j<eL+RfzxwG(ExTD|~P%b)B)JMO=Oni^5y z^KJfI1|8EfFIkcOX}B(^8auH~=gERuph@;(&{lR2(5`zS(6&TSM-y~?!Y$D5vzfC& z{Y%gx!{(rsW1wAwhqR|ZdiEq?hbeb)KovW;r3C0Goshm6@D8SJA!rlb`~*ezk5b&l z7k*fATYB6(aY$y%JJ6tcJLsmnDzTyocQYi{U6T3oNau;deqrungBgdN_`2Fb>+ys^ z_c`!@&dZ<GDiflgp2(MEzF|Uh)$~?N4;u^ERtLw=GgtOoc|?LIOlE>c%|UzZ?}GNz z=_V<%{{@{`v+#@)UmIxT;8H56vo6bB9I$h8tBi|$LLy%lXlUn0&tuR%eH%r&Ej`pg z8~x&Ro+yC!!@tmh_n0TxmcCldS0%n-Lh~P0oihg)fEEsd+A)iNN1kx}$q903W2;Qa z_K0(*%LHbhb>e$f4O%h?IxIL6)J9ysVM6maP#Yypm)r71-04FyPx4X~+5i3qjU^?6 z5(8u#UHpt+E1HAeRKzTm=>erSt?CUEnqSn2JzKDCN~_EfP<-@)R!SD}au;9N)z&Jr z#2U2UPnO%V;wAr|Wp#h}7dd!Hfb01y(B*iSPWTv}4oFXqbh{?>S#gt(N%WMNDQQof zC-tAPbe$_aH~3Ck(z1(DyqkNb@vlft(T$uLn3iOhm^Nu<Vs`Ht9(iSB-=+88&oRIL z_k8WS*L(Kw{~dGv`|sy-?-qoZe0t=c`|Qp1I@eEKE}Q;upX91!9B1;{*1D4^pl<Wx zcstN)JmHW#y$OqT9G@QM5YzNsu=nGW^I8oL*00!=^U5Pf)bVRJ$Fv=t3!d4sc&}Ao zVXGFAb~)K#?XJxn({8se_!rY8%BPsIqak5wG5C&_rJey_+?uYwQ`6Yjm(ZIIolQLH z+jn%!wOf4)zMNxu{l+`M%zwkZnx;?B1#&htEqFAQMS893iW>EUO8mkhwOt7tUldCC zea+>ZcB6B_GdmV<S)YJvxr0XD&~oM7wPk%z^wd|>GauyIE^JcMk+4*qLn=Sy$d<61 zvlhINX}W5}a+F^w;;}{3q;Ae@yJjr-lGRjtO<>C2-h{pEoTvIZ%r;c&^Fy}z9X0pq zV#wK|?D+J%uuhSJ<JDviG3(|9zr;DFWkA-YZ5O&y*N`ytILE7V7W2YqR@*xMRXND@ zUOA(J;h>khph=v|hEvbM!|E*7p<gzwRNnetSY&@|La&|UNq5d`RgDY2O#5tEm(Jd_ zsajyoc6-O4=Y?`MOjwXKHDR$gr&fJ`!o=B}tN!&RY;@;X^?!Oor>@@vZ}1gJpu=js zmvUykci(VEkfqdLG2&e@%U6BHh=;pbc&%Bq|4v)*k*z88pL0OTXVyKZZq4TCdhfU4 zSUabeoZp79&IMnJno7fb6>3g0vRH?HyR}kz?`)1!|JxFpzp{w__uX)DnR-&xRAH9x zH(mi>omskNy#oHaG^tJ#y7GtXU{JX5m3<QvX39C<EoC|Vw|l`qHT6Ub?LCFys(-r8 zoyu1pFPm9<KeMc!;}EdLX~V7QoL%v*khu)k4c88{ES;|wp&oT;%c*lJ5&7y(pX3#8 zJV<EjIxd{Er3EsHk;DWUy3VS8<?)8E=~cZ_#3Rq9S<>@+GIh7|I=;1JIsL{Le8fN( z%U5yXH6L7?+E#Oh{StJ1e48Wer?TV4<D6mDM>Qq<K3W`<%AXHiul{|O)g`M53%+b* zc|FH5;G0s@S1FdK^&T4zu4NJZ@37%wGRxFbtz}zJgt3I)ciV7d9?R8Y7H=8ffX^jO zOZ8M%)Jh&Sx(=O-xU)C)l?P~Dn!IX+;wFL1Svyn@dgZHT>|sBsC9kYerE)N9xuD4Y zhJ@br!c%et*OVGNf;O#Iwk&w_ndNnEq15uT`&$#5zd)OrKQ69RUaZWaHQ#H)iJvT? z``tF&C}p`?@3-MdEsJS8i?^+NK=o(W8P|+%3tzcAH(_VLz!m#B3E(@k)gxB=UHB2z z6j}$HQ~z>rrSjJOLL&9k5_+%C>B|Hy$Gf(UW$9*?*D_uK-&C5uP7|8)U+W-SxNyiH z&4X^XO{eM=BT{?<zFtk`N#7U=nZdaOsridOUk-K;;^xQ-=a?4h8Sq`J>1mx)z<<3a z(SJS{K4dmcjVonacC|w7pq6ISqTfPmzG*f+`pkLFVm7!{uuef^@3e%u*3b-kj&E7t zmw750|4$hkuI1gPxZ;OjlcmI4P-h4<wygvzAHV8|JyQq+)xr6j4cI%SLCf1f$KSkC z1g*!L(`tDlXN$ylCHA|Z%U!G4xh-G32X%n$3^kj~(->Rw&m8=412kej_ax{Jpp6FX zQ}Z`YXnr9j_H4m5(2;JS_0z}fBTqP9ItMx@=2{r2&QJ!m6ehRIq=4=h_|ga3$PQYq zzhgqHjL80zhh%!zfwrZ^Z!}<^$PU^y1v#SSzagk|Dd}A>wJ4xomb-X@2WZD1=s<ug zjGzH?(2}vpBTjs~Kvxm%%-=Afxvsm_Qsd90Rv8}9EW1}dXx<v!yUafS?5<VEy90-0 zuG~8eT7?H%y?qGLyKDt*L9_zx5(lkZvjR=}ztIORG_TcpqVV5b>{-GG8E#9BW9LAv zgNxrlTmJGuRhvAw<%+ttR?CjLhn)CcJqImu120zq)jg{YJMrBDt&g9{3#!Jzv*x?b zgANAB+sw5ti0>C@d;g(4ohJ!1QWN>S+BZ&U{s=l?C{+@4c0c$ApbZn6e}HaRdMqba zG$H2vA(<`5K*9NP=MKx_3p0;8@on0@!GL}5PSB7!2WU0C0lWe5@eXJmz*^8=b~Wx| zft?dUXXK?N@+G|n9e5-O8UZ;O6th&uWP5TVUy$|Y3C-X3>6~#?JMYA|>oaHrC1}U{ z{-#z-jTq3n3eYNnOLKLeB-kV*@@e_|!iQ<xrh}$Ra-+qH1nz@YG`<0y&BVUhfPHNx z$f=+?_A3!59Kja=l{0f&p12RXVnPnIT2LLds>1DbVR2Ewy;-f$O9psAef5r3nJfLt ziF`uc>aHIOz$YDK#MyieWM2uIDcRfBYI))g=vV;$O$O{c1-UI>+^*c=Rs7-7|L?y4 z|M0J2o1zS==&NDt?k}I1w&~iWGeZ25y547^w%8=hT$r}WXz`gN$x~*gY>P;n`0~hE z=5vQ<d~UE3jn>LiOOsrCZNr%p%CjU>LBscI^EN+xUmlzP?(fGpyW+0@{$0HeG;CkP zHG7^&@18&FxBZ;<|Gz$He!k);XnwxprpX-ZPNof)^jLbu1=bW<I9_yRSv}V)V2|U5 zV_#WRuM4gzwRe1Zob%eujTL`u-txxJRBcEQOMULs#js|&ipGB7gKWQrLTsjhclb;f z&?!=Myqe7^7VRCd*MGy2WERtSrHHI=Ctn}uhxA~d317L}p0Kl-^Od~!2B%VRO;P{0 z;OUi#mrPlDuM4ax;&;60&KXwSxZuYctz}z}nX#x|X32i*8}Lh^skBc~WA}`Ny`qrw z@too3<H;OUnmy;xbQy;oPhN#hR$}NrtGr^L`avOfp({FqI;BdEZ=bT9UZb|6n)_f; zxxkhB840cF98%|E4z1Qv<&b*svEh_EXP2DAhFjqrUGp6_9J7S>5vT4cdgbw2on>h? z%WE5#fNz;iU(KSrGN;ySA7rx@2>HWt(5<rRRJ>Zm5~qN#I`B(i^3+%SOmA8`Picj$ zt?1#%w9n8!;_lo2vL|b2Eco}2MZ2bR!NZR%+H*ZGREQqb%57S-U2x4e^`=MuLTffY z%$yN+@+`--Dsjh`cR8-z>|c;GF=6jxj#Kj;Hynf<JhbD<qyA-mJ$b4t{<t@}&Qn<N zYt?1LwMQSZT)pqP;mA4`Q+vk^R|HwMiVNx#OF7<UJ6L3|vSNQ<LaV)iNzI%D)wzui zP3AdoIAskjkNe))lrEX3tWhU%Q0l&d#?C1TYq>eS;@!b(FTV?}`7Yu3(}VZ&tpqdB z%IO@F`3pWB=M=MSS@7yQr<ir?f?q#bythDGa%baxWIgYC2mB6fN{v%lVg1^tZ+^A3 z<6o15T=L2p6#@sn;*~S@%t)B}nWHPtcf+mooL%>QHyoR=;K^r}?A%Q}hc)+_9<+iT z?|1H<Y3Y)31;?N3g>nj%9FJNZbXyI%BhZ?2)xX|^jp>}L_P^8d$?nwU%-T0SVWo~E z=(Z)xSqt8AvYejl9q`|)Nwm%iGBYgqZCT%xe_j`UWHyDyRhumfE#Ypude1qahQG<w z&N<+dM$=X;mZj&_BA#<KZ88^LQ*Q0}bH6~&2NB1k*XJ}p%-Le;_*CSe(r#$oAM^Li zm5DbdvRvKoyWxmY)2!)&I;FynZ*Ox<lN7j8J1Jpj`26n7tM_{nTFZq^?(`-s^%peJ z6I%00w`q}S(^WlHjXLFnQv0EcO4a4(SY4`WTJVMKwBg#)M=Yt^l_T=Qn?B7~x$%Ib zsq4PVjTa_Or$GBunH{g{9ZcdE2>CC5P$=wpLDs&B2`hK9Y%N!dNIM7}@4tI^S>KaW zEMKP!ttk+6Jp7s??3bwH<KG-%Kh+&CN@^Rdy?W0fz{YdKF?LR`citPWDT2>iD&}&$ z$<1*sTX4#M)q`x`VKX@YXIL3kO<eHl_4&s?CgyUks%uEtSj(|WoMW2Bv<1&jvUsmm zSy5|x&?uYZ)ji)0ckXk(viINMl%v{qxgfh~>OKDp75Yt~cF;91o0?x32H!6Tu<itP zhCoZl<Tp%cP5~{B2Hli%segk3``q-%6OLt%KNs>oTd-Y~8#=X~0$ODbTJZED12m{F z2RfkToD<)w`=GAn_6-x7e}VScA2|%F!@ylJljESnbZ1pEt_$M36%9I0S2ps5V>v%) zo;6ae=z@J0XxaYsRvDi2pn(Nnu_A+gGeL{-`aqpaP`&ma)KKWvJ92hr^D)r*dqwPG z&lYS2-J}Dmtj|pZSp}{xH{AzqZU-Ofwtr5mrNo^hPJCVaQxo~zm_csk=PnlLjB8mv zrTNut(AH~Ez5GuRRJGZII_nc#Ej?t<JMrBDod&$Qt5v2XKP8b5a#bLxnQ~}9ympbu zyKo?MR`aFVpi^3`L8r8UR*(G!jhKr^oN)XDy8Wlnirdlybaw3)q!aRVo+wx+CGu63 z8Rq%%eVV<=fV~-XW6ut5?qY+e15SLW+CgLbu5cf%S`KOetU2h!_v$*R{Z$HDiw9ai zST(0rW=ncZ(`pm;nV_n7Hpr=<iH?7uYWZORXf57}Lo!pQZJ5yf5p)p3(<smq0MMy( zzd&R95}+~tO!$oXlQ-U#H~FG&gZ8C?I?0<s3-v)K3w`MW?PJ#hH5N`f@pXY_T^5^y zPUZmxX62MtnGnz{O48=AhtHlY*vAWMb%D0d-P1Ya_(}n^0|Hd}>L88<hy^v$c7m4H z?*}cye3zuie%Kwf0-#vuNy46wsh|Z6H@jM8TtJPZt+tUT9RGlpS_UR5vY!+N4Js6g z6-@w*>XhUqDze`MZGyaa7}V(E0S$Xp{zU9=JbDDY9q?kG&Xa_{@;`k4{*aHo@ff_9 z9$M4?xg(b`GjW?zbl1$*<!zB}%Q|(Z>Ik3hF%iy|tW+^Rdd4lSZD!|jgUuN;ljYRT z?cC_Ae(tcr*%L;F{xfDqq+QuGac1V?`|o$%yYu_;&C<Q=zEAJ|{jYBR|9PM4!d;cu z|LI=7?dSdaiF=eLegZGMXInqRm2bWa<BBS#gGuQ^A^TerI$K#x^VK3&xd;6AY)ZW* zcxCR!gumPQ_sw{^O=-n`?t@&v1x(HeuPKpnd|b)0I>w~&+p)>4nhg)CHFhPu^2lX% z{P>&WT16AM)OMXcBkW})%j+|08rJOzd!0E?$vba2D9IwKuK+&NG`Gq1o$88T76+5E zIalTH5jY%~bQ;>LD1N?DdF5WtEP1C5J`)#!jx?R?A5d?1kjtA>>Yne0ON&{0-C0)W zRG2OcHSybUs}FLdX+qN^ci}aqQjRY_b6m@W9UB&FRJvq)+k!ubS)^^;0|KunL`k1j zS+U3Vpi;F^$XP)hv*`<dtz_|@;~ntXoyA*MZAGp6K_h;_E49-ScAnTO;rCaUBdh|n zyR2P6uX4w4&|xqfQu3}FPK9%H&2!#xi=VS=JIA#hbHVc%e>)d^@n(6QQzv`;e4hJ; zxLFJSxO19WOj_`0I;R<E5gtE>SfmMbo!{4OE0s60bFAX$oK`V$!Lw}f#3=7v?|^Fa zrqplhE2>!z7R4)pkH{-+YP&A5rbOHE@o!FW|5cnLtorO%3BQlI9M>woLwbppGv``e zvYNBtODD_gIi3OEf||aH2~7cYBjp^A+6&}t>09t=b@YsDO3}h0wc-bpehY>C7da?& zT=>cw0i9Aq$G5C3r`JGFGT&K!|H{NW@4-d(q1AZ@wn&{*iqLeuP{DUlYrcv`mCnJe zcy*0B#e-7z${IW8B&@CG^y23LAG$R8sKmLdXrU?f>Id1X{T09y>fQ5aSQ%*vh5VB` zsASr-%3d`hN7C`@d|{oB5{_s03+imW*gGTat;InjYr!jbyAyWy3tzFHmC(9g$i${U zVd;DUlQ^dhUZ6v7L>+cKd7&Kao>i}^k>_o&*7Tl3KoNV>)^92rwE_pNiaAeB;{+Wn z@TZ^CEW!uU6jWOAN4&|^YzODzm7p^PCb3+-@4w+lqcCLg#<TS0eM|flSJd)>XEJgH z!6zoObB6sec04?tBdqFfP@nz1zJ#gY1x0Kd61Flqew@sC?T4A;$<v(IqFe&1Ob=S| z!;)HU+AELuu1%jdrp~y=c3UW<M*pDOZ{d)CJO`E31w;Od9ZZ@o2);go&+%-$u+CQ# z$G74f({8TmeR$<=8+a1qywI8tupOHpj;vH(nlEIsZ%V?{)tp`Hlp@}SvmBkT6p`!` zP;~j}jB8QR!c*=|O_*EDc}mW0!@Z9zPxm`+ICzvrbT*6hT9p-lyqjF-!6Nk6`IX8W zK~?;#e8q?)@upewN)cNA0ly=fQlAN4**hU&=W32u`sxu6#aVdSS+xH)FZigYZ8#_N zo=-rD*}*J%708(abD(1-=Vw@5S|vDT-=u`OsVu4Q6(imoHhrpBzmc$bw&B{Ye5D&1 z>P@F4n_T%6SNvjaI_jss;-77k>NDXd3o3;{2f58|mDwVnn8;_e*i$fO3KNI^DaY%1 z2f5BVzUu{@lEw@gFK6Jkl#n}eNM?#1XrEUqXuLdDtVketg8}<i>Btj~HK3u=AF|w* z9(KnM$!sx;Xa!v^>m&AT!Q>Q0_M5h#{%<3wD7FMG+&%^GEgyaFQ@f)1q9~}=U<UOq zL3`~%ho--Y6e|k&ug_gP;l`t|xNXhPZb!~&zVZ&#S7+cZo?r>8g8kDH`HDitiY|aE zbd4C$Np7G;>6h|BN5o5l+=y5(ck!$>sQRdu=C<ru9yz1=n0v$t$4?%h>*0jBEj>V+ z*-G?43&%ibuEl}Q@T&)1EIcnwk^N}>W&`%cXY&r9J?Z#!t<D+86m!tIc%UT<)=jN4 zSG++d{;mNn%>y4g16tV_z`hc6*h@9|3cLBB69+-({{9CIm-~i;)-Wg@bmD6R%_=Yb z8*$>`4+GG7_DtNC9rM8J@IXhuf>!E3P7^Dd@CLN9Q603hQI@;-!py?o;A#xA2p|l+ z!?9{NXvo|Sw9x##6JOVH(Aa(@C`1K84X^`Fe5<rUM-JBNJX!FMg}Zn{#k^J-lf~s9 zreAObPnLk%Rz}4UCmg>Ah&@v%=i#<|G5_Ep84*3uT*Fp|rgTmA!`jR3t~jnd>%?~q zv^8<+@yHX7CA!?j0k?nn#VnOMvOOh{PYTrYe*&uAUkiv8P0-t9z&`gfXomr)(O^Em zRp!ZbP@4vH1>(m*(AK#mMfRt>?+=}w+3Zmh#KIpA-gHoUd?kOW!0ql<nJfIDqi@_n zO@>TRlfg!;=t3T-ji?IRumNg2*q(9X`vuwz$h!7m=&6GRYTU&Ex8}9V^n3@6EPzgM z1RtgAm#WDA`u~CaSI18${m^wo>d*hVv+{|c@#GxI?3pRkDte}=rb+7Z&q!TyGXgX| zFSqE7it*tyD$(5i6K8Ik=9<<yvupV=n@1&mFXvdybv9mn#wB`|*M7*}I`AsIzp<db zb-&+t&fjVwRrzzdS@JXO|1O`pTpoeP?O*TBxwfN1Xsh{*rUe0?PI(G8Y|T^E*xQsa zcR9x?w_WT1X+1OtmDl&@B{bh;5#?97ks;^!RG3rDa`J*#tt{PJIab~G*>I$&X_mi` z&Q~SJx4P2`Zk>Uw&~2(+F?*iXq}%=A@%%pZj0(1cUeAS1_BAC;mFDcyQ;x{>2&j=g zC^h?j8{{qyIhLi*1=bX^INp5Bam{+}f||Jr&92a*_z^E;1D(C!h7;T@q2_yKFGuC5 zIexv%G3|uV6`MH;E0a01=DTe;!vtQjy}NHgU4KIBaSkav_YIehl_yyAZdZ*+oeu3+ zFaGT(yHeH*Jol=_qWWBTO{t~h%WTeTJG&RW$!hvKPgUdpEo;NIylDa<K7u)0_#r2m znmAs~7X+Us5HF-tcotH%?yNk1W#W~F1>cNWPUlpJFAFV~ZhCspH=sVhNz~5s!Um5G zm&`e(<~wgVr4Q-7=CT|O7hLm^ziHCz`@Ij>h^lMsRX%7{?F&1}wBnV=dyS?~_Z4nD z$Z6`bSHJN>tLap1lWUkz$Y1M&N!Jc<S+&10p;MM4Yv25YmCYPk@}3)fW-fRa$#VK{ z&w_tbS+rqG{A^+jOP72xZ#p)y+F)&HoPU6c=Z0IqIlAOMHXKXm^qS|g;o3}=rTR({ z%UuJ$i8XzlCOqZ8_CYp%p^%!pL4MY^`WAeu<`lbG4;xZXeC6?mrRf!Dx}B+M)_%o^ zS87eSPIFAFY+CTns_ALc_oS$=>4IxM$ThVUbA<ikaeVxkBkZT1<HgS$VZTisKk^-v zg7pw5XD{#D5~rZC_h#*kYgWdbr{4K(h?}<H&vH&Pi)jlUm2;RyIRyL+hpg$4YdU&O zAVgkRXX8mDp5@P?Ii}s3y5QSBmeVq3(ALuT87q}{<_lk$@4vxm@`8t3S+wu^UZ^lV zsP$h>W7oyMGp=Rjt7+^rJ}4!xq%l)H;&n#TrR73viuD|Cp60l=yKTXrxh&E=(9wva z^}e!_xjSSoull3b<XWb*;+JF7Q9i{L|6H3?{S;S3Do4BuYPtp5m0<07H<ab{-^mO9 z{i@|jZ#5SJpC`cM__&!f?B^k9zxv|j<$YJ`JOcg*HJSc%2q@w_7^ThW)z5Klqv(vV zn`=3*-ELg)hn>UBqGQ3M=bUC*!Xf_{4=V9Ct=g|1kz?rim7QZ+MHLhHf`&UW?-_lv zSFYvAQs<m@bMk_BjVz~Y`xgB3WYMmfzu=)Oi}v5X1s`=;R)68_vUA;V%bVrs_L>Qo z&F=XH6oF2~6P_~9eZx6U*d+hzVD~C<fhqrW53-pHhU}T2uvnc#>;LqGiMnA)QJ`sz zh*=AM9p{)f!#m*fY8LOgjsd@Ino`#(thlWh@y@R4S2kzZkModBP`Tr#Gw4tO)r>vX z2esr?HL6$+W~nwkS}wfiV{p@?ZjNia<}LUV)MWW$4X7ss>I&aeTfKqb@&st0^x!(3 zCkuWEiakpxkpP`y-wN6^XQtV7JDlO+Tk947QeG|QgB;~{UgwPCqT^0{v-&p~u&;a` zal)~BaeLv^X9}A^Gpz5<9Flor2P%UrL5Jw3iWM2yOl`H)_&cLjrbj<Hkx#20w8MHg zsOzf?>R5u7gLUx5?cMp{A$YcY!W=_OA(3Ybwt~)oeSa8qJRZoopxbWt27|VFxr4UZ zgKlZb0ad}bLDRsXgYQ1^a9gg(`@r#E*s3G<uoK^__eqI-Le-lmG(Q9RFJxk?%ob4N z!00(-7r=wasuyuaUjx~9+JlytgVuw65a70y=mT{wv%?;1Jx$mHnr5$5=eF#4cNDa3 z?wk|fBX`iXH|sY~Xnt~2=ZxcO(3$(7v;O8D1s$WSz+HTydRD8<ldl?i=VW-cA>Gu{ zw-U5%?xYjnDNE3D1r^Z1elKWX|ELq+E6|-UouDOWpTj^~3z}MGw#-jT<TILhykKh4 zg}aklEl=d0cY==SGlC9}>2I~vm<w9g7z%17JOs6!z_ot{=)B%6bI__r(1Q7oD%_SH z`#|&m+aeC1E}L+#uhsI!63{S>z1Xt_=F?kcp7f_D^0B3Fn9%&_CuqkcmsnB2zKN}t z9iSESR~5O71)`5T@x7XD-#6Qsy)zOt&;S{w`3{;ezak@6biod^79MeE0q90%(1kNx zpmhTegFrhqEVwNt>_G<{nZ<&ZQM>@P0k?r#U7$4$#~$iDQK$qZ1FPOvnI)jfmbtAU zdmuwJ*FpOL*B^J{`_vCwDhf((g^v~2U6Sbmov!pW7Id=0KF}&W4baFw=zJs44!k7L znL(g^fO|fDuf1&lpIO<W_GkrT>J4aD{@c#G$IkS8a><rF?PC-@Bl3x&A!w0(@JXYO z7Zy$JOzpNwnwfZdNow0oDY?UEl#Gu~bKNGwFF8~7bX3YrpSvlqWIoS;)$))X^zV1R z`~CaxYtOY3Zp!EXUwL-6{$aSvdJmPa_1cjtMvp6lf1mRdX-Iviykd7_!cNQVxIcLX zE`KXow3nz|m}mM^Td~Ex?YFP2rmWwE3c-U~%Y{W^y*Au>%5pScA>uJ#(<J7mt#Zm5 zw!a;Hvght(f$bx6<}}j~3bC1*0J@DM-fP2&uh5buJb$*8P_%DAt?fY}WBEf{vW{_1 zyVVNYM^>(Qut;6_iv9G2)^1LzIPVQX(-(ku{W=9)kvmopc6-`_ALm%I-`GM%VEyE5 zN|%(%IKDJGXywguN>4rFy;RdDbK#r~T?-yXHT5cG8?HSSEvz$1WyLOa@HwXTZ3&%6 zSxnC>Mr27kz7;!Yqz#@)Z}EP2&$v|OyMW{0bk4AfqgH+PpyOZ41;EF@FgaeF%n|lm z%JE}2$F(1vjwfexT>HiC_|lZ+wT*9p**A{1&G-0Np5FJ{aF7w!CON*mug6bi#h=h7 zS3MQ*K`2%%SO5ELIKs?gI$tFs>$Kpq&}zGbM!SWtoE6gfZrJooU0}@z(WW+Wfi+*0 zn~s?at@*SZ)+G7vFT1jrvnzk2+~ugprYx%E$`P+^S(f%IL_Du(+LSJ|rrgf)r`ka_ zZ4Rw}6B8Ey=FqZt+;HMqf09LLyzhn^>sYSd_ub%92dydoe7OQX0A{+7PBE+FU1^SK zznLBXG9KjW7dDymS7O=LORG7+`^aKhT=|tF-UhQA^;eEq>=y9JsA=mub&Xo<gI24d z!FJBhs&t9@=R%*=f3!KxZnQ2)YD-w`%%N33DPdwT$Ev!?2^+mRR{d{E=#1vf`ZqUW zrJ!T(?S_Xsn`IsE&gGm|t?u}@nKSIi5olErG0!UK7n|ebr!1@g%vtb4mu2<e-UUCb znoQGd8Z)QfX-inE;`nke$2H521#dR8yw+9I_+Q$@J54a;kK{o&ZBDK4oMM#~&?d>N z;$Zir=-ekizFrsB*~spAmR~@p)Wq@aWR7Vog|6)FNZ2`F=*s@igx38+CNa(%E*Z1* zCMWZxPrWWE;w!x7TV2y5cfmCuL5CNBZu0r`W~DNC7Q=UgU;BbLcUfNBIt2XFY~tN^ zrzJDAM)#oGZsCwR`GZRCLLs_>I%Q&xU*8Mq6pDg7?4{z4Z+~-6y9pb>DeqP{T>Dgr z<!iZe#KXNTy!{FhFE_LFo>z%@YR%%hUIpA?uV%^qGkd`kx2COT^<0NF_u3w`+ATEY z?)-$kHjY2f3*;0Wg9O^nA8%JGgV*3qN|<QPx$0kc!bV<}tBd`UqrA^3ulSkPwDg<u zidu<-M%^5*=DBUSvz_BryxRt+*$W=NWzqgSdBMlUEUV8{Jv;gkauCwRos*RqR`2ax z@M9lKcFl|hDH9Xc26K4Lci(VMl_hn(a>V<vrceJBZX~z_6mCwhoVxfeht~dy2@`8M zR@HSRY;0w@y5D8P5y;gM8-h)xgWZF!3tidQnlQ7P^VK@#2*2}*QI;?AL8GSk4mk0( zRc|z4Un;|GDItIQkW9$F6Ha`KK-azB;s*^tOMw=bSA%AOj~<fQA_rP5=8<xa`@aU? zy>`nNIi{LT>Ps1#l;t*AgNoyPezBqn{}s544J>AXrq~Z0lIa26i}$Bi?3u!)Bt`bC z_ct1_Z>$DQ1v`p8Q~0REZJDvJhE4yrV-{$km>=kTH0H<?j^8!Jo+*5<1dZy0&U~!_ zodI_pT#-Gz8Z2KL@JknTG#+RZ6=+4^FB9(Kfa;kAQ;P)dH?~@4?CEWlQQ=QW<co3# zjYD_poN;^(I?N4pME_pUknulrP+LJytSI1*K6kOfts_o+r}RzlfG(VeuP_U!eGR&O zZWE{@90*#7#{?SNpV?~Z5qrRi?-pp`*G$l8#`fcfWS;y3oxm;)I>OD{>@cWz2|5Po zix_BE`21GO6*VobGA^JBHA_^iNWgx6t7XQY0>qek7w9wq@N~)djRx#<c|n8w>1v>b zc+Wt4{JKB~<AIK|2aV}32JI54u>y70PdV|enhv^42(*~uFQ3>m1w+u$F~=ijG+%iW zxx>;j1GMhkh#S7Lq2xR0@|imeb)GB$t-$939d7)vQs<20%OKEXNnfkw3efcSBGACd zttzo1f%%rw;hB6=g<?e$?zDog5<2X}cc~pTrPB(!O~#13_<}X4t)QO<I<Ww;u<?yw z?UjQs;>3yq>RMVYJ0e8FHQBG`f~HE&fzGox2c26mqgBQPG}j)KDpqs>G`*1m+Ia8| zbb9Z*G|&Y-pyeOeHce<wOySErCo^SRnj-t-xe+s(PtAij0v54@Qr%k#u_A%^GlyhM z&VepTOa-;SjymyO0$+P$tMlYRYyEAX|9|*@wCq2D+MoA%e&n#o^E)$&`S>Nb`Is1= zao(b1csgTd(zJ<b$(e4`PJVKk*}1L9X!04J^C@XM(HA!z$?05vL`V7Z5gp~v4QblK z_4{8}6~FIKhn$P|_0IS2zn@R8O*+<d!ux+#vG||=`#s_&dVGUkW0$t~>tqdvr`zv5 zc^b@kBSFydXf21C)szKAjo{LHp7(|usw`L6tAj42yCuE%(`t5sKg+W2nU|`3wQzhZ z&N;0!w=45%tjC5s_gKJ_fhLZJMOn1x`d--Kx8c-Q7FTPQ)pwl({unly#wlo6W~;Sr zzQ)vasakN&Wa!>`%jzpvCf+M*`jjq|Q()+LbTy}0lyksOy(U*V)fHB15joG&W`uqH z$>Ob}xT2EdV9;rftobe*&UiKLTFo&nTj<LE)`V7Tb;yw+hufF;O_`^fVUsMjZ0jjL z7T5185pNZm9#uA(`l*1=Fa@30H7Q~4RhHE2f@{nbH2#|$WRuSCdl+(OUczEq4z2w& z6DBG{YqE89DX%=<lr+6EZ2}(w<J`3Lox+O00tb!!1+UySXv@?E9RYKfGwg(biA__& zQhs3*yWWJU`hp^R=Ok>E7ZBM$H=*}Fbl`gO;+4v}x0x<$?bU^s+J`w$)psN`8#x}; z7s@G-bbR_=Sf@zZ@#=j+oo_0RUwOA3DVR3HH^A6)!<9Ogt^CRn&vroT|J}>|WKY&M zF8Bx9Xx_iz;aV2$ubfi$jvG$Zb9Uuz6+OQGtxrHre3R)tzkp8>O<VmGHDXmGo(nZ? zG8b60ecFOQ`J84I&{ND~{+z!uF)%0FXZ0sPmhL5-tM>IKY)s}@RX-=8vzQ~Rt~X)j zYmTgPj%homFL;;Ca{BM=1^?FElsLy_Eo5@1J7MW|Arrf<gsGQcJ=o35`mXHr3iu<{ zWLoDG@F}lptDLIFUz>wg#@i2WIi<z{zMNQ>MOsIB#Sg=#-Y}t%e_RKZ!UaSA8Xiot zZF*I&7V!vH#}-e{1zpW1c;(*Ygqh8puby#E`>o;l7j#>#k>g=)$V$9Ymeqec7rZcL zS?&5*!tdiwmh3%k3!XHB4@dsR(p2g<-vP3>ulnJYiT6ZVp5A7WzT+71v7bfS)-|AV z@mqtnuFnKQYE2I&u?vLMiystnZJIS-E#ehZ)2->kI>pA0cW-loTSS5fxz^A6{HXxk zzqYwk7Q~XU^e%_gd;blmtU0^n{5RZM&e1jBe}h}|f+xN#*>~LnemORk&QsA?{kC%I z-kU5>%N1`V*f(`qHud%?ulVEH<a%y4*llk@uI7U_(0K&>6=(6baR~UF&*JU+EGcU1 zcBP0kL&v+doYSh=9seeChW%i1Jgjxl>%Kxp4f8=Qdv)*@)p}Kpee)7}b;}^@Hj6GU z;W!YL*>tI0XiYJX<ITyO*R0zY{JG9)wxe&sqw^eQyQVMrG$CQ4IOnQ7JO1))P!%2T zzu`zw)2#RE5m~H`Z#Q#HTj>v7xA}e6N@Z}%Xm&#DegTu3c?nC;K1+`36=qqzr*A>V zw1lnS1x4chHXM7-;T7kz;aVum((3|iE-P#N<~Ue&UU15Nlg5WR2KkV-W=zf7D}wBc zn?apn(1G($RK$t`)^0Fh-xvz2*Fe|I6moN0X8Z%)SPB{;y#?C1JyVjqAh75HXgu^u z5NH{^0(Wsh1?Xt_d7xuiK*z)!6W?UOKJ~p%*Mqs>jq@Gr=KWgHd}}>uc>lm58IylU zo%kk6zX#o8x)yY3>R!;zW0noAGAZ}Z9+LUe1sdL$1P$*qf|l&hZnc!Sd&Y_H)c&MI zJ|)m`^RMh9XEfhv<1Q>N3g`z-Sl@-unP=?Fe6^S_$Q`tR`=ibo$7i5x=s+6>PSkd{ z%6!RBO622;6)Q5RnE)DIXl|A1*$O&z7jiI5Y~+mQTcB$DPXVZ9<0w`%K?k&G475b? zml0^&++%p3`Henk?-%5{+PSTk5-y+(YYRYY><ywoj?GU<<Wp+jIHCC!J7~y!B`8EI zK`jMMZp#eN8U0t*f~Fuqv+R{ktuiH`iT9nl<<IU~y#V)ve}e`bz+)Q*HYK2abD&dX z>_AHv=A3roTQnUsU-B9hprG65cJzbJBnIt51f4Tml4A(ENJtEH3oK|5Jzo=a9^TnQ zGCZJN^^b1qoH@ASoD<)v>!7`8=iokiWg9GCDp1|iDsu%i+b*<u(he`n3_Z|#`09-l zn#-oOTAq+O>cqF}G-xFr=qj9gL+;{$9kW_xctA7uOLv1NAOu0j;en1Tn7JFY5ir*d zbaWr+MB;Y1hbF|BeGO!XTvw~gU3?)Dv}Vv3bjlz%Xer*wLoz&|w&YPzKmTG+Y~j?R zfP0OgQwC2u@vQ=#|GUwK+j7PH6Nh9}&VkxApi`c%Oa&bT0J`sH&1~4dh6}Rby&9RI zQyVMHW0qQ0{DdsJXW=`-3##jDA&c(+#H@@+TcR5|E79)a9O1de7SCOtGutJnO^n>+ z<GT6C7T49P(<F7}H=NOkc8+$N$vfZB_~e<0(@MrikDt&nJbl8*FnIID_vPniXV>4c zeqMU-{{5ZnU;qC5SubbnXSIpp|BeRV{`VeS)j#|nw#g%<Io#(k<X}Ai3qK;7Lj6=V zcFjrHD&_d`DogeqpMWCSgHioLQ|j6h=JKYmpRfPp>eRWO8}8*aeUfbA?VIUPz4)Y^ z6Ni12P3o%?8Iq1qyE(*mPFnD)m!*5H>WY5`2ZfZIW^LnMw)M(1maXZ6I-5Hdyt~M9 zdag^rexD78Siu*`m)JT!-pvtKSpe;hy|^6go+W+e;FhlQ3K7bl0Y!=jqud3j*iB5B zyObq0Twu-iNeljLWs$z)8L-iR!^L2hsqtzNDc!M_xuxz+N5up}?3)rgVe8eN-3)dQ z3I!L~o7)z=lVv%5*FE6BXOn20N`{1YVw85S|Ah*>gIeu^BDReQpdG*V^Amd43r*S6 zmavx3@ntpVwMy7(@HgDS?p3qjwn0|nfi}=NZMgW6WvVwz_Z;_tui7l#vAzL+-C4Zv zI0t<0XYsc652(I;*Kkg%5KHNEA)Rsq$G?v`!hU>!^u{VH-d&k^X*#ErIcL~UMaPSB z2eaxGH2%pPlv+Qp8`6cn%i$&OvB7WNf;TT&Ue9$7_~*?c9pf7Ck)1{Qu5ZB4?=0Om z*3hwQ(_QS#w%)kLa<yDFBFPYZv3RKzcmZOJQ$V@t!J^!zU#~gBe#kl=PUi@_l_A%5 z8MJ14w}8mq{)DaN0wVfCYd(T@m4Umkk5($L)#miN?*d+k*DtWfTuI~qDqGN+X_XZ_ zj1RhXb7<|Kn=o-Q=c>9n2^+aNSDAB6+tIk-*?Ep>RUHeyU1m9*@$VP3n|N0eeEv)C zf`3j;qD3sc{wfhKlUaJh1=f7xZCZ3)aLu>irbqk&Yd(rMO`2%3e$!S~$Cq<Cu0=Wo zeDi4fI!$28e~p7|SHbHxZmjHzpKoOZY6z;cbl>q0_<EkDd#_8t->=n$e~$36m|kY_ zmh}tx&C-;5PH9E8-NB-G<rVe32f6IkGAi^BdO0^8(-&CtX%}nFNyZlMzC3eHrVY2| zu^i=Bjd;A5MfJQ&#A}<TOQlVv>(n%=tq)dx7nt&2_#oSP;gFiQ_x79$Y+CS1j-@+= zbJf1~gpI}=tLkSZbe@J@Lci+g+?C2JZ*ydQ=a^PGXTiH-meYIF6qkk8OE-y@sb^Fu zKn@kKI;bVuv}n4}ns2#HkG2b~`RLs=sb6r-R~^TfzTXZOT)PPxrU$J;$jN%;0Xn3( zTrj6V3UYM<r{mLnL7gIQ$E)!II%Wzh{_!`dn#HwbUab*67z8>I&waz0jVz_zET?NH zE%<koMZ2aLQoGvh$$aJU@;OVdykf-D_bjgFcf>D8>G}oKm>rb*Ei}cZEn)3sPA`4s zi04jCo5BUwlrua2)H}$=FBtMi`k>pX1dFNnJvN+J18rrl{_P{%DeD{Xmzl+T3TIYb zW5UW%$dLkiO}qF7z()#9{A;jQ^q#|o0`;b;-_$Z{R1RvrSJ&7zC4nz%e!3$2N6@zI z#ip7~)f*WORToa!Q~YW%U)1-_6PmvSgF3;gVnq|~*3`!}H{as}ZSevfif1vWRYrsx zG{ma|TH7WAnpmIHDigB*{2`emprgsZ-Un@*0!=D^w%0l1sI^VPT%G;xThIxre?Sx2 z;C<3}ok2Ixe76Jj*e8S5;Z19m;Q?LM{<0dh$;%pa^Bga?Wry9tLo!$XfexgdE!(i# zguOQxcJ;|AP*)vPpFigjE1K|^hr9T~?w(eeC;LwylHth%mH6O`S{M9y4H_}G1=ViJ zpr!CH8+UkFuGj~fmpGfM$o{oCVn*|kLeRB0pcQ$gdfb*7@u1^)W`Rz71)X*CTa?@K zg+1sv9#A8tZMICyY-9GNprP?X(0#8mptbC)!3V+5nh$Ezu!Gi)$$(l5u3|+K_H?yc zX52mG#CNG2bbKx&x8;d{lUprc<klFh3*!3}4H}CEt)tsv&s}U_HLX=<3TQjK(&@+( zj$4l%k~tE#c|!9q(B-{JcR|%MIPlK8g97g>54UAT{*0rcvzpI<Zh)P8_K?gIBT(~; zhr2kyqP10q2Xv|5(r!>43_8`QNEx(XKQ)mriyt(u-_dHBaYJ#3rKLyS$wM+*(%^>` zfOoTl2T$I3g7zC|gZ4|Zg7!;_f)2$ye@LbWw0!O<Xl>$&%Q{aM>^it`hgY${?1N5x zuRKA^8Yh7I>Y%&gK_~8f3le*#uo={#0gZv|%#J+a_}@?L*@6q8p%ZW%CH%Mox=a^z zD*xlN{Et(uSG)m@DshA6b_8{vDC}!#wal0UIy)CMOHpdcZRxQ-5p;*ph6&AYYIV*y zzV{R>novKn)l%XJ-|@329Sf^LO8`JO6oanZx%R*D{+0g!OwC#XprQL)&<*sJdk^zn z3fdH`&U<poY|l+9zUq>NZ!FFk9zSToS1iM`^r@Ql%F97UJEc{FFL|kZUn<(I;ybBy zlG@2TrD`ingUYOy8r*+hYWw})-@<dHa@Rk9-dp$n`}5DwJ7r1_^qlav-x?Xc_fNRW z`sx2FuHKS%QF^`ii$80?rtpPTx1NMBh($XF{N-v=4HLTZMnLDQg5%q(ET`8fuh{*v zas8if58qF`J!`?gJQnRY?iUIK4|=IK9eXagrpVIq;%m;Z-OUSrXf>J61Fs!!ikuQ- zUAkoJNg1EjB~1x)V>wT~^WJdJsp*rtaL$G~3mz?Hkv8Sjy6?8ZqkqAx$t>M_9Rg|> zHyg}R-KMf)C-=di-vU?83F~YI9f$@h@ZRkVb}teazOuhBp*5OA>Yo3GOOIK4=c`Ak z&N{rM>zq<VZhF(B&79XF90H0o4@Nx~n6j@UVQx0(scoFscK0v%lguK$271-IxcQ9k z%v_Vn3qJL+bieTp_$ttJw2S5Hd8LR)PEE7o)gxY|HQo9yr1M$B@vh*(qV<*%hga@r zJ;?Q5DPxEDK`(Y;(0Z^_>d+2<Uz|bdl4}YYb;1Xw?A0}XavY4RSJhZs2|2y=?!1J( zww$M)bAZ=_3A0Gg^$+-&&C-3xBj9T~OSi30z+Zh9Zx_Bw=oz7T`x1j#5>~$D$a?R% z;fzevE_Y#_?}bgjLYqYQd0qIx)-<)w>%tGkrqF*r0bg93uEyERm#_Y@jU{^tr<a_= zhHHT=Oa0X&(m{8#Yd3%nf3YlGvVGEmKmHtM6%!Ubnvk%#lx1rCc81GQDbh`=-m6CB z)Hl6y7tkrxay)xpKqpjvMXl{YqjI4uv925L%;$KuUNz$3)^_k#_sSVFL>MDp+OmKy z_<35;v}n1&8qkpf(*@Uj%x#*qU3kq^WsScg2d(r4rrfnSzxqwCXTZO^EYfeB13nrw z^-dEEStp=Vbdm+MCt^cz=zh~ul`?I|uknI9A6XpF)(h#FJ~r@K{Y|GSHHf7&U0A1F z19Bu@7kJvacJhM4*$GqQg+ycp)_mh{dL*rPq~Kb`XGn9&a_8kM6R+K4S^8XfO|Xha zwb{WcaiJ;y*$%Rq3y1t+J?Pdi6!OpdpweskzRb{GVV!Nvj$iqObPW5!2P4n*4EW8| zl)7#vxLd8av-<Lti80d`{8MJp{?of)p;%&+_TJ6~AHT7zu4!2CBBtqTpQ=WjTEt^+ z7S;7?5wG2vE`1kR^Vz`h=5vl~-7<|2r_?JSWV;RBH{bn!zLn7|=YXFQO|It@R{W}L zI=W72#XY5nM|n-N_A5ucifp>2FQl{i=0utOyLAs1y;ojQ&v1~7wW)2n@R~1{O~=B8 z)_mFvty~wE`^v8TJ8i)aW0vec?F&-gX!vBW&E@cV@3-OHKbF*fm5BEtO`qng-dNxl z@NqYb^j*(@pXMyxYt&Z!%5OSqrkh}Kb-PkT(sporDW=HSx>RLr$AWK~ET`wV2Yfeb zdb-a!;D1?@Xr1qc51LI=kFoTc+euxHdYa4PTCN)LR+;6fxX_wHA@I!wu}%TIJU5(M z3!RZmm!DyEsk&*wpZy$WGYWZ@g??PiBE1xHKC+GDRbfss>*))AP3N4pqfdeTto&vJ z_LZPL&eaDF$)wB!oyWo}o}Bzl;ky{96VwT+dozMqrsp!YOn;kTQ4i`@s)N>UgQlgI z9&_Sb1nLuRl?R=50xD`B_k#{lZ3ErRQm?Zvi0=~Ur2BKA*1_z=M7}CezxZDTsH3h1 z>Zo_NT1vzocH%o_pOVO@^c^(f3ptSGUm|E7fU;Ooz;@LgUd0n`G_}f@+&_6pX34iC zMfSHlb<Q}ZadKO}m<H-tii4^Q&@H(in8k_=_Oyfg>7bQZ^Fhl1Udy)6p4|N6na&wU z-IGpyk3ieDdz(RH*x>zG9(tgGhHH{KPZVnHxQj3B>}r)s0ku`WfOfL~wBs%|sF>C& zBl7?FA(<XC>v_}PF8Bi)8sEguZMj13#37j@plyI(L375PTA&gA)>cao(4oJ#$~PLY z&uj--1l|T%G9R=D;7)zy2}k>|BcZdJ58aMDaj>8qG;bXUI$>~9tL2J0pa2Cen7btk zs@Ep9T4t1h)(Sd;HWtikm05BfG@$S6f8gv%$1>3E!P}*|El<2Zen^H#%(n}=vLQgn z7c^XM1Dau<(`wlPTAaA596m^6!d*Ne;<OXrtl2uCwm}GJ6+n4vBA?N3&{a21pjCKA zprd%w6ZyD6N7E*P+Fy5%Iq@Ci-(bK#RUI^N0``#$crW`cH}ywaPZjooy5%=oTV+(f zCnoYmRf1N-A3G#daxPVo{bo1l9=}qZCkpkT{RBJax5|is4hM8Qzj;D)QHf;UIT;sF z^Wqigpurr_9Si#41Cv2Efg>qn9_SFImE9319DjqZ^Shj;$bJ{Jv;Gd~D&zfat(F=& z1w!kB_}W0J?Gk8Z{T$Fme(f73G-pg~wOldxs1sk@zyF{adUlh#*cBCwsfcR+s9%@j z+)k5MC1px$TQ0ubGHK1_mnJISd1aHLiZ(yCICrPNSf*I!9Ow#Lw@ZsAYi6p>{0(Xk z1bJV&vu%lw(x!d)Ust`W{r6)o<eu7Jd+*ObKY#y^S<2}yaX-UvSKWqON8bs*j{fl` zPmzX6p#5{|jxXCeu1WeX*n9BFVlIV08D)PL$Nddu+HlXO>C<iD9E1J^kL*~aW!(aP zRy4VuQ(SRNA>vI`)2ng;osSBRXP0wMyL3^)@2xJ!w3*HU<$4E;ESr9{3$57zs;!+l z%2qvGwNiO$H>Xs*^M+HoEUxkj5pO-49!(Tlw)NOE7S(j&HCwG6L6^<wC~54TlCW3H z@h3N@*^XJ@3u^EB2mCB-a*dk>Esb}*OzM-L?HXX~yWt2^)2wy@ovkekzIipJUK6?! z>%QTRFU!~W$`Oek7e25yO*OM*JDj;k_Mp~o0g<`>8*VwW92FN{vk}xucjlNrXN|vX zW~^(#FSn-BcdFnU#m@^*ssAhFlikeXc=Wpv_(t*b0y;$|j#n>pitTP#@T(l$cTMY0 zSn1A@W$(V>jN9>jRx#kQ;hnqBF5x&(^j>kre)WS~^VKpcSPpuLHXVB|yyjDG)1vFb zYrc6mJ>nN$^U=R)lJT@pTG7$MQ~U(iY-V@7`IqyW^_&HNG+CtQn1fa!uvmwFj1G2p z%WXQfUm+sJA>gY#OLuOC%(BqGzAWBvJOVy9vv|LC57_Oo;fyCs>2(2}@4ijHt_!aD zP~6nUFSzDQdDF4i`iBd`I-&c(WbP)u@_5V4a`d}u#N*E_s{RTQuVtDpO&3~Itm}AF z?_d?b(3Jnu2idfeqeSm}Y`7@Xbn3l&L`r_ss`<(hYkUI!<}|75L0e4b`|V3rO06B= zvU5zU>{^ibq{1hAr@6qD`Mw(t{pOI;QvuIAPZwHKB<6Urn<Fe+P-Oqqgx>o?Q)-$L z)=uZ}$}eMD{`L$sMlE;WzB2J1Q`0BbCf;cRAvTi}7H?&ly5D`niL)%B_0Ah^B(q%o z@4Ug~jf>CfXVIL~Kr8WTno{}HR_vaUu+y01)pgFWAMYR)e`UqvD-$oR=ajPd+Hh(= zXIEa0(6X(!wy_*7SBp^g4*2BIw6#x7WAB`Vx!fG5;ygFp<7IhT@4DfjGK=VO7U|p) zqqg9mx-8vqU{mT}m4n?u1N!!p5;`w)WYx7MtW<+sRNKDb-C@Xy0zJW?-mA|A1J@0g z+Bv}k`O`VN=6ONR5^&sbtRFhFbaMCdzAbDlOXsUbq#q78T)Qb+aLsmQ$DjOyIUD35 z^EX0{Po)kjO&1RND|j%eTrlK6Gx%zs8ei7Kky+kNx2y$pCc~N~yWd}#ct@P$)qIBy zhjy~?>Z?Y)Y-j1+&9eH>yag{h5=^amFKgLNPUtNcm@>zG1E@8`uN<-5C!oyqVAXVi zDgPM{vTcV>EOqanZDmx|wcu0L^5m%Q9LE4t&kZ+XnqJLUh<FsxH0!va&epC4-&|Qv z$2bRk4{Lh*i{<Nlg@}jyS$NH(&t-b&t3z(~fz6TZ%6R4RHlpcKXp`wa$AC{+O`v;f zYwZupl*obBmy1WvXpYNa6;omVxgFH81l>IMF&WfXPY^2#umf!XUz4K9esw))78tap z>t13apU`*Tt_Q4<3=g@BF8l$_VK0dT9WmE?9<<Z!Oc`iBv@z(AIStSO_9qU>h<NEd zN!T%`)l#Fj7j!>bN+O?@eX=6^MbM7-Tk)V(0H7s%A9qa!^)1yvx1IQbwpfdUE}TmU zg002=wqj+!)r+~uocKP)gAQ=>1<kH&g3iNhZ<U$y{|x9nJkXV@*XMPvHeuhG3t9tk zSLcjllD}Bdg)^X5jWVdZ2oZaxPz*Z%F7DtVnJ0CitL#A??N)nub!kvj25Qt?)LIwB zcMR0uo(j5q_JthiHn(8Vk?R(qBiCoP%BY-APUMT4zIj4(3Fze9JD@S>`jkYzs`H>F z@NDT1pPf0F;4M}ZP@~RWY;X#694+WPe2+r7pS~Vh*>BacHc^rN>uJ!i0w{<pK}XS6 zb+pQCaR)VO{)X=GvV3t4v}^7*=mdKkv1bc5fTl|1jvbPj0y+cu@l~BOj!O^1T3+BY z`#|l3kKleecmTk2ZtrRn_O-eZCmgHzKnwRzJMnF@1PzyKa9f@Lt&W6TB9jDKhRMrq zsd4|<AsH9Y-SbyLE{;es&GX}XH5)Wfa#QEYf}Pgf#S@}VIPu*Ajhmmj<SA$q1GeYx zt1IrZPxL@X{DS8A4}nf$s;~#088oL=rU$epKx_8A!>7vx=7QEWUIH~~8d@zgWDYs; zP4WgsycejMaQcu;3Ft(?H=rW|-rI>4O#q$5-z*MlI)STyjl3c~@IpM$$wuIXcr{{0 z0k$2jmL0ljitJxSK`TYiIq}T`t&m**57e8V&e)T(W&^02ho5=t9qFyS`G|Adw9d@# zX=>9n)6}L(rmD#qoe7D`PE5NP)iuj?S)b+HinMdg^ERIGh(2tj+`r|FM)cyXJjUGT zXGGrmP`U2)*}eALz!%wkzFk|t|9Ae%sp;o?_WW7B?d!Jx|CJ|&t4yi_?VZp0uxaJq zEFso}neH5~?EE*}Io5rx-rQnQT~k79EQi!P_YIdGvh+R|UQ_gn<(BlmPv*P|e>PrR z8tk5RT|gw>af4g`f+to@Tjwcj*sgBNT)Uaq@unrq>$lzk{{otLp9zMn5da^8a+Rg~ zj$gpnx~8MoghD{qU(D>j4n3FV>{@kKhG|}cSMIeZ%yi~>bxt+nol4WMZqBeBlNKb- zPFPyaDYafP;;ClSBI&t@SFg$S1P?P-3xiK`SAsMOAoawXkeg3~-K(|>P1!#?q4_F{ z=zN768OMZtRu|1lm^hbn)jQV>Hyl~6_NzuDF*=^z%mKbNQ>-a<7fY$UTEx3}manCH zZJQ5CLkr`>vdjCX-1EO+;lAP2bB?ZQoMFG^96z4sxc0-&@#JofYrky4X9{%AY0sQ` zw<lq*EXS$+{Rz#?oLY6$5*7<{YSm9qm<T(|XWh1CeMjD@toUc$q$;Pp;%9u*QnT1o zA8+3l*4b?Bc$b-Dnzq0d`^JRU_W~w+CL}DC=9IecxZzYjXP2GVhFkR<UB}NIFSu55 z8d8Z_?!0|v;<a{8FL}=m=RUHe`m0B*_Y3$})x;Yn6!M4npj*E{$UpOgO0%zkuJsYp z*{1FIRp+3PyTFw{LI;DE3toxy+Hhtov>#R;?jw8h@2my?teQlRvGAtHHe{~-lGb#r zm?P|`faAr(9AUqe96ts_4hNo`u=X~mm%RgcB>%k78uQOiJj?&g<}mYs^<L%YSQ%Nh zEchhOA!a#q!7EFa?pW7=zsFg;@3;pPJ8ZaenPsc_E{@AlY1&P@Hgit<%>Y@6XXALd zl|}n1hm^j0#8Y>u)7;<Bv<mty?D$cg^IFB#qcg%z>T+DWHFH5pTf*FCj#F~(8$hjs zbm5#0JqsT3bDF&p3i+peP)WIIRT*d7<{QsguHN_CaAY2IZRE4#%lnq}DXxfBi+JYK zv}?Mc&UfafU*&>pKCm~n^$V}ra&YO4u*YXP!aRjU?lvcE?H3fWp9P-1v1v|N>&@YH z-)F<QxlpI2{|<Jq>KB~yU;ZH5?6_keyYrQAWRy3Zl2?s*qS3S}UNK^gSHNGTCe=Kp z6+exemdYuu_^WZyNMGnmuc^VDr}w-9>dl)(?TkQ8xfX9ZIm^-|Us9WnEf-!>#OQcY znj`GBfJps>gx>vvQ)(I$*7{CMj9R)~IU+s0Y141vHRUXhKZOpmEf);2nGe3=@&A;B ziJ?%Zh5xrIRrzM)`1N{BU#9Lvg%v;Z;7jp7bH1AAwBb%A%h%Z~;2Un3S+xJQF8H{a zW%Zsa%eLSW>87jqT)~SvK0$-+$)1(U;PHILi04{Oo3;zCDVKNrX)m1f!Nl?C3(*<Z z+@b|T>eLS^{T2xMD{?TYm~&PAWbkU&^$HQId;@;VHKksI?l`<tTlC5!@36MvTA44~ z6B7Bj-X|!s9|n!{{!#(0#5)dJi3h4OKn3`%r=VI*8+2?N=t#76X^DJM%3?(m<`s(O zos%gsOIKvS30fF+Zysn?ofFhqKeL2m-cnWpJ8$-*>-|BUOCPbK0MLG@iJ*P&U#IJw zaeR{q+B65M%r0C7%>wUhY_;@w3#v3gcfQY*;kJAs2O5Ficg%_JSNaA6_EvAu3HxcF z{x7#!k-<CA{cZK28DH=SK!@8b@SZtaZp)7MpcMf3PCM~UV&7!Iz7}-i`!5%<XA6Q; zVSP(qkV}ijo+W^m)@%F&&93h~0lJrVcU$>ug-y2HmMfNl765?G+xaI6no~y{nsYYa zzxImbEAZuLjjfg^?i_UD+XX(61$0Bp{sV_(MB=J-L7hv`ZM{psg9bnT>6~$VdRga* zLX{17almgeZp)7AppAW?KJHFXuYGNjBKu3w{_%64;j7CwNyoRZHeuh(0_w6KIwZsM zEm@KM=;6p2%@;xSncY;-YWP$|_N$;1Zf}4V$iD|2!~<$1{FLD?o-nJ_HqVdm)@{%> zG|-g5Zhh|J3D#3uWxjy+q_-kAHXc?5t!dmft5v2aA2bB=9dtWwl-RQc-*~t!JI?Q6 z1TDe?-PM}{ntXT*Uxc?4v<Od#yZA!%87IC^;h+t3X55w<_f8*@=>Z+3{|Pkdld@R) z`u&&>1>hloknMA1IJt_U2jYR+3(b)y95<ghB(nsxmf)KbXlQ>9=)?lhoy6s!1`T+e zhK1Ww;@pnT{~WSY9)b4P3v(9--0W_Zap4D@t8jeNgytVipo4R-LFP1Uye&QSX8&5z zd`(y9iNf8~L_RCf6vfy72lHQTpUxm(|8pCts?XdoJ5{p%<A?o%!5gKrTNSu8yIBHz zG=x$N7kM0rTHN8{(xW3JsMz7*(vq;Y#g$V@LNHh0Xoth)X{sV#!5XS>R^~XmC?)YG z<+>c%pe1y_{Mo6y{L78^@0@q$&iBuAK0iEja$UTM@~2PxE3(f0|JVIQv@_*@zG}CN zS;fsY%dcq%GMvhH+Hmk2i|BWS8yfBbKMR^%PqBoaSBl6{bo{Ey;=M*~#ZQ5QL9aQo z+{KbDPM?_vnwbB=r699^_uVTK@8q$3eJ;4BK*RB{Fh`hGGx$LFEgW5-4Zn`y!(ToM zIi8I4wy4XFZo0HvXpQmo1v!lgdmnS2n(w#aU@Np-c{DZH9kh1(zW;_3!YrZj3K2Tn zL@uw|XL?X*x4@M<{Ru0bIkM#4!Q1Cbo1W^auh`#|&|1wYwN5qSrDfAG<MoFM!e+uQ zpDlU5QhBS6<Hu&sYZlWMJgI5gs;8h)D|yiBH0P;xiV^P%n?5Bs@tW<Ey1Z(K>OnVa z!H|C(2bI_bL;i9dOj<4&@?Y_w&{^mx=jUH5l~-1CWZAoIIAhFGnjX`fsrx;w>6d1c zsGZM+4-QRJ_xW7-A<`6D=MeBEsOjoDWsN%VgHp^*ll+C(e0`N|IOo!A;We8dL)WU^ z+`Fvr3!j3<f7K>lKeZJR$~RsJHJ!RHq*KK1c-3A&=bMP**YK@|YgNsZSN!B_T580y z^}Bk+GmoZS>Vi7oYny(F3$H2o3aR-kZ_Kp{`ep0*_$$lm8>KVCF8XqYRa-lLwB@|^ zgT?WrGRHM7;VE{r6Xv$Eq)r!FvwhZrKkqrrBHRNCn^PsuxozguQs)%ASqoho@#^^U zz9W3fkbBrvR{RWZS}G=dCC+t&&-?}NCbOLW+rHr6n%9<f4|7>~%T>Yi?A$D%v->lq zCTyKAAQJDg;g~NpI-f8HyGQv8OsSigF!u)UjIf)z9M^8QLXHz?SpYh_AGCY!I7@eo zW58DD4L538uKsu0aO5+KY5aDX!;x9qO}DItbvD0;2HM@`<$X`QSiU|NUh_e@scpL8 zni6Hl$EGZ+|IA$QVl&I?z2Dq?R#!A7^nMqZa;H6EZ8L|Lyz_>0nJlU2)g#t>2K-}d z;(Z4ljS&BDQkwFG$MNa)H3znc-E3O$YB#6Y?b!>e<|TA4=FFPswc$!7%T|5Wh%`yZ zySq82{Z@ATyPPwuqs(AV+ic-AU-X)eRST~91ls2w#_({`Vs~HJm9^aqe(VIF-k&l% zVXZZXm%Z19bHOaB=24xQYqv`}{wx>DnV`7hhh|f6pUR3qzTiQzU&T#F*D0>3lRhZa z?*ln%VD{pb$}4&E4{gcH_u6oVkEQgxO2oU#EMNN-A|6V!@SazTSm_A9m3O<4NbQV- zt^0*VuAh}SCzY?HvD5Zo)NbJ^=LFXj-++eNP2uHzU(Ts${5Njm6%!8G)0(i@&hhDf z!MK0Em$ZCVzmnz@yWO|o*Kf{gGu#6{bF+BQbqLt)wc(5_OR2b^PPwt;Uv`eLAFrWl z?Z=}l6E8{UJ^b-Fmm|zmSY)pEhFi}$yX5^h94qJaiu2fTO_XJ6xX_wndB>ZI2dls% zV_7`u&1*Td_Vp($uH`HW_y?L-x6BJ-xxRqmP<BDU-*Z>mtvarPPI)y4b%I$zi}0qk zT6(MnonH=G7Io%KbfJFH1>X$@>^r}McB0vV?nc|J^CY1H+)gm#wv?EE{*X*a-B~BT zMW-WYG)MKf${YcW(@OmZos$BdidEQIC?1~47Ztr>Lh~0t(8;9vu-SEM(1tW^&_a07 z$nzi2U1L$locK<Gy4w?vN1Sl{8n1K4G3QwB4ok}w`$5CxOF)PAfo@HI<pA2=1-e9c zj*(_lJ9xHyLG`;U?N%rLf^I0i4RWfVSdl@^6wuiI<W`v;^IfvxnS5ILpmk%iphbA> zplNjmv1bbcQxw@xf^KlV23jas%Ly9PZ)=rF$xlz@tLg`J)$Jo@G#_j$-&0h4@G#g{ z3nb=)sxgCGjjb|M><=80aru_6$bMCSlL7n2$)JISYS1?OB(b6kpy_&#(#R8zzd?He zH_vO8DJfOo&nf%FEK!mD?_1D{eL2ucc*&rX@IXfqcY<09;0^k$0yf_K7h8knUoF_y z0y^CYbar1m=;n3M-ukXPt*fUrf5{PhwqP^pCi{2C4#|80E!Y3E5EP^=VnqQq&7j#5 z(B1-F&_ac-R+$j_ltjKH&?S7oKnDRNUAz0IQ`+H2fvm~)xgZZsxHYv^X3O(U2JADL zK<%&dhh(0BF2VcD4RYvI&^XPcR!fb$=biYDIfKqD038Ex?A^ibD=k-m&Yph+I+m~Z zFK7lr0CZY`47a5RXlUN*tEb?<BfJU~(~2hSt$F1v`vtU2@Xub*8US5xOAXLD3_WSz zKnEVqI}Ey<HcgTJst$Ltfc1=4nJfLE)9XJ+o^brkB=$_9RFc~=19bkc5$M!{?}cK| z6wK2U+5h%l2Q3CnJPjIb0G(~H{!iomE7SiorOSJSfGhhg(W#>CAHVFE3=-^(P>h(^ zppm%2&4qK3X;|9=4#qXUqJ~{l4WwLEl8%PBC@ZyjDlhVNHBj=}z{Kd%l+mjp^vuA_ zM>W99d97(jhlfS_TJ_&`JIdGIue!H+)A!2ve@nP;-?K9Q^eEhB>)rqLpnddQ`q3wq zCQ9nhYTNC*h+)kZeaFYGEURVQ14=j#W<3`Y$roBvsOxw#n&Vp4j0IoVno85|eY;e1 z&^^3Ny6I~W%hT^_Hxd{fk0x`NS+y?sbd#k!#-{O`n*Mu}h7Zl5>*rYs?Vh>dS3^SQ zR~A$7p7$&>@DZkGlvh~KPuRJb<CVTz#6q_VADo(|u2agW5k9EJFC-G{zTp;V&->Bs zY7xoTp%tx>ap{s$4ab+toYyR8E_gGO<+ZM|Mm_66w%Dew@4`7-rY+cXw=+|Blc?j> zxtwCNy#xLxG^vUSUeOWODP?nfyOia$4s=9u=kBCe9`E9ser0on%_tOKcC|q4pqD4O z+%6Juyr|3(_FKsD<7LikKMcVqq5M*Id}(*kYBlGneGVJ$iIo@M`V-Azb_2S=Ddx|I zD-#177km=u6k90-9%`K~2p(!J7rau_l(6zSXV&pwGp-p~3tYL|oUoH$5PW{AzJQ5M zZ^BY}$Pp;#pk?w!>t%gc<kTT+@piFa)&iB=?^QJR+8?xP7o4IixTajo5p)Gz0jJ~9 z`@%UT+Kx|;bBI+=TkuNz{m}w3Z-J0{_Jcydp&jhm^0TcbS<PGUO{gjLobrm>DiQC> zS-$2gMm!X3YLn&&t2$acBMekvS6Vw>tmOzhEi7U`EunY4;1rwogtgtAUh&Qw&YgvJ z71QNsSzU_u53sAUU$*sNPE!}C7c1xZv|k83qp@BHyrFEqpw30L6+gq9mWBykiF4m@ zMvXtgqIA1j#JjaDUyWI`Yf_-=!fc9OdA!tyY$#g}*-)m>a#UU+BH1ONXfeCt+O6A^ zH1=|X55AFe+Hg;)=~KMgjR!nUUA#@b(}Y9*86Q;o4n1@rrnc&phmW@5+E?q9Ba+mc zX1!O8&~gv>&C!(Vr?jHl>R^$*;);69gIx7W88_4;UTQ)X<K1Fh-uupD!>!$%;3EZ= zLpRW$6kgu9WfjX(eT9hUMopW{h1QgdJN{JXG^?1m;8C{Rp)F>&8W$AJN|?yZv8rxT z!bV|^RiIAnXU?pDT?s3tIkN6MZa4#5Qu?kq*u7|doy6r;`)v<`S9#bU^qQ}b5u+6G zRGP*0HOuP1lNS8=%94G@EucvBVAOe`Dfj*e@}$pYalCn#^V;qH1)v*^Eb1Vk7W3!V zm5CQCS*F%IZa7iO5?amDZR-{AH=e~i=bOf|(9gXr-f!Iks^yzf#e}ZdPD|Ly<@mRl zBkYHz<Kb+MuwT}WkJ~xIem;k#wwe8jQLFbhE~w~A=;arf663Jp+C`S7{)!RLb(%Jb z3$D4Yrt#k#GEl^R&~5gbLj_v<=Os+EWC^|Rzu`tr(<|2|RWazO|Lps-ttRc7u;ANN zmeY4!0=_dgJ)NhxV*ka*Gp=zJHnr^*So6iM=~%nKnop5Ui`0eBIKJHt8awR)?em(} zDx<Pn=ZxcP&_F5ZobeKqn#|<~cZV`O++8~1Zc&kn#u>+ab+Mue^`O0ICqVbUrh`r> z^#q;vDhsMPK&PXDPMA3YDz$%sE`?9x<+jXloA+RSd-D|?v7!t2Cbn9hcmrCw4eD|4 z^apj^KsDLJ+mn@c>=1J((0!Uvaqx<>?35_bay(`3;((pATV-6rL1)<i2X)`X{6D2y zXY2tDn}1J9<cnh8Frm2wbVJ&l1E34%KxfT82dx`hA33A>Ag|7o1r?w{bE!=enm^S? z%xLz=G5!AteCzyGbKgFeR?CjJ2c7s{f$BS<cF<mX(6ItnGIgFThy~r?2b!mT_f_YN z<GV7kq6_h$tzMu-fo-$xkH$N(FMSI-4i7ZbJ}Fg^{o-NJx-roH?mr=*Rd|0r1^*od z-#R~GPs*!>d{LlNbH9Mj+Ib!*Rx|;0EdSoK*B*qPa;%FMdzP?4n%h$29jHqT>fwF@ z-KLkq3A*d%^dXrephbaoy4=MRcC@z2n8>Fk@&$o5(^WsaTv7Z?Ve{D~9D3`RIP}*w z=ViTG$X5h9HQ*npqg%kkZ7DJD&>@*A=Rn)iK%+FLu7ZXajJb;gq&G}x{!u0NY{ABt zQG2{BJ<fp!Y>Gi+`*NVM{qs(In?R=p>;)YS5TBgL$0qIvyLCQb56B)j^NkalKQ)6+ z50V8PUSM+wG-I+h@`Ph;xY#p=iHVBrXPqNwG+&tr+FxJ<I^t+@t4s-Kb>L3zh!c*W zC6glW(iPbcZ`C>Dcyivs*^`?;PSZK#nEKpPFeZjoATEae;?7|H(g0BXencE}bb%4K zr3dKxpDois>o7rQ1b~+KrMyo~<f{Tz^mWIq_IMQ=%mAIU?+Ln=N1WSIL;lDinLS_l z>w@q9V>dCG!coDPdb9e*tyJ0Lh2MUjJ88yman@k#g=tA?4_78mo0z$2n%lI_ZDN^f z)4<2#<@BE6*{mve_{^fMLYsTei115Bsx6a@RC~s0EbK2lx7h0C_ucEv<NsBZy)E7Q z{`bwld*A>47~}us!}2uuxSv<H-AcPFKXFgo&rgt@^i%h*s(Pftbs#BSC}jVPgidYs z3-e5GDz_x?o954pn)FKeN?lLF%&jb?&u#y{+HZH`O3`h>EBBidTK{rNiE)Hk&0Fx% zs3}xWMWc%KV3xU%$o|<0z3!Y|>l7ojzwz)b|5DRbD(0ggv5AS}*}^xAR;un*WqI1K zdLu#8@#tqxvnbDioz5Fh#Il6SD@Np)I)3e)cQjLXqpsuGyPVUaJOZi>4;ra9?MfHW zDVKBnE6SoBW8Ro>Lb1hJ?w;Y+C0_&_9~&Ojl3sHtQ`A>r%{FbvkAFF?Sxi~*#E>O> zu3tcv<v}aorcKv{z=xQ!bC^ZA1{9tvwwTkqO>M;<<AX}S{lG43k2&kF3tmKQ(xiG$ zb;VETrlso?SJX-$H2N-lrM4|$=L?DDq4nNPqW9b`6y!Hewe!00L!&8lpHILSnWn3C z4gq_7Hyo2!7vQmMDL8TR_p)hQ<|%2|zOI~e%_>@8%H7U{y*!RT`vr19SBmQk=9HL0 zw$Yh_JMd-tj$Z{23K_>AczC5o_h68<(3N}L2{W111$ZJETb$p?8E#ei?%MP#U2x3> zg^UW(gI@d9Givw`YW-K%SasE6?bSHvfExBD(|eu)M(!J~J!DzxuN3jz2XZ~Fs^ic5 zf;kuFI~Y6>IHV~4-+F7xmT3z<Ez|$>W8z!RRr{I~HZpUps-Kk5Da?@-#yM?Q$AWL7 zkm5S8>FGb`fO_78T(j34d}uPqe?!o$1s|1~LhTG26Hag`$P|6qu~K#GdO`5X?(>DF z>}gC`yPLy{pYvLzZ$R1O=><`*bG-xpy=9TsQCab$u&LLJW$J#f4IXnByz=J|+dXf= zuXv7W6%|YeCpdGo->Ip~^2|EC)ne@}&Zg8d<rTZ-4;INQuc+ra$Q7@cv13lcQh8yM zebW-A$~j(S=M1avTkzw~i8-bA?JUQ)AA8N|_0DO-wS6p0`4uCczu;tX4r4f29=OeD z>ymOk$Di_oIR(6qN9~1kO6(n<rgMtTv}Il%TB_Z2G>GNueeVrN4zifqJ8!tMl4a|8 z#fWD`O}lcNp8j(Q_;1%FI&U5%?D*3;mv6oFnnUWn%Z5|c99?oQ8=@vI_@T*?UDLhb zNh(WrtZ%@tFvvm=m4j8ryzRkuej5(<v54OH-EgsyWvadJh7%`+Ioj4R98wJ5Z@E>a zOw;jeynxO{)fGSa!FScJooTT))l7ZGZsvnU@0C`}SB`iX%);xh6!B7;rB`1u;^}i1 z*L=kY(A7rr>JiGD?yYX;Zj*7cc=9A|vJyjfu75z4e^V)+f=0E(!76ouDgUhwvWW|Z z<Ot@J2!s0ZI-evQuTJL>n{CcrzWUc}j%hcV7d&I<n5HFg#jY=5W-8cmO*2<jAG|W@ z&Uubk_Z>DIiszK#;|!~sxZvZl*N?Y^RVq7PWQAODB-CWO53>5TwCR#I=e3>l7QC6u z^4iuX;2&!fuUQXh6(`@$9cPzt9B|t$7;;WXXVZ)Yuby*)FODeZoEG6Yq4`-ps6YnY z4f|JtyLf`+<_XR3?%b@A=PSwwUD5)Y1wHgT;>5uZppMxWOR*w@b)eN*?|r&H)Tc5W z{5_#Lqc|&=ed~Qt<?&YMjN{|`poMv$0pGLpnn7L7YdU8f)j@OEzd>iOgT`(DZ3cBU zLqHSlW}u1oIju5N>dzjMamh<oWWUPDT`W)yI``!Ie9#qiow|tSS^G+}g4tK@1$B!R zK=bV)+?Fpuwb_#_ohJ+GB|(SyiGr?5J9S8A3TTo1F@Ml*zprKoLQgrCaDxV>#l(sP z&V!Eo1&!-InW%Hd@pXz=(F8ltM0<=CY)nAm_vw|svR`(AM*ICiqx~%0mKwL(c6b#V z+yXiF{uxl$9ds@LKj>Tl&;o$HXFz+tk`&p`f(Fx9f_Cfw76ZAFpWE_<-MK?DPttN) zW>0SZm!@;ZQPC21?)<@m^DBL2r`UmxzG(;D4j>$P!to2}Jee{>Zp)7NlZRwX^3oL9 zPlDDAR`q~Z=7El!tCaq{Nj7DBaw1<9=w6pU|3FvC8~~k*x7mPwaVqFsJkU`$JChJg z0*<Zpl|2GlYxvbYaz^tJDY2ppJx2?sK2tEA-zu{ObV|)k&=&pOEucFGPdoAb@{XL* zeCQ{v?_L^l;@}TIP~V*cw0b`+kuOU+w`um|<{#U1&NwE2_Y|~=0d=4GCUGw}E4={P zXn08#v@em3+w#P`<A-D*UH4K@%=3ZPE_Ae7O7upaaI9<wokcI;xnV-{t977(8+*_V zwNqLxJ!B3$@y%KeT4C`Nbc1qABA?N9_{z-}_w2T=Y`((`I=vBefbyA}pw0S+*GA50 zzH|;W)X&dd9B^{;gyt8Z`+wh>h!t&k_dV<K{OOFY!d{O-9ee16{kNUhmCmZn?Xz5N zQEK#D<hd8<>e)!QXN;S9wwi{eO_@2PUBmd~G|)9R4-Jii&*&I=p9!&1g`RnDvp{$8 znQ6T9pYH-4g1a3&Wxx3@Xg9rn-NR?nu5rJDZ&&U9|6lZ^wovDO$n|w8*LI`{u`YO` z%aU#D5b!Iosq~uQl-_gqKIpT{Z@p*J^l7(X4(I~<&2j(wSp{mGk18+k>j@JIv74MQ zk(*;xoa=^&*$aNvvUsmiUGY=qV9@4m2exFLSB*&HbiB)Ru&7=5O1$HSLoZo)&#Ong z<Z3!*F1)4)bf%VeL&3?5rorx6%1w`qw;$PZZH99|k>SCp-@;SudJ^V7Wl8l{j>s2v z{0Uk`Ef}(AcEaMTEK}#JMYt3j%vlvJptG%G!LNNR-cO)?va`a=`j+^quBeqeXf$2$ z%HD|yJFPiiedi3Tn6%(wRnt_n{^CC$oti?wscBSc9Lzc{C}Q85(5vNm(wp<zuEqsl zWLaL@faXuR6k>|Lb*@z2E6#apf0^F0tq1v7L@%>Q%Q^-8tYzt*;}h_;n58?`Dd6vJ z7VkTL0iVNJym>jZ_B(7ivn)E%qEuW!=X+JtFLvQIAMQa0CO&O2DP8g<vgsJ;DtB4P zvG(3gkNSnzeAI861iCu+nwP+oIJXVw99dHP6(ioOG<}+{c;kUmQ`dd98!y6|PT4lO z%0V_=>+Sq?ex>rp-tEV>tjc!=?|#l#i+GjMbW2@GCs=U>_*QWx$hB+=kZai#GGylV zKU}lP)bXOo!K{2GjXIHoQu6SlOlMhL+SRb&%T<=wTR2bM^WShU3UZX`HM1FEN7r(g zML7lh>}BbeaSYh%vEha!<Xn`gET;7i8?NkS*?L_-=kptAZ}INT+euNUk8*;BY##Em z@bW81ykutS^;eE~+RfssuO9LCH_Or8EZKkh7CbR#$<}OqIOX1?gt@LPso#|&^xXsg z{biA!V+EPR)~ic=b>f9l)2aK)5l{4*R_#}fc%#|$YT{diwW?{tSMGEstgPk8lK0wh z<|E{epU*5`^;IJlx?cFe*EF@x`N9v*rqF+|9f&2HS!MQbQ+E8gm*ZN6wBt#pgHh_j zQ|zWE%=P9t73aU<-dmQZ^}ZVpPFV1$p2O@`Nj1;%Pv2O&-*^UmUCYuf>mBfSF^l&c z(Cm<6i+A3&<$X)mDTCXC{K8ji=OpZ`7rt^oh21B+^(}{#o^phy_k|x4O`Zbg>O zu7VC0h=Lp}pa?l;N-ZM&v8*|dxK1Qvi}$%aYfYvN_kx-}<tyHJkkQm7uXbaFQ@~Gc zmTnWyRsUurY-HzL^?zbQ=X1`ieOZd@jZEh+DC<g?$;|mm&UM3`c8*u`T{j$>4qj)H zuv{w6wsZ;T=%w$%Ym5{$cJ(D}4S&~?DLUVM!?ATNs{9HOuQ|Zgvt{#woZf`J+MK8U zPe^F4=G6Mvn6NmVQ)|Cc=R+MM*m1+J^n%@!B%5BnSBgktbv)}Yq*H3=`1UmCw4E~- z<V{c5d0+TSeOm%+bW5h`ok<Bx=W<HDci#XySU^ubVy#a=jp#wC`>>>z4@zp?plhWp zU)X@EVB@l5c4r*(&A2U3fEHjKw9|RA;0GUQb(RgcrAB>It7XTW<DkRr6F~Q*ZJN;h z>wM&lW|#S`GA93zfi6@9-FuSOUAdjlh+EzD1DB}74=&k~@3VfbXubnFxeh#wZFkOz zuMIR9ycBe<+(%G%`Dwb&6NO(KpgC-9Zp)7SCl1M&B<%)WP`i_vyZFMb$*nR=_(5B# zj)QiFgHD3E2O3oWZwguzfY@+$^xPG9*&fgi?5F!T7_d(Soi+c})EiWbZEI+ixe|Z; zkc<jnnj-sI&;hP1g}5y<K!@I@=z-R8gRW$`;}2TGuPF9x!H0CQA_JMt2JB12-*<uf znV@PcwI5WCftQ?JYzEyu`z;T2?hWXkmYP|uGAf|$?@_*DMHBW-ZMA%1bHa&lQ$1*e z0kppSkK68tT4xU?*nzt3=MKsA@PY1<vEeQjums&DV}JCJ%n{HEwy&UB_anNX*?KVt z&=9&j_~5z4piSa^t(GTTg24;(k`wugc5j@}{ErbdC*c7)&i*8*+n%Dx{#Xh$3<5eM z9yDL^)(&)v%;`fiCi9XN*-u99e*El=W40c*rH4K!Kxe{zbn`IiSb*(Kpqpyb6ZzOc z!y=DFL5nieKr8s$TP-`*fUb!L9jH5dC#W|4YN>O^G0BkIGUMI(Lo!SFk`>wCKGlJq zTo8NokjxV)P`e8>slKB+h=u<;c(dNaSD^cjY(dB98h-<=-8?0x^F(1+N2}$Ex2K)> z9$A7W+?lv7J?5P{B(vpQvLgFS&?3ciy`WVA>fFT_UW3MKCWFTKZMiKq^7i$VzfRaP zp;cze_cYMK1@I=o3ORGog-4)66!)GwB%`7Sy3t-bVn#FX{s-n?AJ=bDy*(RT(ZlYw zIqMPaY`oa!q0!+pDzhhB@>|SJKF93mdnRO)kIj~h)TEh{+;xm|bTelrradw|qhkcB z?1ODmQm$>dx@c-=rPJ9nOOQ{y|6XqU{qNs@KbO3lv)S><)cTKCuKoWFy4PmXFVKDT zGe35%{QE(L>wwW~j#v9!Hr#Qu-v8_FgN|Rf1=dVZ%h=J9urwL6T*{_t(Q1yc-SZaw zkY&lXF=_nvYjUPDNB!3)p!=;|n=WmBmJ|g()qZ-y-lHr}`;~4ia0&P*)6}b{xS~e) zpwf2XklKcXjayl+&R35}dZs7gca{ab16W@v;@Ju4PNLnXm-Rh4r?g`Kq=eShoKp9^ zHU#xA_$bvBI!{?+SEAJAs9f)+N7jOCHcnXZM35zWu1~-&rw!-0nl^<Ct|{ks{8`Ou zRsmV<KRu=<?bQhlEB?!?_E;WN(rj8)Ev!>!;rLaUbDBl-f@eorykk8BcKdEPW6M$+ zF0Aui#PRRf@}mV|721x6WjVrbbuak1kY%+9Y(L#RyV51!RGS`!3#|Fb+%&0Nc+FS# zrc3@pYc}7j@mZZSCt>ef&QtF_HyjLV>XKIkUqBMC67i&{Y1Mu8h&A2;f4{SM+ZaMB zvAisEpX`;soLTwq8_v9ADJ^C>4Z7=QC5v`V<AR5&EZVjG3qHoOtp3xt;KgH>)vjg7 z3%c^1HXO6&@H(d+@!Fy3(s7|R#iyXv>rL)ueP8rcHSR0jc;MI6wO{o{hOFb${{lLj zKFZ1L|5es>^qFAD{rL%<Opa&$1;7P$D9h<P4guf!nx57<2h@9PIJ6&JO%$9w99f+d zrM<Uz!N-`U&~M5bRVD|s<kdCm^bSguHi7qOSUbLC=LDT;|BbcjYg(GYoKMlhIR@<u zlBOjrR_4^I?@5@r85(Bm>PlaEypd{pWv>|VsIF;Ny;?+;PeAqIy#{Mjw<)dIEqt)( zx6l>)ri9jhA(J%%Yrcp!9Sav+^C`J$(RaZ$peugP3$6LMi*-F8<aWW6x@xWr*SrL$ z+-pymdzkaoJeLjkBw3#B_t|i8DvM~n%Z7`#EK}K8x@~*|zHY7ltd%@lFr?o6pb$4l zmYmy$E1WD_{naCunSoCqxUq5<ctzrb1^@1{X#bhG;NfHz?Y(L8KC27oBup(A6gexf zri{b!<73WiKTI4?g3fWBv*62Fme(bmr|i5o+!JPbnyc8h`QS2P$cg!nte5xol&P<% zF*vAnTrfmeSf@<U@oT=2PNA^l+4;gcUpXA#9_O4kbEE#U&~o9Xr<1^o4hjSu4<~bm z{St9}%*_$@6LjVsxcw^)Iyu)ZV2}TXWA{0|_W5kMcC6c?t}42z^qJrk+lGX_OpZVO zg>nk)9FMLS&M9Gbd^%r9=aYfs)%}7x--H~$Mu;7bOqva8W)>AsU#YxOm1S$cT11+q z<K1G;X}_f$|Gwr3dm&&_Ga+H=ej$^(#)PTnI>)wjol^tvSncM#_JhIkq|m{rbm1xc zS`y}FLoYu%ch9VJNx41vsviTj6+eFcHCWrbO=-oSxF%OI!H`<}gGr}3R@L_<bWUY4 zoz3EX*DK(+T~q2c0r15UywegazHV2T(R`>{&2>X5x5AxW%@6HB%d<{_W`{xdq&@Wk zU4R1G$G#7ArQ3E;FE}1_@M|)t7n~_pG@<WZ|7>ISxo0CzIF`AKJzKE7p;hL|{o{vZ zctDrEA3eXxfPJwPsGTso)v{v?s9P=ys=-3)O+n=_=&G@Q`k-ys{}{mw^FUMYZ$W3y zffm9QgO=^ffhLCS4m<Jv0*&3af^Ncl2-;Kra=Olwgg+YG#Q~BVCp5pPVt@4PjN{w0 zpt%TJ?&1qKlHrxhlS`m8=VXsN@m*RD+M>oCdBSnq!9y}%@{$zUf5vYxU~hJhIN|tl zzRsD08OI!Vcv))f?`*Z~$N{x#c7r<WGTfFc>N{F3J?5Np;+r);J&`YH`o;;(-+Dn6 z+d6n{dH3HHciAWZ4m$Du(%)>r-fI4=;wE3)?M(*kOJhMt?=yh9!j7P;e_LBESA>EV z1%Qr*{TK_{Yd^WwGQ;+a6W=A!LHp;tb)G2fzIYIH2i@(qR+%T4K~>vH$ci(&81|#d z%j{kyYypicfENBMfrbvgR*5}RC<AT%vp;f3Mx_mOEPxVdY2H>)z5MnjXa&C>x8(~x z(Cq;38z(f^fi_UiIqbyO20DIcX*S4-W*{dX0NDesmtWjk*>APN_Pi6{BV+!9p|hIZ znp$O4o^LQ<U+W6mm8b$b@8*~j-=_492JCyM>O4`XH{~ui*fF(LMx+`PoS+5#_Qwy& zxR}|9n5(m2)dj6|^aCA?Hv!aJH-wF8IDUQtx=c3|bdoYFckzUsU7&?|pd0PM>p?)t z?V+StkwK4K+iDZ`rDr2g9IOB(w@v2UmK}2E4#`~cOHpM1XdE%4`50)fp%S#^FAH=S z1ZWB={VUu@Z-Rs6YrldI&Jov}qh}1N?6Wt3r|Un&M<{pkc1bv@+-dG`ap4J5QAnE5 zE!fyrt+AAaOLfbXWla)+DkpTiJPvdPx+p2NRl6KXSbMBX=!n-2Pl2Nm2bV39nAm+| z!}l|jOYP<uf1dsPu5tF>_s1vKe!IY{wEoYL;OKw<{Xys9{$4dzM=0{W=;nJphp)LZ zYV6lM$Yw1Va;G~1be^d=r<mpJ1+SK}bjLae{4E3Tv#;q%Sh<yD>-G7)4|RmqSL{|k zSX3=^#ePOYYo&0;`HIq3#ujZEy}4FFyJjpXY)+UO&DmAP8CKo0;D=O`>6GJJyz-nj zT;pR|`duMH-94a8?_iZT$EkRa4F|8Xh~}%^$Y6DR+Rh<XnFd`Xmi45-CwpTr=c;`F z4M%jEX0Z$En6@wYmeiE0r?6soPr^<y$G=Zmv~4^t6qp|L(ib$j_kzPO`sTC+FW#}N zmURf&<FMh_B4~m4>CZ~#wW~S2?t5%FXUmcrF1W^AMdLryK{n(5BU`lcd^cRoW0`92 zw&8>!OQ^qk#2cHYSEWs=c?v6j3O6m4Q&{m=<e<@c=zg_3cRy(OWbf4FeD&UIgVVGH z4=1u{&vm=-Bc>^IpI5+_s-~-TJ^_E~noR$>1Qhvfxc0jLSb<l*<A!s3EUDjBBi<J@ zeOfM*698Kyw%@2Ur9{^8sl1?0k-Xzodm)`~GLB!b%Qk1~ZnShfD{?UCx4@Noz8lWe zH0^pWpz}So>DP6kH6NUt+P({|F;U3)qjOMey=~duf`gMl#}B*!4d|}kJA1*8do0;9 zUICv}nzo)((}-1$crMknsT^|bkUWQ3gm=J4Q`Wer8h!ykV_CXWpwrKstLnQFIzhV! zEL#$mXVn$I@_1#|bSt(gRZexq?zRL=Yre~?_H!QOdashPgB?8kY%@J!su6fM-ETI> zkI@|0epou5Z0EdI)wbYERk6XGQnPuG4U(3#=U82u?Hcg!F^lvW#T6B52i?R4LjGAD zR5BL~`73!askZ4=y>i5()TUYaR`VV&-=@4`uk}GAcA+b}0y^bvj(<OMhW)q&T_aWj z+D_-sDYafH;^}%8*Lal(T{H2^TKjkpO1)Rt*lB+->bSs^IFAkIDp^w3t3<qKYx*SH z#4D$;;)i%s?>zMte~t+o%yHcY*}<W=^VhzW${YW3tde)#;L^F^*>jF*yP6h!`^s|q zj&H#Cx~8Z9d;;oyHXM?EmKepmT_NJ-UdZ7B98HV3Il_MHIDS0Mc`XBa<J;tNU)jvN z?g76%n@Z;?YwSMQIpbQ@Y~d;O{0G^73xu2z2Hyaf&LOt5XThs-4zb;n7yMe!Ijy2; z!83c#X<cH5b8gKR)Y%L=F#uHc-`%^s?+Kssih3dN*3Agzh?londfzKVJPl`Yl~<2= zE6;K?eNIc}+K*;UldJ{TOjXpV<v3`iE;PlqJ7KRk$Eo`6gy!4Ov9F`?ezKCbUICRZ z8&3REPmT(`@3rAZAInvH7w}Yuzd}TorsLagj%k?!SN66h?2Lz8__$x#BqrakZS$pQ z4k>lcu%8d04b6*pu1vi3k>%)gfi)jpn<j+|uPGIFd@0KE`U~eNJKqiWPP06{U&D1= zKF2-aBQuM%tjC0A$c(Tdx8;g3P&sS_S{2U-+H!5D*|d5K!=cqB7ykUc;x4-+4Af`u z2GtmggF!3v?t*R=SsHo5vA$mH*@6NcZc7QhltezS_yk4v$Dp%EPkjfCLCb-L%dN$V z1VEdldjD^l(EQ{KE2s{;)zvDqq&zv1&+0d5ZyR_O{RuhSuYv4)g}5zG)X!_Rl!!U# z#MgB{Ig!r|v=;jlXpZ4Tu+EbOzvRW9DQtU~2|D@)bc+2E&?)^tLC4gVs(_YfB_#40 zJqK+Me+rso-wo<rigOnSyZ~7g#qjWOsX<K<XcOK4G)4Buyk4M{`<3jV^Xw0S&a*${ z#P<kv)@(1ROStLKA(<_p+iqUI2Hg@5y5#=1wAix+-$Bdb|96AV#q$T9(em*vsBTLK zogoCe?PjJeY!YBW)u$`&vPVE?vwqbFEszJD7_<58A(<ubKx-Y3N6u(IvsvfK0_$YO zb(dtGn1OC#2W@9K6bD-C2pa3q+BBj0V<c!{UXWOk0O%Ul6?e}$@jU_s^08$22!P6U z&>eT#e_eNYS$cqsJ=X_nF@U<lpn2{mpjG$HOF>H)47rO9vNlX;{sdYzu;jE8-zv~O zg&R$Eo-9~rTu}T>VIwD~vwi?{d;w_hBxp7NOl!D@c7rZGC=v%P$^`B0dzc0qRXBJ^ zW{O;rBKu>|jX_>1hU<d(7D<DaSG)xs;U@;#lh^@kCxB|>T1HqqK^N3SoYN}v1hiU$ zZ8fMp2-!xr&*W<$`{LenPg1Ql@=qU<aVZ0>a%7H}(Hzm(Dsu(Y_Ui<%%hLj_%TwmI z%(#E}kW5P0#tF^uCbU|f*n7Z<@0am(@VdNg(7?U?pJjD_`PV-#Zv|EHwUD#%zU>SO zj82+4aaT&J+fNtJX}3ALS9Cs2Ow~5>p0+aM+K0?XC8tWX&b24b4BXbXt;a|hbUNO( zL!i^|L8s$oPfX2Pd>?cl{l6XM;QQ!{<3ab)+uljOm^N|x|Et?(|K0yzsnS*H@PEjH zyxCK~&30kT*wc_O^|AVedlf}4j4keqyqEV~dBt+{y1<%3YsZtnIj&VrTJYsF%j+{b z`7`wY*lya)d2P0HfSvb-gAZ9m`;~8Ga5_Fc%^|k4ZNaOxEZuj#1O65^sU8D&b}V9w zKTkGTyL6j6xWxX<@#>s<#5;+mU!qN-dWso4W+g10%_+5BIpS$x)1ud$VYk1e@GSqK z(_|XNqWWBDO{s+A%f+16B5lC^u$ZE<qgN*0(`x!;E|jxj+JZ+735%a|Xyq4iE!%ox z8%t=pYDA8F)2ns?osAO~JX^`)eU&3C-gUznUY64LiV^P=n|_@aTC?HeO6fN4X);bb zo+Q?Q4l)IeH~MZk<;ddNuN3h%rRkBlz?zS|O_Qz*ueqwK@mJxX)p>y_TQ65k-Mg0a z)c)Rt=Di$Rbv@t?>~v1CNIS68Zme7tKi^7dci)0v{+!b)8Wuc@=a@EYqr~M^dqoc# zc{c5;7Sj11*!0U?V9kfrrnb`@VZWptAB!E-vRBl&r5^G2Jj>B|m59ezmw#4e7tpiZ z`51JN>3cPeT84vGtWBHLh1QhwLGEhRbv!B$nRB)m)cM5Zcr}8xJbR-o$Ey9^37vmA zv+5=#tQ6+R(pQam_KCAa-?AZL`Kxs^ttPz|xU#=Lq19dpe2{7Q+{R4PdA{Ib)_2|; zZmDy0P3O2~F?qogucoc*6g6t44_bW}no`@8u-DM>XSm+M0<#;i)nPTquS~pX({xH+ zJ>p43)2eg<9nh?^+Cic10$2X19t`Riyb|ZX;Y?b3QdDWWpw9QQreDRJVJC!5Y-S}a zz04`KULoS?ZDEdSYZwlxy64Zb3i{3J_|cu?+7D~TlP@A?T#LFbH054z!rWSpQ*vJ5 z^Olwi<^(9O_@Ud>o2RhiPjQp0oZ5<C=1oV<;<_G&)Y~2u+6`N~K69n=%4W_idDjhR zG+9dZ6(ZivX8F2aJ>p?H3$MINM5fDy8|RK~39FQKyf~L5tXkgjBQxi<A3BaFCv#kj z@(bAIxZzwW)M@GO=UH8<ZdmXqp3`hbA>Xplk6T%!RXMfxPfwUA<9PKvWcFw$i+7A? zz~|pA-gjLCcKdHQbD5<yUM1q4X{g`r`x6sdze8Qt&h8_tSvzaN$4-{jA)H-tjvH=$ z=jgicx#3tohnJn}hHKm`OSi{>cC0cx-ZVK_^;>w#f02W1>H;A)vlAAdhV0#hT`Roq zU)3v*9FKs%_gTDi3iY5%@*D%I<C{{&1g_``>XeH){+-IAUDLkcVJ?gI->wB8g;`er z>0a>S%x8l+SA|%PJ{MT?aUrY?{9&c?+GI{IeZ`39K~0;&g~7vPatGP^1w;O*A9S0o zdwh%5{>FrfcR5$pO-R`IljZ7uhYc>R;DNEVYAb4m4;sCOcKYAh8I`Jh=WqIT@w4Gt z(QV2ZJERYK$tz^kXdKj<udcCcMgm`!IOxb5EwN_{E`sKPK`WzPCyEtKr~_Snl2gN2 zrp10U7j*TU9%#0@4m4o?VF|~*c2<FX{Om^`fd<U|5*68>f|g>R0G*!uOBvL+<ma|r z@gKBx&gQfe->mgJ1i~}<f(k)P@;X6F^3FQ(?E){!I}aLz1`QuPtPv|R$k|}PzEmDm zt%0_{Km87>!?+Y`cJM7a?^Aol(bp7wPg+_cpHzOTBKyg`5i^>@`dVd{^rs~9S%HpK z`3Bl&UJklU_kRQEf?CkBto@*N0%+-9(Gw-mS@t<co%mMeCnoYGRfA?A+(A3o1wl4J z#>@AEYL}IuIqq6e?=llqYs>{T7q;s>S@2&3v<N_-+fpKLXVdhz3%-ErIMDI@Q~5Vf zXwGPCwOmm<u~p{CdC<A9@rjD;CqdH!*JME*V0-T33zG2B<rDwXUoGaVijL`7J*D~2 zY|v7EIh`j9cF1!>Z>l{Gx~UdaEk}WF{spZy`&$Ou@h8u1nPCSyK?ron(c78eYAnr+ z+w#RZP!~87bkZQ`&;l8ASbzCo!Aa1ubD*}$W6<{YQ)@vh^7KJ>1I%faIdU8{zy8^~ zaB9(nJM%z?*`IUbyYv~<rl}Dtnqb@3YWV`x)&3_0S~Li{z92s>k<SfuZ9TYQwW$ui z2B7rvY0y-O8R*_Q&=$KS&>@4M{r*cpXA8YO3Th*=a$CMw2fE159MnvR5_`750JO#P zdBlw7OKRGM#YG19+FC7FygB8>w+OVLW2<w-3C9{mP&1(vK1!343p&3s9CVT&FX;S6 zIkBP%wH>XNFKkac@qLoMS~&Gtf<U?=`_Z|eO^NqF%M}E;i#I$3b>;W4G~YdD1FGk% zf80uyJYM*1XZFOUj}(<Jr=)h92%l!&HZ3J>#@dB56XleQkDghS+7;y{C$w2CQth(r z4EHOR7cJY<+~iK4F)#+<k5gturmS9i`2F`?_wMYs-<G}p_1}WR`{y_R-FhPME3cCN z-z(c@ef^X_aZlW@r=ZjBjUF$xUsZJpv>uO}bCte&M3SlFS-pcn{DN2NrX@&5Z~3=- zYx=%VwoDxR+IL0!bTR0Fj(6Y5qW#AG!Uv9~si#<a&#OhGN;+N)Wm$dKG2oA5lj%G~ z4a>)WzFuzIyv0miW3Ea>I-ldspDeFs{Q~|~H1VFB<*?z2K-2q$k0z~DUM%ML^frgs zOW}~(u7r)HELY9<Hau1b&A-k~SXs%km7C?XjC;U$pQfjJ3gEH)Xvo=k!YsYlh1Li~ zp8;P$|6<!@C5F{+-M|}x`II$wHYBVy=J3*2ib!X5yvcX4>bu~S`Z)>B;+$G}TUx>` zXSoJciZ{7_Q(RHSaWF}>>D6*Uox*!8ho;LoYzQ`8Kf`L$u9*wI?PWP_;}%fvv*FI` z=}A#vw=04#A^ENr@$xcDZ@+59(`Xjg^QsYRJp=xzHJR4=27C%^+WOBkpbE5i%_<t& z@1Juw^OZ-we$%JQCf+{96+e8Nde14YsL?#AWG)o)SM*>~zd*=;_Jcwf*_MTVKFi|$ z)+3<WzbTbZWkt2g!J_*LE8>+S9zKE;#-9q#UYU4FpHs@-XTz!coL%Q)`ZCvkQ)_x; zEwE;yqDCddLGW3@Gr{}m^i?AAO&x#63*>wda6Bq_(5+0dEf_S@o?{PgmBbW%We#>v z`Yjyt-{zo@y3mzBdIy7en{KV<n6`8Bf_Kd<r~mdY_}9~I06xmpz;nZ;-<(qC)gzu3 zv$*;zM7*_TIXYcv4eSm-%gWzZCWg&l@Z~bg>ov+6yKf$q*>663!Jpq8W;5IaK5k}_ zmUR!<>9yfRQq!t%VI9!Pc=rW$KB_pL{V$}m^`xqV-`iZyX`awPyK}evmB%|{mapOh zYd+*QwRLlZ?dn=k*q$);zL1El(3)@ZO^>AGyE4~)3~QRSTWHNze#e*2oYyo3r`XO- z*!!8|RJ`YggP>glpqAXD{AGPTa>^_I<TtsR?cuq+YL-GoPI}WTdBuoF2~D%&l_Fk+ zG~IeGq*H9^cy~6(wAX@H>Zc^M)(e{4S<v}#%@?tzW7dLeK0SoaEG=dS?Wdc#prSRQ zS6*n!o}PrY(wtuRoj06QW=V}#h|sUHToziV-Naj_vf_tgQ!k&&ia)GPu6~Luela&4 z)l*z?Pc7onJ4l2UefAG_4>~V=WnLxgvaM&Pv6Pl8M7(Qe`O2>lvC!kfhm@wN`}{Bb zaB2!&r>;>YelV+E1-daq=&+`wdPH`0)1_*GHJkslKrW@Zsl2@Ji=T?df7>QrDHhT7 z$~Rv4HJz$gh<Fm&v?{7GQ+FGy<JWQ_9mDAh()tosDsyDbci3>|CQIpbA)Rtv$G^8Z z!+!jQ4wd})a%E!B6D^<BAJbS??`dA}LZ<1eonOG8f+o{_ZULWknzo7wPstNpQ>^WH zlbz#Q^`r%V{&Se!NKsv`yKip7ViU)w{en6{Ga!RFJAYkWsl3scbJcwR4M)71WK8PM zfqItditKL{xr--6o_6BfB^}=@I;;84?T8bO_2Hm7YtZ>^Hd8<+-n6#L^!TSI@@bXA z2KDQXIq^LL-NVwm->>Vz#Yl#Si;E}J6uxqn-C~yWQ0u9JZFj5Xi#KPS_%=m?PG~Uz z_0?y#T1tS%!Mp51bq46jnNR+aGn!BA1@+a%#hxjcfzCa-9@`AMEDdz@&1cXMY*s#K z8(jzJ&~-%TGJ58(0QQ}r^ZEXzf~Kt1LA_wmBCKD=+?Eocg#jUu?d^-ALA^^6(0==) zhh$9bKqu}W2aQ65dhNeJ2i6vIa$BCbci@l=<ZL`f(AjvPS`0G3{3tsNG|`^n4Z5bq zoV!@y=HynH5c`v`Bl19p3Ux|xTV})^0j*d79k(9~8rx44E4ok%nqlAj%j(V?nJ<q) zu8ak(Z)4{!4)_JyDlUKWkW7dl=$5-s&|rf=ti{)bd|99~aBEDti!ZzY4T6C75x$NT zE1IzF>JG2s3pbluWgrXjiX%@r*4cyFU!c{3(?B&F=un$IJ)nto&{{!j&}sY4pwsqw zxQi#uDE#|tHn-e5@M?pzXVu-C4#_N;r1NBfZF{Tbi8G)B5<y$`?|cLef{1Y!2Sgll z;%fu-xl5!$*Uud|Boi_ZboF4V&Kbwt%ceiFwb(y`CI*tr#fm1(IetiH3m2$WBLh0_ zs2JP^U^#!u`CHRU{?ZH4C!P2{fo6c3K}Rxv1f9LG1$5?ba&F90%Z?n-$O3q>q!zT% z(*QK5)6gnoay}uEF9_6%s|Gc^UT&Ds{0?*!<2z8Zv3?@xaJ&-c|3`U2?ZLLqY9J3y zDN9sjf2_k@9AF8$O2`{@){%)=(T7j}-_QQ{)85EHIIw~-_S)a?C!We4FN~A_yjRW7 zDo;$k%ddk^R5pB}^JG0U^Pgg^-?j$mh^WUDDw(T?=e6yOlrcZL@X*3LXRcnjap9=j zQA-gsF*7mI?sXO$Z`~|ASNuNy-gBFCpZP0h#`Sugsx6P7c2;lS|NfORmY?OTU$Q?v zHTD0wl-p)M%fG~H+_}v1^PF#F%X7}Iz3-AH9uuB2Kkwk7GYTjAGEN@SRXO3mqu;fn zdx6Db-tI5bO`i^J(>cS}^yZZO<^p-aC+4LIg8!TX?p&Pc`m1NbpNsyHGx9k$9pAO7 zKy)|blk;WlA`7E59X`%>XLQ}Ql-*(HqsI-0OjJ*Vy+3?ttI~<<+R1`4jvHT2*15Ep z<!Kmm#Ed$Yn|;iYJDziVvYnSG7^kA~P5P9QucC&%c~5KQR0ZpAm1238j&-jz#1ds4 zKVH44bLl<DsnhW(iQj}$uJ1o`NLKAc{_GP<f95XO@jX@SrFPS&hv5+tzKkd4&12KJ z^Yt?0C-HQKu7b-x4U5v4yGpDbfBve}@$qiTnfp^Grn;%-ajVXu!z@Rqc}FanFF574 zerh6{aLR7|^oMZ@C#LT`skFx@KxR*u>nz`Zx<p>?&sL6QpR9H6NHzVL!>e;Bt?AM0 z*%3=z0^&YLi#=0tEIS=0_KwA|?z@@TL+_?X2kkd_+!vbS|0XGMUA4SIb)ETw0`qr_ zC*uDKFW}hzmQ^F}yW#?ma^WfYHU|!EQ9WU1apF*^+6nXjEv_$I19GaTxK_<taObB4 zw{erg?cc#-c}$LV4>ZLR*&GXxWQt`fI#wP^*E!?W^ybX@$Q`~cKV6?kw#c#^of^N< zLtb!-dF|OlGRi03?KyL3uIh>R|EIbBXj@RRX3o#LjKBHbOS_&jo=WF-NL&@pa3XFU zhepg^p@teyRR_UYLL#ff6DLLqPI(=gnrJ1IGCMT+VU@s>=<6whzXV0BuO&^Kr>yaP zM`x>~_k_3g#@yyp73|m8b$`%uEPRuzbE&B5(-k+JGgVD*{;28PF>CtMwM^$wT+^dp zyCaqyW_g;c8!_WD%gtKd$Q|7*Kb604<j7(Tu(`_?u;lf7c8&NPZVjKgWehhL*)AyP z<@^*XpClM1B=Wi>Y2q#6DbnR>iMxbTa?Qoo^(g#L(CjvtvEasD_U<oCjyvBJilrGj zmU+mF<?%Vzl}L7fuyQQ?G+F18RMV$9j5=q;n%-P$)VY(_^ygN3L`yTvQC;WACBiIE z?;ehr@tNhO^ZCsM;hdk;-8XVvuWWeq^J0V2T?@7aHD6sF5~X@rPlU<wYs3^c)vWc@ zaf)tAYCfqGl-^YISyRU+zbR+;OPxCoO*PYJ>Kx)|N_u}&=MqcPrvsHbXMCF8ocO78 zN2%%0kyf2UUQLhAsOnr&Yx=Zksm>X{rZ=me>fEVos;QamYT*`eXJ?nIm3P3s{cWu~ zeJ0rc<KqtB#HUc7$Gm{!syUO0em;}P$~YE{={am7%OoAQ9jn%f$!@Co`&P%vy(ww4 ztWFSrQ_<`1ku(0W-1MuC*pbNcb6$01%SM)?|KvA$1aqD`%(ubdGv}tqe47fiIX+#s zOBB2&Eb?4Fb>cR`Dd957iQfcM%xg{^l2tsBZ+}#2j+(|h>$6I+DjM=Xds;QmF{PZZ z;nRq@s?YFg`Z?yVfagpO8+UMM1SK~WT|ILsqABODw$7bqmY-_jkuC37T%-TB-#MAe z@|0bBgMl;0rlqPncQTr4?tAGRN@;pDK}+Y7Ow*?qt8~r;HRY^0c4)2YiSuhuD&;6@ z=&e1f^j1w{{oc-2OP2|EtBkqJCn@Y-Zr5EfZ$ZTiGqIOijz3eB#g=_~%W#w1m!Wla zDZ9hJs9*;{DHCRmuzk`2OP;YjjrzX9;4Q}{US00%tqS)SiFRL@z2L^XI<ZUz$DQ8R zVreRl+x)A=@{}CwE;#EP@@aZ>!cXUtQq!j+=XB0!HN9DrrxT-cLSHXcuuMoK{A<F* zZ^Ba^Kh`-@*z{(doz5N0raz5FVh@cRKmM4k6Xa>%@M$Mw!=Wn`Tng2x3JVIpvNJw8 zo8TZgOHd@+=CsmQHI3`?=MI%9ow#S&)cV?ULjL2(mVYcq{gNY=B(gl6mmE2xkmV-h z<H#MEEI%Jkj%fMGa<p)A<PuSqr<uVKGk95UvR;nd@s{PMdD<q9-yB`iX`4OVIZoYv zzR@6^W0U-u^oM$aPx|#z1iM@rjv9M2xUTvo<?!=TkVE1tJ?0Z(5sVr!!cBj8^EYx# zTM$uh+Wkerap%b>v1L;fs^43Qt<!hhci2rVk;8GL$tI7*oTqBdHybo6Sbwn<%hPkL zJ5wf>sOf0<CSl?=p()Szoj;VLdP48^;X`i~Pwd}2-POV+;Lb0_?vhCh_B?0cE^bu# z{n1hEos{FhE9yE<PY*L3<#uOuUG+7+;nUXShC?Pcd=u6dF$L@pWBGZjK3VXVposLm z#EH8ErbN$4P5dR0BLAbQRoZ33`>IA)3D1Bx#S>j;c?8H!iJTG1ax*YIV#iUIpPu27 zEukz&BcEy)s=GIRdc>u3Mz873D<QFW437Wqdg&Z0Y<jd#OXreh)2GH&I%h<i-o&ro zSg_2>;os+34N6yj2s(W9(sh{l>N=aoY^#PtcRVMot>osu-lkChdaaI=defu1yb(+0 zu{<@~zS)48W0U>P=2mIH3H56vyAAplSUkuR%an4g{9&tehN<a|_q5jPW(E7bqTL%B z7wmXYCzfgL__Mc6=L|c`&EB_>I~KG2yl;O*X^x^s-GxrqT`mE3w`R7kyuhmPyFaht z(A^IP4*y;UIS9V8V+vSvK{#NE8p~7Zs*MKUg;MhCnp$5wOxV9zzdOJyV9$2>?km$5 z+<B-emZstOZK9*j9f_tt=h-)NG%m3C5-FCc=U92BKrBtt@!M2EojbQ#epb$Nz2OxQ zW7g(+%Q>K~T8I0yq2sr`A9e0%HvN%T-^d}((IxMnHgTQc6o22u#8p15C;k;%EhyMp z&pAQgoI9YUjpe9Tal{famZ!J&rzOfMoG^<zaHv%E#Qn`3t}mPeY95%1WePa%d~GC_ zCgS+5Q&i`UO4A?xdr5+6f+Fr~(<X`uPf=f+niwXWQopsYwR*b3{uJfz4c!ZNSZ(rP z=Q#B~FYV)BpCA8!i}&5Rsty_Vm{lryyztMRof&J7=(y&d+|(1M=52f`WJ~IlnJH;2 zW~RtRWV@!FG!i~5bh*bzcyrGg=2?=N-ph&~6}~7rd{|~(>Wxh&&U}!VxzxLA-s`I3 z|Nm~TyZ-f`9pos%kDsTV>&f}kx%}Cm_tQP%r0f5K_91RM>9+Zp=wuBB)#<`(O8FgM zx^rBU6rM7-^saY((TBj-x$XgV=}o-f6jxMmfG>QR@3Y~=PT`F6GkBIWH0^I+b#|rl z##EN8;ler_8y7s=%;J4^kKE-|wzCsvR<e{n7uK1szGDA`gx1L%QuBN_Tw2P~3pzd4 z-0@;NXIS;D1r;TdZJUp66NW7Ldg2%C9#t(o#jYb^E-Oo_ze>b<w}5|1O}y(=SL~RS zu(+K=%ieRti5_W#wX33qb+#!xe&snR#4dEjrVTutKi_r38CU4Qboq22*^_r21L|!L zaw#{pZIihim8soyte7+GX7hp<j4Z2VT?1+i4@#vAP00~hQ_2gTc3q>a@!R5H)$BVR z52w`29%MTS?VWzC4R&|?EgbSs=b+MY;gG+42b08wLjIc`6xuF$<&Ch;R|&_r;vCa% zE;{^ix3z%I_qe8CzXjKPkZfu@F1RK{HKT^{pw@oqT)Ab{=_?a&F|!<%SB-dV&!TF+ zpYw9mYhRY7mswuF@ecS_(Db!WRpWnd6Yn|o6+gV2daYQdf)4EU2>5!Rr8~FGxGnf^ zFN^mZ=<@x%x{OyIuMC=QsWzqhsjjG&J6N<|Va5JA;3JppoHktA&mnbw7whFHRmXr5 z@usVG9sz#>z#GUui8O6Jr>aqFdC=-KbX@w}w`F}_%mk+VS3k&RoPTtS*1pDs#g!~m z?Hx9pILH!e@4q3Ub-^!wj%ho37Cb9u@m{O6;;+F$qw@k+dhfM81aHT%7F_e;A0*g5 zeORzkdFgpU6T68CQ{M}V>}^TdYUcQHH^;Rf%#J6Qb6lHMXuT}7O1i1EOhse2_`xc6 zp(*-8IR#9PN8bzPln6RLy)UFw1lu8h^}fGsr>$ea-&AdbwW^O;Oz*pGxH6ArE5Aa- zvy7%)-JH{Y>pA{qJIJ+PF=Izl!qWSKCUtWXL`x0lEQ%Id^DV6D(Qe^26K8|Q+zzR# z_s_AqWHoQW7fF`aF&+WmvYNi;DQo;!I0zce@43^MnY)G6@#%H}olVk?SNnx@%2*t~ zt{2cLv~oNvFRXJ_X+<sfL8ENws?2wGR;4Q6<C}iXzJKx~cfMN247G@t$}GM7>Jd** zv$*;zN4#}sIl5jc;;~xOq->6BQbJSe<|WKM8<u2|x?MdYKfLLayxNTi8z7-p^wB%m z-EFy0$U0%2B2~w$(wt(u`xpG0&pEB4Z^5(G?+$I5Hp@AnTD&QB6H6(-YQ(#4maqPb z5f9s0c=gpIUa~bEvuz6f2RrAu#HMt~w^O?f*FL%}xaOl@)1==5Yf23qU%Ilq4&gX8 z&w0bWRF<df)o&z-Iv%wb$^o6W6u#zAf!Ivn08>}+xdQW5B9b0LhC+7!>|Lq6ax-UE zywip=K~1~L1$E37R{R$^$fY0On`wHdEn#W7fXTh?gsJ`lBC!q|Ze8c>x(->;lFfN- z*W?9XoLOFjm+W#W#B6GQv&^<9pRcMAbe4cMcd@~Z$*nRX_U8`C^z@}EvOitF(SUv8 zX3)Vt={jc|b3mKm%RyV%s>F&e{9)%Vp0KI9pt$J5J<zrCXHpf}@7@I+)(<)f=)Z^9 zvjqY0LxmD5j)4Z+>rOfG9Rr;pFcq|Ap+pw6E5VxEvLnApf88Y+lQ_@;fSzK{7HpN~ zw)A*^{*X*bUxFh0&GnlM*!NzJJmL6H9kko-s1sk;_Du%ti?@Q#J1`e}rtk@T)*lmx z{JQ2>+3y~wT6cg}KfZboI@|d7#tF@7py?LS(#2b#rHeDUBThJe2hD(&8*^K}09_=* zRiCQJei(G(K+v1IJ9A`uwu44^-h+-Fs*Rk{d}}#qm0<+vEP=J4b&8PfcF+B5uQ<L2 z9dP?@g8}>8-w`Jqzv+YSqOk-8=U1tirIr$B5*68xg6;`etOHuU+0|;<F$EN!vq9%6 z+K3ee>~Ctd^w3FHWItOCx`kI6Gz^iJ$Y-=!uHxmhCg?#)yYD{n2$<1)2Xq(ie$dE{ zMN6v;4`?=j>15D)L(tI8r4&W>i=gqJTvJepCM5Dnod=DYoZ0%v{lx;)*{w25K+75C z{?vJ*FdKAG5@-SEKNZlZ$v4otK2`x6Z%d6hE6@T0(A-K5Xn?13MypK7{Io>Aq>Faz zg7{v6R$+F|jX2?0oDW(+AOKrA0=nfVuea6mMC=(SzF*fj8L+p4&K~+906Hmn66i3e zS^@KPKCNi~t_Kgn>sC76S$qv--wHaeP#&}=r4KYCBn&z+I1)5=A_!XQaL9>o6X;fh zy<c^nDA*?@^095>3)f^nx)yZUMT}Tcz`f>H%MO_XPJF9C$0KY!s`F$)of>!XgbdIj zli*b=7xtvRTFe)u9g*{Ae(k^KuHGBsAAxH6+8?irWsiTHd)+!JC|yWbv-onR=1h;* zF3XNAxjb`HnEKqEo|89}SosFME=lsxo%r%frsm9+KqFP%Nt&5EV~^jp=rcZE_{M^- zxZeCe<O-nAyVo86`ln|7>%aeM>i+)?x~_X$<I^L5o2_5H_k)%)tPEe1GVw%@xbNH4 z$r=o&*C?*Ae%v?RURZ4BS&mogoMAV57d(t<n#!k|v1dZU)L@P-J=KV}LQRjZ3$3}R zuCa4g!rJGYUinq(;KSXk4~LtHIc(rhP4}05c~()Q-ryh`H-}ajCwL26Qj_aC#T8Z3 z2a{HFtg`psa73*<G0Jqia)g#|K(&2S>N8>RX(+;+uRy2D!%h`UOn>F^QmpBiHfLDn ztOYO5>^59`b(^BbKJA0xtI0YN)(SbkJj(KVj%&a-rlzm!lr-vv!57%ud2YCP?0bU6 zRAH9xH?VDmrSn%RZ>(gw+OHOoB<Oh7m~)!deDG-~UpQXrsYkryZ2Be53mVUN17A&M z=XJrtf5WM8j;=6{u-}}HA3t+k`vF=%)-IrDS^4<N#A|z5mR=WLBfMAqves_ZgH_f- zQ~q-tWMdZ!`D1j@O<f@5pW#8J=RzU5!a8Nlj$f-erbW016d(R=FlXsDg%x|54;oeb zDMV~y;+THNHsO`WI*)+=6-}abE*Cz?G)?{Ia^Xi+Q|LP-ja}0cwub8--_muQ^IC<t z<4IeNYrj++UmoVXX4$vkO(x50Q;t*rCnhw5rjadM3QiuiUe?!hO(5jj-x=4GW($YZ zsvk_+EgW)QP^VDB@oc_;PN^37w51rYfbUUFPyhJ`)SDjUnkXvaci5LRtcu<7@lBT1 zHK02aL>+cKd7&8Wo)xdIQD+3c;OM4O#A~UhOWTFlZ0=g{rkLe*?k9%h=l9P|Xf|;? z+7DTTcb!AbvU9<!^BiKkI~M%9&pB;JDdcdRJ2HN<p0>^b)z7?qvS;RUzIx}i;m&c6 zSMn|!4ux|{>8VAm^t<qbsVVfITfmpJrmJ!a8vjHNO0AbpvQXWw7LhI9bSbi_^cnO_ zp*gl`uRQVv9e>V;tkB;tlygOSMUDMIrR1hn>uUurN9AZYy?U=2k(A#wYrbm4D~+aG zqD`si6u`})dc_s>$_KeX2NV@?Je<`2dU@ZJxXoObv-YSS)Y5EPR4usXn`F}?cOmfg zM$3iQl<GOY)aJOhvvI+jhJ?MPEKlSA3bbuLD8?ds-+9Bud5{AI1flKB@cpKxDq+ei z{$)3*>Zz~zso%8po!W}M(-LO#h9yUpK4v++clLsRaxB_4T?-yQWYMmjyx?Od%j!Q} z3tptMtS;pQuWnF=Hh`Dd_GGTzYU%j$E$6kHa~Hh%$MRa%EnuI|hJ!0vMC&~_TwKaB z^}pwa6Gly|_=R=Ml6fI*K^4xdx(Nv@cd~5dSByvlRo38zkCT`8J*jgH*zdaGkUfXg zJ--c?oLPG3D@Q!#?JxNALZ|7fokKtk-$5zXrb*l!*Q`1hd=Y9Y)l<@_Ha!SBjk|tA zLUTH7-Qx|8%eOM3o4{8`*f*_;SBQ8M(e&!Rdc>ogrdj*dBUU*F{7!314HLRj+m*2M z`ud{<ukw8j*jvAEoY0&&4K#HzuT`d}A9QQV{n;W1&uTV&cqZd=9<+n-)_c&I{h*Z& zAMM48Cd3>(B(vq1&J%^b9~bYiEWU6PbYo6=8feQqXoJ{u(2RT_X#Zj?X#XM`x21+T zXzBuV+v=})&@#h0tuj|Y#|jEbudV+1tf@Vlv4#J-<L9-Ll^qlLf~-M{{^NAcII5j@ z;@joE$$)+5`wbJC|GflF3v35XUa)c(2mAsZiO(M~qxn>_&JzWx+MfCrzO4L2MfQ)N z!vK%9N1kx}<RJEJK`9HjrN{gOhh$2!CM#9!;8LiVCbwxn=q8?fPeJQ4@<BD6Iq1l` zQ-@@F%p&?%Pig)%8{}R-ohJ)sffhS}PA>X2Jz_@l5zvtTPiIio#>Z`$5r6EEOv*aY ze1J8mUDMGj^W|;fojH~odotk@?hn6#wtD;$;I@<iHCRISop9n?bbO-$`&Ri46PhcU zK?gcDw#uli2i+`i8g!%&FX%|0k1Xqg_%_W3t@l>}9Tt4-kPOeWO%s|QfzATVP~^7M zn1ASyjLS6mqKFl7Mxd=8pp}q&&mWRe0j({4_F3nQW7cIu{o9V!V%)_OW`fp^6i3Wx zzH<<?IlrORQX?et#K8hi?&5%5Ge9>rq$TocZ3k`i0LAq;9Z*BzlS<w>8Ix_ZMW81o zD$E35msF?oL;-X~@(UTz%>wG67T9J`3(QIES;7TSqb3?u344KNf@ZZ^cATl<3fE-6 znhTm}SqIvfpwC@A!J?y8#soC;kOkVwIREG&nH0Sl@QZl&X1!X>R|Gn^=pPqoiVbv( zb>1J7b(dtO2!W0f03D2Uv%OWu1=MWWx;XNLV-2WPU@;kVPeXblUsU*}3C$(_t(Gr9 z&8M57MXl5S?a%-9oOP?^remN=zFOoKs5}3yvgDE3RLkWS%Qxv9jozfAeEP^9mua18 zZZkc%iB)<U1y9pGd1gcEqoqfD7K6?enkIh5Xp>J^c3|43J&9?PbPu0V`K<T1?)jd| zZ|Bcd&i($qykg$_^8ahiU(bx3DE|M7m|oS(^&W8(J>LHh+vJgQtb5rXzR4O4(mL}T zs-G?4P}B&qsd#ll!$07sN|UP=OQ^nD#2c-qSLVVx8~YYKYi9Ab^$f87_2#rRN8K*a zNo9At6LvClzLNLdaEOzIce?PJ5=F<yp)9MnfIB-Z`$H~n4R+7s7Z9m$O6Z+=J~2vF zTwu*sb;p;?9M>$n7UWD!*lWyrD&AqkL9eDRbAg;KZ3{ltvUJ<{1(Ys+ZaC-YBbKY> z>Jdr*p`D%2Csr!2T*|U_x}Z+6o#S1$gGJW`uk3G5XszauvUA%oNojNZFLmjEmp;}s zg_fym?2<p2W!dz|o8y{A^MWT_O<UKgXzZPpF!wgtbuIR`yX#(g<TE?|Ji+6W-CW5c zTCR8_Bfja>dzFYM6-}$=tAO_=#H&Xvat-+WoW=XDZ@}-srqp@LE3Cukn0?o3`jy!v zdJnp(P)^RgbjcUaren{A)_gK;T6A4#%{SwwN9BTR3{^CK@*IqcmRJ^ArQTHfO;Mv- z<6zZsp(+0@4zfKL2w5YXQ^E`0LWbzR?)Q`JwDk?B-P~)i7IYXQ=)|GOrd!7abc(qg z?}Coh*K+*3nltPNr{iI{gI@KD8Gl3$YHc<@R?r1H7%!WnOWtY2v1;hH!jqGi_ifQ) zSqfT>20GncV9oZv1%KvqnpI3%@MxK_;o4p?p%6boogx9ptKS85%9I?xo)^$56m&eB zFRW84?D%#o%V{2Hum7E$VX4aYlWH@<{<5-Y@0qpWAtQ_S-q{NZCnikw7ZzD7xaON~ z(<5`CH6OK`CVdxP^VP!fW$$8%b5?~-o2muYY`1s(SuU8fp#)O($Naf+W#Yx3EK~P; zZaDFkC3H6nc(Lnz7VjI&W`vzx%Q@{<?}Bf2ET?501IjrM7Ns`*(&h;J!RC1QDvS2t zNeez!HHH2I#Q^Ahh>~MEKG|E>a&)P4UaL@cJb9S&8mQO)lI8Ur_keF)O<$u}p4K~V zICz&u^uOPRi^VKcx6e77scbDAQp<cWsa-HcUqGi2y60i%&(@X7D^GJ~#d~i!lgm>2 zUMb>TKFinniV=!t?3c542p{xXubu%~f+w$_QKfY-YreWho#a8O`^p+O6(e5zHC^f# zSo8S{Y=yyD^TS)NMY{z2D`k;R;ndnUKVfk&hgN-G!bES*Rdv%7Hb!%<`rnt(+0L2O z$2o1*X3MtVYWJqpZ)z*5ISztv|1&zsHGeK-Jc3_;rd3dukjcJQ@XC(bj)blM1w`g= zWnH%Q*f$ncap5(k0*)_j4#Ku2baR}lZ%=5R&7t+LEnzXE<I{R!9iiU6HZhR<s&?I7 z!f_y}S}>%Z`=F4!@RdIr2ZK&?W?ko)7U>%B-L2_qoo~SZx+c+ot``a(@7#0h(l(af z?+OvB&H-OEny%V81^n@8GTmp>m~c{X;>6MAszOpR6F0iIp-|?p4!K!Vl%R=j< zn|RBVSNuq8>g`iF;rR2g&KbuPNp8y(pgn0Frl4bOK{w7k;sbTpg}I9-fR3@*l78m! zwX=?C|CVsfYi8n@-`t!BTG(HdpQOnC7c}pEs2z0ZPzmVNepj&~gMCe{mMi{DXq9mR z9j}{JD^?_MA9PwjXpP;;%IJ@qWVe*1DYCx=^^?yf>O4{S4Z5nPT#DQBL^$Z=zo(#D z8N7Z_q}~A3xdbh$_}Q;>#_>s@SW!Ujv{uWGvvWbU@-NWpe3x0RGA8%W9g<nn2io)= z3|i~I6Lb-;B<Kbr&^15h5i^<($%EV|2y&ynSdqcKn(RAsELZG79J*67e`UYbioLz9 zGDkp%(Mo~tF}7)Mwakb;<-~UhbTj?j=*Sa}-@b$T>!3xQ|3OD7&e+VmE{LxSJSh)q zGVBK(*bh1kXO(<%B41KE=qBE9P&?r&+*2m?HeUnTSITi)W`H&frhpa(+>I=ZS!#I# zGzRetbTQtcgP;S0(-Zl;)HlNRB_x6NC3Lk~uDE-~iSH3;qr|ahohJ%ERk({Ubmeu= zp4|M!33O8Mbx_|NJhJho5p)%=HRudx|Aa(7HqhdTkD%&!OINGR6wrYLDZ1R2E9?#& zk~uOjL6QCIOzwiI&lEO-)(?OVXbb{1bG`-YoN-)s!ijHJI;h<R8VRXa<t`4`k%(x~ z%mSSvaQC<q-!WyeXA3r6EZgB_*>Mas4Dt@NFBG&`(_&JqjLP&)2JCB7LA`Z5?&1rX zpsRRGLBYu<Ry1LMd#j~{%`qpwuBeXL#_WrK>O5JnM;o+cBp<vW0@NNf1s@`y3hK18 zfws>abmE%@x{_e!RnQ@Ypc{!IK@CLExdJgM)iFzDAh#gIMw~e4{Xa6}*Lm*sX18C0 zD*9T;zJxz9D__(k%ycy~e>Ufl@ny?GzoTnhPqXU;U-1b`o3i#{@|2ld&KPVyG3{aS z#K@#&AiO9oY1+ipq?r@@Gt#Eq%(!`~ZU24C{B?f|-_N;TSzrHs=eqab&sXoLxGf3V zmymY$@BjLVdz2<V)CSe`&C}0%wfin&Fp2Zqa7vQJ^}Kq-+oGmNx=p6<W;s+Z4zJ5) zc(~rz&ZKn7R~^Tfr7W+{%-LJF?}IdG1>#c9Q}Rw54sx=H`YYdfVbgSKx{ywht>aZ| z4zcP93w}*V=$y`xm1oI*I5JDl@vSS%X&vaHI4i3ET$y-BljZAq)rf_D7d}KaP0dr! z_``5e%U@6=)_cRPXPiE(f5bGIiV00QBebSe#_{D;me*^PG^z~`R$UjEvVUqq^F8Qb z;*TR&CSH_kI%WJUDXRO7;)-4B2a~)xSIIkXIKtI5OSEZenDCW-vl3=TbG*9ezu`_Y z%h!07h{VZn4d%3M<_Pl=G_jkJFm*Ac;`i>GXH&Z58)wraalthoeVZnk3$OWF+;oY# zsWeVOWA{fJpX|N49H-v<Z8+%2B6{C*L*T>(pN?~itrQIT%XToyTrlLn<Uyf+=nk~m z^|P%e-72W|SzR_KVP-7nD>;u1cdR*H&3D;w=s1T|oX3Vs*Eyx`du;HUzTky2%j&;V z7wk9-y5~pN@#I|2Yq#bv_#(*idX5EjdEqvj(k11Ljz8B6<`ghH9-S|g^TojN>3#v7 zO$YgAgk8NZpfgQn#Xk9iLhZs=YWNQZr3+oLo1ZXKo8#3sj%mL+9sjm-gu#X*DtF|) z@_2dGy66w6Mf6Qo19Zd^Yty6U!fQTCHcgr?xTe(B@ufBAwaN(#-WapI-s=)zH|<CP zXzgL4Jos|nI`e}{%b}wYF@L{YnRr8$<?4Rt4KD2qo~`GcRyAwEx2UGnZ8tbCud<$= zuyZfxt8~t=3USB7Q(3fYyB2&r%Cfp<_JS9=EUW)^E%-5+CA$aKBsp7lY|FJ-&H+{W zO{LR>rr1tP*elBN)ZTN$!K|h(-=^L?#T9?Nn_T78SN!sCI%;;8_3+Aly5PeE_H`z# zv~hepnRA+DI&}N$JJ(?MqWelK>WvR_?N`XKP>6Ub&(dptPxNxsQ(hL=?+Oubds&Y5 zD@8nJW>Gz_7O~nb;FnfYX`Yfswfw=VexWJ<tq-zEzuQ)~BjKcCi?`msx*(Q>#j%`P z=^SE~lNP-C%_(Nxz2Mh#&S^U)EqKPyF>TlM1>clePWN!Uy7g|)sXMPZUcL9(aEOtG zw_hRRWi3nZX_nPBd0@wF2zHeYcF(%6u2IK-P-?%j#!s7rQPOW3GpF90l`vPu@un(d zjqhF-={cU@C7-d50Y9r*y6^Y~Z1vx8qnPFDfA0-P!Zi0iVK}5}UJkl$Im^)TtuyDe zNWXyZB~4FPv3%86j(E76h4;Nm#LMk0z4H|#p4zjxrt2NJ8<kt#^eD5*^qyP5CzhtI zeySR^atE!Z`zX|$WMr`p{kChR@?Jj2pZ5j87yZ-==WIDTZ${YDw;W=TE|5c(`~&v7 zY&f!$#dN>ZhAUfHw&p8GJY#Iy<u9c3UBBtq?6<8C*G!lJZI^tXWffG#?f5vEGwi1U zXmN9Kz;7ea33Z1L$(YogapId~EB0)`R}0vk=(F{XOnRzd4LVm(H$jp8r}<_B_GZu_ zLv^5o-{yku;*C#A<Wmaw?|RUy+3=y)a>c(4&|SQsOJsh{2UTy~I!_iz-jxPzOaP7k zSM!TKQz$mzwtOLX^pMOG(2;q+(m`AE^*2puei*8A#&P9YC%$8#C6H6?H%(}M!3|m% zx7c-urDaDx$dzS?-eu*5EAFye`cf3xUn+st$901);{`1^T$iHA{u8w0vAH_(gyTnk z(B8l!pbLI(v4>~!DHVzp1>9|Iwd{~R;l%d})D#f{Ri@8CN9tW^1)Wj{+QDcJ?=Qc& z2f9#p=Y7z*#-MJpV`j`!8J_KFiF{t5@rRE>V$T*#0^M0C464{bCl~wy9n<?U6m*I} zW2<Gx-Lp=7m-sguu+Ie@By6@xKkuB(mu;Z44nX4%2Oq)b0lL~jm+@v;g8J+S56QTk z18uoG9XX>pqPtb*%KM~5J|X^%6PllG26fhDxh*r!$DBD`Hen{HZp#GS#mm8M`2sY6 zA>x;;$bJ~Kzu?kR(3#7i>5-kF0~o@=!zW)3f|@kEktZDgfR6Xu__PkRYw;XtTmiH) zuu2jXoby^`Qv4GW`KmyBIcq?-B*mNtO_yvoU|-B0al-MZCg^zRX{|CL=J8FljoCMX z+E)8OrwF8|yM8FpZTRreDnkxbF|Py-=j;XD*bsBZiEkIE$+Q#HWZHlHkc<eZeR0@S z=Zs_Kv41<fEG5o^1{OejMqYr9VkiTR>aPdQK!}1ylg=KJ*|HAQL<9{PocjxEI)Qht zZ0h%|z2f-ZRjg>j{U;3I*^(qh_M;-)#RgV0T4kp6rzP@T``>u~O8<Yx4ZFUpf@*r` z(Sd*Ny!1Hx!Dk!KW>MYXGdebXl`eN>img8OfX)iO7WHJ}8IfqSsI(cnA0^VnW~!!1 z&eW7M+T=4WEoJ5m^)o&?#?!ypT>tv)-`|a~)v@p2L(UCUQh&SCG3Bj)?lZIhzjY^F zzZL%vboI}*$lvav=V!SvM&yV(eqGHuZO6<7&-Su-^KxX_`)@eYW4ZqC?WX-<ch_=E zyFG8gznCUbzS$1ddr#^pw#dthE$^FhP9bB@q=c#3oL%=^Hr%?)a#UV1;<07Zq}OQ% zYqvgP0bfqW=XleV<+ZM=M!nQQHgTblJ#!KkOLJ<KbBa|~fJ?j`PhM>fc26=Bzr1Q6 z>p`K-oLTQ2H(YUQy5-F=O;h+vtmB3|sVraf6(Sz;HMM1PgjLO2P?)06lRot<N0%CB z*zMj0KbV?K{h)=4`gG9kybb|XrU$Kbn>KA1Uh`c6a;SUjf=6oA#eXj9G@aTlpkp+D zK~`e|Xm!DP^@vA7O|$MRMu2wH^9$&FJ_7BA-JQO??@7|{gs89ERU;lUv+(k(M7)e> zI<{PB%_qyIMbib=e9LWmB-><K=N#~<uxaZ**MO?UwT5#_w<&7uHa=Jt+4RX>IHv$~ z@=v>f-p(I4S1K>==G3b1PM8?Yx$57ngpJ2JR`qjEyHQ~8vl@I!Z6~Dj>KX7orRk}i zbHIP4Cec|ey!na|FT+`S<y9k|&W8>SyI;RAe>v){F3Zt!m55~drb(4eTm6(YYRwK> zt>!ot=e*(GS(c~uo*ND}EO=zkVK!^x(E_dgGZQAta)9qVOoFV;KLZ^p*=$y-QYz~B zb~eYfo$U+WDYKmZJ9ojqUF-=_+Iu<|JS=0;PUVo&SBiLgn8np!J>qRQ%hB};5s%qf zRNpH_yiRPoq}){c=D_tfZt#}E^zCy*7$fq{9Dmw!nnid7d~{}!p313J-<U9w&GG7c zVVyE{$FKK=bw2Vqo-O5j6uQhzeZ^drh_v#iUECbgek*{_j;Mibb}U=<@YPD?rSAnz z_RUS0YUg-yIY-!UeaDZ!&kO&6PFQ;9wc%P#)1~9WYc}^R$eEh3*PHWH{q%(9+Z<Z| z`Vtnab7=i<Png*I9K5S@=dW*`f(=J6v0UX>ig;wvG)rDR;+0L)t?2?f#kP)j`3@HC zS6%Vn;vm<5wTvAX5AHd2={ASdd!G%b7+GBV6(iQV1pJ9<GTmp@_(7Fj;7!QM*US30 z<f&@Z+8?y)7o1YtnXs2vJR$0-FpKmXmw>{0$TdHPkZXQKn~ttiUGXouNwtc_^uN!B zE8Q$xub)q}IGtk)ZOWA&U#Yyamh;tf&afXEj)ymMhW#>fe4Nb@_EXUD;%|<y-@=X` z#W}8Z=pEVObxtKBo4x5$weXtFGZwt*WO+T;GvJ>$i*$@>Bcw^PZFyhMJH-`$+?!nI zsjt{|PjSYzq-epAdj5k##hh7kUK>ItF8KD6<@6er71gW<i|kca)QcbFs#nOcP>Oh2 z+HElBShUcZPoNzXVW8`cikJ0W;ZxMuHzlFhUwF!%2?=Z29A8RvUfVf&!5e3m*S5|9 zaqUS_(m8$sA7fdh-}(hux^Flk)U;~8QbZ1`<JWuvox;PArn#ktQ^vofSJHezpzUqH zL8Z2^C6Dyv=6B0L8}8<U#&@;3ivuiXw94@GgSwXUQxw@BYjGC`{Iue>?AQi60slOx zt^oDMKbngbO{i&Ywam!fGo$=9WJAIdbyx5mZ+@~V&%p(;g;>#q|18|a1{VFTG9vqr z9g^t*4SqkJ4_XBPsys?h9FjQ_2ililF4wo(guOEtbj8bGoimP*>wVf<El+^<H0%N$ zLAcY3+wukISU{0F(CNP5DS(3?{(<_If2V@(j!jDB)5<^bSnH|6E?&@%J9%!)4$w75 z#{zYpC{%KB7hkYyZ<Sf1pO(mHbscm#p?}1T=D7Y=nJ522SL?;4D6${r?K^OGX7j~s zpoIW&pqlMIyu18LFj&4+z<Oq@%oS~&GmejxK!YHl$>CkCtukBQCnxe5^@Gkk0JT-# zeRY4N^>l&#<W@_KB@rhM7UYXPTTo&Fx-aR(A(@bKNs8<jLCu`ESz<*3`)9UVX50a7 zzX1Emu?RG(vG#f73CF5qf#8h^py`tBpec6H#)N+cV$Tu+K#nzrjhOG7)N0vr=ZF*E zs&ddZ%%H}{UrVuP3LD>D++k^%0qSaBDFZD8Xm7PVp#$F(aUs^~Yasj1%Mm9W|AWQ` z3M@e<E}u9gGi4X(5GT-Fd*!rN8JD*bF-t91<Q{b5d$c)nM)NT_ohJ%AC$w5-=q4(% zzcd2PtRHmZ+cX_C`2f1R;2-E1$_;7o0f0xBgXK#Nx@5XRGbNxylT}VS@vQ>yN?-+b z)|o(^^>a>qvp`1|gFEYYlM?xiwu26^23<k$&Qq-DLVYV}S3;4@`)daaKzk@|f%eUS zM)Id3)@r=i1ZvUDJ@3SK?O(Xv_s?2~avW_TwLEzL;h#GzD^6$_pHx2WV-$VE=%mg^ z30?0qQCqGU_E*eEmQ%BO9wE2rjLPO#-N`w+Hg0LBqO_x?%~)CCb;c-a?M5Tz&kftw znEok>&42gz<L!0Vzt-*B{qA?=zdx?i&)rhn^3mVK@ag~h)=js5yboWSu<$~f^x3TK zy^9z`;(a$9OJ-5MF1%)I<AM^E?Jw*3?6T(Ca-4eSzu{g<)2HLYIR^a;9;LEy$9f0+ z6l-#gVgaAwf0tuc^qyS{7@8tO>MI|4B<;RABkZgu3+OI+Yu^oLHnNmH7t$%`bo{Hz zqJ78ZLV@T(FMdIhIPVRoCM<ZdO+CS4^;^Gy8mWU)?gCThcy72hN07xkjN!5Ba&do| zmod%(+x#}%^J@CUFO;*PZNVdM7Vg|yrDdU&;Z3gJR993<988LAdX+Aqv$12rv#TuL zy6P+THYLpb&H3sZ$F%A^mV=Xy8^jgWpPp>6R<ukl!(wW}QfCgX^9m79O_~;QbB3)J z5Q%r&a4eQZ^}TY$Yt5!h=Y`g6{&`Zu@20u%n(h3KKSd6*9Ty0(nVPVWRT;D^{+PF3 zedZ&NCu~it>{TM(#5KLzuN;x&5OCS=;Ff8(CNB7PkL7gDgaz*|vYejl6R_W7!y$1_ zsW|@)m-soj?mI)S=yl$3D{Xn6lwQOqiDTY<?+vt=Hn`1M@T8F?Th=w;mrGOWGvO(J znGRN67o76n?jT#aaL5}Woi8SiPyY+)Y^s$jU;XMfhnRK4f?w{O(`NVve2!^adJ62g zrn#&3mOS!!R?PxF2vNG}*K5wOAN-DoPh`%x=9RCiF-IlhsWOXey5O2JGw|UzJEkpo zvX&)#E962ttENr=)gtnDU;a6XSwi3P|Fl5C2HtPVD}E$4we~5mh*5}m64bORUOD1T z8ssd%#HLx<oYSg07kt~6{z1#wuxZz2j%mLI9RJQ_(VpXap}_E<SG?@OAK)tSp|pBm zNS#l>7UvDO=5uuI_t<dkT6$8HYPoVmc6`&NcHuRXRW+*B4pxB%nOhT@pR#~X0=&S? zGWEa5h7+GzLJt=k%sE;n>A2yEVbAoK`s+s~9$Cm@nl7MIYUTL0mF0BJ%mwd^nx4*L z`TAZt;vqi^?|jvW%<jzwYY&085BfDNVi#Tm8mg&mG8Ge;vZpIyt+bnfP9$T`^f~*A zA9*~FYudD5JtE&B;Gb!+;ac$aLB6I|KBX0Z3c=e4s~8U^g$sqO7t|?acRX9oF|BIS zf^Wtwr&lyQymGfOVdwUK1#pbMleZ{bGC?t;Lg}E_b77HvO$k%;g+%twP1qU_nZ8=i z>DBfuF)AB$e!o8W{QlpDO<&W5r`Y!=G#fb{%@@$QBH_3ph1DRg=;w1`ccp&ekiXgo zlhzA_)c?IHW4}?|@vO?hAa|iF^ISKav1BRLSC4r2n&oT0D&!~y)rgh;0Ts*#wbom+ zAJ*K(elY93EF{!q=Gzr6DU@+MS;>+e>lLueYs0y-EUEQg8}1!udHUaJ!$EHr(fDe; zWm_+ZG@W{{7@^`D@YR^5`>g7Uf00e9(*&;UnV7IL6r9$MdFPd@^`-pt3Ha{X^mLmg z|K(Ntr4MqwSIeldIOsKBO~a-=VXBbh#cYnS-+GQ8r?O<*I0O{&9*jCK{6t~z)f%5{ zKC9guCN$?XwOYQAwbE+p-o$WB{HenKm7V=o5_8Tu@pXxBHeg@4I`V|$PixR1KP$K8 zihrPa*RP;Ke$cIZNvA&-PA$4{r?b`4!xwZ)PBUoaTs`uHqdDm4KRwXs{%X+3`F7Bq zyndjO^VNZ%Tiy-ocJLkY_pQ0&_;fz#wAf0)@Jzm}K+rIMd#h!J%n>KPNr+8?pn9hm zbcjG5XiwwagHC*(a>bq{d{E)G)cDujYRPevb%!PN<i9s(o%mMCgU&N{@9%o>FpA-E z`|AaDmyWp0s&wf*Sx^bu%C>7>tIQTqZ{BEsiX!`6&?N4i`;jLc?MpyM`-t2-;l$Tg zz0rVuDQIxw18DI2DT`Q<z})koQ-?qY>Rkt2MZ?Bz>2VL#&6JZDJ$N`2WRb$(?VbHr zFZO`ekmW}lI9+z(_GH+ZilEVlqcYsZ0#?&oWu|}+)&t#P^C}m#$kCMBa)te|LozDY zHcx1N#wPYmL3AgFxjOq>+lU#>Xa0eX9hwU|sNY@-w638?eqHmwJ)Qkl8ZpP5_}W52 z?FMdcOOEZJg$v4{@a$`~T(K84#(!J)Na(EQV<KWj6Yli4T4pSbJmL5Yw5HH_daFzd z=*ZwI?}!tQ|J1~uC2Z(wl@XCoO5}6Ob_cc64*Ym%rNOUm_ex>Y+oL<YELXfccSz>Q zvW*j(e+lZGaZFO<w#)z>auC!Fx}iZz?3qF^XxYM4P+QRvbYIfpLoy<935x88*NQ!S zcE&L?T&zgo-t<<>6*9-1_!fb-rIsCA!6CPf6_gwvb*tIET2N@pZRw#0nrH{z5cI1~ z?Ae0L$%^bZLCZhxO;e3oD)R)iCWI{*G+ZMf_DsQ~xm9M0e{v$95@>1R)<cJ6j!Xl& z5VQyMk)2r4hL_@h)aw55*U9yJfol2MD^H3gkAM8QGs<m==E(^rpG<Nuc^G+0dHTxT zy17Jc<y_wxQ+nD>a!=}H_twryT^pJG_QsNlDK=)4W~sOZO+HfLF?q_wOG{FoXukdO zd+z6Xum3&XcfJxdKYy;Yc7Of7x9!@qUE}_(%-w$Y|38;cT`sr&Z=d9<B)vChT4k2d zR`YocOdMRL>1XbLn8~2Co!9a2U5>C50wy*+2}_eXrOqpZ&l32`vO3m3;16GushpCA zrBcM{a|a5%@_jd)^J&`jTX2o}>;-==vPe$>55OiY*V3D5WfbKe@H48(HBWuTFNT9j z{z4)5U-<ZBcdq5gQsbO<Yx;t3I!&p4N-L_h4i<HDyjrgs@i42YZM)E#E9x14SPp9G zLzi61+$~}}zW%LKK#hEpDW9r_<@AKL!5m)UoYx|q1HNfBea%zUsMkNp)-N1V)0nXM zMx=z_)43dCp28uvGZHphvRw67LM$8JS@p`}l~>a(*{0NYiYtCg94tC7c;$YQ;<BxW zW^+otbKP*Mol{EQbAwmsf)`9pSM?M$>R1m-*(+&Onjeg+SJL>abI@w`{Jn`g5>9d{ z#1xqsYBFuOH;?71y~~D!f-Iu@T{c{-X*%^>Sf|L+@v6L#&Nbx~|J<8Y=P9oEc}i=& z(N<f>x3(P9EGI0;o0qUtU+9Yc+=SN8;FV3FW8BS3mwaJvI#w>Y<`a9<B7fmEVz%s; zwf4y#l*(5JA0;5Ku2Cy<&}zBhlvu|N_m;9ez0D$h$1~vLcNS?|*MQ2$t`g^zZo@{@ zYj0nfc;g?-ReQG$E)y3#yUsB!$}gbW_Mnluz?Hqt2|Mo#UXd4E^P%hLjIhINIm4>7 z9UuSY2>YqwcrlqH?6;QV$E7UUCSbP}oP=~<)xpOr`3p_CD&&*BcP+=M{jCYjwVYbd zILxfN7JLfl5R3E)_?pxNx}x@<ag*vhb?}{kO5TQZZbb{}YzAEm3tkUayR7etol`)) z-a)Q-wTuYWh?h!D;NyXVA;$xYH$Bo9TJv#Ms*L?k<AYI|O_$mQ))==hcyp5FwXTXr zz2ZT(aOez4xBW~jqh0L_K21oN_?&aqzADRQTS4dG-FMw^#F53+-gm>5PL{3W0y@F! zkiM(hihA>dT=i-hKXeXytzVUB(JRcddJpK{M$pp3jIviAZ-ZHm`YS{{=4qO=n&aB8 zIpDK_zi@!h1~z7SY98H~nY+Q(@o2d~PKko!Q-2|yA{)o6TnCf1Iak%sPUxHs4YafI zprwaS0lzbwQq63|FR$7yd$35e=@+}enh%`d4H;i}n~rI7g#8qAyeQ2X20B-X@u1Xy z6^)%ID<#fFfzDN$n=tn;bYkh8oL%XXa21XJrA@rkghT$Q9dt7n2>EAuP>EkS<S+Zd zB;&B8sH=}zyx+J5e6D5jmURpGEzy+vOz=vq*M>W)EMM0vM=S*0j-c4$EoWy@y5viF z(=jXg%TZ6ivbd%Tt@#$$^k_5ZwI4E$Cyfq9b#r>HSB?N3HMw1I4fs@G!5o3e)`uZA zvIpIE3x(A2A5_{5UAD2VuI!b^n~<hg&joZgPFs-Hov<>RGwZ(lhBLyD)0MW07yS8m zj-@!D!kpVuLJoBO8y9G3*9f#P8+4r>XjpaYd(b&Ux}Y0;wog{tQOm8cr<VQXanPCZ zUv0Q8J&wNuFT$JHDw6^_hrNn@<AmlvpbP&FcIrG?P$9-$Y_Mwcgyv76rTHH1tui6? zhY!gdkxN!&|N4J}0eh!z*yCqU7J!cXpKz<YRb~rlAo|$}Pf+DAU>C!FS9qD-D}{13 z&{FuML_V(jiHhupK?~$Atp}|w4-|X0zzDPu{;WQz{<<|Abn1*hXeqp-SkVNVrdG=g z+4G=taUy3lp93w1&)sCez89qGU%1YhgAXQxEaC?3Oz-*+T3L2+SvKg_*g9p<WN>q< zOo%;b!upfWlLh}+L5KKQaa(50JAFuIi5_VFUmDbki3L@<35k3~|3R%7U%f+TXEq;_ z2KCd+LG742u_6PzIjxo}YCuO7KnKgWfV!4fLCYFJBhnvhLBsm+!-4%l2N+9cgAVoq zoyqv(?J+05Pm-X8f)d=88gihk|3DY>elh|LDa>n?30a?>$d^>UVM6mSXHa!(0y{v+ z@v`2r&{@q_Km!oAlR?Kf9e3i}1?qL*xv2AGL3~;wAD1`Cp`fw+UDH}+dh(MK`Ly^q zOlW>F89Yf+3Z5hZ9mg;U)J@mtF1|49s1x5M(1P~4!O$U^0@)OC&=5_&F1O{0__K#( zc=A#e*^k<B7aLSHwaQEZ&*wy&RovuT1-j37V=bsv7$Ejc!Ek!3j0tEyAShI<=t3Om ztiN?hitKkmBk^}YM@{^<;4TiRKurE8z7dL9YN_$|h!fwj>dgl1Q$e>zmGE&F2UvHu z${Z2jWWe4Fy0)+qbTaU(4HKHbfR3ejZU{PNsIS%X#s~ho;Qjx&Gr1lg0afwUkP86* z+_CyNVPfj0C~niqHc6*dj1T8@rnOaeTm$vgy$w%$L?>suP3wKyX(U|gS0WeiJf)a@ zPTGvM8Ieibjsz!7o0yq2E%UJa^{>zF$)8{Mx^(aU0?<uAf4-M&+nqG~g7$x{%Vod* z*DqY-wD8>Ounhqjo5Ou>&UFuDxCXj0G?7L6jM|C_g@_k#1WlIj`K8Oqa(~gMqr&b= z-kht}DMsWlJAOURIjy2`!Lx1QdAA%sY@OC6cx9gBhBFIUKnKH>8##hjWyN@0*x<L} zl2+3p*``oARgGUZ2eYE}@0rAGcw)$MS<9|Age9T3mJ>ASsO=q4rFYQkGv}#!{u}NI zHGR_NG>h;L_?Xz#I!}3p%)YL0$(e2erSeTj`4m^w$s80a=Eypy9FcXA19Wyu!tyNr zc@~p0g|6(Kp0Kl><CVShhC@?SXM`PI$)f$%HQ+}=Q)r)}#w_)Sw?0jexH+%wXj<^3 zsA=mvMUA}^6Xr5H-aNbhSi!a1h0rd4U4N%?b2Iobz=e}JwCd+3O#IBT>Kcbwv|GU6 z=Pcef9s!>-o0f(g-;(v-VZ#|~4$x$KOxuEgoh;fly$c@hWYPXx!g6qu=z)rp4`+jV zu5JNe7@MyC^9ryj;a#>Bd;^`^hHH#0OZ!zKp0hM<idT(T?-TG(okjYNN5DtFrq*}r zEB=T#xyC82__ZtBGB@YCAdB}lhGX9A)Ybb``V>}F+8hj8E_lVRJz=K2@Rhyu5_Vd1 zyt?nc!D;@2hutjNd&@MJg;t0+h2HZG_)^ex)y_5GPe_yLIW>())rjnu;86QG>2kJu zUx}H(l)uIYtMmn?)SoS#agEKesq4K`gobax&&e#^bDRRcMzeIsx&-`{YElgozVb!@ zym9b3=d_#8s(6;aD`|Rq&$21uWU_?*PW$3V9uI|Cc%KWc2~pAj?H>#mhICy8Me17; zdY^N6<&`oo+j`BU=@MsCDW9Uo@4}|9&jhCYS3Aho&7l?Ny5T~XAY=q*dc9?#$|dC$ zpjr2Adjv19`lr^U`b}*`rNP0V<3d-?3G003ZQ8}nIc@i(1^<egMC%+c6eu6``mdm| z$CdeTq^egyiGS18d-f2gS=N0yGVz!vi|ToWh}Skvm!?C8^=BvSW#>Hge{KTv-ImPI z8s&pdyM;sQ<PR#j3x@pFIhf?y^y<EH#3Q4oS?0nzrQe~UcAMWv=H#E=1$i&he6n|% z3tYM1o6!1Q$i!w+!qW2sB6f2VroI;v**hg+D;s#@;EwqVo&>XG?{x{N`W<bNn;zb@ z>Ahma`wl@CZ@I%2Cx6~KGVx$0i|Bsm4Hr~drsgX|q{up6y)UTqO~vu+e*qoA$hOR@ zcUlux{^iV)_uFu0Ip?c+UK{Rg=X@2;8MdQu!NaJgsdd&(2`9M{_B{C@EbOlJUrl4z z-L*5WWmyY~-0x55HE}$7lO=nuQ@}4B$Xa>bgH@|JPyKI8Xg<!N#m6ajtIV=3_~%-d z?lTH2eknE`P3wgWMyvykRwXeyp5^A4wo3TQzPSlA<Atx(wj}JV7rb)+m6=a=>vjPX zAAvPr9GebBHiho<2q>{Tm}Re`v9BeeR}?%TcvTuY81d_7r}A9g*)zhxqhscdKluf6 z3UnO#y5bWO`J6sSoN(L;TEH!~c|tR|U->3ZtSI0=Xc1mTTdR!8_K3!{vzxDkfR6nG z)f-DdThcPbo+*6)87OF@$(`_LiOiStGkyfHw}RG@KLnl1`!Y<dNMK)ktL2J66I*3m z*mcf0o(+4X^;BV>GU%xOxverP{7H#?QJ_=lzkqsaexN49{_}@qzJTh|pP)kj;9bzV zeRi>D3SYi}`g=?g_A%^F&*mKuoz;8-v>;vzRMWA8PydUY(R>8d!l<<3E}j7DDsRzG zP2@8It=N7C>eH<Q-BkywN?ZSLoY4I6+v<w#d|vtKitG=WLA_tlu=W=g&{@V)K?4ok z34aP?kDL~kf3={H6VzWnaY$y%wT%;+zq|*v943QS4#tZ;TOc0U3p)1iHfWOpsJHzi zQS6yQi4AD?+)U6VNr#>IR)O|{C0*Y<p}DHN)zah6aVNf6{u>O~SBk?s%NhCG*}^mV zjI2R}(siJ2uz^_7h52U>$%ud&V4y}*0BA{k3uqDBR8vq#9dtsWE$EP7{S5}}y}8#P zJ$s@c37TCujyU1?D?seo0%Oo(1^=`}zAA1v@MQVN!=)4U7d<#`1<ps=gelA{zf z$qqVJ`I8OkBHp`)LT5GKC=n|PxIews(&J2uBKuh#&~*Z*o%n8j1~qO#E91ad|E*0? zWd94gvgu)>Sdl>uXvaqD`x_<2umKI5+!D~m&#CgDs}EXQEmwdxG(G|?_djL>nr1(8 zNM;Ktxs^<AwR~|0v}zx8MdIG{h!c)=r>y=7SxNYS8V!3RW;9;_?GLEo;Vu>c-P8AK zCTQH_At*V3Hvz7QH~hGePYATW@UxuQGli`aTV=L@Qd`<*YtR_eUC`Chpv#)g{x;q} z(m$Q?#4N4*pxPd>3~$ED6}lUzE!=kORL`f*X}YI;qOupJP3)f$nKEt0%8Y3<ww*BA zcqZd#L#msuaIR#k+N+WuJ;i>{Y7S&}^-ql4v}UO%@-jTjnCsuG|CjSWzq=vx$<z9+ zGjIQYzuzNn;)xI71^3O<&wBj^EyJ^$lrVKNN7p>p4N=Vtegrj{P7|II<GkV8W|pN} z-%QPyuQ7amW`;xc;*;Uw42}!m%vq_t*Ov3ta}G0$rUj2WS)}KB2K;nta$TpgqDuK- z(rV6C_P!fjp1Ap}es+z;`>fgu+vy22TUko^)g#_jH2n%~5<NE`x<*HTzE#k!#swd> znnLHPXzZGouvItz(T|UjEZIvqz4R0!UK=!BIxe_obH{==Nljn%lr=zG+qF5h_&Cj~ zCNB6ScG_@`YuOwJ=+fceS0>)50iO?CsN;Cn7+hM#Ic+$zm8CRXK<B%J<KI#i?VM{z zw@9gRgx#9D;G-SOY8jV+FA_~x_c;dqv1u}`vxH1=`o$TSF1f0#@mJ%ZmA=4~yI<0L zviH8_IJLh!p?NQ-R$W)Z;>Da=;T&R?(-*wb=MdXHYr!vB7V!0k{7p;EcCsE`X*)S# z<`ouDyQJXc-Mygxt7pJ}jV953e&DThb$%Ci_-;6r%i_w;vik4b1wW2$Pm0RkGjG9@ zb1d0==P&re$nsi*^VB@24fk%cJgs-za4;0Q)9R6Ku)7<-K*&GogG$o(+A>3Xg>|+G zJAVBxsI$@D@$7jaol+ymx0^Yp?VPdTT~O20T`XU(3#|DN-qf~B_Hg9OQkLH50&6~j z?i~crz5e!-U0K_<pkii1?|XqMdpZ)<YIAzsciC_*t7+4Dfi>bgnJ;Vok80vg6Ar22 zKj_BIsZ~EKVWKd{s=C<;8@V}FZReO40lG#9bm`qa>r$1kB93prvYgH-RX_gzoKnQQ zyWkT50~9hUWDa`WSIXEkBVp=&0g=7)61G-zblE#@IJTeD>sXb<xhQL)DfgNa=Kh4P zK}hGHYjw$b+JZmkoMtP8L;jc^bh|DTvTt_6#N(W+{&gp8Jp2C87Vs4jc`T-zS-fR^ z0zlnYKXvfdx&2Bj>Uj@xmHR40fVa-|`^jqda!TdzV!9ml)R)DzTruLUGs{tam59gP zEUNy>5wByKF8MZ<u2a*fRzFyk&3Wqo<b-D5cgG5}_DxJ!EaLc-Ur1-td}vbp)w)u7 zV=(8c`uPc+-ke$g8WUFD=FH;fm{vJ&!MkJHhHIbRa|ozUZxXe0xbT6YX{w$7g&!hK zp;;`h`ic>6zq1_8SB-dl5V}0#)6SL3YkA)v+~Sq*z2Tf6OR6!;>$m;^|B6|p=lBGC ztY(pp^$GY{&eDCyC*W&c(@{5;tGS|Wn~#{WnBMo=aK)zS)^8!5;wP}Q_UX#RJLR0O z;$1cz%IB22=d$5aEqE1PYIC*W+C|13VctR__a`Ux_6tt2X-Zft%;7cPbHh1SmelnM z5&4piKkW{(`9njk`~O@kqg$)ae>|P97?Gj}IZwa>e4ap{D)@#BJ@pl}wg-(?bG)*1 z+;FF!<JIx7WDD&*GZ#FRW6`c{0biR{Qwd#(^J39TWxg!?G)4B0p!?I@+FNB*Kns`G z9*;cX_{$ZvZ2M<z#3q@P@`OabD$reWf7(Gy;X$QtL{cK3+j3BMy+2|`^9dobqJY1~ z+{FUX2c7s{)q^&yzgBbo;HTU0VXjrizBJx-mt>X*fo`bd<}RK9+74C1pOVN|6rZHX z{`WFyJfA=E#K8@q3+n!X`fTN(Yj?plFDt0#ef7JrxJclBU#n%t9?-ri(D*@ACFt7S zS*?~Y=71*VS2G-Hf35Jlc_n}8h22v?v+E~7{Y%gpu^>lZ{H*h2flMs}Xbqlm<O#>G zvY^UBAJmv(0d4W>YLzhoEfrXDE>V&Ft#`zXW}n%hOJ`CO`HDcx-u@NqoN-KC%pSjU zCuj=b;o(w)J7q<nitOcEohJ!%5)=8f<kJ<|FDii!1JL5O>;P5LCZLl7CWUV_U|%~M z6sC-z+BZp&{bsoBk$5Ney`TdT|K);i^N|Bx`ga_3U;*gTzsaC5jfAW)tC%LYs&^%S zsX+88C%#vp1$p4BcR>}aM`pw7Db3$_#GWY_x3|iafR@J>S%S_7ZfdpEm;;)004<MS z$_*L-0c}nzQs%boSO>a#7j#;3#goK4b1XA*Y~jNIMc=NpTV<S0Rb+qJ3OaVTK&)tj z?YvgY7oh8j{?&j+7C`F*K_@HrfDYUL1nT^!*n(OJJEu*5s{lS2_%di{fsxxX19C7h zX!yfb=Zs_8NBEkCcd5bhuN2B<K|?huiF{nnpoYT4R!fcCj~wfQ_>NhFE{MJxdBU;8 z4%B0Bf$e@s-!P#$WiD(50O;K1b6lVvdrzz7i?zv$>_5-S9X&g<*%7pV0(#Wrx?uTN z30wHNEj8qi9Fp1diM=k^K8~s7QPr~w#?+hDpu2wBK7NU9W$Ts*RERm!pyVj1+`;lx zXwB4)j)Pq+E<8nsN=^b7J2)6cl*Kv23>SH5ZEsL=+~}6As+9CZO3<*wW6jbfQ@j^A zFfVoRzES<`q*eVKzxvNLyVKYHook%FY@+bAbA4<6b}Zi(`Q2S*{nq($TPL|H8DIMs zdevAxkO92i`6`RIj@pWw%HS(MLRn6qse3VXz3z|nt9NH5?3~N_>bz>iLjmxBV~Apg z&HRL^tJN;V{l8kvB2brj(KXmTt6WGV-f6=zV-{8Oh_=kNTSXmTiX5~m<~Swiv*F%G zmZ$#8Hy$`Ob%hJ$Tv1z5V|`GGxoMR)r`YVT25rH$+)b)w-~)sYshWSEX*J2JV?o)( zgqgvdui_lQtMSBz))Z(v9%knVtD3an;~B+eTfOEic+tnQ`mJw3jod-0;{sD`S`*fO z=Je86h<M({$>I&F$u{3zsl4|$=P7%~4UN;1qeRVBZ)C7HovIenDN=B}x|l;uTR6mi zI;6brN>~}q0UmHnW+_$fPp~+>cjAJ7-&nNw)I+v{+1Mn!@_4zKrPp6A;;A*b*k0=z z@F%Uw^q*@$5$nMyeW5A$Dp-%d&v6eZD{uPxO<f~i;l=}%Ch&E<NlmBrt3*6m26fr$ z|8uN_qWuE?vNowE^(RG{-gnw?C68t6cjbs@1x>rug>=5_HvK9EcV9oaHBJ5Jc%ee_ zpw@X|k-IMpdD6G$a&*1-+Td0OaoQZ4oL3&NS(+}T3$7^^biA3(aV^>>;NN=|X&u!S z7HT&#zCE51_S9ThXA`&MRenL8G7ZPC`a(K|Y>sE&3+r4}S+TbxVdie=NbEbknO2jk zr!Dx$<_}qom)MoClwSzEK|x<Y#CC4N*7t%U`zIyz-WQy*r#WFQqvOlvoY!WW9x6DM z=egmYAInpFhYbfEVQrJ=%lmr#R9D0(gKs+oUC3+J^y<HA#3Rk7S+8w7Gj+FGI=+?V zoOV*+%3Svicb;>+n(wsXP&ucRo<hV+bC%xSEUW*(*3rMnc;#_+-k~jB`EDDI-RAUq z=eprqBg@i$wTR_D0pDzzzUrxJ{LgOU%~M?QBfqIvPI-k*vTEDr6KX7>lUcgoItKhr zX7QGRw&?QeQeSzzl7X+ro6R}xHRRf9Zx&wjx>=8>-*dZAQQj14=N0gUqv`5CpMX7H z8;<pJdd0bKxaP~U^u20C`cG&bd($-7y=r5+!P-yxsy7nMA(uQBG@Xi9ig=RKw8~y7 z;!RZ3E7>O1cZw^18XOE-&6$<|MW=1^nQbhk<*E_y4zqmaSB_W+I_U;9wm#3abjcUz zreoIy)_ihrT2wB)=9_@y$LAc^ZWM?v3pH}wa7~Y8>37wL=K)Qdjtj3TXLI~1FOYLV zWyKHnrrvi-EB@3sxrRY!zhY|7N?eZ0$!>b}UM(U?&+)9y!Jy-USM25{%>2nxx?UyX z-FKF+?-e2**0b==SBud6CDV4f!n-N-o@+n}-@&Z;DjIb<2c_=A*1PJ@vAVQt&VrKJ z33G3AoU-%Sa4(qU>GgWK%eNAWo4Ves+|Y0i_$kcNEyB5~ZZ5bz_`fHivz5j4zncO3 zO3>oB>LZ6_QqtDdrOF?y4rF*(U3lSc4!9nBCktAP2f8fh2WZ8Z+}T4iQ}!Km;yVUj z?Zn1y*#Ro{ulzd&Iwg3s0edf~l@O%=A<H@=_mC6cB~YO~*EZsWqZ#O~cF^4PPtbuk z&Bbc21%<i|528*veq0{RUupoFE1v>d`{wd4S&{uJXytoE3UAy}nJb_Tc`DmLhsc1| z;ICwkIN|u41GHw}h}-f7=#rv4{-8x;R-kRx>0(6&d#1NqYS<of;yacN>Z!kuf8u|K z;ZXN$g<X(?W};@b${hKgp2#OPA9O4Ws8+ppQ|F07ts!^ug`Lw{Wm5hh0i6+?sL1|P zk-OL+;;a*2*ZOMC`^%msY|-Vm)Yw10)w1Ia=;lB0;W41&VSa(m2ym$lYOyb5=2*8> z#-!fvYXJL7Y0!jqp4c;m%{{F$CG(RL`HI%}=InT9Uw$}J=8G`sNB~>VNx}M{BLPIX zEhXg7gI45$4!h}&n9+O-G#I^aMyqAUmxvRNMVj2j7otGJ=bO_XoSoTx?%HIf9Xq%b zYHspvvi7&V;+U_;ZFxc-w0sb>yYMI%cd@~&^G<xHKrM}lt~yT^RH=j7VuzggUY!R` zo$$7VYqFmOoi`KG)he?EbY<U6(1`f=2(f1h<x-#(jwcSuh^(6-a`5O@PzWa6m{+x; z`4XsU^GAWZI3V(*6W^lEJnJvXT-lbO$o>&@P0=ws&;myR?&1qtn<g}WSqW+|Fo_jS z*bAzTbwS%8qCu@iX3$8D3dn_)!ufuDrwT!n5s#N}=&fVo&|la5>RvE^sle>xPJFLG z%QHGbXE=YZ0d?0KKuan>yCGu5iZ1M(-)ecn7Ic|n6#x25G9sYMk`Bv)?i84(^CV#o zXf^^gUX(Gj)pEsL&?UjsHyN<Mu4A3^uR>Dhx1I*LU;kwmXdnHb7^{o9UBy~<eJhJ+ zq^9Ub&I(+%Xszo^&$PB#p3j(1howwgle#D}Y1YL{M|2iH1)q$kV|>b|=GYmP%}1lO zjf`t_CcpbV$Nc)g8{mEP_dvVrzTaP8cvx+-lK$V7Z%zK!{|8OfOa0&et;Zu}@$@rH z8aG*{>`5-tV#v1j38-Q|Xf;t%|G)b|!PMtMYqn2V@TU%3T~x>%bUV$dHQ#%~iBy)* zXqN7~egS_Kn^dm}U#Xdxu+nmN(XDSxO{rp#Gu_3n#2S^Vge$D5w>rr6T)-s8b;G5t zEWP>45l<PL7QN;OtDd)@LZ>Tp?M7+GleL`Jta=uFF=TnIqpVSFcCf0n=~K9HP6236 z7qniz;{KJ17o(a^ofp#CbTn;7*wvpb-MUIE=BY+J%4nLkTu^80qy^tpSx&D}1KmEi zvzp_Tz2k;MGZs8NbJlRq)MtVw_u3PtHbbX6`{YbZmy~fieiUWNj`0cD<hkLRF3ZyQ zY7x&1n>P6iui1Xn$7l7Qb1c$JIJEXn1>fyc-;ywKGsmjBnF$+LbFBK`k<baM6G3Ow zoIQAD;+0^Qt>$xEGj%sBJKo*PIjx%8@vkyR*bf88!^WIps{~E<O-h(5FC?;ea>Ca8 z!XovP5_)~pk}XuXt4F+^%Cgj$<+Y3*bm8^4BP*5n8grbg?@Vac=Fs}rkg!<K@#%d* z9V4X`S<wkm-MQ`oe??imWgG%N&t>tR>kzQpXTzDFkR@5aA%*pJX#M)IZKd+k-fc&= zNS#-WQ1uKbsc*V^&oSUnMU$zWU%)4urmcF)8nw0ut+oqKc`LkT`@98z>N(722tVF( z)Rx06$|vCGTb6Da(3~!4HNw~AVE3fZrdRRm5s$2zX4$JoymD;1^_pW^W#fXp9BrTM zop(83z4zX5=r)Ixob!fD?wnHdeK(xC&e0X;ydkQ6!H>Tz*?&NtJ7<pRb87Nld1QB6 z&Io%M%Xux*CE!~?)7L&Vjd-OS3961q*9+xrnYG}PKZn@P=?h-X=MbxITkvbmTEjW2 zLM*27-W#qcvTW^Fig<Pf+GN_Ty{zxaKBs{Fo*NGJb4uOw-Ec{sQ_9|V!>MP*hHDq? z7FhF5s_7BC;F^i*8kI%|qtXSZ?CVIFyPD(FJ)aHtVp*R4ci3<+7#eCxCDK0Gi)A^r z_RmU~$jrHFUwgvF$sDWdXC-uUb7s|bC#*Dcd~3})t+HpqyU8r4&)yI_ymG(oL9X>m z88Z|kUfyNt^;d~_3Nj6RrIG#&tDxU%jvr@pT>GKwc+#Eonv~#_dll9`*>m4=oO<WF z;T|K))BCRAO{(^u8$bsNNV9a`@d)@T&eCn`6!15n#arXwr-Fl%oH?f7xsw*elCaX2 zGwZ$o2A{qK?>@4eu5DWIuaZT(rg_1`uPoYs=PW3kpD=a5kVtRN`PFN3eFJI~4@%`L zXzZMl0KU~nJz_cNL`5!z8!I<W_mh1Y>mKkgokiNlBjBSxi}YTHfXdaf7Ii6%j#o_% zCV6wLs&7c>yv&(ZH!opjD9hIMiV@Guns$8`)-hLE@n7a3SM<9R;2Sv}uA8jHpuKk< zxDUT){(=`qO;_#Q1NQiBIQE^x>z>yJ@X=C2Yd-Th@@?8&{^+jN3)P4dj{izQv)2M* zMFBPPpdCq5K}*FzSE}jF6nW?$!f^2JDaWt7L2JyT4?FR_vK4!#@R5bvGUMOuR!fhw zcO=6#+26)S%xFGi2im<Zz+F6{S|7C0>&zh;5iQUmH-exVjgQ+>;{KUKG9h(`o%j~@ zgU;u#2dxH3+YP#dEqOV7@_f=t@b$YVKvTn;4A|#R2Awu%4BBq(1X{Ll#$9ZXv3WxC zqxGQE?~`?&EcoLOsxMyJ#4MEw0gXc>RfBe~gXZ;*2<kjp5Sfz57nHtfLi0CP&=LKo zKo+qIfR+Qy1}z8pt_@nl4Z85)PjjmbkGbCit+S4r=RmW<8x7c}g605TJOrI7@2vAg zp^lBa_`(k*ZcC3i(8)o0pv`@H+{G6vTUupO{+~M}^TkBoT%G-AE~r|Y2kM<e&I7m) zIs$I73}|S70_b2o(C`9iIsTg>(7|}1GyCp<_Jo5r;jQG=d9pzErYLAkzc})QV>#%m zhTHwEGG9RTRqJEW9J?iVaR6wVq6aihp#?su5A36hw?RuOz6o$!c7W#br9itDpUk;i zag#3!wEw*d)ToIBtrG+dnUq^`Tb`JI_>c?_sGt65tInB&FU&xv+%$kL69Bclo`bgb z<&@u<BXgxZIgwB3H)zix<ghuL9MEBN$3Yh#fR+vvgYF)>20A(jw4=Qqv|nRKf2#}+ z=zP4T>7dJZzcGQ9>VvKvxCrX%>w+p^cTgJ<w8*~*G{?THrB!B0IA|N9DJb9|8|S`* zu6%mWDONN=9<;f0X7vNDrwc6lTP-Etg0^?EZ#H0`xEOTIpa|$RrlwYzE1+EzLcU@} z7xsYeh6e3zjQhvBf93vv+}GY8SOu=+A$#b*?W{R)MQL-JFYj5I&xwzWi>(U%jv5`! z=>!ec%Nv~uiP~ncIb-Icw27HX+RCSo__&@v66H3lGu6%RlF{O6z1N~<%+&q(-TL|2 z(*GNOgE!GXkGcN+_xaj$w^o<kQ`+=!`L?%w|No1g)E3IzpQGCCl9pp|dd++n#udA7 zzM1aFny~XN$E)QWVG$;c-_-Q$O&T6ZOUc=nE-A5ce4NU%I>rxtLG3#QjeiUWrI?#0 zz2>-f%cL`N>O9vC=VF>RadU!uks;u-TO*WjfbQhI%_+7M)E@?|b9yy7*gYwmb5*_# z`{k%4>84q`1$Cw>uBeqcXtZ1aX~EFLu%@=yrm1r38GG6iL~pYm*0h?Our-#WOJ5=4 z@eSCB=aZGnYmFRVc5`0aIc>okU6$8(y#xLQHu26=U15<N?vuTEEvJ?`r<mo01+NTQ zx^)#-)ae}*I?b6C=e*&{S(dHgLOPdWL$SN7UU|H8ZTc1c_Si>mYhjZ)?i(&eb4rPG zg#F}jyr^|BD_&8fPWYgdy_&{Pj)PJ4Y8ro;4q9!zYdB|<wIKKenC${N1(zV(hj#vW z2--!*p;bRKVWKqWsxl6->KO}u&F7d_F>k>$?dM5R-k{5U;+s<YR8~|g9V}99`Xw&3 z=7VZeo4LT6FUn2F_yyN|Iu5PG7N7T(U75R)eOaiD<A!7RSXBEJB3{pBS$bY2;yF{( zrf{J(*HtwBJ2&ylLAr9+ghT3FxeiCBR5z`v7Sbt`aQtd>P$(UG!`$ropi7OQchh<X zd}nNWTIU~7FME*dqOIXt(7^(?niqU@Y6`vQ81Tgea?y)Xlj%OcfK9#|uKi_MI$tRw z{Vl8q>-+7{mTR}$7W{d~BAvpawQp_$_zajH$Qdxb2^(*7tolDEp;MhBtB+&at&Du0 z<==doQp;3V>~2Wdxn1yz{mg{ce(0LIc5#1MO;Zl3`%W89o#*JXbK7w1K1Wx!yia!T zb)hM9oWcF}VwTrBiW=2&2dhqVo~oak&^(({>)(`w#deNQ_Y0Z*iQW9(`!K8by$|l^ zSq`a&$2)Jhk<;{wT|lSM#PRHRA)Qh_$G2JsjlzYm?Cnh0IbYyPeM>^?egTu3#)PHe z@7s4@tx#_Yy#%@B(U#@td9{egm!b9llh9!ID087H``Q!cwsW51<GfZqZ^56{-;QrF zyD@RWqdFF8S<ir<cUijUxCVR;X6e2PS(dk(GwWYh!pd}xEPMA2XQpL>_q>-2PgY_$ z{nk0)KSz`3J=Y5#e43`(d0zP8)fBqVHQ<Y0)72`Lqx01w9>=q&n$JJJItR8wUs<D? z>0nj5z?Ag@IR!_d7gdSww=7L5QFnYAFQl`nYr!jfPO;l>7<^X$+Q#C&gd<DNYr~a~ zEL;7RBhn-t@1EwE_M6S|uNnAMfgd8EWeyp8PS)_GPrVLlpHG*WYhAjejKlHcQI>2G zPOo|X8?G&7SsE^|rdZnX=5LN`)lCcj+~+jA@xsApb<&&!(3t}AJ{wLHvV__@Y`78B z^lH9(#G_r%O#1nEuzOH!)2)6%oy~0v*6|(MGOb$H@vkLIaRBImG9J(X^~=9HPZDb6 zK)dH;xGg*4P9KuFvhO&k!@kLYz4!jc3C&MHtLC&fF??5If4LSkVg1HaaE}73z&-`` zn?K<Pv)n&^NQMVA5`OgjCIj}xt0PZ1?mT)(#wBdigyvVEyLfM8i4_Ha&YGEU<5fc3 zw&rKEBW5&Tu>sxc1KMgW0$K);16l?kDOPl0e^;xeMol?<W7b1k@L6-b+?Epjku#c4 z)kmCgG?{iVbXM~%P&c}!9<(Yi4YVq+v(++VE~p<Y4qE93s?zG(TP<IJ%KcCK(-QgE z&Tp8|{AfR@tuU?q!Lug|_Aql73)}=*1zA65Y7IJVPB`*}<6qE<w?|H(-E&IZ#S?Zl zw#saI58Ceq8r^?)S?7#n-WeypU$eoh10k#O^g*lOL1Q7HUF{*D;{q0ewu)`l2c3uq zT7>r}0q&<K&7i;o_t{^Af;Fujw4!0REO+q*Z_qkNCD7P8X!d^|=u~3Rdh$=8wGdCL z#EJs;f{uT+J>bOmidpQL!p6Q<858r^mf6PaD<eU>=ca>p&&`3KHy3LI+C2wat^U6T zbU1OcBKu)coimOv%fyNd_Vu?~uCM`h)<L7=w~n=g?rqu!I;!tRZ>x+7sG;>5v_I0W z6?EQQsv`SMZ_v$;r8-X(K=r!83wR?Spr+>4Vm>#}w#}P-;AIs)u%in=$1!|O1}&>N z32K3*CGrJ{gRY1M-7NV`4AfZ%IobA<6W=dT@@bWHfAH){!VXjJ;sCEr6PiDQoLzKg z35VP|@PR-tCV|#Cf;;V?*^OhMRScEJpb?OhPJEYY#hxwLJgZeEB|asQFYXtAUGV=u z{JU-V4uLBB>K{uWOYfH!=G<B$nB~zU^7u;M6gAb&5yvVwT(i(|o~_pIBedC5&2zEu zv4uS@m$z+IUh2u$d}+xx*{zvgMnzJdYM#oTpFrE_=T-mz@wRmDy6@k2)_wo`_uo(H zGbh)JSO1JpzI^uo_xLp{B62>|ua3~!cI@=BM`4a4O}%BRD{ST`OcrvydYMyfwQ$J( zNeP{zET->OB3|ud*p<z?Ka=HQ{;F@n#_mS?LRWmxRHrgO6g-{l30^q;Tfij7W5cDD zEWMx`;EI}-o#qUCEhqxowD*`rHC`biyLqqSoJ-LHYc_K`-mGMKt;?Yhv&o6Wd|6HE zvl9<&n!2V7=4|O%@F|yN_8sqluYpZR=c%vQch7FdH6hKWS=NF&Tg4sUDsxP;Y+dk9 zsOhPm>Wcen5f8<h+E#OfRarC?oD_8Nma{i6Ub5-jz8PVc&vJy_Zd~wV9=NE|Qv*-H zdUIaeId8$6vn;RIs%ZQdILLNhD5U57@dC43Eek$<W10QNG2m-J(@{S@kjpxz#r%JA zX5tZ57SsKH8$zZp_;#LiTIGZV?~GYauiYxYEVMqkN%WrM1q1gDmkzRk`*6Z6zWQnr zZ?jpB<|{=!PG?b-SBS_Ka@g^NW0C6e>v!ZYM?L3dN!_j*kzd~QiCrjXL&t(g$2rY* zbu1|APM9psx$57PgpI#BSN)%wz$t1lXV!Z4h%D)*Tkq8(mN^7`w`h8LjtAnhJNpZs zc|2Ur!ke!U@lu_ox1DA6pVkF0y;)Z8eaFxze}7g&Z@J)<JN*f3lR3QRJ8tlsyWmYI z%j>lY8uj7_*}e;g{4qZ0rq3pzXW5i-^67QCj?COms*YE64krB;3bCJ+(0Q37tFA3! zr8Y-a{p^I9)*P?asYT@Z1pH@h5{)YsXuDj%-8A){=Y<NngIex_CTj)Oe0#}oNL9Nf z<K)L@XC@xo&f#^>Z^O0q9A5Tr8_s=ZNxg0_aXCug6>?>Q(uxX|gKo<OLjH*yRGTgo z@>lI((srQ`c>$e|9FAw}g><&QQ(Vs@4tCsIb5>W5X*VY>csGybw5(r1z2QMF)223W z&ahu>j*n+^hW%7^yxh(a_M6@D<5vEsp=M^P8Z#9mUjJoT%C8*pJf&&VbAdJGo(vBs zi8}2Fe!70PrO^wakUy*k-S~w<{#hSX%a(1+46Ri@m~>k(q#ktCkiZokVVzPl$G7?d zI>ox+`~G&fFZjpIqW!0L!NXauJn2hQ7#>bi?wDqCuk4w})4eRd{3;P|S(+ZD3$FQC z*)-|8z?!eNO_yq$O5dq#{5CmQWhHZ2Yro(@w%Y>WH4dyzr;ZEf6sb90Juj$JRtk37 zmM6c;)B9B3sjc{F-L!O`(h6B?rpr-j&P}_bg>|+|JO2I45oR%M!9z(F?b>MzK8CWa zF5#GU&vnDCe9kU=&ke`wIlRtUPP)A9o|MCm6mG?+qN?jNmFL!So|?vS&ANBNA66FW z7{`E*)-2L@9Rq%vv&`<{T=j2u!p0*^KC6F4b4;7z9Z;O#v{X#!%0G>RM$d(><O=C* zw`nLi$)y-o^#9vT<<@v%lbW7{rS*a)asM@ZvZtQqob}FUL)5$lKbV?K?VP})L;HLJ zsw59uZ5NudcY4BJcaBs3oMsW_ifzFk&$39rm4IY~>Gl@IDMc2Je5>R^CzyjaSN#Q@ zM!M*%6W=V*60)Gxpn-CKQ2!S+`5XH?Bc|E%MD8&szF(4{p>jsCA_JQq(8;+Stuj65 zK~vT7DT?fuL8rCd;%`=}nZ~79HI46)cDmiG1%^qA>?c9{*RQSi1|7_jf9Q}*$+l!g z_M4!MWA_w6HH8ppbN%dA84+nvw=xQJ^F8Q_y`7*%`~N|k>*pmZvVRSiZ3bQX*9+QQ z|5oRWqn5bH!^2wz7PY@#P<!EwyKIVIiX!{n&7gB$K_{NqgLcpVu;aFr_!c>%`I3CZ ziGx2f#hxulI{rxOX~HhhFhjL8w`IrsbBAP1_!1P^PlCFdt3l`Bfm;WlM#?ug(8Yx1 z0WJLDiZ1-u9shkdmM=A^0PRA%ZowCx$>%1$X+m?+tX9hzZ;m<ft@;hxxBeKk$~+mg zw;tR&;DWUd>dzdKNomu0vf#H0Xw;*#Rp!fcne)3#18#J+%J7t@Ch~cGZdR(<A?Ea@ zK(@!*SiUsCa&D`PkA6ZTUsm|03C%x1Q|^W8phbe9%k)5p&Ab$koYCx;BnUb+HyX6T zV5iO#1<+CW1`#Km__~&ZT3eq%+ars_o+WIW(<&2E4O*vA3ir|9k2CwNJoX%L;+rKs zr(v}T`%2x26OPs5pd|qPtuiH`2Fgw@&;SkSd>hbu$-|(-^k15R8V8__ayF-&_?88W zJzKEtUMFbH{_=<!&By$7o+#`T2OU+Qq;aS{8yt4Orp)ZOdZCjDY9mC>XpWoRD)R(% zr!pHTwS7z!dzSD8G~}^9_*m$y=96hUPZsO~HNHUG6R&iGmH>bb%bf?>0Rh^S_zkoo z@L8Hz(S_KPhh(0}admxosMYe~q16j}>(7Dgtv@_>cv(v1fp(UFCV<xeX}o`?|38!U z?3m-AiXM8-edS*N<(xBrduFMWS*=t}&9l<goP5b@=S(5zO@|L!^ck}szjOX&$*d*Q zN@nej3QD)SILT<Pfy(4dR;5O&y4#gARTmnAkGZ$2pHm#$|N7_8e|7J_KmYt(@||t| z;S<{Rk5<0@_upS-y+_KARa13@BHxQ{zI1T121D<2!8Ju<ju*W-!gjYUsF<D5Tg~BR z=K@}mmoB&c-FE&u!`C^!0cGh;UyWFv@~hl<aD#J8`aY{VhJ*frAA43RFMi50b-hx= z6SbyQ<$^lfrY-o@k<cl5JUPmAyHZ4!nd4hameXfcS5)&HELtvnCEjg=Q}cp{T1`{m zsen4}Q<p>Mhx@YZmTkQy#&R@WaLq<>$CEM#quhn3#JOxZ7u2*#o8#K<#sz=gvPgg7 z)UtEjaM7D(s=0OJWw$b=6}#9ECb0{I*iTC6Y=w5i(n?=>yy9xQrOi1l(l_9{W7AVP z<rV*x4sy+&(~)U<r!irviR0tHoMDztkiB#&8g-W7GfXR`4@T`*)UZ{Fc-{)_T5pz~ z-;%j@yRGBT??O2n<Q<Qm7sv@wT2W(nP^q+O)qT~7H(^b$_Nzra3T&G7Uo9eQu~1vE zwc~~}>sU&^t46%L%<|P=CF0>7NLT06hfOP$m%bMOpJ6KJc+s6B?6<h%M_#{UTdq|I zJD!Z?xb{l`d^_z-|A1{y;Ei<qeK#CD%OYCuy5Zts$jRovmamc4ivXRJA5;4nbcm^D z(<^zEh(`iVvyKbuY;6J0X2f_0Z1>%8N1yYRy$kqk^K&r=R_p9-T=4N6%j%kj1uq;~ zR*Q0W&G+1JOdPs5(ksrkbV;eO<ICNg*CM?GzDYKHO`Fq|IkjIn$6)q?N547EW_btv zEN1D}QCsngwdv?Ol@<S-n^bqPnErRzaOE<~)~y1EBhyYp18(=`WqnWXxd+(0Z#b0B zDW#_r@$xfEZ@9pkPs~k=$_3VZt8RMaFSJI`lJ~OKPRoN))`C;+O-`80%yCN2b;CWU zrcdI+pzdpvDrgSp#w;tNDBpme<t*JcegR+WS-Nv~bv(X)-)qB>cPys%UK>Ih7l7uE z^psXqTOKUBufC#Q`XJYS)eH-jh?o0Wdd>BcEmrSIg)I-u3wF<vSJbGpI4GqqJY`Qq z!dffGm#;am?VP&c4KvGYTd#nB_AJslC05Hq3$4Me;W?@iPYjw?sSE0q$$^)p72bso z<ovw6QhDWX&MbSU4QFO7c*oWaT9fA!P%qvjYUgv|LqOA1KZT4K#R$+fH?vt*|7}_D zqn0K6&&&l+KC@)6t!HZsu8M9d4T7yj{65p_l6A|1KOb47bre_pP;Kg6r@G=#a+B*j z)fH9>5pOJ;UahwkhK!88SBS{UZ@R@UsAJr};N47?(^olO#rtkJ)UPI>1DantynA`y z6wuWPl1-s;#bV1sOR}4;-t!Bvao%uDozqLsd&9M)rc0+eukD<);7u>f>rzhe)d|Zv zwQdRVq%YRx)Y{*hFwqj~v~YXFQk60u$FD{Qg-&y1)lCME>c3ZrNaJ<9tIjzs`hAYi z>VMBzv{g8z-g$1gbevO4-gU#NOcvMaLTkS9Hpv{xPe|mG+CM|&plJldLsJWnZ=hjc z@VR*Vj~tRIF<V=3l5dkWsPX_Uk@}Ymx=ZE&tnvUIq4x8&&KbuPRnXr0Ijxo*wxE;d z>eCbXgg_(4X>Vli%(3(U9qM{(_eKNunSbG%vr72q|60*}M^op?f_g^o;(#Ag+?En? z=MTwDu>u{;!T=gp0M)?z4jhuX5?8OcE{N~ZZO|z1_YD)8pVaA`aeQqcRy08m)K>@X z9Qd{xG_G$28rPTPE;iUv5AQ8MieGN`D!~MFHxKXm!=ba9J(^l&LhheEBy;3jsv`SW z&}#fnP_O;74CoMhNp8yw(6sv*UC<naJa_Sg-`w1mFYM2Qc7vDO<@xcofo^nJS`Ipv z)=uY)qpH0o^v)RttNK@q`LaMq-u_ssbH?#;G-%Qq)Vtg@y;Wujs6}OUe&dAZoG+ju z>=(I*o%lYzPf6rs15GnLItV(w541E7bm+T_UZNuV)$E8F%@MOfC*pw?Ir960PXu^) zxb#9z8EDYlSpP`qDaYz;&`JPfZp#;Gpyo{@C`3Vb*jj)lA*OFOU|+f#)Vu+;R*J+y zOY%|^`LdM7iUj5t3WATZ2Q|WO>YQ=R=Hs^XIG>uxXLTHO7Yz8ynG^Huz6P@I1)W%X zA9R2&xIXq1dzP@JtyN~qe9)PQt3by9?PBFF7MOk1iSHHY<bh5ZZp#eNqK+kEpnD5~ zKn`pIt#AZwNCcgEQ#ZfWQp3g&wifW<hovj~tu%7W4E1jxtZ)aNpv%r}*&zptd(h&J zkDvvDi%&W6O$rBHy*w3k%>rmKrp*Z_zD@n0GkL@#PdL_1Ub@4w*x*KEtBlBHP+-=J z6$RKewOV%Q%z%z)#D16mv+Q4$Wb5@cp(s^-X;k4Rm9u|6W|d@l`UDkEpF3sRiIPQ2 zQ&e;(X>Po;?(wsp#}>sh$NL^z6!XnmGVRJu&&-!c?kriFqNJ-jdAH}K#M=GWzux)% z{kY}z%9!)#zt8#p`}5DwE`D=%C#Kx>x7qqud%x?asPCu$uT7bFqU!a(6|XEOYcPN& zz3Xfb3T1O--E-e?Wx|4Q-7KebO75-xzwN>L&~odhr)8=u>}Mym9_Eyq#t~-K4IbkS z6BLQ{-Ehm6<*2-J#N$@ZE$KdGQce~zMbCcDxE96PbZNK1n$7l(H!WFS%lZfW<7wg* z6A0NeEn#sqr`C54vC1h6UVUci&MjbU3$D#>QY}+ku~YtFP`lt2yS{{(pd;nL+pd2G zyBBG5yt?nS;m}+bUU{{Mmyu1!E^-^L4c(@qF-s{TSH9^{yU?0K9mkWGIj-&MSn#E& zsq_|0>UE(t-vu0hF6T6xVfg;)Lr7(@<L45N18$o+wBEaJIHAZA+Rf5E*DIh_4qR;g zYe-mW&5>pAvEfWGOX>CXAGL}Ln|^_AWK(QvV;5ZW#kJ|!a)C8P2f^dl3Cp|m=2`{) zwsZWbcTlQcLF1>&!Khsvm$mk49<;I+nsRq?!d@B2pZWqh1xAiXKXZU@_W_+^>KE|! zJ4?5%V}NauVcX^-YS5O+v)jx2mV8rKQLAv!$X)1)ZD+#H_X1bqeKt6CFL;>CqW!mT z!N<oetN%=2@Z#8K%euF@EJw@LBOWhiQRQaIp6e0tE2gPb47vbo&fdgV9{IeEKkp0W z7^tlHA>Y(%wu|NRsy|^(u4T$AehD@m?NeCsFSJSZoZ5;=^@vwiO}AEaPTM(s!MkLZ z(|>0#_;-d?;v5%fSAG%4!%6MCePlILIi=1kMm*JKaXqgR@iwUGkv8YGADoUScXM8= znzG=_jD)$odPldM%5&au?;Oihd!G#l6In#}`)mkoUGPbjrP~C$N@Cr=idP<Q^qOAP zt3^DrY?`$`x+7C}tEl5!k%LCRg|666N!Y0`c*VXqp|zV+N>4rFr8`USb)hvz3L3w7 z4rZ-in{07(yF$d{SZJs{xfkpn)h;l_t}S71Fz2aj9M_`V0{*qLNZ)Y`_~_39I$_|a z>GZ^??i{y(uX9<tQ#n@EPfF-q%$Zf!oUoFcGfQ7J;@LA;yX5Xl<(>9ISL!Dvutv9J zn%<cLxsh!$_(nF{u7s^djvqgBUfVHg!4qGW?7MyezZjcJ=P79HzV}q(T-9uWDgHt^ z8;(P3{~sS$Dlb;%1n=40$r8F=A>xf&(<^)Rh(-PZpWm~1@AV0&etcBMelxS<-MgIA zeoHw1Wje?eubfe#chGCPu*ts0gsJnP5h}B{{*}kue3ql}N)gIstjj`+s++c!DQna+ z9JF#5m}1+Quy-@(sd%>y2TNH*LH&2{fS>DGy7zbleC72vm~(WS@``_UO{!`Bkevv# z=g+j7q$PO8ZZddNOt_HF_u!^q<-%(|h&Qz*H%&F$BXl`y5AQ*(_o^DZ><?zmSJSAo zIVg2sO=D+6!df-Qm(iTpc4kAHOmCEf-K)+EPN}bDzI-b|yQ%9v<kE=BCf7dY6;)OT zlRk5<ig(^{M3=?1-r0bCCFuH>-;!d_6og~DYEL+pbA!gr^TdiS+@IZQsZo;^#8RHk z*i!yFq2dha;<<fCo%oKKialFU1iBn;8|cW_^`OJ?6hR~A`k-6e3q{vmlG$RGtjPW{ zQs<1L`e7%&O`v(yy^BG&p3DZV1#l883fR-tYAFFavi;P4P<_D<8ukTOE-U&#)8^a$ z8O1D>xpM!|AsLmjBt`bK{F@BeS2lx&88kra@jxBSdFKzwJdpy`Y{H<nf-1MA1nA86 zDgRD7@f~{|+dJEseX9B93C%D1K=bR&I!_elC4*Mt&4=I6QuzsVhA!y3m@lAXY>Sn- zEl>OdogMTQ<W_l*TT?;n7A_aXZEL;=+ST``PVAXNkpk#yfWB7Cj=4vi_+EvA#>{7c zmhXf5nt7n*=D$H3(jLR-nRn@fHl4rgy$+gQ-fzoY9N@8eLi0mL(4hHd(1ZyS=oo|c zRv8!2K*HA7petH<xr;A=Cb?DGLCqRhv7!n0GFbD@L5D(OBWE<<%MmM@U_YnTQeui4 zco*G=hgKT%OhH!zw1Q5F2i=jkCQXt3YIVen<{O};4F5nU&ds<9Y9JUNJS4LObhZ84 zzaY2Dh!tG`t+PKd7qr5SJ7Pxjp{+Vk5-LDb?XN(M8fBd`j!%EW7dKqwU3U~TU2;w5 ziNZcmW9CLbXxAiYm*4S?6Pimvqx+!Q_?w`Kf%jsdgX|}R4zfSv#McEHl3)D7>&_gR zDce&L`IIz4!}{&5mL0O7{RPK28L)R=hI=R@&IEKVz*Eq{#Gvc^K=<~1R|F+e6K=~F z=ObEIo3OW@1zpYqJ{+&RRi=j@bcU`gXmj7xR?8J<lNH%Nrs|wIsQn*wr%nArpC4SH zTE6-RcrD(yow<Qo&YN9VcBY>J9gY_@De{q_k@p!RBmNnwD{gM^(TqN9;{sZmH`CKf zeOGfu+Br=>@0^w3v+-s|q@~DhdiXi!`t0iZoa<kA-Mjz%*WK^mcmLgb?31=x$DU8C zx80of|G)C2aFt6HSG~kK&r}?p5@XxRwBg!fmZkF*BhvS}zTS6x-p^O&OdR_b=F|kS zB<z*t1Rw6+$RgUWbmK)z)2Vb}ogzcWt4mqBOF38B`)oLJnZ>m9>8{MfkwKd|!CTF3 zns!+>J?&FiVLv~i)fn7QeDM6jhea!umu7QFtyhY8>e#f%UvQ0BWKX8({g#B@x13(* zR3lz9fX_%P7IM64%yDh^gatL-3C&YkMCBE3Wav6Roz5Y4^M@9AmNR_&ToJ~I9P_4E zzXf#y6;@O#9Sq{;%!+r|a3-l~mo~?=-Te#xO-N`J=a4#=^)PSk7E{N^mMp8^I0t+& zXu8^`uCcEnq4yVbl2cXOS2pvmQ$Q8(K`VcuDYf$w_VTVjw&hg5=Z1spSVT9oNXvQ! z{5;LlJ;yKLt2;|~tY5%iwI<bfsw;lFH!VHJvi16Yw!@KW-LRTsw~y?}+Li_X6j`)u zIu|6iCM=Z~GTGOYFjbnP>z?z5Tk;%T_U;>wy`Gj3rE0Doq3s$_<=<4wr>0S@bFgZ; z(3Jm-2ic}WXRf=i`^rk*^$n<W*l=PqOKALVk;_pz!A-B;t3)L6H_e)_5b;W)>DF=q zonYk^)tm>5t_xiOb>-f3O4&JYxRgCD(W3V;%j!MJ(DFDV?Ul#d$1F$t)gm5ev#6d| zjCc*|%5hwKDKw?FC1LM!&QrIGe6pKwvWVXI+i=mQ>6E;3#1oIERjEx!&nd0=XWXPZ z4L04s-Cx$z)+OM#W>acfOn2thyDbSjRUH5BWYMmfvH*M^+tdXgC0SPgnX=%;RhHFv z-2(RbZ#Wju>9x;i!?k0%ezWiOCCt4G9mhHM&c1X>xPr!i(I#Fop^!hE2i>|kweEXu zIPsSy^uN=F8{I5dldmU6dFMC>eBR6A{nk6+w?R{?p8|NgUtai1yvqhB(87FYj_Ecr z#-&TXq&FQqFTCbc+iSzMi=qYBe3NT>R4u&bV`kGN)uyd}>Ke6n2d$<HPuV*&VQ)3( zssEh`&4!LgdGihwnB8hx@M$-v*iBd^_G<aEz9W6gEB^U5sh(3_aZ@GYm0Q!T?*clX zSsd>^=a^Rgw|7R^-?NaT{B1eIP6?aXO-q=1nWJl-_l8^goL%w$8;-39=YoQhCyy`h z+cHm8Lv}Cc<*4-FrcKtuYqqmH{`42hDc}K}i<c9kyy6dclj|*((DzCaZw#AW{a1)c zdUjUA@9cH~ovp%-Z+Q+Hu|w<rJGP+JC9he&o>z=`_?(4zy5O1;b;rl<9AT1zBC+qq ze6qKi3ya*Jn9$2FFvVs<!dhiculYV3&PB4MO0&GyRn(|wKgh-p+f7$L-^ys##e-Y4 z_IHAhO5Qg=VWW)W*Ym<UhVvIZE9acHt7E~pt1PG2D6P1y9`P=p<!iiJ#KT@~i?;>V zO;hi=U#O^W3biwDgq$VyWywnAty+#BK?hlMEqLP9wDq03#@=ZObHzD}Cft1y)|1ay zb$i2v=9*cpmJ)A3r<u=BP2_V^-vB!D2DIM`bSP<+DrnvIK_|Xf`$2264tomPNN_9E z81T*dKlj&)<|}Ka?(nkA$Om0P_H4t1=6Cl%Tf&WXo-6<zox*iL4Rr82X!KkZRIPz- z9{Z`wZP{UW@{r7xe}|p;9!cM8n{CYA%ffBx@$S?inJwRv6xm-ogC?vC;nn4)`=Gn@ z?p1<rozn(QTPt&0YJ3A7`YH@sj|aLjb<;mB(0aW4#}3IH0o7t(L2KJOog+>-eg+Nw zt~%tzcMEiQ?o7~4ao?*z^@TKOA>N!;ON~F-@L_<&FJ&=HEj2(h700YWr?i0P;$IvD zwHLTS>+!Te>+$SB>+wKty`}R+q1GI<9<K+q9`EEKnJ;Pg8fQ;#{sUfT?gv_D?g+Zc zPk`G}LmYH7o+jK+tM1SKwW9e3=#rLwb6PDuKo#>@(9VM^pupQ3Ck|SWS149=0kSFl zoD<(KcF^swiz7}P{Ez}#2Vll+DPa!UWe_A*B=B~V0sB@^+wBi%hGL=h^}8|9L+3rt z&6DBeD!y>55q43n47cS8xr2vfzC7DJq502DP^(4>)B<a3wUk(!q{#l19puztohJ*V zBG2w`;Y*qgI-lnoXarr4+cE>x;a<X*uE_otw0+@>B*aS<)8uxE`_*1?TzAxo4}9U! zA!g9_0?=;zy+@q*j!EA=db%v&Cg>d9^5jImEYS7A6`=b7K)V7baYxQ*zQzjbvd?I> ze6c1$k^Sax&^mw#tujwQ2S2cFe)nwu4)AgPg|AOeTwuVy*b>yH;pZ+Eu<U7-2>~6| zoCI2RxcA&485Pio!ZTf+Gmcrj+?Elb{(RkU`7^Iwvp_X{=9cJG(e{rY?(-zBU~%nX z5)v>xC8C`qFfl@~xx<Z3+Qmf4YXg&@>mh|BT0$a*Mr^J;Nx5q}99<4&2waTd_SJN5 zV0!7mnVPYoYhzc(k>YDro8GrqU;nlCxvh5f{O|Ytx9_dGC^m8W{;b<?f9#hBU2F3V z+@)vJpPhQme-Xo#xrN`gmosg+)5r4lyHbRr_k|A{O;i1pGHj+NO!Zd3aIfOiK@o=! zmLjnRrAx}B9Y3nFWUo=uuvCl4{#<Ra_L8;08so_e-ZZkjmh}wy$I`^B#UiS&b|b^i z@o6;p#I#b@gGu@VA@@r_eb{f%-dI}JE00$UO}934PMhf*@Li|rsh-LT`~HO1*&Luz z-b{xJ6|x7lW`8kQyXdyinr-Zkpk4Mdjsc%4nzo)((TG)!c>W4n!)`YBlYObHqVZqi zAlrH2kUJTi%eDs2S@0>3r8|XVm7Lp#8-*-a{naBLF*VH!7t|?bc6_UM(C9SBt97ao z=iY(t&vf2!=r(M$^Y600DRyobend2do`T%<bDZVqdi99M{Vb~TY7ws^n=VP~9Nlv5 z=Bx!dlM?pUa-OQ6lF*#Yp;b3EVew}Ut^XYf6G7L;Ml!Z|ultwy%43axz~9U!)i|XU zKVSW}sLK*>y7gN?r<lX>F4MuHc*PZ<Q{wDZGAeWrdhJ)wsIfl?y5XmGV#3xNe<l1r z&gHyTaUIf4w5<GaW#YBv9N;3`k|kANJ>tDo(<j*`-f2Q1HIot+e`T2(|CM3c))Rg# zq4zyE+;C*MYVWzhrE|eEbB<}ddKQ4jw)5sg_k{0GdFAnrv*}lIljybzfy-Gtm=Air zSIDSQIH)yWL8D6PAozw_&Vy3EO_R0@t=T$b!51&c9Y2xsha>Z=n?B{M-+0gfnUW|n zv@cCDX<zW^CQEmWOTgE3mhQVg0e|zFRHq4F`6GQW=wiFU+FQ4UbT+Fy-i>5AT{~yN zzmF{1HN6WGdlQz<7c$v5F=6U{AIQzUGPO0YJl?KnIci=faXISoR~FTB^$2a}fL{eo zrG3g8)ocf=#094O=RC+}E&yJ5aGFD_pF`~C2SJ|YuU>PA-JZJOS2gD}3+Od@cmCYD zGVw|#%hvPi5z8C{zE?Fp{pS+!Ke0)4o^r;HgGN5tORo!=_zA7~B-gaavgxXwH~7?{ zeZB#oyqdPIQ`V>zKWOzAme$Vu$iCd`67bKozv$0FJr>dXz8fwovP`vi-f%*bC3H1Q zcdTQ;-*6W1JDvfb8Jm_~6Sz`0F=6Ifxx)po-uY~ZnYG~GK^E<rD#+eQ8=J~k9xtO= zdiB*Ko=#_ReXkJl)~@N1Gi2N5>EniLx0Wes>@`1V#V$C-c2>e(A;+KjLOBJJjz{AK za!RZnpH_2<MZ%8E|2jX|J;|7DM%Y)DgF@AUSN<p*400E|VmC2i=1!JUeZ`1(&sjhx z<}P%<P@oD~j(4+&Cw;29kjPxm4Y$}iy5!;WI0Yw9p1Lyenk&oF=fZ1>jU8`Rb6kt| z18>`O2~UU;-L8Bi!yj@<t(oIheF2>^F~_g(g>?-37o^QjSn0~LRbD+J4K%v}9+~S6 zPl`Idw{gM0cc4S^<UxnzojW8mr3+LIvw_CV<v`2v&K{DvQU_Wa4Jv<+Ee73_X2V^4 z;Z}j@y>6K$k9E#CriY6aO}INBbbjtBC%#Yf(-ZmFmiu-+5Q}1Xs9O^7=iL=&*&a}* z`;WBPGlfg3p#5{8?j>jr{<?4l=$<rQZp(~)Q(G-P-X3@2y9GLry;z6a^2NMUhh(1Y zJM6^wD;!j@#e;glpwn+&DvA{u)U~x*u5bz4|LIv%_iE7oFNIxS!B@54iUu8%2RhH@ zqo7#P1e-R{f;`Y}KhUPYx!MsY9Jd_>?Vtng_5#&o2c^V{0{-xG7aQDq<@smUDaW5@ zb<Q}Z$b*gtFyJm0&<53Q(?Ltec1~8>u|v$EK=<i_pUo@Vtvq5+JMrBDU6VfZH)vt} zi9<3^{+)H=`xVXiQR}SZLtjw!W)9kGAOPAuclMA>$i5>^e2YMP*|vhN3Wz^^NXDe@ zxD($bZ&2-)B~~;6G<Q*wW^)u&m5E<>EISUa%J%M!IN@k_`j8AyUy>sGQBbvdF-EK? zVBeHh%MP6cMfR(pLwjz3jx>lrdPqj)n9h?0JAX?36S9KLnizw6?4Tk2YS6j>>&8}@ zFWR8}eUCf^{~hI3*fFihV2=IQ0QRNPpfP?q(8zgHtBeb%Ud@_q*Bh?M{_$<(jApkk zP?tR+kuM5#A#D{O=-M05!94mYiF{R{>63rp6LdRTWklFPBj>W*mKyn=c<#-y?8vd! zgvQf?UB|CD%N|JsolgroLHALGSkVQW$*q<ipfdx1XMqN5l({Wm=z$Jf=-)V@`QK;o zIR@z;wVozOfbLrW9qaJ%A82^N0Mus(9rHUEbb1hIAwlnI$llElkE}fI8GH?3U#rJ$ z>7k#T$Y%u_2)H)y@M;tG^?#c0U)lbj>2cMRq6)^;8_?5pzU?e|bVnptcT>)qoQY|Z z`e*2#%-NXJnb!7G0CbVf&7d<zmmn9}_)nRcaazOp<e3jM&-857G4ej+QzK*dc#fa? zzq;ppcD}2BR~+~J@87wx*T3(se|K+>d%Anvug>LSYru=|U+zr;ExzBAaw^}rlWD_2 zrKYamLOCTCj!%saDlHcd**hU&qc+E?`#u|v%w;i^SB%Iy_G<cb?td?{&Z|bKSvGz< z6TXaB!RFH4+E*TV@=d?m1=kelI39k=qCMCD!VcFBr+Qgjf3vK%bqT1EJt!63V^LS6 zcra?W;FLJ84StOa-WWA~^;6ZT*E`5I9Xg`eecfMH60~ZF5qukmR5j1#sGRJkSG$FD zHkv!0b>^IA)wbZ9Cd=tL&H?4D2a9Bzey!#R+cAH^L#d{zX0<YhGxszkOq~lIB<|Cj zX%%EWV?jk{LhocwuX(;3uBEapU9TFEt_og5EG;}`{$G}5TMyPWby*ALY?-m(({T<l z%UKIvT?gMwRy8@HGaFj>pWW{x>v`8Z0Cb>fnr&z1)w^8@JIe*G-0x0kwQ)SWm?P|$ zl;dM#&aj`Fju%&RhW$2n{8-I#tzy!GlrNe*>1(eGPPsQPVXiIIY3Jk&N|%(YIR0EO zloO!5;)ifk?>eOwf7F{?->I$mWpOacc>b|1tM*Sz=zPnOmBumc*8BzE1X)hcaR~U% z)AY2?C*Z$VljuLt-FAvC-g5U$OP81^W#pVsh+4g;ZNZCeEUWj<Uht!iCA+3;!IO_H z*>n8@b~$c1C(4qVuNd*(ujy02P>w-z&%=-!(}QlFO{d-~MX10!V_zo+yC)qN4EZm1 zP)J<h${*E(LFR&2-U;h`HgLRK%5r+|tH{3l@g5rvS#wJ1sYbjMX6c<SxF$$dqe|{z z)_w(zI_85?_46U8BB{&IvAR?>Z^4&m*#>J%x2b8&R*T5bZ~7#!e&c~eQ`dc!8!u#< zPT8wRJh5t8Rj(Y8;}P)JvPspfn(y$+pKeV{%M@4aZAqBv4DI^An+DoS=NRxmr%ANV z4Sez8Kd%cv?3zO7scKlI>n__G)wJM;A4~S0`3s&nvSiEp2K-WKDm|y9QLPES`S879 zPQiOfi)rVNGgl^FT=U#;?J3X+b?i;6-YZAEacFuqUo~QpQ^4nH7VlW6fZth7sd?%v zs`(EV`3qj@-`DVP&4;R{w%fvMzQi>hV;5NSX(qJxU#t!~eQ5fEA4gfTw{UvhbK7w3 zF3ZyS3K8kgtbA6#na1)u*FE5$EsOLU|A37i8!mFPOx^Fi;e;zoXub1>8*5pv{`cK* zBpKQQe#SP@^7a2G0u4(av25M08j;4|wClH^&iBlwU&n>le8_ET<87K+=X>FYSX1af z*MJh!gITj0ABxO(-*7CN(@Re&LfakEB!b)+`6lG%<z;<e^b|DyYd7)cDXsY7-_$Fo zwn9d5+13*=O{?-1BXY`{Udby(JThpS6|WSLW$F0#Gsm<{!7F<k6L!`MT&V|*kU_J; z;j_Jur{D9rVBx#r6gy{^7)RJ|CC87!oY#IRI-Weud2LtUf-kZxuX#96ty7K2-^^<; z=TpAYjRf(gE_sC;F9e!S#VgEcKA|~T>BcT5j_vOjM1iKxZ*Ykf1^j0Q-79j`iEq~b z^hC(LH`V9bZtSu=0jgW>f_6^FOly_-0y^W4Yks;S`(aQGbcr8SS%A)X{ZuVhBmi0@ zb>$yu<$ki*vjrPZo|&GnSFr=U-Av`Ve;-S$Wd>*l{}Sk0)^m+IPZWMzialEp4%*26 z9<-GTv`Fw{IcRlWnOIT4KYi|Efy!B++tTiJ{O6QCvMouG{i_If@q`=QtuiL|rw++1 z0o8?XL6^v#nTgcJ^sl|*_-;FBkYAd+I6z{<gyx5!z3iEbH9+UIfL5?g1<f75m<Q^! zgU*Ss16}<3gNxhJ<66Xw=4-B?KD#J)@r9jJL4Eeahh)Bh4)p!WYkmxLP)iMbDB#iU zWp=L;wy=XX(amVJ?2tL=#J37`?rc&zsL232WatR!CbyfZiF`qzdH!#px-EHg!PKG) zbB`aAd9p20k^L`dMPOn)Xt^V3q+u%P*c%hjY{^5!VslZ@-h<sUTV;-brun7%K}#2U zd<&*NTVM)W5CA&RCcPZg2x|v5!axV=f`-JKK_lQ5po40&Hcn{%)T?vGamjfnzEz;3 z={A<?JXx^sXwJR0%|{M_hUmek1kc(Hnsmt0<hINZ2W_7;0uAv`ZM8fBTIl`@v<9H{ zHRuWhKG4y>4BVCy>r)f?v^HAq@Ura412q)OQWe=h?v0$$d~6|T$pQ~|@dc~aR+%NB z^_y1Opb>gehaUy9Db}Ek2hm5J_&$9H#k?u#1izQdc6b#VL>+MAJ7o>pL<bu8r~<dY zKn;bHptX)UVnrAB^t4(++FhV4i_g@8&L>Vw<SW{2e)#N3$9mA=J2wj9QS|W9%Kml# zlYf6X-<@@&DTo0Iy52B@S!O>Wv?L=7n9s-wp<f9==qnNsdZz+}UaA41dki3SwFQJO z@`TV4fe_j;5<+VxLTI5(2>q)7Lcgwn(3ct@^qvj~y>J4A?wSFiixxoW*cA}kZ3Bch z+5w?u4nSy*6A=2{c?f;=I)vVRA3`sE4xxMBL+I-75IXfggbrY51^eHWA3{ruLugic z2+d>wp+A~K=o|JB`j9(>Uh5B`XNE)Q+IR?^k`AH$@*%WMIfPcJhtRz35c)?Sgnlv& zLZ6%mq1P^h&@<LS=$>s5x@aGSjywjT9nL{$?Q0NP>>h;v`4mDwc?+R0eudEc|3c`U zd~9HUFBF5&opKPmKn+5N=s{?AGYD;D2chNNAT*mFgnk<ep>M=O=!2;cdPy#X?kk1R zWwj7Gu@yr5_CjcbsSsLnE`(-W3ZdVufzUU%K<N8>AT-#~G$h`zGcY`6XJB~2&cN`J zoq^#MI|IX0b_NEJ_;Yp!hWAkUXHa>VIuQMloq++Q2Brt3A0+-7supAhNDf4U^n=ub zXpk64-8-m#AoU<SVR9fo$X<|okXjHQWX2OD`#^d@W`OL3$%D)QsR8l7urn}#%mbML z!XPma2Kg04zh!4&fSCa@2ZTZDK>9zkGcdr^fW$x;#0S|0(hqVINIggl<SvjHNDd|j zGW#1l1H*Dikwa4KML<=9#wM#pZh=k&`Bs@VF?G@BrgN6hQXi%EO_hAwo1=RwXUmy@ z%_p?ew2i#41zprJ%)NN(h|%JwtfzZ6nVJ}%%BeVhMkSitxciLA`iYTgi|@amV}AZ0 z<V2A2ih1w9pXZ&Pv$68YQTvZq_Wb`Vd{SFT^51>%B;>_4C0A6q93DSqSv|)$;EPhz z)mbb@^Hm}q=QT~@7hD6nW&3Q>?7G?yhryR7C^dZ*6P|KjIH!Qi@#tm_Gtd%GuO`>L z84lIYQd+qbek@&e&$3kIn}Xxl<($(x@;WoG&hZ6bs@X1}Q_SLccQWTR>*fV@y$P+S zIi=PqN4zX+Iws8-Ryk?Gi!*Bt=3LzdnIJp^X;fNP{<t#nnkUQBaG^DuyB55WYWjLj z2z=i*FN>%<i}Xz$pVgnrSi0Z127Fa$Iyy}-#J&-{?@3=JVwGdSZ>y%%YtRjlcWM(} zdAu`h`enTB*p{#xZ3_}7B`js;kkVI;cxutKXu0s3Z<bAurVFn5SlcvdyTF>O>aZg| z=WgX){`Rc8#(%aZUcT9ohPb%BL1{{fl;cyagG!)XFmeZz%!Nb#^BxrH7r64r{9sUY zUU%lzds7o;nmFEd=A2e-@Ax;EGwg?s<6&=(uwQzPkNFO2?Vkr3AGEZZV-*BiR;;`2 z@Rn;gW-fSA$CABwD)@wyDIBNfd2hIPl;!Du=M4vaQI-`yE96<8)s(QYmUGqq)`ZT* z(0Q)2{Qk0@bDaZzD>bFAQ(IB3e6Yy2=@-A?nh*R<ZL@RxGuLhrc6{v0vU<<V1urJD ztgh`?P|=#ut1k$-wTi>*zUzi_&CoTZ>GNk=UFv?<kU91K#DwNn7Sa1I8!ig6Ottse zaAGD)=zfn4H$+*k-e&Q>;~4OnpT*nOHK6)g4o~__S&mnF3K8#mS-$eCM=XT(tk%u3 z3i`$5_}G+X^`DLfFF^Ng&s*?Am?e8pio4J1lsO4&_i}j2`)oL8)U-)mXwCMf1%IA% znq>%t{82pU_L@`ce_z7H<Iq0ex-7nBTW@S*x%yop;*mqsEX$^)ed;S}tqvNA3tZXT zldw}>;L85igx32)CN)zMmY(pF@OvD|vigl5{A`qnCR01mSuBbz-hT7!OP7>tI=&P; zXk{)uW$%3O9+>~_3C+6Ci~c;yW079UskMJ@!bB#=tNqY5#X>rTx{hb(3+j~0JHAys zXmlQy)b1)ZX6kO|2Jif-kaj$r%n|lW!|`!3XV^+%k-cpRTjvXj?4O>{YcD*drY~Xb z+2@HCOP>p_*{tt)Q|DlnyYQ6%90%E+LuY%t<7ZkK?Sh_=(hfc$MOrwd{^+?G*FZOF z?wggcQk7+Eze+@!rQ=<$gGKRbE9ylLa@nh8REQt+s#nSQ!*fte|9<M_f`gMl+x1_} z@f2*h8pLu`TzJh#xu!|W1=f_RIljEg@;b&b;9FJG*LMmU_6j#1Xf}1}-<}$7d8={3 zCp(sI8K;1+cUijU`ULz9X7RoP?z<!`&#KFQ<&h=p`1Ur(w3{9Q-#MF}#_f_`7HaRe z;m|!6UVgQRm$8ujb(2|K!v)uT^KFtj0y?ar7c_j62O4tf-#npt%h}_{&N!yu_7sd+ z%EYn$l4IHVmHk#PWKY1Bz#iW`p*d-0tEEINs38ejYpQg8<Aml{`jIo5Z-9<<`d2RY zOu;a@JZ7nk3Fu1dAkdA8_dq8#>7**M-vw<Ey`v5~u?w{0^TSto+qi8lXld!+rdFAr z_vwjzTJ@j{tU;~hTVbAY+nRrXlz*%OjW_nRT4soX&aDPD<BR3EEl<?-wpzXbjTL^f z2MvyX-#DT9Q9Nh^F!+Ga+sx+ad`i0!%bE7&fvyB^2OR_sn&CRKQs>EnpOT<O#hl!h z8K5!46f4l8Vtx3cV$i;sxUK5zg816NcUWgfo;YZ6`jAY>z0*#7i$GUaf6D}2p9wmw ztEL*>I)35{YKQLvUG)iGRGbVtnDS}d4zJ=1vrjwmeOjNG$j1immT7>N>dtAEnF6|P zT1kB4gyyWtt(F~kLCds37io63gXU2qK}TTSya&2S6V&22>V~)YOT<CFGQIOoe7}}& zFko-p3_3Ifw7GWIq*j?8(9s}T<)GWkL3dcb1v#}}?*Qn=t{l+m+Mv_Q`9Sw)Do4y{ zK6g^*i9$8#)RWbqJ<3l(2a(<db(L5J>|)rD-Ucnw-ZBxis2H?R$oTug&{K}3)u6LD z8d_zpfHo69Dgcc)f-Y+V9sB(jbh&66FSq53b)a)ow{M!z{EtoS*#d(kMRw=tj@icS zOW#JEI9LI?cBSY7$RfxF;7#BSzz3Z89%+MiBem*0QMd_8rJ&UIrAqACg3k=xmM83v zfo6P{6ih9ea33^zssg&OivbjvQ$V+DgD&h^zIj5s@BhR7^?${)DzA5ft9Z!O9p83d z&d5xfHnB1xt6OLC%Q+P?mt|)ZccrzhRh=dIOwq{rjE+(86{AlR)=Zt4de~-RYSPTW zv`I53x*Hki=$<@dVEl2)%@5yquQUJtuc9n={p-JfW6#&t@2|JJS{y9x9``T&cG0!} z|GS@vc80`n1Rd}hXVx8S)yWj_*Q!Z1Z?;4A;qX2d0lCa?%lnqdsjv7ebkJz_*~tI9 zTkpR(rxuaN-}LJ=XPCvL1rJZMXoqr2tyhb98r8IDyU?04d&iH*Ij&VqT<~O>b+Scv zu77})?}l@mAR{(So7{b5U(R(2_@~pv8zvaCXI8>uK1a~3iKI|S?YxAIzGVlutjhP^ zaKxr*mN)0LD9?c1E*s9wgiM!sHT~Kyu%_TUq~5RGk@w02w4zx0?9nY@o&q9sy*Jzv zYI>y2am`}lf+vzJ*|9zWzqp!8^VBqMD@Ww(I{r-OFq^ScyDhjdyQ%k?KuDa+h7%8= zby#@+d@G^V0wMb+By^gxnAW>&xDv~<RbDaTS!UC&i<L936-5hOx!;-4`j<mW&TYdb zcMhrfej84Ob9BY|ZHSt^;Ky8+>_3^1X{0$dS+6{@58pLd3*HW`?0A!v<+ZF=z&?)+ z;5!<8He5_)nOg6$;e;$p=zot5Hzu=Ojh}j~U|NUpl^XVgL7zFZ<efI0F@!p;+<&gs zq~Ai0f7uRl*(+pJSReGNR{-Bxc1!lM)-KC~S^4T3`^*nYSvE~_7hdz#v+2@v!8OI2 zjyGR(UfbQf;Lm$bvx*vs(_(twG-l>*k#u~zT~KF}y5m)5PBH7Q1;5lerdhNvcy^t0 zT9)9IeNz)=vU9w$bK7vIp7T}yF7@TQd!{Z(oCR(R$vbX11*={A=Gm4m`DWVm=(@m~ zkH$@tz6-7~RRJH6GW$(y=G41=341G9o_<#cpW)Rnm{X$W_|)p4Qn+BqU(JI_-vvVc zYabLk4_)6fTl#%(rmkuCf^RWRshe29XRZe}{Yn>D^C7gUEnHyD7vZL3woRe`oB~RG zHr&eoez2e`-+9Bab1bUj!fQ$`9A64^Tw4j9vz)Ux1G0=-UO1;f-0`Tra1QAD((7lA zZxOrMwIHi2VPh-H)%)HXjuf((+B<K!!pgE$Up?ZPTGOs_Asust75_yJa;-nNMqV!h zyo@^9r;B0D7rmxq+#F$*{EiosIm3RNIDV7@udJ<9J{VOlFr{ur!d!LEQ+XR2!f$p9 zPpMZw$o832Yv1gI#mO96^<4=QKZ6@3EY_i4ql4X(%mqT;3+a3m0Pl!S{hbuG)m%Ly zjlF4CwXjaPf#cuBoM9TmCN}*EOY?<H_RUL}8ZRVLJ0W3fy@1I4jq+`qk4b~;*MgHL zpIn)EZ63=~ex-=#8cmy)3#=*UbNrbvkaIz4#SiYLUO9yof65`Z!2D{Ju{WE&;MY4A zZyog&kqQy7Qkrh13+rqKO@M+b{&%Lq?nU<ME9&JBa@8wm{9rohwb6A(*yFbxVK;jr zXLvaT{84E#-RBTcBziC^TyV-efi=bajyK&ouT}Rg`14vU*+TjZ^n70N^0`(<r-VZ4 z><=obHm#bk9`Qy9avO?R)2#i<Gn%hhi4|Rt+ibu-vv*p8W6_1Xpu4Q!9&+OQ1sW+l z^c%FC1$39i7faB7(2iEi6(;JgAM8XNe%Q&l<j?!HqWM-nX!)xyXypw*x24CqGmo^+ zI%aE&6-}6T_>fGA*ro~1IrBh6W5+@Blc|Y(Y~r9ZILbj)urFwR5NKlZ69>2D3eX8+ z9j?<KJ$tg?pBQM7?qyJk53X9y)-JburBEutZJ8l|`jAYDALy1xDNq&6$8GuI{)s~} zB6Vk-_}W0rik5;768p&Z`?1zp$ERmOH(V%l7YCf)FroR!LePeu!=TYIDbVQH{8pJI z`k=`vbHrYme_5{<^HqV?75(XGwUoF4TGuRc|HvVkp0Wf*_NTR=g;$C?PZs<FEov>( z;<j8-Ke5%)!vs_X{|4O%UM5y_p>9H}<q4aEPJFwJ`3{_&*?dPj@`R)P$wM+C-$1uo zZv`Dao=|ZCv_cznO2{!#wLBGcyw?}dfNq&Aw`B)tsg%ht(2TDIckzX)&Q_Tv=F#U) zmtB~7)`@RZ^#%j>y-z_~t3d~4TFh*f5m^nI^E2hP)QATi)|NLHx?83+U@vGxQxa${ z?-yvTRnlc<&}9~P=CxXSfUe@KmgFv;U<umU<DZnsSCkH#^V1T0wxGb2+ft$obYSLQ z&}J?T?&5&Td95-o=9V1h>3mtYH%(~%VFMa#E<rSDPJzy9n+j@v`G`GRP|V3~c_I$9 z>?#j5&b&KvM)N@{(E99Yt(FqGQx1ooa<n{nNX7-Up!<~&sFeUZvCBUtkxvLThWqR& zC^)&qiZ1M%*=l*>3!*)99kh_?oh0aDlS+eimt;h?f!48E>YQ=R6y&y)cn&%v=A+IN zg{Z_tzASN&L#4!?CH$5D;rsW8{KdVRzMy)({>JNK+2bGQUYFLL_|nCDxlh-^$)1@j zFVFPQPV9R;L;m>8#};KW$7fEKpL}JK(aV%2K1)=MG<AO(P7-_hX3CaHXWn~mD!Jrm zwN<6{>)*KR#qWR5|Gi7@`sdGk-+}iH<=v^e@NA-Zeb(k})i1+U)_W}ZSg#$aV)WQG z_|rU3k%p~u>Kc0+6Xw3yGkw3bOkkPV!K&RtQ|`}BXl518$T#|F?ZjapWmEU+#0#IM zQ{n<TMWT*Z%?>7&3y0MABy^^8X5|$bEZcg;jAbjR_z-uz`;+CgDCevBjvEgBWZ_+} z5b;v2=~y;rSY--yZR?9)E0wqQK1+@|`j{pAjZ;98-odEP9A5gW5zkASHm&BomMt)4 ze`7+kDT}DQ(v26AO{c6_4o9YBH?6W3)G=#cP}P^vsS2B_$qaT6dM<cn-<*V*uQ^}c zbKP)<ndR$s!8HPr4Vk8MJU3kGW9j{_67iIwX;C+4n04!dA3IsHW84BZxo)^Nmu2aD z^@!&$AuW<goArHUU*=Y796!H*YC^M#<I#ShoGl%YS;_VVufjRScK0p#<<Bu~NB@Fn z6B1TF=gi8Vc)VcR&3Oyn$+4UUtt57867_?Yc>L$*Sp}^UHrY2VVXC~a$lmD*TkVBJ z>iZIU&+;GM;+5yV;hHt4S32i4%cccyyqdnAQ_}b^+Qhp~am5eirrs)+ss9}|oTz{X z*{juj$G3>xp0?nZHOI6Y(-%BTWbt0Bup(A5;+ay@u5e+U@6JuXz6-4RP~Frf%@J1h zR1ng8UBc1z&UwSFg)B#>3$OWj61pVl)1j5hYgco4-FMn>?k-CzJIiZZ?|^@z?nzP7 zInDte-?B)*bq)9_(BwKzFyt@G!6ehBSNByS9;G$SvR99I71(s^HRrUO6%S7zW(A*@ zzWbx6V8hdUZs5aV*o94Eyuh17K`lXP7T0{0h_&7Ue-fKa|9J&m>U$KrZJV0LUSr51 z?=Bne2{nC6ZQ?x#9w}*>yXwc+mCB1jUH6`ZiR_%K{<S7-l<YQG`)a*vgpy|fXhpHC zM?kgVL8IkDS8S&z>|8H+C0}sOhv25R@4{<9Yl-y*)_j`96ko*faMEIHP+bjLQ2bkX zO`rn!e9z|sQ}#_snERUJ)IG-y_i9<5{&(4M@H2~Ovisw_+%2|_Ps@dMHpx4Jj(LxE z4fwm6#XH8R@dNnM@U!oIWIancvhI6>_m<69j(B&q+i>l#+k$I8=ry%PHcjnQ$=EX~ zVd{ASk-ak#w&n|q?4O&^8!tSiW>UghL&ukA`wkXdy9qjd9aO=-c^B+n^;>9){hWkm zQ5I2q-whXCS*Ffr>AvF@@HHH~x$Lhzi+2ua&lh+$R(Zu<{)0x9O}kEWPWvt5_?MeA z?8h}|3%KI+m5G=1Ii>hH!hTviUVO1}#<i?`1&w{$2c_f{z#D#2!N<idcMJID)$}z_ zP2)dz6R(`=iXY}py=HM;4@2r~4=Qa2H%nT)*QG7%JMv68#J(?~)0iWxZX)=6nEM_Z z&O|ls;uqF2S6Y#OJTXdpPv3%v=UBA&&R_7+k!7`vcfgmDrmOoL0`@p=IK~falb8m( zNAU|yscTD^E9vT^&3<!j#Ej;+NwB-1`BD_wk0yf_>4JK=e=0%yWGuNYSAcePcH9MR z0<8sA!JxCH{)6_(r0G4rYnAc-z#*BGZ*xQrE)HgRxVZSjT~OUp<i2@A^FPoe(ZW+s zd~MS=8L%&X588wRx-#Qwxy}=XUnbne0l)dVEj#inZPs0qF}ZihiEk2UDeP8x&;pU9 zL_Vw4po2X@XOg`a0&M`+<1RLM0jie4Crk$Xd3(iOwr3t_`D;CBf=YMVW38tPex-qG z4R%l^JfqdpLkD!rlo06BuGy_JTR=xY8eQKsq4^!?Fp+oWI!_k-R{`xj66dy*$SY&Y zJ0~+mNasny9&6BgE2Q3~AZW(VdSa{0k@HE3d{XggitHz|L6eiYI!_etg4zrI8zwZr zu?OwxS*8u@Ue*h97aM>M%o4FbaY&{Ibg5?z6L+z|$_*2mUrh(Cw*no6S*OiiJYh#V zyt;h$I9R@P!mR^Ne77zN7fyYq0J>+Zq#V=}25lz(#{{Z{ZMZEZ=AAqwGes{!k^M1f z+RbZrtBlKg(48EhI{gRee75AtQ+HTedgL8GB(o)L^MvLvaiFDP|3J+raECdk5Y(sv zRjPk}g4Pp*rk(e6g4PqKDzZOy2eoNH=Zf83(X!fveWL}p<%;*9om`-b`I!x9TwzM9 z%ohLjL_Q<Xp()!B9+G*Im!Qc0*Hq_><HLoBVVd)x^Eo}$A8I{K*dxka9B{L<RmKIp z;0koQ9O$ZVspX(E<tjmYq>99fChP;X4DTFu;@iXzYSDm>6_bx_oo&qCJQFl6sSH{d zHn~-13V%W(pHeq`<9Uex%wH>-Z|u~0vY-yse9722q50WdP;;S9tmwm~|KEN8|KVSE zr8o>!(bvLG@m~3(XQu0EsqD;@X+I`ROicov*KKt4%n_r-(>gzQTHY-<*LmJzI_QMY zO*+b_Q)YHe>)a}q>8)e@Y2q}=RPRlxx*zwQuPpv}{ype;&-caaUjP34`Ffmn{^}EL z@iwNf|JN&3x+=Y$4%!~<CVj?8-)|AamAl`bOa~qADa-k4IcJzf$AX83EZTE@E>vh9 z)B@eBI5T1EZqBatiV?{cpmRU@#T^W~C%s<Yw`H3p>t!w5*$H#^a-2G+81X)%>65x( z&W4r+Nplkx&*sp&@4DecGfU`vrHD7IO|PQoc0JTF>|XF}9*cJ>XO_I{hBKY8-mAF3 z?8#WifO^)0T;&2LZv@wTVR3x?oHOj^BNLz1FMOJ=mZ@vhDIAn?7n)+zn6Q@1@#RyN z*LOSuzLhn74HKSH-;>Z>3a$4ar7cg6l78zDVClNy1S3mmzd}Tgp5xb67H=Kp6_v~f zgS0ub)+<G%IRt#SY<g;@n{4qF)O{>#YWpp?=8Iv|G1sQhbI|*~ETd*y1^u>i{CJz= z+7C(aIn2ML9bfi7PmX$hg!9xprw#Y^u{^c++HmkAi|BcU8!wWYPQ@!nJPB)B729-F zPI1M*@FvwaJ=5E`r^z_&c=Bwux+}x9TQe7Yvtv0e;{`s=>lDjZeU*rZt66yS6(e3Q zXX%w!i+HNf;%XjsVD*~4T?;BECG?gHPqAr9SnDr1#jZDDF1&~M?bb@=y<(0(=L_U~ z5P)=Fl^maj&pY{1*;+89R{UTRyKsoUfKDNo<Jr#~({{Bj_;!}%^d0Aba^8bQ^-3%D z&r4{%5m|GRvBg{N+&mG+h?jFA7k7C!E&9w6W<7nuk5HEEn(hTD?FnnyIlb(CH=N^U zN!=cIV)dJ|N*e$9ns_&{h}t`DxY)@u^}J%llT(~6`j!m|%U9JEzw&tF*Ys+?Qp6+A zrdi2NOSi?eWL}-;x#5f)ODVrf#Jk5VU;Py#9_BQ)o#qV75;EC07t(i~ld!d3NaX&W zriW`j{(?42ingy*Udznk^_=tCOz(g)!Gl$&IZo9#gZGuyJ8rnxu;7zDhgj#l-ptTi z*@H>Dg&=2m319gmb1*1f@X9(to#MYN&}-6eFY9|!=NIrluu1fv--Uw5M`v8~TCbY1 zhx?$GWYeN{!8P9^n;vm<T-(vI;7KV<_Fb=lUv*8Ta*7((N)hMJ9V|Gtzb&D8uDXB@ z=$MV8-~D7IK|9NgS-Q`tuc#6{n8XX|yV^C)+OHb%DzfR8wC=$zpoU=Hq=cQ{1+Lh) zB((Yqo7nUwEam2qiuc}dsvKIi_T95CU2;ub;~&pKssE}PJMZq4*}qlT@#S95YnC$> zyxGX|dahHzKCcZ2O~F@qUbJPITJOB!L{!tN|B4Ygx0g!zeKi-<nK%zJv1D#<QmRtQ z<M_6c<+P6KifYk=MfcTK+*gcvcpZEz$IJUHz1!{hFGoG?WpVwk6!Es11+=AXu}eS^ z=fS9Op(*=16Xse&op#R7pmfQ16~~|Tf;k&fMK7<Kp>QLEzv+~`Lc|k|rd9DO5jlpA zU-bobHa0DI*3U8RmEe`SISDhLbH2)}vuoRYN1Ef+d$$dTenW%JQSgv~{a0T0rJz$M zKPrJ%vsi-e;y3|XOMJqK@6l?|5)t{x6OKio!zR1p8mcEYf8hgF7>KpR=RkdR&~Q1Z zJNO8+9rFdKg2)3^y`htpDnNHjEazKQKLb<@7lW3woNV3URXkw^=-lf3<V3z8(EgEc zi8^N-mz{9p+XdR8dS@wUS&%HK24>{8l(+{vC#3GY6W=jqv1bcDb#)gM7YX!kHelZh z8V3Hc57bpx23ZAJ#MBR3FLkX3RA+#;pn&?@-$4EC_jaJxf-HBjLB+II8Ie-Mb(ds% zKqo>y-M+zqePV6I2}jf8hh&a`?!EYW8MKfXwAuEjsMs@wQf_X`jQgPB1i5*z-tvXo zv{#GyiY9u4)(L^`57XE)vsH!%bbpwae3~NrW6&JMsg*iU6skb0U49#JTXt**jY0QE zo^bpG+E%@FTC2<w(Akq#x8qLjE}a0{AoK#%(f+3Z+8YHv#u7ZHada|xxEwSVy*@3G zPYJa5`PE&}>0P|smMcI9ogS$YE4pxJSsUoouA88{(8RfmC(JzT#J9_RvjO`~&{631 zoZQ6$D>hGPe&`F@ova61o8169($f@vpvkX8SKMW<Y}>^HT1X7q<DKj;Ry5(vCIj}h zptG`nxrjYmuz5zSOv-xDt!<zs+W+{(o+VsJR%Ab_4r(BRCQ9}kHM%p$vg1sOBKy_5 zpy?dYdS=kttqB&0OSIEcUoGYfIt{wn#0|8N*cvpj4_Y4tYN-6P5_`5_!_B9lW4qoR zI3zPA2-Nnn2koQ=t#$_O{@Mx}$lnK=!nt$6iEk39v2#sJtZ0JV1_SoF&*A<56!X}= z)h6tFC3VdHq|g8Vm;XlX(TAX#9=b>P&z+SiSrt8JdbWn8ESs?uy!Cf&qMXucm*{Sz z$!B_ORAy>!^VwuMv$!w!)r{h<Vzo5Mne11LHu->V?U<&1#>Z&0Pu0BFRmJc7@2`7Z zx_AHivitYn&)<KKk9&K^o-gY2W_{ehA5_=x{U3c&X<~1j(bMv|E{r!GI5c&&3+IHW ztf-MXsALMhGHZ9^f?u&L-Zm})pEH}5n(h1W^k>umUAGFGQp*%qR5KhbY8SdP-+#j) zO;D%*W(3DWduyBgS00&`j*q{xtS;f~vh&z*E12bIuHdq*$G)+sma9c%*Ed~i7g%H5 zzaVF3!d_OEr_%*<HuNoc^p-{1)*;|0XOpYht`|=qLdxW;x$3SAV%|a__opXx?qo6T zR|O9_J{Qv2JY_*%cf!tS&R6&SHylc4;f+^`$b4<}F6=RA{?!+Joe*o&BXz+wh3CNC zoi`yTRfFB5!iA^oYfYH@o8wfTLd1L4rcWD>&bY>A%&GOxb;HG+rc?7(BA&Q3t-22> zw^nmZdm(V;kLbamexWOM^Acw2>Ob@5Q-~=lKemM9K+$`p74uaiK-W$CD@44MX6Y3d zTvMd#cyTso*l$(GkM11TB!s8jso`9|=_^~)rD}mS#RiTyl{v3j&s*^4I;UBL1+<fR z^x2h(7kyc#);n%EahN6azvG4*Y10#;uEzU=kFvV&y&+`Yf^UT^r{_2Zd}nHUx=vw5 zz4AdWd-V(pg@~8$S$fT5yB@Cj1UfqzbQjs;-M+Fb_qH$iA;^+lGi||>mn_*=IlSWC zHk{*SNqw&t@m{g%Q@!$ygvZ{7YrEE~-pCMdIwjfU%BQ^Imt@mXKh+igWSdmOpmW2s zulvh--t`Rl?bwv+#!{Lqxoqp5Y>rp&eKs80%_$}4wBgcnPO0gfVL#0rFR~rXx-KZP zzd51zz7V+o+AAgD_wp^rHBUdtUZ6R5^Iv)7>pA}P7t8@2j*>5!vt`PHPg}wL*RB2= zZaikW`rmoO5wp{lb)V0&c)xWHsOCFpbX)*@DvFllUsV?E7-PsSsdD#>N|$_*ZaOB- z5%$yE@#5OBgs9bfI~P>UO6cVmnqo66VXZKy*L>d%=aQN>WpiG8EimQ3=|Q%Bp^!h~ z2i>CA9VyV-KQUn<Y<c&(x`bCAZ#0@-sWz#eQ(93ecrYlNBkR7;hBJFvO63(J-pyzE zYQCT6a+IRug#!MjsrURZ{P1WB-RBVS#i!}2o{Gl4SqZ)Jevo4}ChuLTytbXw%iev% zxwPrYQK{uh;6q*CE8kG?5BT_*MS8Adz|U%y?lnp)ela&4eW$qMpL>()Jk=GFdzlVL zX1O=r+AXM4d;!)pQC`;f#Lhk7e@T<*KJN=3jGCt2V(ERa7V(sy#dW@7MDFC!8DSq~ zIj&V$JDy|)Uwu<DF#&We$~^xK_qduq-B-Wy;2gBg^znPJyPI;;sr4C2QQb#4SMBRf z*vQPWs=g(m^Cyexey<HzDp|Hlvz%U|zM`7_V9|c%75`NZaz*t%G`Z84uynhS$-VxB zsdu0*yI2|Q4m#r=wBz2J(`%h_#A~yrOZ)<BK3h26JTY~~wW`|!Q|h@7vi%ka`D1X< z?YKb5Kcj;(F6Tgdc|eCTM|8K!T&X{GNJiz|e364^BN-l^waoZe3Mz{AH%(~%7M5MX z%V)GX;)J95*+Vi<?2b6`{Q}h=t@@zbt8ap;jU=%m1JK#uA$5nG_!fcg(%P!XZP~H^ zz#$ovxLVOXKfXzzO)S^u={!-eo!x5rLIzR2{5}d=1OPg8`%|gdvxE(8tui8@lQ4VU zf%=yFL6z|H$P<pG-CK8f6${J;ZB+&>q!o$;ovdvE>a2rK);^z-$Y%sPi>%xfG^#Ji zZTaGR#Ej-c^Fe(+-G&bjtt9NszXq}|4Ug?zJ*D}hA7}%LET|eb;4TjMEx~Qs0lFZ| zL<@8<<%CwtjJ2SR%CkXp*0!M4&gx>%7JS#?wmh-_lhmC#mJ)AHIPrC9!Z!cbgLd-B zn8T{f1;4hg?6>LwtzviuT6o(D8ovLW4%)8@>a3sNV8A}pH+qMc<qJ?n_5`%>_Ahhf zjOIfRb)F<dq$KirfqJ<gf9jlZOjQN7y+CJRy-QML|JV*{DqNnd1Y4SIzE3PXlP{`J ztZ2eL&>0*u2b}mewS$go`w1G{2i?<U(cdZ~0&>OTuR2c_+(}L3Q{o4msvfL!#_`Ox zhtHlY*k=n`m_4&q#sqYASx`IZ8V*peJs8odi8TP70iFuFu&uAvQsd4!&|Jv|1NNor z5ho5>6v?i;BoneNRgwK7Xn^GQ^j4W8p!&Es8Puo&?Pe|oEd&8wFJh$&y0B|%tL2Nk z=biXI^=~v_Zx)Bgkw)I$dDGt}m~^+wOaV2Sl!_xxIBq>~Nal!Nk|O(8(4E~!gh1<v zXSG^-+y!m=2Bo!`yr45vnYfE5bjSA3p4|KoG<mQAG)i+WEs+m=Ux)mE*8CR!d*DhQ zGC%+4j_=85ht3=^KCQE9>6)!dn~ylVrFBMjPh-~!zA|minH5{xj%yfa&fIuLDY|XB zQt<IP>^6OtcW>AvUR!ik=yZ?GqM50O<*$Ezc2E91xQ@3uUt7Qbe7*m}8Lzi-?)|fV z)9Ek&|BIi}64Ba|#LC^et>UK19Q!V&4VNsHGxC0V2|5I5>`8g#@l>m6k#5t~cgh<7 zG!9DX3rv|)_V?L*yC2)G&GHMVGCOFM*|f=Ba80?E<Il|;W;;3-JQ8K$zUvcEDSJ?9 zHpePHPO;g$ay}OvoOE2kXZ5dli<KC>&nT^^<Tw~q%$apwIpP^l(=Kr#opALP`<oJ4 zx3ch>3$6L0<M?px>^-MWtz&Ub7g|$h;P_GJpwx1KDKRb^u00Y2U8i}_Z|c6BM;_^x zjyJ10ue}zWQa>x9dF{01DA97Yhz$9rQ|v-IM(qn;d9rlts;r1pj7ZXSJe$hmy;g0- z-o}KP>YT6qb}}B0%sVc~;w^W$;^bdRVRtUgrZ#t>H6==p4_R4O*GymVVkyh&SoeS$ z(SuU^)io>?B2I@TL@g~>h)CCO+VoyM;yp*xr}@e^7I=WpMdrThCZH3^*fTxm-`gV- zPk1%0+OHh(hO_Ba^qr1O-Ho=6XDto}{T94pHz#4{d7&$|a}##v3t!palF)izz+_Kz z!cslQhsQa?e(F13e4F=Z%U1J#g@{e82606-_dAt)`vs=h^d+pl%;7bi^V-fC3*M}U z%)B?VNZYste6(lb&aF0H7W&hdrCWt_Ro#q)jmey=>L(_27IS9lDMq|{1rD{xs@tQ5 z-HpBrU#Xpvu(MwH%KS3!Wm^wPb4s04i+JhG(%P>UvD6{pOHR|(I*))qUQMQXY8pG| zC9L&jSvp@mB0Y=yu%@(fME*67Ce{ALJx~6ytM~Cd6AJmmbkHeXDC8gGL8a-!A$un# zY~*wNYA>wwQO5D?+WezirrqjY0J@#S&LP0ud&8aQoUiniA|C!`;ni1;c-aPZTKjb$ znU#M!7rZcLS>2j{cuUuNrwzyKSXB9yBC`1$Ury${7U>c2t(xVvuByg;l^Y4Bjz{f< zbiRl<KGn@XULba}bHS_IoMP4qEC(kYH;60x`&igrsIqAmH^;PH4GX?4WjP(=A5boO zu&7>Pg}p+=!_e}CDBf~~h?lu6t>4uno+h)n`YS}dUCnazyn4i9w}4MkO<TkIK#dX! zeapWmj!Zl!%#vEFzHIBgZ!Aymdu=#a$0BOX!Y%6`@Kc+mdyad+S9O-|Sm%Jheod-! zYAb$P91L2x+hESE+k!fu#hP~g7Sj2C5ti5<tM!TO^SQ9WXTzm_PA+qfu$^-kyl`e& z{kM0)4{w(2oZXWitAAIC(Dn@YWzbaGr>gN=wdw0QRgM43O}y*WR#a#obmH%UIBn;h z`4&Qz6BoS7zCGhwQnf%xz4$?)cA+aavl3SRWZ8ONEn=Bhz;~~vr+JFtF`NBDCN=XC zmga^fTC^?~Uh~PXX;Eg=RXf|Jgp<h<`j&OCj!Zl@lSNfuDdKfe(<O7kHJ24Nev3DK zou{nvf7WipwY+7@D}L}bwel&h_@mk6`b;oHS5T)+(eZ1%5V!*`)wI+M8frWLo;fn{ z%<AyusM2!92tCJu{|Zf_cAghLq%=+4=NM37cu;G<!U@L`(EU!k+goLhfR1*4tSMGB z;m#|$oHH_8%0P7-XvfDnMo=BcDfVo^ba~N(#Tyuooj>UK@3pY}tAqkZZcB}Sb6PDq zbdwd?pMoY~Pq2Y@*NTG<do0pl7sU4}5VR_<8CHL|gBlH-I%gc8WrG&F*@5a~Nzjdt zrw_@9+|qfH@Ph-itM>T{(0vRN_A%^<(aoz(*r%Frn9%$JH1Pbk7IYQ|3wQAai+Qaw zDxgW{*EV8B6aIm2sodGtDw6`bN27`#bi9Rq#EfRg4Bb3GzAn&0G^aw)l~bVIN0y+o ztnCkjst$S4gNL^=9OJ+4_%~Wu{*^)@C%0vWS;UOyE1(-l|AMX<`w8l5?mKcw=1JW3 zhpE;t-h<}a?;UpHYir+Vz`j(5+md7cG0+a+lTLh##6g1$@u1trazTR(jABI-YI<5N zGxl0&ferx$S!A^dbojSbHE5h46lC{6TWacgxQh*DoOj~u0u5;|1nrTj5#=rx$ONr% ztkpT=_$E-SD4-s6f0s`*s3Ln-BKAzd6f_qBx-R6IhFH-BTkwt^Q>~`<Y{nk>b<O`= zh2>u@C}0H*h#Wa2Gv(UG3C$nW#GWlM0^Rhv^7})rvyQptVnqU=14T_h3-M0w)H&mr zEyr!?G5_EpnG(GeMfRIk+{G874?6LE0$t*9&<#4r&XHUD|JiJAxphpSSb7@k?%s4r z#w88ZcmN%lqI24b?-gj}K_}<}(4C+Ol-OfVe78V%YMj}t^JKwo(50mQpt+qe{tweH zI3|LwP`v{>XAZRF^AM;uKjjHpUooN8az&^c_)N10k*6GMULI+;%FszxWIuTo<W^>G zOApZEhmvJB9jm7_zv<IC<CxFJZFypO#Ej;H6Lp>}s8HfA7Kl3FBxm-&Ret{;{<QnC z-@$b~?7EJ_8YZeUReC>f@l;;vnWSRsC#mVHq<nEnO2%z9)x}Fr7EY4Lz3n&K<Wic9 zw5M;7o7dzmX|l&Rm0e5hJ#18T?(*lD>(%f6KHd&F^Q#<m=GXt+zh_imAC3EW`9{j- z|9?fbwZvBczpo|Mz4qg#$fQ7l)&<X|vUuP12>9*Sl&Z#3`gU7*UGa~=)43uJ<!c{Y z*J{}iP=D^s#6x;4yx-L$UUD=Y3vCMZQ_t8nAz|xj&aV3o8;-qYQ4JSdv-Oz3Wv#u6 z;Ff^3@S5%Njz14`n$2(z_!!dEE5-u7BEmbp&u5+b3M-X}H;GNJ^o4Xb9t`Tce`i+0 z%DEg_@4YviNn|N~4sHj4&J6SBkcxBPa7n1?m^Nov<-7%%kIHz`x9T!;OkX3gNcH$; zV|S@);VCv#64pNE@S5+m;oMJ_RB_=o*OfKuwGXmsb7<LlZ@BoYJRxeTx%!P1cgS^B z9FAYP4hpFYU$N;=SlP|YF<r)S%ad>NX?-bqiYs={N!Xdr@oIgw`m(J~T?-!av1r$} zfaf1;W-fT?$+G%y$ATZOEZKkNEqKz9u=Y0xctm^kd(b`8UK{RxV{Gvb<G48KbM+Y+ zNfA!1y3T~f!kk+FCnQYf=3Mo!FJa?s&Q+k*U|CJGKJGSLyVOi^#b3Xs)H3xI)d~lT z+y$@LHzu^o3z+O_NLZQ&y&Ym-<};6{a!t$nh1YDmW;-M7V=w2m3U<encR8;8vT%H9 zbkOR)f=0F2!K!YKQ}M1F4)(H$zE`@D;S}&QRGBAzaxcfKeRC5w#zI5wy1iMkN}-D5 z+4aIYrEHFG#SR*U3xKzRT64TwuN2|<Jt>OUTm@7i_LhU%0T;d$G+o{27VyWU$+XTZ z;FD3))_<_ONT#2Yd1>PlV786ta#TKZ)2G`4IU9t*OB+fg9G|Wi$_Y|m@k_Yrs1?iA z|2`Xz<g%E`t3<qFZMwC7cmL(IZAvR<t48F_hu-+{*EzjUWS`T84<b!d>wGSNDu#Nc zj4JJeS;<Y0&I_*jc<Z;}+DXv@Yre`gU8)vbQ_SIbGnw<6^~?n|lM|Y|Iko;xOjsPv z1c}g3%*O6&=LJGy^DUQcy^+Rp^}g>0mw5}GEr)C~-_AMBa{7XIt}Lfxy#wkUHXQm3 z8PPW7Pq64USB-cY%i{Z8A>wT?G(sP{pO?wJ!r?W~dBZh*POo_94d>3Xq)r!HvwhZr zKUUfXYkAESSIkhnkx|}sYQD-1(1`YZrHD5<O|SMVL_ErBnpLkJktG7TxMKVK8J3eG zt9vr9-kkxy4i7Z0t<E7ejWg_*n&acm9AQ5#9WSru2>UJV_;EMqwThkvPiAQw&e=MN zW$AX+i1hHLP3!_|%0ZWhh&pX~^5>(mJ6pOy$REjrZs7tU?}T%T*d4FBbBb+dH(dKF zUnL@m&+#n3fX-HX$G7JNb%Iq_R7)K!;^ur6@3!GkJY=8uM217E?gF}%GSwWHqn`4z z_-<E>c>9;-=y&Ca$D3JHp9`+}THADqo8#I`fhl|ECG5S;amwCh!$EEq(fI!c%Vl$2 z1Af-B%zon>u+?S5jXTiz{QCZ^jOQ8k6+fk$mabD?@mIdd^28d@-4@RyW;Dk<64deF z`xOmZsr`M^gyx5!D)pr?=wJ}giO@gwxGiUx!4C4=bNrBu3Fy>|N!p+Z>iTA-nq)Dj zFUMq-T#pAGwK(@|#0keT&|%Ee(-ql&HiMR68G_Eek_B~r^|&oH{&%%n&WJhf#JB2y zQX*dxXz;ccG?s9!R>WML{p@zoIi9khb36}&S_BH9Dr^>LQ>uu_!^5E*7Y~<Suup%s zn2#%0tjJ(bTdSqU-^r~qJ<~TDuuokcapGXfT+VfuWUheb`yoevgI3n1Ol-BxsGZm< zvjnu*%PM{IgyuJ()1lvk&fxgZ&RuLE0a~R$8MLD9?eVysJ3l;(0$CMMS6rZ?bLL=* zHK+ljz+Eh0J)u?R3TT)@#V<jT{VW^kDh|*!(Dk5E{k$Ya_Pe0k`_5xf$M@OeKkhFU zd{7fBGPnae_Ub8U12qF^?x9kw$iQ|U=ptxIu&*9&{vr@>@;Q*bR~ys;b`yKHpcHf~ zhkwM3=04j-P#xw5s>ArWEl+?Z%6Yz}E3zL2Eszfc-IfZPC|BbKUFumR_DrFSncH&3 zebA{_po=x1N%=o|cE&O5@B$9`b*%!nG3;-@oN<>;DN9pizxx+-_V+`bCkyQRTP-!_ zfEH7LCQdFb1w}sS7*fei6PjOw?j?H*Ixq8nf~o#($K-5KgAjD_+1t}je3wA&ow=Y( zLZZ__$AUB~)$HI>tlGi%Y5JL(D+eEd*5Ab(IwaErx|!|MXPq+#RX&QW3*uV^+M2yl z2Q>44_K=JUU#cSe*~g$J7U*#IT2LD>@{AMTF3@eS<=WhqFF<nzT<1Z_^OyhQXJ;HU zKf^urSQd0G%qDei%NcTK4#`{r4aw9Qfm(y0Ge<$IGU9&n*BRT#F&#U*_8+L4&xD=$ zwbXav6bDV-2*VDK6dzX=r6d7u!N5ZqE>je`HX5-6@+7EgB#C4<^SB9iZoQ_UB$&;+ zSWvL3!_9?LNkENrk;Lj7Qz|*0ulbsCU%!0q{i=JL-`#t@cV~Rw<F?Y-E^&WW>E8La zG#_-}*D>v|O&%%D;=U)X)dCr=RkbYmQq@%YtS7!MufXLd%fYJM!c*?gPiR)=)SBn9 z;bJ7qRDG3*C!0W5bQpOvJme4CoA=6NjXUJ7ZNV#dGHfAB@x%pnHqTg)H!or5W6oFe zJ;6)y!Uflq@H#%e%^6nNu;9gHmesEF4;6Ijt3)JkhmI!}?O&<9R++;~Uoj$G)A8nK zj%(4L0sDM69L!}AeXkBa+jFLWa#VMYZ$PPd)6s9LE9xW;3b{7TN*B=CI%mN*uBOy$ z&}G+m_Ljc#co*07OSwrj&89iiG{#}WB|nzl?}`ym1)3He7hF>&<@hm`CEJ9<Yn@ue zYq6$F-v!ouW^s(P?S8n%eExzz(i~<ts-bJu&-ltp%6bR<+|1HF$2;KbZkFy?*MPs~ zEZ%o~13u4Z@pheecnfHd0=#HiQ0Kc{)34(KYd%OewS_iK-RFD(bgN<=>@=n?>sBg* z)=q=h;>~;h<K$b;YhJ=r>?S14UCeoEp3{bVmMl*}*K&wL_Rq~_ncB|MZQ~qJdilhi z>Hn<Qxi{S?W4Q`Cn1vC%9N`0xsXb^O*2wYgX3l9Vg|F<Lldv;h=*s@)gx3ASCNcgS zE~!Z;MD+@@tlrbPAY)p>R(>H7`_6=3eSs-^Iuh2N=J1O5-{6-IUF`SfaIkyTYmQU- zIzHLWxg1*iCMGOC$}-j7VZ#YdmQZb$?pTL_zwIpEG2Q{6zq5GTdIbFTY)Va&ZVN6~ zJXoaJ^y|0anh*aVQTnOi<dun+o^wjgci(V|pQ9_zcf+ms99{ez*M8_bo{Tg$Si5zb zn#Nx3gI2$Vrr6F&*sJ9D^SofrhB*r!edjcbat`>pou&H@{BRQcVD}{HypGJ!eqo)B z#*SyV3+t3>IKF+%G0k%Bf_FwuPxrY8)SDjUifwB9F0kfHe$%m8oe$S+Qgys2%NbTJ z?f9{o^V*LW;7J@k%gA|Fm#n5Q__CDcb&PAkx3s3O@6<H@>o@T#Z8lij6)ljnh1v1x zb|IZ20mrNT0y<?{j$hXc=oE4~o)rThin6aeVJ2+<!8<$iQkCtMQyzcct{(AFmxY&K zC1Rz+g&!hKq5FISz9cnWt#b<a<JM&Q&nMuMVbj)miW;)BdmpaZEbDmFmh+l*!-7BU zoMsl4(De4_(v^vUa~FK-=M;M>5b{^}U{b$ONPSmA=T7OwDAVn#5n23Aw<4QT`;=Bx z3mq(qS6NXnc#z9pDWk#`vWd>%pw?sPveL!HX2-UKRr5N2yvup5LfrABGUqj`#syy@ zSzgCD1Z?x%aBnN*mhom5(f|G%F21pp@Ov6Ath1?O!7FP{vD3mK|5XkOr9-D9X5XJ- zH7Uw90D3fvwL-+ZdX}&0xlNgCKWH_z?G{?|g|F#YyYQM%oK1_oIm1>9io|<vIHu15 zJ`<&uW$AUHHJcyancmJl4Ri;&^@%)FOCgbG3$`0`Tb@V<^@A3Is^vmZwQR+0sZl?- z)v^P0&f?Yipev`MK^IcygN}GS;>0&=o96qK%~zPjiZ0xn*lKy=4QNIfbY}NX&^&A1 zOwd8#xj`)A*O@rhEtTQXpIfz}`O<k%ZI=eB?ZU;11ZtaFEm!0U99}&IvUzS|iX!_- z&`82HN6-NrI-s+qKnHN_KXpjviymn8_WVr-?9H<yPB?Bne@LcBE?trRDQKPbi9Kh3 zbV@r^6v~Fg+k<?huuYuXa>f4Xt(G1#hn@Il%}-0@3kn4-;-1lJc_QYd6W=cLO$O{c z_ivcc47!TM@nNJ`kwH&xUwm+JK$R@Fr39$&9s=sSF9OX{Z1o3q)In>zA4gAC`f~() zUe<&?Nv{_2MahCz159qUd;z+nYLowF1NOZ;KY!4As_;JwG!p?j8)V<?R!a%lqfUIM zRK=bt6q$imI8JW0?1(+*#P`a4g8_S|b;JqB&w`*uZu44Ywmi>iG*9O<>hu(hiD4Cp zi($Wece&gvh3)p-mM`Q%g9@NK$PR<<AiLD6^CaO;N+O>YXxCXrf2-vR+apeVk3g5C z9drBqQR}I~PF~QZlt-NSz{jrm&1;oO0iA<c1-gD5ybv$p>JkpUbzpmrW-pU_l~7{M zZK+{@;E;^VJkU)0i)o+}+O~ljIiN#1g+Plco`H_-$^s36fX3sEo^PDc{LV}4nZorn zMfSg-gUAkn?sVM&YT=xXX)sr3e+*jqc}fp-d>WU+o*jIPe*4*8aeQkaRwSUm$$-7r zIO2q3ktKKWg{Xs0e3!C8QzmOc+x+yoi!Vrnw)yo~#4WXy&`D5aKMFdG<|61~_Zkk+ z$)0_!G9muSpk`yl3CFz$56P&6`FA~d6vgoHXz7JLsjn9D1%a-5nf|Xi;n!={M~6i3 zfU5iIFSkl%j~CYL4K^%YwC%|4lyjDIf<Ictq|KO_lAV|~ar!Z%?lY6NhHUcLv*m=* z#+-@aI>u*mwwy6A{wS3uHd7OX<BW{5*G$d4^u7Ar<nRA}yq$BrvTon=J@@CIf4@Ke z_s$<ZCr<x=wDRWv-}<1ly#MW=sv~ssLF(q7ZMCXg4tHm=oPO&D9&RgQ;RQ`Rmoy!F zF1)6Q)$yV!%j&zX0ibo$^Hen?Z}J_E%+7AQ^qJ#Yq)Fp9x5=!U4F!%jRfF9@%cSOe zZE&2r;87}z^jftQHS7nK_yt31XC-VD=UkP))AqRk8Pydt)grPC9p5fxIlV?<#qLQ7 zJH0tx#rtnKlnbuGc075=9PIAp+;r?UXV}docAwQRWSXw>DQMKO9F#gPJSE0&!?jA5 zrLS3D$G8W43v2rNPF>?a%R#o+99nt%l-o83HZAy6$I_hwT}iY~&bm~kjLY$BF~_tB z*MQGaO-u6>SKL*Ocvjf7E1PrL?GmwNq4i=1xqb_n*z_bU{Vrf)H#1=>m*d55&amHN zjvr@pUi)#Br3rL5l;zJGS0-Mw=kUtkB)n|vIX;%uVDJ{Ye|K4==ePuXyv!mU>k{x2 zv~JoZ;OldiZeEU6|K}!j_6ke*oqfwO?bhT4-xOI+%h*CsJKk<ls`6bEa@#g<QyXX& z!?@{KztEab=1q&F&mG$m)-5a&@37&RG>4a*>xOIW;A=I{v9hGDSBiMg*7Pa1iC1nW zWcii&f2-1zC36keo?5ROkz(g~^}4W5nTq4r@4`BPYAb$LHZ5JJvSM#r!pz;Auk3s_ z+==IS<^C+eLVHi!f`>LuQ{&t(RB#^DdJj1!O3$+D)|H93o^y1?`)zRRUhrftOSY{` zz%R+B(l`~3-B<Nw_M1;%@TZYQT1Ra~gaY_t_47hHMVgLR-wWxKSv!8cFQD`B3MABa z{(QeudF725pVi-Fno`AtuGlsu?EEftCEjntp>z(ZYn)-f_#7WcbB6sib-bv~3BIOG za(9wN_8B#eo%RQ#BAYH97hF?(3OYA@vv_&mmoUL8|IH4vZ5IgnBYM!SUpPcgSZC8s z8=uv${8+l*dI$VH%i=BL9PnA0#e1%A!0)7{)LAU0`DzjGrn7vNSB`i%AC}bAJbkj4 z%5q9A=LoA5cD!hFFiT!dqfYFgRJ@W#CGWu~bHOS5`V;0dI^GoLxOTh3oM-u;Z!FSp zJOe)VvPjGN2K;np>7HW=jnA!Sr7C5nj$h@4bPDwy&)yf-`D)|%miKvb)ae}WfO5uz zMUqXw+y&QsaBONz7gz(j|0P@yd`a1Nfi>T(n;t1QndW>Chc4cHVm4WcA^WVlMy<g? zD|ewOwi6Tf8aaY5fKhck`d&Dv#N6@eeIcDsGLBby#Xe{y8*{Ew=bUEIvEbQl&S_SS z3%+HtoStJ3cH51WyQcfgo~-R(@NX`Q_7})W@AaHg`CHkKuYcneP$GCRD_>b-Ur$1B zzwnebLTf+=3S4D*eaA20n`6`0c}g1p<(qhusz0f+3+V0KaT;{%4L7IO{;3HQm$HP~ zyKT7P)$}S}IpR@O(=6GhrE&@@{;C`_dd>Oj+>P$=nYU*y`1g-RdrzwZ`(aQADyS25 zg(m2zruexc5A&TF4(>hS_+=Mp1M}Y&(4kW4iF{J^pe5#|ps~`;BJW)JE^&gEWa)#> zfj)Og=1U)_{_O|Vzo3I3KWgioIk@7a6W=L&(5f+8P*+$ObO<<TICuR$Y4dbGq0OMR zQs7zhjCZ!6`xp*KoN%;0585~f>SuzguRGHtPdNUU0$um14C=b~gSze$TV;Ac$4+Wp ztSy*YByhK})pEt#qfUH}KvUAa<)Ee8o}i`MKA?)Xqt)_7jIAbU$ox?IYlYjO&4Bmz z>O4{S&o1^X;RERI>bx!b;N^Irl>ngIKu>^o&iRQwQwU1|-P#4ZI|9^E{tUW+?3Ej+ zmC)X5c>+|O?@|_frtp2$--6<z3!vTG8gJ|nH%&bN9S5+txmBhIG=QKrKS7cGBB-Yv z)!Yib4$VGQk^LlSVf(dWohJ%w{}xVtw!nCDt4s>09<5^EIH9?wuhmjw4)`9l%?9j? zKZ7pO<Pv+PuqiEwg+Cm8l=atjpk)BIXPo$6fiA)5Ji!P$OdWLC){<wSJF%2NcVe}) z%9MbHJ9dI@*ao$iM8KD5f=0ey@`0A)fkww|&N}fe(gz&^t}Fg2PZlz}{spu?AG{Rs z<WbOB08yu$_%4CQan5;xCfQp+=N5n_*|%>rU~guRIN?|b+FWpL^MvM4Vwo{ZEj!MD z?iXVQZ7&eid9t9+26T?xIVZkZptDw2T5(%u#2-H-lY-a^cwsMiy9Q|Y!awk8yq}Gr z)p%;4O@4KtF$N3JYCO;p;QpYUbI(CrCbh(#Ehy9lT}gWAkj$1UohJ%^K^qbyPdo8# znyq)}?9AqSI$}j1PJuSpRd8O|{K>n5G4@*hmlIcIkAGZ$UA-*uOn=hFM++WZ_+wG> zmqYzYSwM-#8wC?H6S1w?8w-x<iK*-Oh0M{gnke7CPQLxw@tDPnoL!R_ZVcEb{mSa+ z##=YbzTNpfzxv!`oBw;>7vFpK;~AIgeZQ9rb{;LykDoeaM*Zz2n^aX(_nF?j8~tDO zFFV(FRmXo1<Re=0Il7LQZ}LbJcldewZo{EFlNdII|6|dJ*}IqF=co6KT^FV-s5s3i z_A;)iXm7KQk9kwh=KhEs`&fQ{bB}15FCem8Hg)1W6^-wIr?k#=nIKy>skORK!Ty^_ z_Xj1%!b{I}F1==X`uyBRgV&s!xX*7cxXt<L^#6lOd;9}p>N{P389P>1rzM^fNcmoW z_)x9y1pBI9*BuY796tKY?7FXesQ!P|Dy4=`*UcHYimM|Vaw3^EVv?I`u6F4-Wj8%~ zJ2hg-Z_ZP_t2Y?z<=m9JYI8v|=cm~04IK9B8sGL!b**Y!VDrOFEX~TXtVCAq9c$B{ zmMWb?t67e|EZ^X<n)8&tNlM~2p_J)nX%D{%J-Pm;!}Z6E1v|D{c7F+P`gCua&KcIG zH;JbYP2IwFVty94#v$Lg3|->4n7c}{*&B*Z);1iv<2qsOmDbj5&k5_FMzs9q=qfKw zo+ziJvAt$OtE9t(w^zDbr5z`{zd76WhpJ=YfyFwP@;Oc^|JrO&%(1DCf%`j`W8JG{ zokO!(j#@fLF6m`?8s4_iU^nL`?fPSfWK~Y&OC42`QP+4UcUGy^Gr;bL3U_g<LiHm} zv2}kgGyME47tj)Yj9Fy84YNkjUQULmpUyLJUG7ncPUhmiK2IUOUaR{;?}8gT8$4<` zPrXgwWN?^c)7|uq1<9PB{?1DmR1*|wKbt&pp0Y;&-1CQYR8IKSO>B+!n=t>YQ1^y; z3w9J3c5j)xV9%dWu{3+fvcuUrcLJOK^oi;ml5BeP&pdL;dd^es_mdJ&tz$beFP2*) z=4vU!&pmPhEw{}XL{8_ih^#bp-1$mOY#FcPwsU4;>$DyBoh%buXzsZ2te#k=f#c5X zq(nF2l;3YsAF2sFY2R~P$wytI&+dTIUWb5r1ru5$y(Y~4D9F9NO~L*@NB4%Q3wC_b z=-x7Y!JcQqV$Td5%Wiv$y%TY)yRRwskje4mhvzy$CnXy`tt@Uh6!MEnq57lEf`YAH zjGt2V7`h6?9XDPI*9r1&Dq4G5$49*>=diX;jDJ(j>eo7l7@CsQ@1C%(WO3Y?9w~NA zIE7tGEKkd^F2z+Wk<amBc+$i;MGgP8hYqb#IdN|7xkI^1C-m1HRC=SPv2M>)S1XTz zdnMA`#Z3ycUu`IO&iSeR)kcnRjxP0g2@_A<=M1pBFAy+8s+VC?xHL0Y_<l}@eNMp+ zg0logvbQ8myd^y4_4cI1UBW4|x2HY)CHTa8OR`{_pvdm>go($5ru@Ep{E&~@3BLD- z4xLpx(O;}{$f@bklRTYEMNOa9ut(0|Wx46PH)4k@%TLk0ku85&j`H?z@^I%oW&LZj zK|1FqcD4-#GZpIJ7>X@?lhE+!=E4T0&{~-VbJ`iXg3~P=w%y@ycsNVo$!gn!N?Q~) zu1OwNDpl1mmppywi{goGwX<5KohFn&j%>Nea@0;ZVhJb9Q^oU}42(H8B`@1h@R{?I z@bXO@-ke>=Ox;`B7TDCQac}Nb*qtNBy}e&y|F=r9hX#&?w{65;ia1u@uMvBu<oNAG zw@%E=R)(LK^%=VYUZyrYI(oE0>1q^HfZk@2fEjHpH&3nKT(Fko)7JY5f>wee((6(t zRtZgsUYnN4CX`~mKKY@W(39%i1VJ0e!Ut|*nOcsOPsGI1^c>6nn2F^XI@aAP6H8Qe zEPSUYmMQF5S(ub4<XCn!PVAk4W8LR!okN^WNmd7x=BjJRTb(!*qk3ZApN`hm9}FG# zec@QZVQR;y5ogP#5%f2i;VIW~#@0-~32T2!a$j#$xc|VU`@-A>H~#Q+U+G_P=OMRP znuX&wcTH~dK85@LnYs;TF0lBcEtV<fSa~N^EKSg{?3Acj9;;*Bw^Ff0X2-&bd5`Aq zX<o2rkq&opgTn7^i4Xe(pOlBC2%ZxX;SWigXyo`aLR4&7OlZTKov#`Wh3=MAsNc!9 zfTJ{vN#yiZCXtn#jyrGhN6y&Ba&uQ{q=oy0{k!eDFSIYX@y$;xlf&_*X;R`bffV`7 zLx+4-PVncRQaYoc(U*Bp>8!ejf6mcEI?5-`<(xgVR`rB_#&M+_WevU82bA6_Ypmbf z-)iYJp>{bpclj)Z|Lc+k^@K$FOOhv^Dq+`{UndYS;}kz*ivCw7k#*k=GgN+M6j`X~ zxUnc+Y^A8<&TsvZGtRNxbYqU(5y$egnr$-&GiTRa`SgiyLQ|@F(-T3ts5jx^GQlVA zwg;8YsA}}dA6C*;)9{x*eQ1r+iF4JnT5tPIh&P(&>cMg}R5)UZGt1Mn=QkOsb8f0$ zwxM7t%TNC0n>fNbyVRMxLlUhVc9y6uFfgrWpRm`AJ75RfX2wsV&l$Qd%vlie`MXXK zds9&}tBwzUQ%-lQPE37M&GG4xEe~0a%6UgDDP(#2&pUF4Cd<wF`_3IYt9HWvD_i%5 zjs+H}s@+?f7T8pqa(^~+{I;}I=Z;^~A8F}`7G{>C+s!w59OpP?y?ukhbB;~&Imr+8 zgrEH1G}l#QJM)5yJn;n{SO0MY?3=^Tx^g$W!tcGc4TtXfPFVlXuKU8Y1rgtw#ZC%N zd2e^*kd4!X-0z0m=2H~@A5hmhB+>Lp`FO;VPL`(&k4Mg!$#OHk=GY-!g%kgOnRIXH zSzz&*r+dq^1$!QFi#?NVdXv3W=gw}HpQYjvEy^rM%l9Qs^b?+PfA5(?F-j-uidtJQ zhc^7VzmB;pVCRxc|K}a;n$DsT^p<JCnxck7CQ2vj_S<lm^E>|ACLP&wj^(J^)W{`q zEKjRfZ8B))*c6+W_Rvl6iTt{gN<Hcte5;Ns`6_Gl|5D^O?pOG|dY8@}p{76iuQzk7 z=IHwTE@h&b@RaE$Nr~SCQtoFRI#la1;r^v5t`?pFcP=-%YN=|}-{@-H={Di-8dL7@ zjl2&3-oIsVT~Vp;@G*+rVWLzCi$?ex77ZWnrZ@cW(jQt0J$YZz;QGQbAm`#-*H``l z?|uk$zyJ33|MU5UUH317hCOP(+)9-^Uic^GRm8N3KO463=uW<BbjfEHfATrYIaV`^ zyDsy5Rs>&V7JSC&(zS?L6H}Ay0@D_)b)D&%#y$V!8H3Fkkx6Nff|I7DE`I-gj`{U- zH|w77IrsbDy!)khzt2DY-m3ibiPQC2o6COO@Art)|Nj>}?C~OX^T9)&SMHT?H8~ws zR(EAEvzob}s5N0?H^-_t=M6V}S+2fUj7YL|Jo}tu+N_<=+kQ61`@D^0IlTmOI2=dQ zFLl8+1!9heud-;zx?b4fyWte*3duDLhvIFmW>^JPw=MY5v)5p)={9AJo!kebK685M zt4A#N2>9mH^fgRy%KoN==B+HE?-g#mNNhUgFQ~KW<UI+$s}={7iaA!DSB^-!3GF;r zKDsjTiX_X{a3P&yOUJvWET>B$_iRsQ;oZu=Z0n_KEWPQ%Yc`oXUR=tuTGl^ckI#l< ztSqYf$`P;Knl8QOxK>#J8_X9DcCVUPIV0?+E~nXznF}7xW0BTXT=B=Q$<<GN#jnby zqk5_<?kPn)GHaT(UoqlUVbd*rL7mO6ZI{c+l_K8VW%<gl6tU0%x=wzcS?Q85mQBZ| z3#|DR+q7uA(3)@AO^^Bo*L>7(nzRvgV{5*O#$NV=R^6PZ<eWF$Tgmd&-g|@N+y#%y zIm~voF8H*bL#(m{>a<t(%lnR)-50;SY9I4Kq20n)&Isw0nmE1<<^a#UA7wdRJA1*u zvn<+wCM|fV%%a`PDV4vC;c(<rUKZDK<%ryR@Ci#lKr7qZ1@tT{&q1!Gn9cI~j!VF| zxTdeygs1#xKgeeM{qPp8ee)6)i#R_0%OQ4BIOMOw!K8HIkpDslg~Ele{82m@R4#l) zPC)1L8|Zk$T}f`kwNK;R!PjhmQ_a{hCt)eSfQjAYgsD=F7qvOVelt3LwC23_!`AWS za?WeFQtEk@f7!<J`i!E+Y{iItNynexg>wpyL8J4>(Ur=JPjhP3&rg_mn-jdqL(s7_ zx+PP0Bd;U)vMzq`$k-f*fbBjT?uc`|0!_Ss=a5>b9Px5HOYeMzh}6Yz+MpX*F0NH` zWeD>Y61m@<(Cf_MCFj23+Dn$D=hY*g2Q_Un7g$p+?fBE~AX~q1$RGZLZqYIgyF-7T zW$8Ynx}wV9VA64+kpCJ7g|33<XKt*V6+hc*(yn<6zO7|BZQ~H|-LUEDKi>fRZ4#HG z9{RHIepiTi>CklSxWJlEf=!E_3$FPV+VtqU;F^ny8kP13qxyxW)J;s7dzSwRcq>cU zaZka9uVv~Q^$Z8u+=WAQ1anIC9G|Wi&?(Y&ygFY%r%WEQ+>^=iY`EN!)jp~mS?}F8 zoKXT_#rNC5@ozCl*pE-p>-KnO2fKUOt7X*aAJnQ>()eX_Fl)Vq#AU60wg;u&D`@PT zkg(Rq5wzUXa`u8Ztt_u&eFOfvvq<0Z4fxp4B5ms(V7Uu)ZU^Xy0?<_>SGW7icIqmu zsIxdIq%L^nkI2EG)tp)Jo*R5-FL*bX<@Ddi1^+IyXy;UMEW26|-8A){+XV~f4W|-W zT)SCT*G^jSL#xSjA86D^vBleO-CV0nyXG$Va+u|H567vzIfu7gyWO_nPc*05jrIkP z7P3gsbqM$=%u*~6dD4k*6|dMcg>7x1-3g$fVL8yfp!+u)uxsib^?SNtuPA6Uo2_Qk z?Qn*NZ>?Xv1KotVQwB874mwfK?wk`}+w;u^>`U`EOlbbd3tI309CVa`0%*NI=vHxe zKG2nx$3PcL6s3znkK>*W+A0QGw*D<y=Zxce(48pppnZ(j{bBcR2mHASI!Ztebehlp zO$O`}r$?M{{Azl-;wE2`^~MR!RiL%$GN5Ue_o<0|L7<iV-$47-pMe&x@9k@~Jn?r* ztIQYBIfPu!Vnqfu6I(4c_Wm-sGe@SU95fy99(2S{D8s|Ur2?@wUjx~<f`-6<tOPAJ zG}d{d5SgCH7X><&;0x#itmmNZi+{Pfi!W3+g6`7VDifZ`$Hoa-!Kcn$Y!G$CiSLyA zMg#VV!5~+HmJz;50-YHz20BWhGKhu$I(UPBT0UsI2k30_Z=m}+(l#Fko$+&bUaRGa zx2K%=eu3_fIW$q{NkWAYcX7ZfP>6ySTs)N$D-zh-*=o7sF6gqi*PxxDpz#vR&m4E= zSZ16BtuGbfF1`?H03YV5K61rf_6uk^|4+~`(!ne-=;g3mK?5jyV$T$eKsTbKgGMEK zY(T3^Z*MeU?~IK&;aCh>Mi6z*iSL#xXm_9*Xm=p!$f0o1R8SP?u!H095s|~~piAt( zh;Um<<kzTxwkJH&d7@y|-f9WIA=L4)3+TkhX3%Dj6h-!zpmmGqq{NCQfG%6x3px|= zpQqTfgax8IEG;!agEl>&qY*xVZoo@<39<+ra<4uH%a;mhZ=TTnixqUtIq2B+J)jev z)`BjEWsjWEd<JxjowOxacqU&_H0XrFb2?`n6aB@C3_uGha(?jF1^@pez9QTD0jQRT z??GI4BWq%6(!3dINi!#IOG$OBR5D(C#?4CIiofDU$~nz*S2rwO6qy97<Y!K-JYcl= zn$#@GR5P38v`I5JPIFD`I&Si^a^35*d+oQqu8MvC{`2p<-@pHU?&U6LW&G*W{99q0 z|9$rdohtMNbgIy%gQu5$yyPj;5PD8kqe}8%mTuFd?Lun`|FhhZ_O0{cIOrc(^!Lid zYuzkM&F6kUl`k)|5j3R#TwqN(gX2%8gKXX$TJxMYTwKaBb-i-L6S1aM--UFx^)2}I znZ^4E-{FF+JpT<>-mz@`t{##04_sL!B)`&|XEn*XdqLgYgw|{hsdb7GFY}s?^$V`~ zq~myT=4ZpTSJMPV=KF4No3`MIP19CCC5^q466ShyoQm_^a4)OrQ@K#i2k4yiPK$X~ zMzabnmW5VEgKyd1rGGG~T_7ahW5bb|ET;Nu5vx1{sznbPWplo=bJ}nxnB}XvW`c!w zj_(Bn*A18MvGhI{T2pig?68897ezrks?;><L=Q^YD{54-AB<vdy5ujkX7fkE8DTed zIj`MrUGS%!!^~pFf=7ic(z@y^Vw5AEcr~rESBrR4*7T}gCE`&hxT1(D`W)(>6y=@k z7*L(wlxoCM%C8jhE~4qzX^yZTLXL;EIl_JkIzHArs8z3^VWkvtRxH8dsJVK?<6IWi z?<x_mAG0j&SBqF~10I-;Df;$jrSjg>oTvUzNNB#zq4jTG!s6qcTKNSc%eJ0KV+ozi z(*4#k;BPXEw~oS!O09$76P9`sW=eCsy63eaX8wYIRZXJ*tf7sPyegC9`g^A?_$bp9 zYUdU3g`?@JpQ1*bYQ*E$EUN3(Bfx7r1lMftTkwXP<@M1%(DwS)gyy@PT6HZ6i+{3A z^;e5{@&-CvzB+!kmC){K3#!@^I;%Od{!L9-`J5x`yp7o9s5I-QU7I<lfvTxW7VRk< zQtMPAUan^81syc>oW=FMQp8(*mZS3(BOaR`g^lN@Bn7b~ti8+O_1=BMIYpLKaltj^ zYK}kG3*=l-S@FZTsrQ;t$UozQO8&wjwO<SOoVsDga`nFJh9h<?rk`27=XwNGvmP`O zhg{-Ww(4Cd=uAA-74_@~x$2cNeyAMuy7<^|?XhSf@cR5}!8PABn;sn(Uh`41X_C0m zno?EAmu3g8whK?GosqCto#Rw~2~*qVgJQxQ(?BOhJhEQa*JH#o)!uW1$HWD%Bq1w1 zqFKD}xCDH@&f;zB5>V~3;Y^!)QdFtAdc?c8EMLE?M1c0F_Nzs_giIA)ngKl(y6W+j ziMQ5sblH1sIA+h`m8H0B>$NnNrR7Qy>HJNbEStWH2~4r?O=$kip;b3IVX-ua*8fQf z6WKXd{hOPx@q`l3@?X*%({2<(7C_vod4FZ%l}47W{R$CjnvQq14i?>4SW$0#kZZp} zMuq%AuX^Q-KTHR;*4uYJb}v_m(De=Y!_Z{Pr>?QHC1LGmPOo^c4d=E(&;3ZxpJ#Px zcl&}r6B3%Ab7<{b*OHmLMb`1@cLAME_KsH%bBIOz1pNKX;(diPt8RY6N>i4t@0B8+ z88+=YFQD`N)=>$+zbps2-b0T(;Qv0`D#&Wef{#i~p?2;8Uxb>j?sK2e{3A>3*@BCp zrQYt5Gn%hm25k_0oU-PEObO_Ijho<mukAo{0-0jZ5(<>KEj7YGTgqKQ2mi%_M%%N3 zSp2;hTl`Nsek});#MLdWGFSc|J|v@J7TL6VO7pWYoimPFN1ga?Rc|z4p9wnhr5ZHQ z{aXjLCE>&&84=JOWo@~jTQQ<R!|gL#Em!=R4LYdKjumuJU4EJ(`^Q+&zB_jKJ~a<H zP{q7f33T>QdLo|{=tROd+d=2~_=4Oj4Vu3Y0PUOT2Ax#5LnK_2{iy|avB1rltui5? z>G>mkp#5yg5i^>PfGUhXN}z>@O|3Fp@<IC;?}ARm0T1loWe1&G_}yQu=)(1tJG_bm zDulT$CEgu5Bs1mSc_+SOpi5YaM7S+Grh!&;ibtGq{8I$Fnw?p!Xu_V}R?7_A15SLG z`ZpV}&pna3!_xA^zKN}tFW#O2ow%Hw$j8R+2XEHc6unx^=jIKng=0WV9VEFeS8M~- z!fQcu1NNY~f%8s$vp|y&D}7Jz$zgvh3%X`R2DGBHq1EyP=+tEJX#y7Qtuj2IwFO?F zg$*A;_pv>_2lvs%(qQ@0fa-azGDnh^gN|5Ct_H0?oC~^O=DZW%rO%Nwn$IPP6-}`1 zZnb=I6?8E>=<q%8wouTaMQ)%qDxW}y7NtBhx-&;6Bz>a+`$o{|2J=!A`Gh_rX0GOe zZxRRH;Zn=VT|8mutX7$l@XZG7JFP)8SAn2of<edrNv1#0I_sDTiu-$@3&cS;<t}R9 zV8Ff=bece&8h7!99Wz>GRAz&w*F?E3JwW%SSoMRBmI7a3f5Hyb@Z9SQI#5bszbSXI zfd^>u={?ZmQ_uq0`JmMpVH+m2hyQDr|6j$ql&k+fQbqr5=hehz7dIW7B^jk9XSB&@ zP3n@F5po8`C(mq1T{JUMPHFb!$|IKQ=Y;ca8Js?0WaytUGa@_iw2HBEe@fa6-ILQ2 z)6xz<-w8S=_y%|%;`#UGpu+}M>qT#feDc(OtM0a6|LrI4QJVM)yk_y(>9md6>VXWa z?)z;xV#{L6&f>jRd4=ugEnn>|f2>G-CUoWQ%!Hk`oUi1aHXJe(&dC3AYQ3DphhUQ! zgVH4-${97h2eq~fio`l^xV4z&=zP_P<io8q!cJPUWWRL@uyWpT?jTEQzgoomkfu-G zoMt;_EqJ8MB3;U<W$&}$L<Y16yJ~J<rmorS1;6-Myx%wmd{$^$+NZQ4RxKip)A8<9 zmeY4#z^l>tg-l|+H(cuBYP(!f+!Q*A#r3)1nlc5)k3U(m=NLh1F+aU|R+n~lE%?&Q z@_LPu#%=Y8_nA$f^aXPavIURp=Xr0q_>N`jcXjX`+v<WkVX7<kH6?UPb7cLSk+71T zBg@`(!<lYqfmfcKo)C3<@6-kV@>sNMS{6JMWYMneT=4NG%jy`%fG<@|SFKo%zE_WU ze4a%$ULhiTv$5fvOVPq>HfuZHtmV9BJ!e5pXF{_v^c<C=r<eEjtW#d`N4d%Mo$`ub z>P<)Isjv9=YO}#wRUsDB`<@%F*s*NoSB`jA(X^|ZW7_U13+g5(w8{&a?3tdh)LzJ> zt}kI~_}sm9J0RCRmz?$#Y`A*QF`y>D$@CM8YQJ*C>yoBR;=*f+bscY7b6f)z)$$x> z5$*woRebA<Or|gRbemJ`X7_?u$2rBUr!DxE$>JSj0tz-3>(I~A!R|r1O}DZ+rd9SV zc(<A5bZ&{>ve0_IgIxK_88Z|kUM92j_NzraUCrXEuM+Y0HOtX_)riN>Sybf}BeGor zs+zUhHlKsl{+q4+WM95@4EVR0MOwx+;G;Hpu;h+H#FMn9RrbmeZxowe)hkChJx`1> zjrZSh<r~Y^=Yl$ul~-8LPuQt1d?nsz!=dZYgXa!QFYlXD=XGI+|AtfXoLz2W$x*BK z_AU5P#*)3KeZdn(mTXzifL|$1rK?y{*Q<b+cGxT4c)-}yRj+vCg=^EP^|tdbyKS2Z zsb6=_nr9_+yMMv2<($(jCN6kp$>Oc6zM|Ilpi#N-mA&&5b}Bml73T=6I4k6{`r$Pe z?Y*-XeB8&fx~6Nv3r?2Rb6o@W_-!~=4t3he-OKv6yi?Zrt8&olyzrE}UzmKd_p&(t zEEmk#!0&j}Unr-<#__2!huF@Z1+TVqitV1fpsGKiQ=KF0Uw6Vv-)Tt}Tequ3q)msm znRZu$mKiiH_*clH9l{|M=d<C`b`GigjvG#`=jgKY+i=UCvn#(waoN^mVl1k`EZJ{e z1AYa7w=3?Rkg&I#<5d0RgywIs!IIM}l@}l9(E8t;Fma}-&+1pwoMN|UF8IaHG0mc7 zLE6NGm7oKXRU)2AHSO9ir1PD-=~utNnh)hoZPGC<57&sOXY5fvsP!ItnXP5j2gsV1 z`Ci}=vh`{auhW_?#Wt17DQW!XIan3dmN~Va`5@bE;gA~ngKm~hr{=3hEb$5WTGMni zOeo~P-9e$%(2Q{QzQ1hYgecH$n-jY?@)u9IIj2>oWIJec*!xsP_P?MB=tJqCgMvXj z#Y^P4EhXYl9+C-(0&NZJYPDSPx35*^2xuLk)PK;deB|^Gd9qtT&4ZVqlVr}-O;)N{ z&ZSVZoNrSr_$UET?FQO@-VC}!>xV69Nu)Vwr^2*W%MP2Ppq&bdiF`>Ly$h!n1=Mqc z_P+OmE+snyx|A$Ik^QYOs9porD4-?qMfX8&+zmQQARk`0NZ46|&Jw8KJfZpHvgx2b zcB$H8MFMw0^+#&t3CBMLpi0;k)V-YCYMBAr7I*3TMg#V_psMHFb)7Sg?>WVaCdh;C z&+^qfe)gmzsG2;OAud)F@J|4=GA;+Ph=3c^tcgD2#P<p`IMJ!bZJF_}0kr=e6r!N2 zedhCs6OQGNt=3(Vd2$bQI)f%?RfZ$zR<bFrmKwG5T4j2~HyN-`O$D8IpvzqxuzN0O z<w#;8pHwrbVg}zIHtF>;yH^WLKXb(_m01D`zPWQFPdJwOi#=OV&cSVYLjLd}86L3> z6PlBHK{qrUcH%n)T39d<bpO{c4enxr>S?VqSIlGjW*f72f-djgDa>6w;nao+&EG(~ z1)seD*#v3S1cMqi+d-os>(dhXxVCSY(408E)lvhrQsNlsbOh08&?bo&IbzQiY-8rO z?0A3hkc<ha$#imY#Ej;!nXNKQKw&X=DrlXdFlh7QStq_v{F@Beo43LbM-WMm>0E8X zzW8k92}jG5pmTyj`z#wl2PD~XTdtT7I-yW(^MvMSpi2b6i$~%>*WCXC9VTGHT|A+> zvsLCxdhF5Nr2#jlwaW06rzi4x{oXvGxe&ChWsV7aNF!=4=oo7*&>anpt(Fo0<^L@E z_e(ys=k_a5J)e1DHuySn`-sC?E>jX%nHRAHawrJ77=~%DRB#jI=rHZ_a9P9XqO^%+ zqm-a($J7R$MD2w-zM9?|xttvm2fbEx1RiO#?eK6}v$CV9_q=iO(=Uf_&%7V=-MIK% z?fE_N_m0Ji=gU<-jkno)_y0f8jQ}S9@>RQC%qosfsVNX*O<22}(`&!W2ER9xzTW5U z)7Z^?u&P>c3TS)6P8QLAb@0XF+#F&%=Pk%;PuR%LvC7_c!;x;`jPo<zD7O^sc=l{M zs0ZsGQ0?E8`b+@4Bu&WiuQ6ws#ry>im71o837N$CZa5{(;(A?h&9+SD!<zFHB9i%= zCjAx!pXy%8@_LRTv<F*u@XExv$qW7zHSxYvU-3iYpj$SlR(_4-vaKh!v4oZ@N933} zeziF$bX@3)&D?~QpE<JhRU*<P9q)#+oVIle_|MuTx@h`(dA$hmq3*`&t_)$frY`uX z(-g|5s8PjpFl)Jxi2d}0UTsdVZJgJ3^)L7$)l@o9UBmh@S6pg-cGIW#DmN6I13pfM ztVok)>7D~R%!W(h#>!RG{bf7vItBa{XYsc22`F~iaHTCgDQfF>rHHh2$Odyx$da_u zrnYq9HCGfe_Do5bI$v0%wj*Kdej$<Tp%Uk$*26CGET3g{sY>7Rr3_?2T4%!E%^auV zoi-d4YU;9AzOlkT;HQ2|c->pQBU@JO>r2=u%eiWQdqO8OXI9;`gq6aaS>c@1cEVar z?;fpG-Z@|B3g|{jdtsBB&V;4c<Ia9OZY{88lA1;p!@;ciiW+rHki+a14@O-Vp0aOp z!d!NaQ+%A)swXb^lRZn~9NTT!g|Y8J!zIoEKQFU%%eV)8jb`b-%DF1ud&3b~7Snp) z4Ob?!Y`re1v-zg!jIg^b2SJA{?Uz5uHD4*iq91&ieVoIFQ>M^XlY6+2?8>hkUG{z( zj@fg1<!$1)9F-m2bZNKn8spgu-XyZTmh}$U=d|HqC5z~KwHq0dj!)wSbv|i0Uac3< z*>-U&^kh8f@yq7+7Nshny$Q)2(=2-zyxYlgI@UE{zwd@a`kYdrA)@yzz3DOSnQK3} zH7(jLu;v?M(<64_HGwJ`m0Sm-K&J#ZCCrV6-h6rvbZFmq1IM5H1#>n${5m7-sKr4y zYhmzd_UwWof0+&@sSAVas_BAP_H-t!)N_2>&M~dBZ^62}0|nEnnH~Sm<p`^|52<1+ zXUw(=`eozzcrr)WPdUen-kf2(CocG53)!=}nI+p*EIDfFc9n>9_ohw11=f@+fcIn+ zC^;T|FO*Xv==fCZpi(*X_Mn)*cdtymVGKEINldrzevS6QplabOb`1$LnH=v5b4>fq z=Lk9~ct_)chjUr9|4v)*aYDk>dSQ`X-Gc>T)!dFB_i|kOaRTBrOUv?C9<ME$E`8>_ zwo-V?-oAvr)*Pq)w<R>Ib87wTPFQ?4@5mOdeD@6}=COosX6cr74EU?f;yuSR;PY`7 zZ(XGodz%twUWG<zx%_;qNz%es?x$!j+j?j=r_?*Y4VT(ErR3c<oSM$rHP3Owt$vO! zbIxl!<}P^hmnGZQE1*jEpq2F9mWNaBwk7aYfle6sFTh=FU{Mmp@;!;M<@=)rKbEfS zx00B947BVpC6P~w8??R63^cyq)hcs^9W-*vDpqu%=IhxVmc<ihopj>6wHtIyP9*3E z0Rzwx0!rMLFF<9sNET=qnw7gaVAoX8eLkS2k@bin^V+&si}|wF?_vd=R<|~CM)NVy z0(~RU$+n;h<mF;e{}OZ!&2MH<%_hlhdE)+=Loz(Obe=5u;V$+p;foBnrN-^GJ1mO@ zZg#cGgn+i*9r>23$o>^n*LE(3Hv%%IfvT}mGtfG@Q-@?yrh$$Mw$(Y~_>LcRRIm<r zalnlQpu>h9PSZK#sCm+f@7V7R2JBNo9oQEWLHCztgU&e+gLN=LHQL(UktZB?oj)W~ zGH)jANWlqz--CwCx9#Yi{#N0?nb@<04WQ;s-IP{K2~el|=W5X9pr@SpR@sBvHQk`C z9-wjbj|$wD8Fpt6$t;OWQe=O719Sl2i8lwF_;!ItLU#U*JmFZc$6Xv?Q3sy}c=!jj zFcNfb(J@eSWhx)HWrrQ;@FCE_$sff*q4{k4W6;h7ThKM~lUrq$fQ~Yls|>oA{m3Di zFXuq_vV*$t2bIK%0%A^rHVST@(ELeQ=Zs?t_@*Dop!u@Xp!15SB`C6g1+D8mQm6A| zK_%!gr&*vo<kLYh&k8z00CbBuXiMq;I#82Fg4<F8bkUEO^!fv5Padq023>Uyn#uv4 z^OIF6RwQ6Qt<^GP4t%Aj<EK5K8wGSh!~3bA6DmbP*ZLefB=aRML6QCE3$K`^mJ*;d z36FvXnl9>y6$RL}fG&eQ?8LWf`6dJQji5^v{(`ngTuf7BKMT6i>53HSf`)mZik`hL z*glReNL+h9sG^5n2vE7VbXLnx+l6k+->5_dJ#$~aG(|~wqNd?ozhz6VzD%iG;u$eX zZDpyI;gV@D-YnTN<&A}f_3`zxeaUq)>0VKFdY;-ZkIa1keb>C|`~UA;|GHz|{Mhfm z|Ngx5J-$5cSnq7NxL>Q@Zn%8<KIr_wnc-_}m4$9TPz`2Kt!CYI+t-gZVdq_rSLqyK z7IPLn{K%p`*X_a&rlwFmb&V?7gIT8`Ck49%6dgYIi??O-mTjuwO=O!nPszD$xEIs( zX}Lg70ce1}UEq(5mf5nto^`4#_CU^bxAWaFV_HJg)$M8#Nn(y?|8h(_C3t0?^M*4g zSxV0<N4yhi`qj-Dwxe}H;{1fA>YP&Rl_OG5&%Wj_trN-E;@$VoNRw&9EjyN@{HhU; zEt)2M=DfB_XiA*NhI2|yo4h%%Mf(Q)D{SJ8Q(3X&XV9Isy=g)re!@CM9FA9$ImKqX z2mF<3QWXQAh<DKM>YlV$9$B1@Z$nv5^Kib}=dj^Unt5{6*K)Ophp{ZY{7MloC$sc= zv#hRZUXU?2VQajA$o`25y}LQR?A$h7+t2BhU&P(E*)It?9`R;guzMA|&=k<t2z9}b zKUN3b#DzotSsqj}7YKPPsPj$3@oT-H&c=^xXM~--F08Ya-SI6m$27~X1@AgpPKR>5 zig(#?=sdKOc=+}5zA5iiGX8KJ)cUWYvFoCdPxe+>j;`e#*DB;4Pbza>`=#Uf(wO7g z&It?NOl5h!mGjhnzYPbYn!5fg+{kbfKfLmfRg-HOv?I3j*S(d>8<ja$iE~b~n7iOv zImfhJO$)x2LiU5SCG6ZUeC2+D<+80#a~3>Y$D+NreZj{>men<F3tp^bSzSAO!H-my z>_1hI;o&)N{A4q2J-~YtwlH1R+Rb~gYBR^F{j(FAWgL(C3+I#=IX=x71n*6V7t|@! zcKrIAb6Q2$g0v@QJn1Xfa%8=C+Hhtcte)Tp-D)J_`1dD^cFp7k51pE(MzQox7g|#! z?|4z2BkZ@l<44`R=RbPQ1*hDZov_xK!%Ljw+D!L=Z!zFe?f<F=*}S2FcC>nVUr!s$ z)c@WaJl^m@d#~LKez9{-vuIoJOq_F?)$9dja}#E+=6rR}W5XR=$kqgV7GCq6!iOU> z)tio03$2+n7t-mstom|g;w^EGuKBJTj(z9!igVp?jTd~q<Yx`Xn}!Fgr0=&vy06s& zA%7SSy15I6{IfZzlr9wVSMFevZ_}&&>Jg9D!Dev$gWZG93tzdnqCHc0v$Eq|k%L9= z)mPN39ORm>oKeAc(CfZxMvdx0t^LXxRqO||m?38>yqYTE2il@~Ywm(C|5#qjz*@lH zT2?CWz07&)I)~YgrUj4kInAOR1AZnpxz1Bv@oUv?!?j1ZDX;h!)}(60VruWT;mSvr zt^TSJ&zPEaO&8SpF5UDiwn<bD+FIhDKhr8`Q?<d`W48s?d=hI~w3##PH;3cLoh;c? zIKAfiZ@9LUW$Aj=i05HVoA?FRd>3*2$s3kvA)VtIVCcEw;y#wCpkcW=(D8`X@iVQ2 ztos-I>gSvm;S=yVt!e2mmaX#@BGP0X@9MsL`eUcL@Rj?s6I%HNpCm*iB=UKIj<$bX z47x6*KjMUAi6E$J+1V;{1T@UryWh9#!6w~?51V9F;^+JdU|)M9>rS`KlJrdm>~r^m z4(m?<Rlq#lmM7{#N6F7Q>%`Y(pOVPu7QT5xb5T2J|6-CN`&G~!`$o{ncJ1Zg1;x)4 zHX3tVX6&2XYUv?+#EI{gJLvAAOYrH~cag#JuN1yBixpki-_>fV@n>SI3{N=dMuGjH zNo&yH{+@09tEV()fOar~wg4RAPf6sHl22D;KRFq62Et6x21Y~f;tQFZCN#g1kC@SX zFBUYYpAH(-{{ylJ+=)GU{Z2oqcPR@RFb7=_@Pt9EDBvt;v!yVo2F?aGX_P=`6l#LT z_Cb3C-zOyU8G+{5-+`_v(EG)Z=g0T!_GSb2*6pB^6#s!vQcMOdqh|tLDDVno6}Yy1 z(HboOYQZ;Qu_A%|%?9kfw?P~3Ko=%eO>31|l5W$n+Jt@XThKub=3>tlOb6}uI1D<d z;iJxz1r<`<#Rj_?T4ko_rzi3$fkxzCfld_wFRO6ZIpdggxc%Dwm=6Vz-5z<?;60dI zwYe=bK(_@NwS%??g60FtxwtJ~%s+ofMnnqK{sN66L>+VDI|e#;f9mqc6OJL-KfGTo z*k;OY*#W-x0JPUIrLWa8<1Fa*zsaCxS0$)#&ZST>O>Wb4pV}48_dw(P`&(NrCBA^J z)&tG9Z|Q55nX<iR#`L!eo4C0xSG)tA-~>8N;45e|%#j+gq6=$4M@qDUjx+9PwLAg7 z_z|>O;$5Lw(FM?5N*X!)rqvfRbI7l2et72O#03WIOHH^fCFIW>k_qVpolyu{jqz4S ztVkgKz#$ovb%~1XCskpC`=Dc!&vENKQP_R6VSfu>mG$Nc&C~zy&;RxM|I%p{O`v+d za>MLY(c>RKSVuHRbaY6BF)OiYO9?7=INiw6>JmK47TKdAB#<pKu_Hpc+r`CW?bMDA zN0&p3SOj~v-cslijAYVq+cL>XvvJdsDXIYr0v`Q7S5y4%%v|fwGv9x{XZgIg=>M*t zciCsV#Qj^9yZP@g`H6et{=qjO9-QMT($M8DloO(~qK56D(pSzc={|C+7!I|Et)F8h z6zvrNIv*`e=t|ABgq1T-$IsONqx+WSpwVu@D|3A}+<D0I^||1h0x8GCt}NQIe&Bs< zwoQw)Il@4T@Q!^?w8+i@?HX5Xd8}SO*Xokhgauy`Szga^2-xPd;hs~|C(u#BGZs9` zWdY54{}DN;Bz?C(GxTm-!bTCtuYWnG&F~KRT+y`jnedf3hYe?z!m5hf!R|%d1+MI$ zp3rK_!kfP4z?QIET?;<yv8;aM9q=Wf>1r3tQGeBl$2LuqrVFeowRL<soAX+vTR@rV z!76FHhKE!7g>wwDA+=b{o%B~HUI;Xuny(!3M5JleedUNZNlmZzD@H8x4)|=(;%(~} z5Up*vHg%iAirvQGvU<L9#KX-jy#8ttFL$%_>MKP&y$*Gmd;Tn|px@?>AKf{xb=Y)d zPQ5cHVeNLIDfhY)<~DPllJnhg&y(fpe&-DbTUkV-S)}iJ1pJJL92%>1FljcgW$s^H z7VkHfpkehE@4UK<S01Yz0)D$RrJhq-QO$U;Xur~mdgg;%|5Y+9R3cthHXWO7*OIyR zQ(DuaYJoN19Gf1w3$FR7+B7L$XiccPMy=>UD{pnsIfpIY=kA%7F4;b5!JlFl=`%GF zmsjnuJ?OSuFyx$&P7#aaRed3yGAqdbIabHB_5wPk?2d0mSx)zGzRKIfbvW{!FU!|* z)rg18&{^w;iNWq(@d_C+iV;uQSzKqcto}Q1!H>5r*>~InK4ms-HLGH|thHD7pp_>0 z6dcf=#pj%6GyDTS{$`Pm^$Pe|&eDCy3ObBaYEY{3O~vtRxP4cq?nYC`v*iLhTjd?! zI&)65>|5||CClln9IxV?HXO?5l)C4$;nIH&@C}op{fQQ?+f^dozGXRT%#vM`1BuZ& zHf66oUW+tcdM>o4*wFFjYmRHLg{J)12QS9ka@=rj?>2=Mf6SU(zp1VG71(sNPjN+@ zTErunrdjr?5wD_}ZkY?}6rYE#-ni?&yzhybL}JuebM**C&kF_mO;hchF8s)73f<=g zIeO74;ExpeNP$iM8?I@A7k=K%F<pN4oy&%M^H`qR`)qJbgt#o`aDLC1I0`)oLI zl_m7Q%Z3|wS+2?}MLc3{nzd2gVC~W}l@)v04;rxxUa{>0PiEMIW^n{f_H-pI<#T+z zn<EUqsPx6HmC9RvuO&nsEmw$mY|EnhTwqNpi{ne7gI3Fhr`XO<*sINP>i@)qW@`>D zJBJMyXDs-XJ^x67n5RHUt@**E&77<1Cna=NLL>Cq_GNub#00P83F&NZS@7;H%jvuR z0sk|bMB_e-K$qgVUiiV#6#9t;eDdOKmZRcAYd&%}P3q>nwrlEwFGWqI^I&&rNY_eT zj)IPlCD=E0%~!bb!lLQaeT5m#Cz!;F0{&WZ7Yk%>p3wa3KIja5(D80RS-6WQ%=*Yv zrp5kN7qrevPv^;k*@=mKMWD*`FX*8AL)X<^3z~HrKCoFz%(MO)z`k@o=w2VtPQ|DB zpyB<VR?8K=5homL4jaZTwanNvu~kL|G@-k8Z{!KbUjm>@;6M!o@EyE!4?FRF;sq@O z&;?DcPi?i70IfPZ)xXhzUC{RTsoC6m>zFw7*EPSAR&#GUBy$9GCeByT0kNGj+?E-2 zZLO9bbI&;O-AV^F89-+Rf0q(_rtn={tmwjfP%Z2Zy4MzTzd+UB;vX|k9jpKy`uizP ztVqBX)NZJq)hcrYbjO|4RnYE!ZiOAwiYDwS039R%YO#Fj)j8w%JWs4>LhX!J%NKhO zIPra2AKe|E$;W2BX+m?-EYLv$pyhb;lM?xq)Iq1{fmRIM0QGbKd5b+$C}akW>^HYs zdhkY`aIDtlE}n4nOXa_#yb61E@a?kp>0<${#yjW4_p2B*8v$C47m<|6=LNc!2YgoW zQ!lY1f!I@rWUjnRQDpx(6|`b7QmkmgoS#hgEqqa+8HanI%Z$&YDYD-LwGi%sPF1uA z4d?635_uSZhoLE3ll|!F<#MkQwzRa$OaYx2nZn9#xxx-q3!Bvmt`FjS1zC<4dBX9t zgV;03m5QJ#?i4MZCku9i?kxn(cKqrF9cP@Y^CV%%9MHi2Q767*7fUOOpDoyQ7Ib6* zIG!@HUM=Ly0*$Euhym>qv;kfJ2wL694Y~mkbd%v_kV~UMO)${D(EXrGc+Yg??D}_q z?V<0F7VHoOUGLKhIx9Fekx!|8(}d=%iLI6$b5A+(y#noF?DST1EhyA&C~)MPb=}|g zisP#^v7!rc#}3J)gl(SC{H{&<LFlaJm^rO7UqFejwH7o9B_Q@J;cx5ge^s0(e$C$m zs_?6S%qo>UUifY2^CO34&RNd9E%Ui!rfXW)OxLu|_MS6B(YjG`8+-ycC(aB?JDfaa z=9V)Cn@{9CTzNug<I;(dN#3q!kLW08^PcXpNqh7;=KAdF`W)~%g6aEz|Ev3c-|P6f z8N!tx!*efQ`u|_>q_&Xe-W=6#m$W?@QuFOPnKqniWpQ1v5b>6+=}~Nxsho<&&c+1Y zmrLjC{>Yv>&ws<Yb;23vtA48UD%eZ{o$apg9`G-ti8o9r#Abd1X!&%!`-T&5Swi2d zN95Q#e(gw%@;;-yVkh^(px;7Q?0OPrin5gYD@VMGY5Fx?aLol+&*HkDtma+!3qJ~* zLg%S#Se2_CU;ox6phmvQluuP7QX%4XNYka&9M>X!1Il<0R&5uWvcEl{S<&%mI;YvJ z&4O*gmF!Kf-{wL3V>>~!i8qRxUa1S~6!JNqwK^DdUEs>T)`Xd*ET!_w5$`gaer--q zjMCOo&Df!S&?~a(*lCV1%gzNaZnCVdow?x0RhH~Oplb-7Ii}CqQ~k>0wLi<!<1DXp zwizx9E#o{`RV^^3Uiu)LyI{y4je~BYO{e0OB9?dtd_B(6eb+VMZ$FE-jcY*hWR14X zSCX1;X*Q+uL6=|ctbTH3;vIJm@L5aZoKkVF8!q*8NZt3`aH;`()>7^s&SjxBiU+0E zD}guIzgN?!wK-^&E-+=S;F@w($Di^-IR(cdqp&-Fw69cNtj?j8zeRJ|))P!ktJbST z=r{-bjb-tcaS!+`%;FvE67ZX=DK$@J#ckDyci&mQnhUH+n4M_B`&@X<7p|sbzXjKP z0-X{c#_({`;^RKDE2naHfetme&gm8Bzv0?jmZkCv5$U#$Hzj8$N4-9)tTA8ZMnZg3 z*L%ph0{y}|MRJZ;*9+>D={kO$FRXJBIvZjB->g*SE0g2fotrJ|z_S?wI^WBhez7)* z+PPgQ5IyJ>ubQ!^En(_>LGYD_`-Mb6$1L3tlkht!%X#hA>;+#GSzhZv2PG`4PhFV^ zK5fYfeBq5Xi}YIM6@SE=T<0mS*mYFKCwt>t&Q<&S5;~hXvi9{StQ2y5yP0#^&M6Dt zU1d4_cgli)cUiRmKo9M)k@1(+%#}O5MM_^W;^|fvSALa<w|iNR`m01dzRaSkuNbk~ zGvHTRQ|UV;jo%svtNaD0+%NIwNpC*NA{y_!;UXi;)Xyy4b3j`yxD;ZFzOGrRys?{e zReg6t=WLFwf3p%+x^raNdv5Rnt#2q-JXo||ZN+~3gIw<wGb(Hjdd*kLh*6GsI-AAy zHp}Y2T?>93X373j45|I+%-JfsZ0j{9@MOkhMU85fgH^``r~Fqr$R;ir@`vr9o4HWP zKUMI#9kPNtX2o)C!L`y&s%6S6KsW#KsjsNzIcT&TI^=(6Z^A2&b&di5tC~dX94~xe zY?}Jd@j}J1YcsBCMGK4Eotm)KTtEbL9HU~>ByG-XR{aaUJY{(u;~ntLtm*47mZ$&y zHXP)JR{oE|K3o3FNN+l|UOnQ8LDMREm54VcO|L?mRP~fs{H$$SdQIrczUc`wk8{4V zbKh`h+U*33ujMKcioO><2sBN#gB-DB2Wskr0<Glhm5H~$a}){epW14f@u#m<Mx|Zn zjN@xb&@H^e$GOfrrh`^#-32Yl0`<B-fmW}xsfrZ^{LumRFF|+E><3>P3))eBA2e`! zJmQ4o-~FHt=C`Kld3qJo#2kL;$eIXGR(4F}3#ta45e%A&O#___JnsbPUV+0-e7`_r z;H~dBOlW=xS~j-wxD($o(7^>$|AWp3PP_d{eqr;iGMy(1``EdQFH~rATYAVHJtVWm zFF}$0B^P(`g`E}Pd2^QYmz=-d2JMO8-PtPhq#iVNEtjaseiU@~-{KceF-v8ph=W$e zi*Q@6*w@->*&%z<iSLzs8tCfSO%s}*iGz-=3)OkD;BHzXpAqPG`*)!83*W`-JXv6$ zEtq#sMr7Mu5zw*1huU8!?D!43iTB<aC%$9upb>s0Zp)5&2M@_yu{-a?_Xsrl&?~=T zLh}>QeTJ_U#fm1>HMLs4I1|&edP;N79MFQiV@`aZ@{<zz*xENwXnwR&=gh$uC1OPZ z`zEwncElLMW||jN9lqi&djvF9@lOG?ZsC9v->h4plZJyp#}8D8gU%C>=eB(DEMi9U zor|DD3nzgN0z418RdKTc`_k8-L!8V&S322qTXw8VPvpy*sJg?_vg3SmBA?W5(3&>z zb%_)1l)qZc7X|A4f8hc(!lbw@Ps}?FI?E|pk^QF_cd<dlX(zreP~&RxanJ||=>A6| z^Mj|$0`7LUT6VlW?8Ns9bm&7T6Srl?yi<o{mViz#{FViBA>x39@7GrLTb%&4;(j@U z2KaTjEhWxHcC9vHUn(1M;$Ve7$f*Y0mK}N^r>bwB(EI~*lGEaIPJENDZ!lnA8y#`N zu}YS^_(Ek*t4s=LjY!qz?V$A(b()|X6^r3B8%brL)s3ekPB{Kl0j+K{<F2fDS^wkX z_Wz7GEBBrTRr9q!z!&;f?!D`CY38IbtGPSp7vDK^(9pcy@`#FQ`CQM;P9OCsFE@2v z)!<7-yH(UqPBQU)w#{>k-|}-ywx~Q)(^VDD)bxCQ`}^&$cYgo*Xbm2`e{cEz`}5Dw z*VkQKR-B~&-!skZ=ll4Li;_0}`oAV=;|(eO*{bU}7YSV1GdE#nHD{K+--a_&7QCyP zz4qVq!~Icta*lsnS+w7{U--b$G}TW5TvjnPEejW3Q<lwecWd)UQKujFp*2^}Ogy%j zMRmS<ME2dYhHEbsb6z_sFy-#_guP3_*ZUM$IUfDYVYaJl!Kbq<v)8Dsuu_V6W7+iT zbxz|$osEW$pc8kZpl8o+=bvvm$#UL;cSTK4rC7eMSBZG2*3_oW5mwc);G;3i>NQ(c zmt8gS+;Gc`<!CWWwv1Q6CyS=7e##oPdIzn-1*Ytsn6S5w;o&6k5vt9`?ri?TAzRiO ztnD>ZT46IkVe(OyP=2+DHxb~{>YU<=O3Q;m<^ostwL|W#cinJDDwAjVzcv=_C6Kwt z+t8zgKVCF;*OFJxs4_d46|bsMXM0e}UP;4JB?8nOh*yeuel@!I&zos1uittF{8MP+ z^<ojV_W~cjxZi2R$x@ckdXEh^{zA{4eO*7ta#F>_1!+lk%eG#5#<I0sG2)p+(=K;G zopQ+Ph95?bhqF1uUJ07)>ra?!FJMyJn6Ncm_dr2czSjoOrS<#@5wBxGQyU6VMZ1iO zmwe7_+9WQ#rkvODr!|M!j_C^?z2`KWB@|Nk)NIBzwa*-@-g#`e@sH)|ea{U?I$2EZ zT{m25W!ZY0<@6o50MNnt{j36dk%}(f@9gc1mwf2THC)?P%o%p85xgQzgmadja>U!o z;N$ZjOS7ovD@MFdXIUz*81dY)Y17BI26MiescG!D1@Fb!*PO8UF*Mjdsi*b%NU?<O z_uCLLeZen(&S@1h7CejRoEBAG?X&tD=u+Tm3-bCBb{^(<CGWoB&~Z+wdEOf?UFVRx z@3G;OKgTT44N@u%1t+-_ql#+I@+{kW>@|m%o<c;nKlmQ0Vjahu!JOBu+ZWVyCp1fQ zX#Ja!u$Y}g>wjy)WYe+(1*`T=OW3%UbJcst4K9rfp8e*SW~JIta8l64JFh<Pna4Au zrd`*Cb;`{h|0*8js#nYSA#~7dedLLc$D@VTY?5}o+{_VHt?&48GUv4w!c+E4Nm%<{ zV9LJkgt@;tPuY2HxYrGh(9hCuIx=%NSUMinIq1eN6jH~3P)%Jh<gd=br0D`7|IH2x zl?z?@qk1qXx#`w_m58)+eiD9neL1H^y9E4aXcE2WdtrmqhD+(tQ;t6#HFnp!uap5A z(BH41@sH)8)PDtyo&V0wxE7_^bjez9&1QSZMN$(N{E=jlj`0ZiIGaWKu3JE*!-kW| zETRAXHr%M{4&xUGN9nr#ni@_UjyN^V%2$hc#nE(2UL|6gTfq04rl;%FR@8HYZ<6}K zanP$?IYZ`tYj|X(vg75qoME@y7yJlnGPQFE_*Bxgb)N|6oZ~}twQ8@Pndmot0qFi| zIW>*{ItSUZ(+$^l<tyCCh;BMnEu2#%;ds^PVA5&G9TnP;1^S{aTkG97oH1sxe6en) z_A*oUohbn=*B1yZ$}YGNZ}2&gy>&0N1GL~vomi299cVdQO=GK!Pc&$@x?1On!adMw zL;FFi@Rmo;Xr5LEx`Vw6bpPIFQ*O%>dTEJ#Rjgt~6YN1fwK<2J_`2q&C-S*XXLbEi zpxg4|p_N8``Lo4*YUP_JG{4FREiMn%d9pz6wrqGNpO7@D;sveN&ngGauu6ljl?Sca z_6OYn2Rh^T-%_13jtfDD@|S}S<&OugzMBl%*LPp%Ny4s!a(klLx9T>*4y=8&`&`Wx z$H$<f=pvI6`J&`O!_c5DXXjKwtHwZ;#_q|W#=+4;GCapXv;X>H&k{`14c7(notnMT zfPFG(lff@Bv1bZppk_in=z#k<C!P3a`GWerxdAQw*PS}#)-`|QPqTZaPz<Vf?G7H2 zc><bR{`>X&k<eMqhtxnlU}5g!fKwpHf+qc+I_o@1sA2=nlytPp99a)qgtvY3gytuE zK@Bd@MLzRD6C_{19Xfl`u}luMwoL+jsPM(Zr4#PwKU>Vl=DuM<^CM23GY40ImQ#pt zFkqhyx>omVnAkIgZJ^~8@}QOQ=Rr%`c3H+Owe&cfs>uG92Q;2Ay;Y`U`X&SRouI3H z>a9St|NX5pJnKQ@2<GgtSdv&j=jV#%Qyr}`KJ!x(`LbTW0WD+raZKloW3sha(FD-G zwJm(<itH~RgNF4%7xCt9Fks)S4O*?Q23nxd)G8w)pOnbwc7DTz=1)=H1;x)2CV@^Q z%#E1Q9HFOisC~7-qW0Gd>Rz02msJ7Xt$6k_sL2J|o>9xnT|8mu#8#OS(EW_>96`hS zvsx`RZrFhi8_EOieRu{6%_L~!V20e`Lo!!Zf!0#+f!0#YX_ZmQPe|m8`pyFO(0}_o zSNA_WF8`nLc>z~8sHTUW7yM<vpt2Fm8VT2&uB~o@ojnJ;Tr^adPH8h*+Osf(bCIc% zv%paXB}c)<9logsT^=q+6qE!74`;ZvD1;@ua)v4R2)P(8ikMJvF3SJg--7p1`@il< zU%U7I_s6++c3w}LIK2*ZfZ%`OliEU=dy>FC`V_Bqb6prCUTZd8GJdxI&&&-k&Zub2 zR*A@$bNs2wBCVsoqJrz7+jGH?eNz%9W^=B(=eHqZ{(@h^EZ%F>SL{4`Z;qMi^abDY zSWfHAbErNXUMA(R!LXD&*u6+y@QVHPgw}3OsW|5im)5fMUKd#NNy71>I7e8w?tv{` z=M^K8!<!~`b6m6PTJWWl<@FqA@Ys5|@RaugIR*b=9oQFFCSLr^GS&Pp`{k$<>84fI z!aCa~EchkJ;yr~UYo70hD_Tvr!Uc7TjUDg)=9pGJW5K@}39Y@GCHxLYvS`2cxKP1( zP^%rX?P|JAtYztvGBwAK-5l34gr@B2OjujZ>9t-NTwh7+9@%p3_N)besyRSo%!d9O zE^@L=wRhfdqLn3dzw?G0y)0MjeK#C&hW2-!*)Qu`vgkK-IbL<su4*Bjat_D8k2%AB zm^dDmI_Py@Eu)6{pw@mRjb91}v+C6~_B~YMN$)imnsTQvVJ(m2%Vv&imU*xl|Chn; zRpJ6u{)-)CyDl8^NA;i^zd(qbpw6a)bu+@QnhWS`(*>UgxN*vYXY8EQta=xG`^j?J zgyU75`-VIE9Iw`^Mm)@iy6s^P_?}wzj5#V1Pit9Ri&<97dI$UoX)?9*2cPP{PF16p z{h(EC(<XnRHQVPc`1AVP^DWIgSw!!9Z@8G$bn3W(PSGdm@b%SKS0>(2Ww~1K06x{f z-hIQB$1Gdp6(Z6;tIi0!YsqqYDdcvajHWhqp*5gW1y*y0{j_tu7|jv(Ti5ZU;z6nZ z&~pye%}*yrW#>8vREam0iV01zos_Uw$nocSp_~m}3m$#vFxxeA!KZu<vC5VOul93_ z-G0Krv%IP)p>r-r);s47S01u#1)Zb-+X#NQds*L;ecl28)tW@BSa`1suKA+hbWB?J z=$5cbZpVwV9AUR-FZf~9WNPOWu*qq|wN{p;>s2D2yESe4F0kgifaA}4p&S9*4^JO9 zfzF5A`Qw?VU_<XV^%Z->4=S|_h5R)*n3OIM^55v7P`JRA9ATYObH}&roYN|&EqK?) z{!yzqUungD*@Im23K<mw2fbXIj)AV%Sqh%JKIkX9x8{|{+v6-p-z!Bt_GeL@uNaZt z+-$h^Qeji+HdT$?><6p7IZxGhBs5><(5jo5u$ay9sl1@hCDj$b+?$ThQ(Ljl*<g<9 zwmFakBF*m`ma1&Ec6=*y&`6u()jTiw=>q(mQuiD;T$1OIviIEJ^&|jt0row=fEu}j zQokW5Zk}XWdR{r=`6RH@9!}c4cBS&(+Z?C<&r4`N&Z+gUKVk9N&ocW#^BHBzD|X2r zOk!<%HD4j(QB2dUc=d=^QcbtE3+QZaTkvi|!p{FfSMKLXwrxHX4P8xtICfdzly9mT zH7W<S<kdB*_zq^3Ha!X#Uh}cIY0`J$HD5U#Uq0u&cJqmW&+0chO_nd-9&zIP6bTwO zx8SzasOxF9l$Z-T&o(|ekxwbyx9dTks6)kezE$?KL3i=i>O5KS57dt|1g&?vE(co8 zy)qIs*~-jaJRuUadh9f)bLk#=!g2qhLoy=!K&Q-ygC^MLZ<^5j5w!m8sXl0*y$pA8 zK=oNcQ13GT>>(MGAkgHmn8S|(S(WGhwO1Tp2Z$9-uxo6!d@<*s6W^xz#6-TT@C_51 z|M-JWGrp_yWPwEjr~=tEq50G5eo$?CB24GWf?s){T27tYas_BYSEVmqk^QXyMg#Vh zQrwmq@#hZ7q~y(jt(?2CHyd;rFX(i{zv-Yc^VNNaLQfquI0xE32O5&D2KCmNBTqPf zu@HN<;F~9C33o%QWk${9Rv8u0eL_*Opx$~ztK|#O34EK%O!CgjeAxzC<W>$^Y<^cA zd}+;xhgKSLpd0cQi|RaC5Cb|^@j0l4V-9NJl!CU;se`u9op$1z1$L?=Ys^xaEue)E zGgY`PUz`JNh479z;aCs4smY?XRfgw$aw4DC^i30*3%gq_SKI+DXj{zyIwkQI=o;f& z!k{6Ld99WiIfXp>w;hZ0K&$b3TV<Ajt|pqx1nR7VSL3BBvi}5)#2*AL(y!149S8tg zw-1^znFv}^VY*GmJe@BIbjw~XDAG4(z&p&(0)ypCCs<8vmDzGWF_F&*)WY}<>b_r3 zRAm4A8#KJX7<8AD4R>+CtuGNVOJ#aM^D0w8n+8f0xr+m=n_6X#c!TC3azIT6&@jz9 z(0Kr}K~08QP-5UxsM*1{i64|0^3OQ&eUe^(AaqvqLC`9^I}=+iC3HbYEF6xQ(R{*7 ztSG>CGU(W4&^8EA`>Rlx+cM+c=|eJ0KsO$JE7m!4@bv!&=3igCp6!T{M5*Mr9@7#^ z;c`~hNZOLbtmHORNr_FcGs3VVgT*WOlz`Vl%~Xz5u4dD)i3=i>lLL+<9CdTyQ4(@% z?r?MQa5YhKk~q?#k?`0u+xF()g5B5hZQn<hzyJQX!g%wue2L1Z@wu;a|9_8HS?{6p z8MKq$=&@*U`8O4=14Y(C;Kee3SZ*1s-{(;L(bm?ztZ#~+dd8lvgsH1Jy6$;wxMj<7 z^t#}hk1~!YUrc;$F7PMm+O4hyUt*d{zbR={3mmNC<~#*DB)AuJ>!0*m)fF}32bKDL z6e2b;aij%)ow-tZ<4kUYwXdQDbT%?Op5-|h1X?h!5RqmH-bWYf7Vw{~N%WmU#t(sm zUdm0!!j2S#-JG-Fg-p}cZweZ93J0Y=LyMJ@slo11;zCpM1lDYBTkz&B%WGSYfPbD% zylFZ|wrJ&fZ3vvS;8Pw;_Y;m)a()|b1U0>SF08Y0)`DkWS-kJM1?=|Ua7Gw9rCuIC z%WBf?9~yo4@6StU{Vr^BXMV!c^TH-^P8+<YE&$#6SKGhf$6A)`KWz)1JZ8!M+rHq7 zTercS(rwBbv(+N<?ZKD+C47XoNh;1=nRro^W$J$K4JUM2LhF4u+?cT7*M81vH$G(e ztbR6|bK0%e1>g3uoR;wl*zU67P9)1$eYJ>()-1gFY7sBPS$gG_Bc8@X-R5p?!FD-H z*D;_by~)(hC*YGn)7Cy!jlE3?bGtcC#W`=d=gac6nnl{iE8ydN7U{EAVux4$QEPH7 zQ(Ey$vFRwE+KM`pgF;hbE5l9)y9b2}U)eV?VP-Ywt98l|@8ns&E<T<Z1v*)vK<}WJ zyh=umz(Fl{K@r>Dgsu95BKs#L^qM)I+|79nbZ5k7me*%=*2?RF;&b|(yG21P343KZ zPSrOgG*_~Sc0(3fxHh@!sjv9u+;sGv%8GyNO{(+MR{UJ`xN_=B3&*#XET`Xi27GsD zdfKP5Vt-FUt3Gszq-l<itmfZ_1s~tCto}1^!HZy))tQFNLTikhOz-&x6qPq^En->P zuK>O<f|~=p`tmo6^c}Z=kL4`VwvGXoMNDm*PpCn|E&RS&smeBO$FKc@I)xIBXN5VZ zS@nZ2{o4XQTi{MU=PP@^4TtJErSi74Jf42f>w<;vhEwjGU1A(z){P5(>}1KV$%T%p z@5y`R@mdUgN3F4n#&4yARnqf1GN*#>sNF3P@`vM~Tf0z*-MoZ}n>klq;}qLH4LoSE zWB!6?^EszgH7@wZrk-eVItO%)0ch~L{P{}dojQ(xojJlR`W8IoYMQ#w>%tGIrqDX4 zfG>7USO2*L)c9;T_Ig@Ul<IcHh-~$yOTPuc_dq9eTw5(L<v-U!ws6?a2>W?fM!Tjj z_$1FMRyl9MtL)P=u7T#=mvc<JF?+$Y<s8$ZTmpWZG^K_KUfDY-VW+&{mHo35S{WS= zr*no?oi*ZF{&5=1>OG*9DxwZMp1g<)cF&rxqOos6LT@mK*ENo7yXGwT64g}tPDSIl z!NDqj;VJcBPtLf;R@l__UgbuHf77Y?3K1!cj#nphibZ<{`~@8<0GioQZ1K)3Ti&-s zPHDwok%LCtWG=7TEqSo$y~2w9)(5%d)lVG!z#;Z*K?vxEdC)<&r_3WyIF|5&j=Evx zw(PJ!0lMY~G-_(>cktR-$82G-q6vPWerBw?>xTpphaU+tDf}~jt!TcN2)Z{`2()+~ zbZ_kbgNI~#qClJNi^ZNPTuKM6#{=!R2Az5Hw|DucO|mN6KsE4pPz?-PQNH^CXeIoH z3C-_7*UY_pt@C6-y)1Wez>k}t<!s=UbBEbM8_m9igErewY_(i*#1AxzP+|?LfkBh) z_xnLL@U&JL74gjm>}x@17XK0g4MOX3Tb?KbO$Pr3t;Z7wt;b{Hw$#}7ump4?Z|-R) zzEkfL6Zw>WgEqB;_hzrCOMA7LF9|eJVGmj{7<0ynZ`S>^M7|*N%@dlxf$rRUW++y4 zK^C+v{Car7)FQ}P!Fy)6%J6(oOyu*L4+>GxKK)aYpv`lj6^_}PCN%%>1yyX*LA4m9 zNh3e^*NWzApLL!n*uLh8S!($LJjpH_IivaBJ)I{CpkWX;(2|3XC1TGKwsf`1Oab+| zmCQlQ06=Y~99eG5752vu$*3&S1|3;^r>hm(Z{Hg+qxp;@$R==oxr-aL>!4hV+w#SA zP?JU!G{OKntp6^kLBqe<fPHE@Xf5WmnLE6S18##V;qrt;J}F7iLV)9kWVV2|@O=Rt z60mtvt4xZ1aw1<9=;p;gvN~rDK3E9aq{j`am%A2&Hf-FC+~H-Zk$(=fdv3#o=2vn$ zXB^)+h!q8ZRsyO_+c=^58R#6KRR^8;Zh=nzKl2u}dk!==Q9TJXt3Ic9wlRCFZ{&%C z1}6{6OesrNWPc3W?|JGW+(V1JK_~T;*>PKTfOZ2)T?Y+@fG$>C4LT0MA9NlQD7BS6 zF0Q!AS9N>ygyygH56r(lPPLF(mItcn;nxA2KCxw^j`HlTZ9O`|r+Z8$?@7w`Oyf2d zKFjl2Xr^kK=FM3Z$4|=?7e6ZD`|LKeb6Zc8+DyrNC(lG=yQX!{?A(5)?f!d9|9jTy zzvq6oIDh{8pL_4mKR^GpJkmfJbYjk$wg3MsPr81(K7QjQS0(8^Ic77gJDCDL8Z`C# zDXfT5jY!dSyeiEh7VR7G*Re@eZZ@ckUbm6qQ2d&opo8hoT)uu@T<q;lmeWf(U!7Bo zco)$0>$vcm4Gjw(CN)i6r<`#|G2&@m(<0}ltMk+~>=t)DT(gna@#I|2Yq$Er)2~w? z+nTh`oSScTDcU9ApHvfXp7M$c{ey0=IkfD(H=IyQPlyT?X6b(G5>Ol8q{^qZqEhBy z&~m{maUL7aTxBT@7u30~ykh^fgw}L50UgVhf|G}P&mGwkc5Bjtk9{nw-}nZ6QE0l_ zr>GI981dK%JPQe0D0G+Q^%_Nu-zEpEE^aoM^Jz1O*^Nf<iFjv~SNu_Ja`l@Do9F*` zW#SF4rdPR5s_&Fm{B&<xI!|fE-iJ45Tr-+2eC6)Egq{5YSL`Pwv?@6sp3E6$)xY3l zQB!E0OTZVurmO!P0{(b5nZ}i#`vvJDT1w6rVT^bk%d)gwJ>oe-(<XPJHRVE%KZ`lc zG6X{YC?0ex7YO-hdr-+=Amr-KJ*U8z(Rpq-lE-3N%;GKU2w8?V3+%QxA$L=Q-HYrM zR@7S`<l3*C@x$Vv*Tl~TYmdzqTJwpoY0++>HQx%G9<>Xv`DofSDP3UA)+q~0x)bJJ zWl5D+ig<6>^y$CqjfAvbeanV|lSjAvcQNElQCsoHu*sE+C3L^nh8r(guIej-4|TU! zj(Ek`bj!IZHBMoL_2Zg5YoFe83#d<T61DRJPrvSSx=>+vQ0psai@s$;!t$;->(V9P zf}0+F7hLmEylK*T!8Kb?vd##5xt8<V&CUfm(-QVJbDpZ73GTbb`E0m&m1SzZ(}oka zETR8>Hr$x8;MZ%tBL&lLWI^gz$RRMGvjvnQo<%h65^Z{_r?g`Kq=Z&^VUs<x6PDTw zn$*oon0h^~B~$cnQ^Hme$X+rN$CHaWuUWM&_`=HadJS}egk|;VD--X<vOHyHk+yLS z_-M*)xVG0!eZ?QSCf9EYD}HG<9qm(E@z1wOHH*b`y+XvRw5D6-LOP!{9Pg@gPOJV3 zIt9i8=@gjq`Bp){R2&~GbB6t7bG%s08Mb@Qf*-yt*(ID_c1|0v39~HC6<oIUTpCO2 zcIAkC^QKSp6>dD>XzEIB>OH5lqDJzdlDQwa{X0G8@6#(2ZyaX1`rmQG5w=pF)z73k zr+Eooxi>Xo=3mZNa()}`T<3f>-*LmCr7XPBEZTpY7JPJOS^a13f*0N_tM?`vF7M6v z-f)Z^)&kxc>>kD1bV*%cO|g~ZO;wiHvHk)7p0h~XI0SsmXOZ6P5MWuxxoqo+oTgRs z3K2Pcj$h9U>J-{Io_#N*vvtOTZ(CVTmq2o;_q%)Mr7GXUn|_@aT=U_V>Wr|%YdOQJ z>>VHL9Mqbxsu86W@z$E<sJP&okG4&dwhOK)<#&8p%JRC0^Hkm*k;9Ss+D)I{E8j?% z4{c|DG!AxmJ1!LR&+MR#ix_B`6R0l)x}p9nXs*3;tIm@JKjlGJ&|F*^u}Nmj_Jl+} zBhaF=cX2vr9QDpS@%;iVlWz@<JaO;?li0HbC8FGx688@sk_o9Z)@%x2!Eh-2g~BdS zU77VCbllAgqZrU?ys51+Dxk_E3UrU{7aLF=EW&MhqOKcsGE2k>$A4a+VFhE*YCO>D zHrrE9e5XLS04hnxwauQ~{0g*k>&7>oCky5!Ch`d(&YHRK=g}2+*(H8yitKMey9dq` zi4|P{9V2(*Z&$0#7x~0QJ}%Iu0$bSDU6Ppso>vF0I-3f*@%IHIXfA>qG}<c!x)W}8 ztBi^?Xz1Jxbf-XbtK|zD8`ylg!te8-0|9aiEY}4==hr<!YZgFz6K~CLm6>9H{*a6d z=tiEa#*s6cZy142#seKPw_|RrjLC1EGmfu7i^}UdTP;uI?3z>ldckhcs=V8+tukLg zJLFpr!#4v;%melRmuhiaO6Vsh@@avp)EA($jlL;>+F!>G$(Y1}?ns&$4mxcn+l<@N z12ltjP6l++&6HNl7qXy}`aw;%gGrzh@j#agl!9if>p&;s&4G<*1c0|UCK<0g5_-z9 zR$2_Y9pc^bLo!SF5*69s3V{wqJnzJ}s~a>*1M2qIOLG?o?3msv!vosVw=_NS#KDTk zqB|^$13W>CD*SZLIOfKKw$9CHwakz)hh383_-PA#>)dKkmt6~VGTuf5_Ptv{tuN43 z#y4JQ#VnN(*$�ZMJ)qw$_7fcolft(G03pcNoaASX_0l`+W&9fk;+_xT1|bom*4 zcL8{JLtcq0=-`61jT4&x@##QM#+!5ekj$F@;FIzAPo4T&2CnCS%mOXL`*SBN<yyqF zi>HqGxaM}Iy3OjHD*3cCM>17yrtTG;PoR}~oin>YEAw<FS035P{JG<trHS#WoQh*- zmTVQvj!aqh@&)K%yuZKG!K?6|Z-=bHbC0!D{&dOTX6xD0^)8>fT)h5o2UYWXQ>5lw zfmY#Ff>+_K^$qxA*JL_RK?8hk`)uidc?Z{rR*4<7+RS<CoGN%EU+Ey*a-RizU#8p= zI20dkQ~v72i=w7e-W*~(r!RPw%+kG9dBwhuOg`D27LI4<a!#A&9PnGADfOA~72BB! zJ2!K_GUo`3aJf*RdeEz1z$DIlL)W@n1~D5_SOxSfcRgLgaUjcDNCb3<sf^=EWsYlB zosc`p+ycrJ4_0YI?hln}>YBK^a_VAT4z2eN8$i2$`4uAG6g0h37tkqu2JTtE2|4S% zyl+Vq%hu__I-9!|yvu5O8mF+r{<BnH{EfZ^iL(-x{^gJYZTc-_aXqgP@iqy3f@vYU z<4JbTYf?f}>gFZPmAnqV<-~IL+a(+as&)%c`LA)1tz9VOkJdrA<-#HBgmsE|9k1Tz z6svAn@M}KDw2Hn3&sML09J*|q;)=b}2aO_|cC`!Wd>3u{wOnw`hsDqeQA%%yRnV@f z3kpH=?m{B6!fU>*dTqG&QMB-ykA6*)ehaMms@ZhuxWJlVMU864gH_=|Q})kHX#UNq z^{+8uaXP2g{!Oh9bv9jv4XIzbGV#VemaF!j8;)FLG2QRD;mS{zt?L!Q=L-Dhm?kZ7 z1$3p7cHV(4Qs<N+Udn=x0|Z^f<}S46TWQlH(<ak8Gsp^mKRwV1rqdUEdCc;9jk3n> zlTJR_do3J)ZWqomXkYN?IH%bx|A3#_EZuXQ1HLY2>DE<SaZfekQDD=odgX{yc}EJe z@;x`4@q>1&%fHXEn)F-3@vkyRn1-;4O?Sf5`2r?!9ve>GWpR~Pi+H=9<*0d7OXk{- zR!x&Uo3?&a(Wq58Xw@zZzTn1~^VI(t3C*DK`E~)lofX%wOuVSobgEu4;)!e1s`asF zJ|^E54yo5aDD+$SijIIzsg2`XV~%M%TNb=4WjTG<GvL2tljuL63m+<*rY3nC%sF;j zXw9d2&{6Bfzx`xa)=pdSV<Ss;P5Xi;t}NNH&H=leH=JW;Nu944@!qoO)B4D+%-jvU zjz`OdbEc@S_#@fm>ctYe-*>}}R+g*ll_DN(gH~Xl&4b;8{DrT`3F~aGl)k)bw<+XM z0sezr^VKpccn*5qSIVeiJgDW{v}n5k_;6%@!8ITKn<mZHJzM}D6I;jf`i(7g_ko#x z>5_62$DjE^IR$o(N8<%@w)8;GNS?Idl|6@8_q~?P(0bv6Lc0a7{9!m4#4dcrZc@U` zV9r<9IHvu!bo{%NMf*<?q_t#IQ}fE><$IQ1^PQ5Hqn`G%xTXuQnWm^=*Pqa<FEqub zHDPTrhgZDchI2`fi3|<LpMnS3%DCHtKk~9jzjX=t`In_z#suoLuiU}zutNoSn`W5{ z>1>^};M-xA(`!^$?7p~m#<imL>MQ1}L_Dko-*x!%GfS_(O2pG@7FT^`@Dg8bj%N!l z&KEg&O}C-oHQ%IcP+$4061SyCexY>uiv^o)xh+q;J9tRu3+T?+pXWhWyF89O;kfbC zA(<Y~MSD*{hp?U~0xj9M<t`S8KI_Ey>OW{c*f<}wF6uL=XQg%4iSO3$O$O{U!4;UO z!;b>ll73JR_D(tIY&0d%S*p6+mJ*=e_NDirMPrhnoB!m+iUj6fJQzBw`Brq~3CEgp z&?z^9pi^$9v|46NjX2@>s|3_YP~^5e5qI{G%$GdSYB5j~rFl7Gr2JnsXerk0`=BFU zikez2JKh{~;#&n;dzaL{VM6n->7We;nV=?(GpI=ex{fy=Gy-iaR&=4ZtJU(v-|kkK zFXp?2&C~g~@)H%=4}&&uUuusyanR!YA(@bUNGG$*1f9&nA92F*j~8f#xiIJ+n)8Qb zwt#NOdzl_NquH+n)Hc|Z4_djf8#MdC9yz1=;6c#NIT7w+11r#dyr98&CD7DF*6dcx zj=RU5_+Ej!rJY|veOPe!-^6?`cX%dW(B_B}j@4$MD)_V$->%P~+n6V|%6tLchQsw7 zblL4woimP^oS??n;X^VZa><J97eSjLazPccWykTz8O_Je={!-eY;U#904=nH9EW!j zWD&UczbPFwTE70E6W=FL1%J>BbkJ{0tEI%+R7LjGyS=MT*eB{no^UL62hEazZc+qY z34H`~y-y{mX<*geDzgRD=Qjc!z)%jFX|L{RmH7f%OxJqi6tB6sO$@mE-xdwtZ=mt^ zfD_*_$TUgB3CAy>bB)TFxGg*8pFAXEqL-@3eiC$k0q9WPORGUQ|7C&pKY%86&c1mx zf2GV5&@oSJnPNo&clts5A3zHhj)N9n8iQI+q7EN)Y(w<t{d#d4w8iduee+32QKYK= z+s@#RS3sxMCr7$X>uMK^RJ&$$$w!BOhA!xIJZIy>HW!T!pHVV))m7&2jC7mUS?P58 zh^4yK^A9G4=Q`8cbSLLTn$^sET~++P|Lv~0>%V`$+4cVY_wV=DS2>oaJ$Y*X@yeY4 z;0gMb`ydnaIbyrBgjg5+(q-}1QD0%H5|JhA_;zObn*Z~g`=ipNn|2j*PP;vO!M~a& z(LTkD3aNu$rA^1eh1L`qJ6=p>S-n<K<Db$&soDB*_A%9m!^0#T4AxF6R&!;zc5CW_ zl4%KZojFhGsYK)pI{qx?1aJTCWs&~Mp|xHuBE=`5^s;s3)QvY;u9mAsB<VNJY8L>X z>&|u1=s9G_ziidJr@`(;*M+addu=$R)zo%gc+HlNLO!cMUSnCkgrn=6a>Uz&rbpZy z*DP8WJh{n|9qShG%c`k#n!prUfi>HwE%@VBo@gO`2D-*yynKe0(XGh~J~gs*%eV%7 zRcSh!1?j{_HO=bgoEGI7@Y}K})hwbb^J=fK&Ud}0U)2I@K6o~@xeKlNQrdJZU2x5( z&9M67x{vJ2QqC@WpAE<Eb9mjlJL6i^dS#8hvInhp3s1Q_KVh#j$EoR@W)_ndJo4u> z+cj&!r}>;>l@k`cisuxYeerO?s{PXvI-h`>CVD%6?pvw6@-Ii0yx)d1A6ZJzD@43g zXZae<qWz~6Ji`0W>B0}yrqH-z{^R|5-WzUJb9TM=-r&}_;7K7%cJ1s1Us_pS$CyIP z<ZstjD(`*D@^rq^jRaZ8qc`@>xaM|SAf%4}pwe%FkiR^T!`;OW3OyIT0$Ly@+jQ$R z$F#~x3*L3JoZed{*%k~s77u=q>E>W}ulZ^jdnP1I%@-1}?S~vM(3#L%&EaL|xZ&E2 z1z*y>Cq}(Kqo%Q&8+_E#e-ZGp?w|$Z&jmvAgmj7y!<r_$m-ii!Q(y7Vze&|BvM=*$ zjqt%BYr!k?+&B2lTkwvl>8YRUih48f@~{fogI?u=CUOF6KJhm#y7=30&eeM!0X6PT zrgj$4#)+Is>5@_r@Zs(&1*hztlCU?M^VEIM4F|oNy6V+$WHgJ;2zzQSptH%=@hZ>3 zBzA$2|4avk)P=76kvkY9E_`KQTf$6hSRdBTrc}jTX+?gmPj>5dVUs(3381t5@6At` zdR|z>wlQJrdm)j0fi)kan<i}+Uh`GK@nt&awV59o+Jeipo4!tBd3xV}!@)XX=$X0C zm-Y4Zsjv7W+vKXJwBna^)6qQT75CI59!WOM`mY+1b(-C>t~$CYwM==%ZvBHr^Ho>W z%N*niZE6!2TJt5l>DYFmHASFhD%uSNCoe8v-go7nb3jdZyTMx1dtL!Xz8kLDu`K0R zj(8r?v}w8UnsPhGpYeh@7Zg`i$RBj`7Yg}jeo)C+{#e1PeXR)_cd}fy_u6pe543f% z8FUG>l;hja9Mg7ATJX-7<@8<efd8CLqW@ek6l``kSUXjSrMFx$;;Ax=E5B;Q+tZM) zyK>W{aDg?Y%#JVR4qEj?7x~YrO?>5%KY8kmu%G6_IU9r>kMaxWOi>39lW9R#pgv`} z`rl#0k)Wno{en7QZ5;V-U352GYk4B)tP|fZYtZ5>&>i>x9Cgk(KJ1>Xw8M*AVUHL4 z;mM$3(l5+nMF#t(v|6s%0vh1w1kJHeX_Yy09dr>ZFZ&KJOOJD)#csDZPiX!!540hT zL9A$k?j{5Fy`Wv`|9*o8c|je_KepV(2D_SDWu}07+e+tsyB<7*44LnHWeB<(?kH#z z`&`iaeSXj;cF<lwt4XagTl_&|3+WptH0L#gs^Y#@nJ@Y2iF{ljH$Ma&%j&thpt#83 z9_Zk{J<Y8$E}&!6vMfOz%qGyder^!#%=srXK@0SEb+pPX0S)|Gfi}UvVFsOz2O6vH zx9MAL!rlz3%s##aouz6HIvWpkh_2<FR+$jcMR`ZgB`C6g1s$T-DF)g)2R?NjbbueI zXMM(dvQotkaHY8`dd;&`>l1r>TV=jn28AkUlYNB*cX7ZkE^bSS`R5MFgy?~;00h-w zw{$^s>vLKyGk79TI2M7H3C{Y-lIO>F={9I6dM9XWxH)(6h1sC~`tc10?9G=!RT+5u zyvDWVcCQjjRJkoRKxYcMfX2sPfx5fjl(;Qd<nLnxEpr4-wikmY+oyt7<vB;rXg=d4 zR&*iu$RU|0=Rju-YDdgyKGdu8B;f{V5y0xm69<2=f)2WQIr(+I9@I;VHmifSI9@3O zjn;r>2#zW0JW;4L;V!-aI-LItXqEhP(7L?6^I9!m=z`V(go2{J5>yjcoRD{7U%VD{ zEZ$Mj!2ZSw&96X5^yM(Zj>S7S54^XbDP5EO?CE88uM|pUxh*q50~<!5lNDl5ACh@u zR>c*r$^JJI)MaNED>Aq<xz$ob_JkAPF;~#SJRxq&j%yJ!ns0$tTh{4v7hi}t<HUFE zf7AUd@&B34RVOA?Fs9z9|M9w5^7zNO*WVflecr5^YIV_Su90S2N>J3R617WS5}w*8 zCz+^t*S%R1?0w2_`Q(X47c=LcShD5G@hMwgq%2=DHRZVFImzRReUE=^wVVIC>fOJ8 zpZBgi{<WrlUGe?*-+w-zU6XM1+C=fXEbI5b9<BF?o9OZBf7m9Elw;g!Ymci1GI*V@ zd9pN^F+$z0@!PV=%3KN>Q|20%E&-k0b(qu4V&Z~FGg+i{6;{+(9#pz69P(C3r_A2* zt0{~34KJV7&-z%r&#JAcWjJWmE_lVZF=3~X<KJ$MupK$jzD0~x>5>v_$Hz}uR@-<5 zlpNFY$=>>wvrC=x+Ku_(L+$(2HEOL6T7Bj`rKc9L-ZkJ~SQGC%<rO<7B`oe`nYz7p z%4IkBNie(KUzvC#rs)-Hld7Ncib}<ULERi#`ic?H#F}=U=9m`k7*NlAkZa@B8DWP( zi}9Q<{0L|Y?NijK(mI&+Tv#ODW5Y4f(cYjF%u~`|dAyEmy2LNMX7juSZ^E(-)_yHh z)%b7L#Jh<_)ZT5wMNO8e`@J@tSjrMw@3i5@S(dB+oi-eCW-&d^;+<=Gs2~e;5KJ7b z7t24>YSM2F$G?*~!+vl%9`@!4%Mvo#*O@TYURb1dLc&&c&aV8A63ez8Tg~b9&U3@H z-5g%eIj>oEE_h?f@;cTh;NNi;={wLmOz&Ujs}mUx0Y5pLTo?5xM}@|_Znz-_Su{Tp zvS|J$%hqg`(=na_-`Sd;{__a<&)6g?r=DR^tlPHvk~XK*dBq4-V`$y~rDLV?R(~N8 z`|gC^e1R!@niAH&=JdMnx#8SjmQ;6^*EfY{g#8Q`$T66`;E^?lnN`n%PwE_Emdy)Z zUFQ_b76|#zdQhkwJf;3{((L;AR+FN91FDZ%`DD+O<#?sWIj!2-@oy!IcFmjx4_~rq z*Y+;>nA8+n=NItBtm*16mZRa2#T{?wJ=wDME+_aj`#aDE)8^y8vM=Yl1?=<Ma8RiU zbR^&lv8Ge?$`MZln^v6{(%E)4ct+UQ>w-EH)mHqpYg*c;x}sL=ppm%1l~|7rcl<eD zm2-sscnxVURsQ&JW#T1cmfr1B$x*BKOk42c9?R;z(--`>$dWyU!)u;9<RBQui05gL zPOO0A&*hwEH$G+ZEKh1mSiF}*Ykzyf#Kq96e_dVKD~~rZO|R}NMm)-DnzdgoVwHQq zZ^x$8c}gpGAFcAq-g#Z%%Kga+t>wZdXN1>$k!(8lTwu+o+@?k0f@{8MH$5sBUi0xi zw0bSNdu3wS7fGMhU(T_-e&ZPMEuraapNhu+%qHG*YAb%|HubJkS#d`#;)!0<s{cw6 zIl7Ktea#aryx+J4eD-DWmURjE&C!(F1xsw_K|>~Lj(@c|!hWba9=7HT`=##qSn#0M zY@6;((O%&--`tuWu?wyF=-4z#U1&`yo8wDXme(d6r{df<+>>Q_`rmQG!B<eH1xg#P z?JZMS@rSL+l}};CEaiwdEKRTCRU#fKHO;bDh{)1(e0!T?TBIL%f5yb;26KMh7F_e8 zs;TX_;F>RtO~;N4uKCmojnKuPm-k)S=O3`gb;GguoL=|bH(XO@S!yn{X0xx#w@2~f zhwI(T%$qD<ECsES{tGINBS3@EHlT_abU@4g<A-Eirh#Tz--FhTfd-@HK^NTePFAXr z7jvl4=bIIM{=nIp%~y29iZ0xn-D-J4=9ClPF3`~a&hwz*Q_#UC3qkkZfVy)_@59&Q z)q}R$&ug_@u{Q>^9Pj<9Loz1!PC4;SiWGacpj3q0(qsOiLoy}jKs(w(K^M<V`1=lY z<lMS6MfRWfL3NxQXpDb)tEGf3Xz8H7F{p*`=8zNLDp1cH(rpJ_mV4x+&XWa^iHUqc z(?RFHf(}-FrUn|@2RZg{JE)_%(SW`6ta;_jXHD(dpi6QO7CeWX(E_@v1+=6u#O{m} z-y-#m2JBn)K^wk~f@a#?LA9GQckzW?-K{c9K*t59gH{9NnN9xBDf^`?Ns;}hIp{Fm z=7<xHADux<<4-v8or+IN<Wt(}DQFYJDq!Qye^uVE_KM>hHqa<PGk5WXiiTDh6Zzys zz93`sqoJo9t<N2jNqGiZy8zmm_^v>#=z{&kR!a@gqW?C~(EO#RpwoFk%Nbs7n$Y~> zFKFnTm)o-AcG?ch;tMwl5lx#nps{mV(AoR#pksf9LFeP00d1cHx%4z>fnyM8lz%R0 zm0P+Z`%}>62Pb+o)&=pc0v!mnQH9%b#ryMzWK=-+1V8%-nu#b8E4nZbbOo~*=qe&` zTkXX*P~BXv&29POKIqP)uuT)1AG(P>Td?KrvpqTNkMBmzXg;+MbnngdR?8KjvjYE^ zfQCU7xh*~PK+QzZqC?OQhXtEK+vmbTYxsF}o+!xQW-?FbW7~{q*t|3T8pyub8MM<+ z0<?Wji`#Mq$T3I6Hce>$wN>Yg<D$b(e6zlTj^=3xonBzeUHsuu{DWon^VnT_ck6*V z_0YP$@~*7d@r{q4^F812*y2p#DL?h7pl9w;UTT+wW(rL%S+-<q$usv^Eu~g-VW;Hz zczK^JIixZ3Qs%{zQ?~qx5jt68cm3;~+J8Uhy{?Km|NZ%|d*6TmeQs`?y*c8^(fX}B zKmY#^S&+ABs*cdj7p}qO|5UgR6jcjeIWM%PfXDH0DvS19?+X>82erce7wr9bdcQlv z!S@SaoDFu*Di;>H@37(6j0I25%+$|S__1PZnUcodDe%)^R<b-juXZCr)$yp>K{s>3 zkbT_=6Wcjf+4*j`v21p-#ntD6IvXKd)xRx#<{0cARM~WExq!~*ISbx#H9cLYu%cf1 zAXmA7iHyLSFDj0YebW-7R=;rwDDiK)%BP@FCvs3qU3iL3cfwjJ$Csuouh+niG~1o` z$|K*_@#k?)vl$y%mxUJc9dzsF)Jo?Nvz)Zxl_yL0T+e{NNlmI@!dLb*Cak>8nPu;= z;Y`PZbw>^6Jl&?eVt+?MtGTZN<lNkLe_74i2@48mCQQ{A5Xlu-^NqRb(RRT#AFG=t z^$V`qdNIdm^%pai*D9Q+-g$4hm&o$e-eJQ*NfuFi{|y(PvP|9Yzv0AMXn`F5JJ>y` zUnr!$F`;wqwkJQ%#&S-()xO}{LYC7q{sG@hnx3v=`KqrR@vxeOH(xR0WjRZ)ykf-D z{VcBO`K=GvY~yzPXmU_0e>P<0wR-<-t4qH$9AAFsytZ@7f;U@PUYBy5`adn9xt&w% zUwgvh$mry#spV=BDfvyS@>Rjx2vwU@&nd6?DcZDjo#KjG<AX-uA;Trp@7y&gRr#LZ z^vihr!7X7P0wytD8!kn2NXdhirg3!5bKY=kI%ikB>xN_foL=8Ju2pp`_+rfRI%k(| zTX30s)7NPNQ|gTmvi*eC79S4>ySstzqw7qVsLi?RUq`}5YtB{wXC`zm=g4x~et65Y zS>E77lKE6tREr!el2=+$FLsbCUL|8kTf$N^$H%2CtN&y|cObkF4tCFyK5n@7(QV;1 zAGMk${T5zRs^a)k>7Z4*(3HI`345=yJgxWGaPTdQ=zot57dsYw(teg;(S3w-)jP)x zH|iiQqE2Y{`kDIjz9o7}EAA>pJgaKjwOvr>JA2cwe!(>h-WslLyDhM0i?!q9TF$VO zg5aLJzkta82?@RHg{SQ4OIRDt>2=?K!@0%K2u)YEPKbJ)>l#pJ-o*P&am5e5re09b zy|BquPhrI`)25?QELZFOHXNyCG5znh;mT*0t?B2VZkgH2QhJ%?^xnDP^XzM2O<<e) zS03QoepDl#rn9)dSB=Q^2>4^!WV&red*;*_hYi>6u`K0Rgml~m*ObdS{<J#Cc3mLk zkLE$Q?*bwJv=1tsht2rkt#S9s-uRQ{YP|ObmuU;0RdY^@atrt^16hyPm9TTZz?Juc zYd(lKwVfAOv*o6rgx_OX&ag^e$BWIJVb%POAA>ost$;S?CTlP6+p<nYqgME!Rk_fV z+6f7JmvfxjZ`7EXYcPF5Qdh!aW=^gBvlAu;bF8XsOV}vNa&^D&h9kX@Ba#~yd=q9l zon!QW>BA;f(4fTKx9YA8)2dA!|Ni9+s}OhOYXkLzzGQ+r>@BU9D{8u1Wn4i0^sVt5 zCp7=45_`7bqrO<tggG0H@6Tusn*&?D{TFmJ9%wONxf1ATyslPDi5L^jCiiqkmV6(+ zuKRO-t!TdZRp-fqJD@{P_(AOj`^Xv1GjtDtri7zG^Q|4NGA8#=9FkcA+M@+N&faHc zt4xVL=rq;)35x80Uq{SnKBNy?4FEb<^$WjPkwM>^rrE~qQ`dsV>A@2ME|b9*wSbOa zmj_K>gYKJ|1Ui{@E%<mA&<e84Ns8<@<3Y8?Z_sLh_n<ZnxQAJ!sDJxlh9bA6#{PMr z<5WS1)3Sm#(phm^uBh*8we*NFgHJSn-VIu5zN@uWX3P6jloRr{X|D_7`vt0b4}o^B ze*m2a^u<oB$iS`_6riAs=0W$&WZh3xWd8`-`}KpD+tS1C3}}EqRgwK=<nD*h&N!z3 z11&uS&o#e^0}VNx-ZY{4kD<<)g9(z{mKvaK?LDB)>7PKS$UR9DD+<^<yVbHI7c{tF z$Xz^P#!S#;d$BrbGGa=r%ocvokpS+X^J7+m4*Sg!E4l!>uRvrLXuttH9l(=6>(`3r zOR+jn68?a$8?2lKx=!dWXqRKwXV7U!oZQ71EIL|cR6v*Qy%rHGnh<yHkW5L~h6&AY zL_s4Upv4UPn_Dd<ct8yr@WFT|mF~=unF3mlp;V1n;0WG!uyHYHsy#*QnL;6GAOzIy z2A@(JclwY_%C(IXn%`A{4mz^nwtR8^26K2OA6GHxs3SwrzC_Teh=QO^lq#TejwZKS zu82M3#P<j^<$ny+^4tOTkxD*j-@!Fz(E7%tL_Vu+65*QcH{XI5V=9RieYhn5!}sqG z`DUFfQJ|W>5_IH!+s7|<OoAKP1Uow<!jzpnHCqF`W^ZES0&SYx>L{kn#d1W-RV8Wd zL{}xHB}zhqh7l7tXgIPYuQlsPa+$`^CFt6e)T=b<R8;4C>*A+1#`jB}nP2~WuKe8R zKR5q0#U@YWwf}gf?Ei1|N!Q!%|BpVYG*MFe44b>}B8Cu~i3y919G`M?h-C_d?Cnh0 zxD|Y4SCXvbS;^gd|6FIUpRshC%8I!vpv&lPN&B}2GaNL(Vq5ge<6T7)=xR2L{sj+3 zS+wsuT&UnZs8!pv$X{s9Hzmi9x@m=fKs&#m3xdz>ddzXna`J+lsR?^ebDoO#NAxQ` z{(~F@WADG=#IxPWQK9835jwsBwT1_Uyg9SxIc~VZ)pSdnbK1_n1$pfWJF6j!r#G|k zrY|#?Gj*GKhRyVZsm{=I;QI7tS_Nqfip2YEIF`zy8ZNk|l-==VHOIBeo&|5Jn!cLN z?#-O)F94o@R%DTu^$z$M(&Tzhb;U2yrladrSNv0MQmum36Z4n#Et#jdV(&}28P|+% z3xN*>bY%JZnFV~|jU|hA?aT!qO<7j|nXur+U6$2<CocGLnI+rhd4dIa?R0t5C3b-| z#b=<y*Ec6G@B4C2P2<066Yn~;6*tswywGbpRj&~7M6+p?^!v_C-EF3hU+;2Ei*O0} zJeS2=lrw9->xMI~ET!v}BHppHe0{GH@$fs;Z4WbEdAt-Y2HndhxaN~t(;{xpuxfV4 zkCQpB{a|rC$<28!OJK^rnF({ZvZTtZMdZsmR=#b0n6p9E@#uD;9FzGAiuw{J3d6gw zHLpD0)HJ<{SC4q))-(&WCeN|ymcNjW@J-MJH0!~le8m;^{Ryqxg-vYw5|;K0nyeFA z^U1Vnk#5t~I<J5~j7_HhK*!yIt}WeXP`YGm<*dic%atP3eFMG~G<}^W1YYZ)&7oB{ zHDU2<4z2$!2@`*Ftm5MotL|IyEBo8Qf@wDz7d(q&@s@Q9sOCgE2PW38R3%(}#r`hH zioEuOrTYa;;`}$9TKzmR%5}SPM6Q3+qsS)Hd)@(`Oq#ZyQ`M-|JZN=YXv*HX347%n zf7%P@d;rA&Xwv`3s#?Rfz1vh*>|sBs#4a3C%YQIwxj@Kz0i8ll$FupuI;GN%Z+CM} ztDLgn9jJCLvTF;jmu?abV&Uaih<JIIrPp6A;^}2*)$gu9&noD*nB&K2&TBtZ9ZxbI zjPe(paxcZ+CwuN%&Qs@<z*AS{f;j;yD}HD;_3A0D_~YB;ny0wp7kAT9IYr1kM%0H- z1qUZNb4<U}<KM-gvsK&iZ7a)Z8Q*~KDos!AJOlnaHHq$Xyzn8dY3eSPUU{{Mr}8YW zxr*yIeXDAEv|C`!MtR4Ri#e`YH7+QbnK1V=I2*87hkiS?QhBd5=c)g55}MgLwf@ab zSnR=edDS1QCf7+Uq2E;_-gq><l2?dGl5{+)FQ8M(>iAadpiwr*D?5h`ckXk%+V8O8 zP`3C-RdxZr${m-Na2)XZEns3dCt)g+<3(f6u-`V0A5U{$`yuCe@+wRAUH5=roK2<k zlr^Mp%a><^c4fTx-Ec6GMfAS^hKny*rrNu2IB^QPUZp7D@4GAJGDoaHo993^@J9>K zc=UA85^fs?>#5D(%5=^+rs;88zDSFl(R>F~TmA?2#6Cod6&dWA(rT$u+ukbE0~$!z z+MlS%e(^YH<a9=>%n@^I0rPY|sr-2&2M=!r?*Ow*2OZ7A4jSO!2pZrA)gFI&xQj3B zZfTWyG8<F}n}Nm^KsS+9fez*YU54jkX3G(-$$r&*BlNPgI?x*J6QC{P?U6H@uUP6l zSx^hA>~^9b&H`SM2kLM?1nsilaq=K&S$UN*x244Sq(nX~(CKY23_(lsoIy+S%(#m$ ztk^iA`3a~leJuuBk_TEWXmiMkZxd)`V3o9N)9lI3f22WO;C1i{Q^Yt}zSO{K7HCP{ zi9<3j=RgPlf^M4IxEfTmfmY%@@&ipn$Z{7?klHw*d0Q&z{P@kH+?Fri9XcfQ1hlCA zUm$2~-$ks*KnHZz4L4}n0%+F1=rX+ie{pMNztsxcBTjseKuw@yJ<f$wizeKe)M}Zb z8hOI8%8tAEf+c8Ke1Cc(Ulr)In142)C627zmKygC9Fpm|wqZhZ(fn4+4ja(@#9$Zd z@;$z5wc`C5P-h)9ixLGIK)GO(1iDc7_{Is%-)8EZaa;x(sF@DhW$+qw?7k^zN#6Wc z8J_tGiF{t5o%)42hM-Go%Tg5CFPd-{2SkG^VQ<iS0MNdJouH|cwJD11FG0@sO9vlv z)WUz=@!LJn0!Q0(PJExvgEl12Y=5lvbioeL<jyS6ehAPJJQG!Qo-Bw;O5{rdouvQQ z2-HICYLzj0pODBG1iD)oeARK9Ew|;1yfT)&b23kaK&KqFw_0k*7-_<WX+GQlT@5hz zRMO%9Z$8`WGd?L&{tl|^5vS(#ESXwz&2yGYvAw5m=Ul(#)9$>Hktvor*LT?P_}wWI zR-iL=X5J1vG|6xBl}j&8%C{~#=eI~TRR}cNzr19biqZY|rMBPg>gN>4_P_r5^IskK z;2h1lMF~2eF3qpVx(%7C*W3%5syC}RX=0Zz#F{YmG-p?w|At$+EJv$ZvTghVifj)? z&6fT0>Al#Wh^5;VBS6<^7IR!XEj-1(GoiVdQ!CDE!$q&AQ`-e}iq5m#lHR{*Bg3Ki zy}w>unRsI}%hko~$x+@pz5&JMO-s{+ugvq`aK@-<*J;jayJsx;SIVNjg;VOD>xN6p zEWOtS*K8`}JFICnIbmxoXV-b9h{qS8J;hBHrAtcr9A9>GT-!Nq!JDk6uh)d8)ORE_ z3pyU<eV!C0eO6(`9^->bzXe0)x`TJqr3>n8Y+8`koUk&KW$SeTozD-TRqWmLWqnUf z_%E;8FM5#6TFB&1d%{u~$H%HHtLJzId~s^Jx=u}_PWYgdy|TtnhJ#V{iW;((EQcf0 zy_+^!3#{30?)bBr!z==HfvjSSw_aV%s}n1{0)DP$>E6Pz>fe-vjlVg;Jy>y$tbN}C z`sUx7x1elR!c1n4S9(ej?~+-*>MKM%)Mnw$SBZG3&eHo{IU*G_P|u|hQ}pHMO69HB zW!f@D@AoD2mJ3d~Ge2SNVh*qQZX3@1WJ!I^^7^g=WN6<z;G;i_w5@x<&#Rve=eTZD zUGdAW>F6ewtNkhwkFG$&>~k<^Go8Sdee)7#-sXJujbqwxWyil?S+w`OD)3qT(2PZU zZ}S4sUA27*8dXLIvqYO7O&47A(YI;Rb|LTr>f;>OD$Ag~>o?0PeX{qi<vg{V!)%6c zz{i57-f4m%|5OetO&19H%XToyTsY*v>Ompqrdj_LBC;kc8_c<7%sK65?}B$aO;7oh zSH!DCJOpiM1kIK_bPaa*idWCrGb>?gynsmUjD)TGg+=aXFfQBbHfO;TJ(ldZ?g769 zno6e$O|hMwuve7j>3+8j2eq2IVw-y9R95^kZ*qNeVtPCGv^5NeRKxEZXfkcM;m30I zzWatFF-^0M3+aTat*BKzXmnlR%HGa|o%4mS)Hfuw?iVuY(RmU&EzbW!1$$HIJ@0@o z3E<5cb!-Qv=7Yyen&xU%UcE9gtb4(ixh${m_y>H;Z2B6fpz(j#VvBzX)lFUR6>q#? zXgbB(<m#us;#XwT(RC^-{>e6}zEfE7Ga9@*<FCy@qm7GyPGaVme&=pp5KF?&a^WlY z=O?r-=9HS}w&7Abhtze>u%Bj*7x@lm?N`+Jr*cs0znaF*qe^k9plg1r1=mbg)!02D zVQ(<!srp$7&Dv@L`<^f~&0Y0l?Mmgvw>h=`&q|o6&atYGQ|$JhhRo1<?t?<rLRbDM z91P;-$eQo7;Y=k<slIZ=I?sUrVNIfSt`|NSHckEKdZFU-QHgU}`Oq^YEq5KiGVvBW zN7r-CYZen1JlV;T9qSZOrFziHTzJaf*$I0Y!3PX%IB7T|?5Me5&K7CMr{9HjjOH(R z#mUmGtG*&mIpWbSSXyfjb`L5Syizv<d<3O=R8ywzcekcry9L%vP|T>{IOug;*u-vj z!c=`Bk-gIrw#o~L#CsdC_u7L_aqDQc%(%JnM!U=sP;YqdTs7B%VlIU}mh3lcK~wbR z(_3Yp^n)%}O$AlMOrYWYwpN)b`$22XLG{P0_mMN2Zy4)5S+MV5;SR6j2{%BcG3X$h zEP1h_3wASFEl=nqDze`-1}))!9(ls?zZU3lw7sB#Y{=rQa?n8b%JWWq$NWJXzW#5V z(EMVWcjZmKto(FE_K$l(^+u!46NR0iHQjU1Iq_Wz1+B|d1ufp6-fH>cE@%PSevlje zL3fqbN6u&td{Y7HU*11<NXF$7sA2|Bov)Gyt)RQ11R65e;4Yr9qoGyCWIyPpyu1`e z_P2bXlUn*)WlH9Qh8F&Vc82SAff@*)qxmG>9X%v71vHv}j5~5hvsY)UjLZ4-M82%} zBt`a*pccTfe)tHp$35$>f$VG5L2C#>m)dUr$+^SJ@`M_whdB?l;9L=OtV~0zj0k8k zo!j$`6PiDP7Slbc0`=E>TP-`jf?5iNpvrAttBi?xblYrW_LaGy1$q2p&lHRib*8!f z*Fg52lOs+z{s$d9TcF8pDX}gkk<SZs58cPRpuTXRSdl>Ov{uU%uKu9De@(X7vjrQi zLC4dkCGtgq&f2Sz1YK)?&WUf6_+|t4y{{uqIPN=pNQUR#ELcNr!H*MH++}+}-S1Dw z)E{b{bxcv?wp{V<)FGK8pvCUL7J^32PdM?-0$riA60|w+cNFM&JkXg(pmCy|?Vuw8 zjvSH^F|(00S7$#g30gduE>>i44>X*AW;Se7fIzI(*Fg5Ipv8wZtlY&HGC-*mlw`KH zwaP4!Pf6sn0v*G%#t*b|^In+F6NUZCpwsc%TV+H*8|O1Pxh*w73;JC2Ku7d|y8hGu zH{ZV!ug|pXNd6;GEnj`(R;lFi!asLb&QL$&vu3M7w6gJG<C&6aVr!Sqh^+8BV`Nl% zW@qPTgDaMW^EO;l;`dDJx;bf@WSX~4+f28#lbd?Zi115Bn)z(@`SllkEB(CK>#wWo z>(7_ozyE%|f6iCVjVHAKXBlt%d%u3-9;Jyl{;y4$c%o|kj92Bpix_k^mNC8MRdeNV zT=>j5*ga@s<@$e%He`U7Qs;n=g4u5G_;)c!ScJ!g4PF~AJ!R?5SBQAZ*R+UVaLqRn z$B)xFuiaR5By;j+j%!}RQ|5VZI5!c};}K00_mO?6tE^G4d5~>2ht@r}4HuhPrna+m z?{Ntz{rq#rwWQmEA@}DdbXu~Q_A5kW**U&l%5wS&=c_pX4R_YEe3e&-c=!=gs(kv; zx>9+mum6!PQtBLGH>WPhn3k~hFh|#U<%q{RkiB$$;OpqBSW@McLH*aCz2XTL(q~jw z>@Ys)_FFK-t|ejOV{mt;#d}@Yvc4l>0wJJPanT%E_xv|pS<JF^zIsI3;>iYUcNud` z^A@~ve|kczzo3cDw1lO`98&R48%}NK=(^{#;nsQ1E`E+{KYl}|hb?zLbn?kwYc4e9 z-o%8twH&A9d^W^QT<|B8MLNa@a&DPRz|VA+ZX2h7ulX$9Yxi>;UMZ&@k;L9K>%Dr! zD}|<8#|3mYS3oP*cdfzhMWB1dl@D^oHns5!ulW+*bj%90e43ZVb-Q}R+gg^R{3;QT zH?ydoX33uGAMneosWc2yOmAg*`rm1T<B~tGAbrG`n!igp4!CXR)Y?BiVInhRsMVF_ z>UrgeM_f&_beopGQ(5s>;GmJe@Riz`2|KUPZQ30Q8diU=m|-zJVJVa2V^)^cb0C|+ zZ>(H2-B)&H?eqmd-m+x>nYG}_WR~o`E&*2CBrZp#TQ_a07Fc86zTnSt$ien9O}(#J zrtWv%;L*O|)p`!G>ZS$1_H#_T@g`*76NW>o=G*6rFh*pVH{FVCO65~uVciejo&h=# z@iq(Zb%8Zsyqk{o3$8Iz)7W(~bI++;(Hvdxoi-e6=kSvA+Hmb7%hK~I5$Q)+4o#PF z*btmLJJ`KyyTFwHtOwcpg+l(QA9RzR+m{(yXM9k}S}^3V!oj3U@Z56}r{h_DL7h@w z$G5XNr|s-t@U9_YXT89c`yY6C(h+kw)_$^@wG9hC9%NZv)41TpOP1AFIlAI~Hyq>V z^t$H_o_n6J9FhK4bw=3DT#jqE8yD2HB{Vm4Xw}V2SbUUas=iXhlU2~E>(%x%tb}&A zFZlJIW158El`UM$wqCi$vUR(9L|T5+E_NZE@0Lx!Qkz8e6f!DQ4|?5K&!{m!sI^~J z<Co6Ctk-ipABx=XO6Y|y-n86#{mR5^j4Vt0)gsab9dABmc^&H*@UNOh`i^J7M*j^L zFSAVj@4Vr}wDiJ1uWoaS-JZPQ*Kv+%7F`RTd9rwia%RQ5Z8*aV$)Bp_`SYzN{pNN2 ztIiqr!`$)kjhi#BdF3l->|sBsC9kScrE)M!T}UL}ZNsta9A4{`B33&G{Bmq6ou>dk zS75g8(SlR^rzSMtg>H8}+V3MPDeD)o(`Cbnpr%#vsu6FhnqJu}Mm%C{npLkB@rt!c zW{bHc`{UWoK25DMCFS7b?9&w4|AH239pVICeWC_iIA#vM_(t9JgN%s74;dMkYS4iB zZ((lBj`g79RM*G0t)9~S#7FGef~}y1=kJdnk}3J5^F*Oq26U?1At%01@o9;CY}Y}@ zx%Go?wFe!(^9QtY`;!f~<qEg+N6*e|zQH3_6i{ytI_<R_KEM1-9kdC&REOI#V?Joo z8np2LE~wm&ncXV$1vHAzRiB{9ei*d)`x09y=x~<piHUq#?~@eSFV=#_7C;s49?+=( zexNnnpfh5wg@P`=0bQoL6H!-w`wki~m)<y``A_z_!=Teyu7OU<^8;;SH|Mt0sGkEG z-Ur{7w!wgXBWO|i-h+o^R6wnRv-4rI!M`KLo+&JTy2H})Mc&avGEeRubmIF3x`eLv z4ybDmITc_rsPR-{#BC`7x~WDhK3$RhB4`xhtsdy6meYr1Oy+@ZYB}+9hgb21Ta!RH z-)uHupKA-cq_$q{*@AM=)<n=02U{p;YJE<trNrF>PJE}zHyE%_YzH+oz|$Hb^Fd?t zH%^0^Uq@N@<gj-}>O5I66Lcm(apVcd-yWbUxB+xb-bMrVo!+3{x+ti(-rFj}1FA~B zoMGn{<kTtV`SC3R?M&Qy7qlG$Gy`Fg1dpUApv&|!Kx^+_T7ixxp4Tdq0@?*v1)8(@ z^B6Qx!zxx3aOaCs%u-7U)rb?0m7ryTlTsDguYyj!xnUz#6ky-oYU!~iMUnk1sOJwJ z)ql6qfPLn1xQ9xv`_^7@%zNBjIJM{ks1_FiEebsRH)2NfB}LGB1<*lED?wZRKqvW@ z>2h0kfOdYGyh~SPU;nT1{+0g!OlJN2f1y_MYtEdoS)hCPOxv~|qsca#&ZulY8l^T< zRc>)=XQbOR#?3riO`i$QE%v#4<nf7Xht8-N9}b>4b5jmzBYoHMBRZFl+<(98-ksl% zZ<g*|_x=0#nD2l8{`;vSA0yJe=g)ex;@SWIi=WgM^4yoD+U;_!`sSLiZ&bJ(UP^8a z_hU_%JCh|fnC10b-++G(O}t`03-%sdz2B_i!S)pupRP;<9hj!gA-1z|!K+x7ZeEU6 z_P!gAv~?S<ofR#pvsD><B#Mkfz;=%fcRE?ViVLnOkaRq3%A$SO@j`{{K`npiT%t_u z{~Vv}trm_SZ?a^+aSbT4I~e6IIK{3pVQwo+>U7~X+h;APnV!(h&Y@-JxMAYCg9Tdo zej85kv4nnCh{%z1{Hn|`O#^yr$n11qSx;SM@U`OG1+T<<Z@81p@>ThHQj~U%*M$PH zgI>D@P3C!TIF-oa+OHn*mZ#~FH|Mns!6|#DCanF<>1FS=;T-G|`gC*4{>R_9DQo;^ zY~uZ<z9Is85r=9-3a8`M*BoNITNnI#&pEB4Wx=z0j%i(U`yXDpH!WdiEXS*N?i=nr z=XfRWz2Ok-#`43zu1vgS%F>&!7V)$kvZd@k%Te=v440#lrJE*M3$K}~s!?lj(CWCr z6x-f}y`MQx#k+1e=*uEn@4exoGt1QDEZsRF^{LQ1=)bO=ti%91UEuFw7H=7sfY076 z-gCVIe#bPW&SELeSBZFM&hk}WCE{T`3vYVNiPbuLTNe~|B~0ZP6gexr=9_ENqvZl? z3L#gPzX>@xHP}7MTwuz+*$HzQ9dD*{T)X{2$!GPS*_>uKCN6ly&S_@Vu;7z8hnQvO zf~?+zji)(R{hyK0Y0a7SuQOrga*nL^`&kZ0rk#dXV!Jml?|Wjz^7Xm!nh%vtZR!GR zzSK4yJIxvPQ_%6^ZO*XY%8nle4@#98E(<N<ZrWO=ps`o|pw(xNQ*xdg;$|-R^PR&i z0=mmj?_a^I6EDmlQyGO#t6u9K-6D3oXF=7ZgwDI1S#@&~RvzZelK0<mW+qGNbRnJZ z%uT<x3$3}Jp7BHDpx1xpj6Ek+=WP4b2X)!v-Jrd6Jqvy;WXZ0Xx*%n0!dg|9rQw2W zin$$c{^qz=-Ll|MJ*QcR?%^$3`{pJrj^)s*pOP@qnPXL5Yr@9MoU7tJHXMPi-Aqe= z<?$-6=@!4R&SxgayS>sgt`+4guh?&VkV{@Mqr%{zmul0o<$`O97#%OJ<_!BS>G-jl z<Ju2t$CJ-FuieV9Yzww>+;9%I12O&kT&qjg9Si<|wq{IS@TihS+LTl4|CEG@a*kK` z3+a55ar}Bc4tmMYcLANP{ElyJ4jQ=&U$JdX*jdc+3e*}>ht1&J4R-gcSIqdsb5QHz zX@j+kj5))q%^g4P<-GPo#PK96OZHrsfGWd-R?~&2<O;7TSBK1Hh&UeQ)jM8bc5Bjt zPrEt9X2RBPew`fbp5)r}>b^=ulAz;RZ_a5^&H=y6no_R`UXc~l`94c@M%Z6nj<5=K z$HTUqVZT`5#|pHvtTyH7TCW!II2`J<C(Oa_QT@VGu6g-n&ppd=D$n15eJ`lgwmW`E zh6glHesuk21NOznpe0U1pe0VW+?Ff;O=`950Bx=MS`S)@cS3ZBxMjw^PSCi1k|O(C z(7v-XcRU667_tiNGi1N(462pCn~D`(Sii}Dy|p{?#K8hk<?)LZwBzgeA(@bW2c7s9 zfrgE@nwwSL<a-3FJbF#IEj`|WcBO%KaK8lIb9U|}sF@%L8q}W#I->R*sAmaUod=!* zSYQEO1HcVBmZwb{G!VW?7j%w2Xk7X&Xm=Xu&{m=2pyPBwH_U|0ZI#&qT48SlI`rlp z=(L%4??E@zf{t#h_#3*z(ozD{&%X2<bmV$GXrtdu&`<-oTE3VJ+JN{?7qotVeye3h z%t<G{NuXJTwQ}5+9`;8-H`OL9vfsQO+q>F?eJ^NH`M<rOsdahK;D-rl3*D?%nJL;j zXB?lzfV%3S4S#n}IPtyOpO(lc#1ERujslOE*OY-q%#GI_3_ayot;StE!4oubeGfFn z?jTllA^yN284<rUMfSr-LET_bh=M$K3UoK0RYD?PmOp5*VB7Ua&(1g|Yl{_4039I* zxqA+D;EW$=ab7rR!J*@~BcL&JUHD2oDNu8v8MKEkS&{vzdgP4e6ThxM)Oxx=Dm{@e z33U8Wt-jbZ1;hERGA8{=iF`roptX&ljqRJ~w91r#?mFBFn%c1kxo{rn@Ii1aC9DVq zjfC8q(<;-mJw1_63v`IW3(z47Wh~s59iUx~$09*vG(D}B8L^<VZnlG#3W9dm|CZn` zzR<m;ptxwl{VA=M5@(VW*^h!2^IZf5p<NHC&1DZe<X}P7u`BMfN2YC>&|C#-EZjK- zT8OvVfPE$CjK<%tV$U8-wf`{t*GHi(9(+RJTK>zeQrY8$HG6#{wUtjFnR9B3>NLqz zwONu+d#6dJsp&?~h<u`IxcP+6#-)=|A1!s&7CtRjnHr~N^}N8(^|?&k;WLZ2iWqmF z5z&v-s@?zGrt<Uo_j8U{*6n+~=l=Zj^Y@=w=AATgdfnE@&GG+)PihOD{Bz$+tTX4s zq?Oj`LXZn;-}`THYJ^-+>vy3-@SxUoVUbwR4Y&TX9F<p&c-+dl#eCKoAt!_GNgK7} zZ#pnsyVbYg%Q}|VXH+$;=O^ra%mF?YZ|;IeOIf7XDy*o{Kd96%6jIxlu+ewjp@LQC z)gqF_n`T8eE#*^PQLA&%h#NdD%wio{{_x7gJ4ac*P8VKNVD5PMD~on7r_}j9QkSDr zrJEL63$NLx@A$EjC0oWh;FCzx)^o}lcU2<NB^_^WWqG|;S>wOSK{n%cm7w|%G@PDi zs>!q=uxr7mdo0~gI9JKJZn$xh<?4CGh$KVDv#&Wo<yE!AhBLt|rRH&`R-eiB45(Lc z618)=@FAgT>OPkXKUA7Rv%o!_rny>G7q3jbwUy;4=qyk6rb+%nYrd|^He7otT42p) zWyhO52dmfxr~Fqs$fhm?KKw>pC}dw-!o=I0tNzVP*eK{&nw@Ononr^t3YNDo_mxMM zf72~?A)R6t$Gb)ci$G`JFdpOz7c|*3HDT#}L6f?sgsHsi4sYqobK4L#X~7RUmh76I z1y3HbWY_jC_#(;jdX9Z#!b#9jf7!_^6Yps?eX4EZl~Z5wBfhEEZ123s)9-t2IPs1p z)ZS~ujYO8K`@J@}OkMEIpK}`MhFY(tR5^teza<V9{a0KeAKmtF&4+2wagu`TE0ve_ z3z*FF-*76NqideqhFks|UGZ)k+}amBsRdss{;RU7)NCi?Wv$)12dj1qO!;qc5Ol17 zj$qD~h6SJcImLE@)>w)<?0E93H`qPtyFkc)?t?<-g|5_`E%M1;$>R8SF6XqH{R`gN zG(Fwt6YyW8Nwm)8!UoR`mv~uvuM4gDl-{)Hyug}oucQs<fR7b`UG`cuf2HzT8^@Q6 zIj>pHT<~Tm%WGXVje6UIY}p)I|2h*EFXzy54^N2dKBEMl!}zAO;-6=eYM;uApSn#; z&#A4b6+CG47FzA^UcJ2U321+UXOpPetAr@t?F!(-1&mo%*EB82n3%9NAF?qaUSLX$ z7vy#ywTN_=fU?80L8tkvYy2;3;{66alUCf`t~8~D#qnvrfKHK`<5jkUN#VjF`+E~Q z+c~oS%}vl;dt^&izT1W~d@QBk6(aQ91O68@iSF~e@PVgkYMtMO3eJOC+kGHM=g8C+ zyz+RP&vI1RJUJ?RPve3o-&nHW`UU)AXe#AX)~IGWSQXmz$zJ)!1FohnbK#sX431Ct z3+a4Pa=dzd{i7`#Em^K!X7PRlN*<t->FUZ}dAzD<y0u(TXLHAbcd0C=@45v1w`&q@ zW8s~z9`UktdqPz2cGZZdds$qc3$6Jk+4ShR;F^!IO_NHSw(2Q@k6)|?=g=1Kb9P3h zOTOzk{=9y#D>HY3!ipbqO}*cgSNzFra_v)H@k_SpsF+a5f8K*ar#Z8}aZHQy5BM$F zl$v(0?ctTXvmmn<?Fp^^(50@;N-YmB2H!6T0Bwu<2s)GQ>2=U*c+kajpcB&|^T7K- zTfN-GuP5+DfksiSjvkUJ`373t<_sEto(LL$&IQc_n}L?WgI45i1vL}=Ku5EH%KK1; zht{P5f3JheVY7X*;hOAUL95X_=SG}x{M-y$yqzFcbU_ca;T|;X{9Q-vnZkE(P^EV2 zkc`MXP){8+3xCNTbT-Rj>w@BE3xq)3U(oS!x7b0u*A+mk1zSP2GB@aOwn^%s34qr= zp!xL)t(Grh&N}gJiWPgd;JW~~<%$2G$}GclT@YUv=uR0oYtR|&pc7k9G=dJ$trUBv z5SFIM{uOlTT_<QU-)GPT=cS-KUqJ^2rhw}(@IHVGy>~iSo3QT$9aH!38)$mH7Bm9F z02%?2;kJ~Re*m=K{<st0qUW0p*td#-hCY%K`J})%1b}w4U+dO+qOkX+)V;OM=Ril@ z+y&h~_ZGBujujN1NZ09J25p_&(gC}uRy*>9<5$qxH{T?<Em!P6a7acasT@=(?*uIY zxHYv^X3KL>c>dIRvH&!mGQoOktIU_zASVWi6&b`FJR~#aU8*AcW6-vQQ^oKJjYYH9 z90i?UkRw(kaKE+HG9xAOgyW|G&^`zqZc7jSghW0o&?v??(CPBq=d{W^c@G++0nOSM zo;f7bllHBkxG3Nr=zN<uXPo#}flg7_SgG@5K^^FPeT!6h@BdjPXjNkt=$<3cjQi$k ztuiH`19*0V?#it{b{Et(08RYx1cRD0`JhFOpjDzWM?sq(K!FL`Cs+fzhj>R{tBeY0 zN5@)5P?HOkHqG`upE{dcZXFZolG``aCWG!&s<PfVp&5Kt?RWcbnHX_UO^=wI_wjn| zlCHjV;_QiArkwNh>`vJ^SH=6%lF1WIw(Dw6zI3V6GxOp}mnbi_a_y5ZmR$9`Rwz?! zaa=~my6>>esU?&Bp8ejt?)kmvyUtmHZ?%c7-futu{?FG>zDJ34ulX<PpZod$ex=H_ z|NnM@mfR=oz4>5+r$|HBalxDtHOHq?2bIEwLiWx~*x1T)b-J+5#%T+lxqaXB=WVlo z+R8}q-79L&0pA0fp7yD(sAoIK^&GS;Uz?jt;fI`5oL%XX5@pB7dIz=mg+ycp*Q9kD zu6<<8dF{r`1yAO&WXn1QROubGN^RQond92-o&|rtf=3z)H4nOFb7<uk=(lY?F%4YF z9#Rblbt$R^LhNTGbS86T&GXxErIKaqb77rgS;xDqET_M6zFMyq@lds?&3IbDpG$l! zz0U>K6e&1fT+A6}J#|6F)P!Dd*m+^u%lo#xQ_$Gkk}x-vCH1=C8u8uym$mlG9%S3h zsrAl(!^J?Bss3saPhy%@*(*i7k!pG++oUQce5Gbi!b)+@to(b&3#Q$KO<2Es7wldn zuebtqtGH@Y+jD_6U!0qcg$u9w#NM>1n<K2cXTc9{mh3&h>U>tGG$pJp7o2i$dcs^~ zj#KkIHr%_(^0eMzgCq3XvY0=AuS~pnmu0HFV#Jfmrd8{sx*!Yj%7t_`@;jcjIT)la zaK)}WVP-eSt2oyUciwZny6?K-&|wzd`N|QRzZlvsS7<kd-h<s(Uh;mW^45M~5&L-w zz3YXh?3s|TR-4mnJLk1XkAQEAO`x?6_kTG0WH(2$h~D?x5ZJch({T<lO`(v#TnCfH z1w#I79u$IYJ(yiT(`r(bTR^qThBIxa4c6{5=A2e7?fBQ0Gwg?k<Kdl<1&B6Hp;9ca z`3ezl&$AqrSBQA5*)%Dt@8O!Qs*W$`a$LKa44sL{$$RDTUZUw!ywZ&aHcegjiZ@<Z zHJz$gig;4jw8~#dN34?L@~VGTO{!%oD}Kf`E#*^MQEPJ0Xu05(wL&`Ilbe2B7h3b- zIILN6^2)?Z!r*lspLQ`G@6Yq!5H)MT4@Q>knpq2;XtHF_^$z$Y)Kt1oQKQ=WU{yEg zDSl3~iiryzWy>EcFuOHv!KZ2tv77l&r@dOftnY}Q(u#k)O{%Y0OzS;2T*+nGDlVwA zdD4P++$^W}rm-#ywRhZbXg8<SJMRsb)H$W*du%v$owF;>XTvRhj;{M&8{9yKf$lu4 zDS74by0YogY}=;HwVO>HZ{Fp&cDsGSA9W5hi^c^>tqF^}Ikf)INthVTvFhJk@KW{v zo*RxV>rb*Uz3;Liq-(*qdn~7AKy5=%bME_(mC8HU3trhjA)$4?ph=GKnlI)}$M^-; zeA02e=qq=4OIWqC<HuOeYd;hmPafvDrX@7RZgRq0ZjMuNj^GoL|9fpXxCs($MIUFb zR9?&*o)k6pF-!Lwr+}}_EZwqR0e_2GyyrLueBRCC9qSkHo2@Bz7fY$U0{E`SDw)HP z4|!R5%atNt{$=ScW?5YW%Lf^iuRPvbvmDh|j(BX&qWWGX;&olqrEHFCojitXH$@Aq z*{<yP^SfY9fq>)D^8z^~QjSmaL9_e{>{rD=$K4!o;(G-;jJEUYWThP%+zNX%*w6m= zt6kB2r6)9IQ}Z`aC6>m=ZTUj(z#*9@Tslt{)N_H3rxoM2l!!ZYNM=giStq_@+d;GH z)u0{rpzix`es0T-<MR%jo!NX0bnZ<NXqDTo{#KbKpzffRI%w4wct6&Oy5d*PvR__- z+6&sC`c0bKQsds?Loz*}omrpugSwW_Ru@h!3b+fZK;E8n;(G-e8ZT7gw#=xT2)dv4 zuoK@c(2Vj-@OkT?8(qGG_TSfo)(G~1*5-pZnoHz;Q&|T(8t;%3-?7~r4cH+^<AK(3 zzXczS2Xf&LJ8nx4v&b3E*K|Q6`{tktYtTvi|C18=s%Eb{c=n{@zq2}L4k{dV;_Cum z)&jbG?$dLSD<k0*=BoR%e+95_1h2!}4!YA`Pps&I%mxGYmCr$Q>ywukOns&RS%`P) zkjxWMtLZOj^!iXCsHFg!D_I4)@)xwK{b?HLe%cd<WUfpD_0^+5lj;{Q>%(uK_c%98 zhLfxK!mZX;nI*=cwT%Iw)A6RYTE3VITCf0WV>E--uzhqBdzNq|RgwKE=(L^_9j!7U zxku!k*f-7ujp`eN=1L~F%9!wjHX?!s^>?2EZR~?Y(}T#W7jb4^7xEQd-#nrD-(1l4 zb?^!R&}qNYHqEQ2G=CJ+Ipe5$(ur?T^#%j>t<8}q9REmw_RU#wTYAg`ouT^-wE7Zs za8EiTx8;d%pi_Rc#EK@=cY-?W5vQTI&p+Y=SIlmpn*1l|vfLsQ(6%|y0)SPZ7K0{e zLB%xKN<7eBylPR<B@4%$_;zhf|CpS`S9BY+tusgL*@6xB+?En@pam76F%bR#?E6>R z|6?x-TA^0Kn0m8%Lv*TW+s6;<E-iaH&1q_aptC5al7NGXMiNVQvy$6RSC<|oAwk2A zj3-hqCQ7$LSeO>Ibeo20I|?cnadt_p-O4DqxP$k*f|8(@rzUITj_+qCm;SRf-e0-T zHht~ir)OqbJ}#c$<r?>ARqpP;-uIO%U6me#m)!TpnXHa6>bzyFzJQ_0>EhGLN(`$@ zIlAt<ZaAjQqIz9;&DNi@rq^$Ku+U3iAtGJBX%oB9nsO1xpF#)Oo(qKRnUSzKm1Sza zTEr8-rd9lcI@|gd{95LpY~if}nXmivY+kTCXq&sd=Y}(#ET!kwBHlSQ{qk)R%~Q$P z(Uq{2okME9YDDU}D4*3Y%2-yv^$P&q)mqs!NnKz~shHzSZjNh_pheuEQtw;aO69#% zS)PJU*5q|O`oeg|HMh^4TJPO9oM2=L<yVh*Q_=J)T|j4J%YtWDS-iJ$W`T}~Gj+VH z&N*$i<*|ZS`OX^-=|RU66VqOKybJ(u04|bpym*vlb*yK=pQ<L)I{$!AaZOv@SeB-* zIlSfC%~=cHoMU<Y);-`KGmEs0cfiMP7U{YE0XrQxoG67gO6tu^Rm%7szy24{S(t9P zcGh}@h%EJ{Tfc>LCM&O~<~djtudt$C?jYBF<%|m5gI@bpGw!HIJiX82s{Gn;&ed&7 z8vB$FO69{Yec_)6*+Cb~ac!m0l)XI(d$T!C{clTX-p#4?uRCEeFU!>DcE>*|TMLBv z3hR^!IDYLH&?z)>JiA^%r&QDN?NgT1@O$3BuUo0SQxUujFJ~j;<*XeI2}{j|P3$Hl zOyw6AvF%LQsxK_EUU<z%<)%s71=f_RJHBk^ycX#mP`3HG;he8REKl#dY&f_GR#i9$ zySu3ih5Qpbs3a~J@>lU-lDS~WdqJI#3XW&fIi}ru!s@g7TN%sgH;w`2iU*72RaVq9 z9pn;iY7-Y&^M$$Tn7P23Ps^db>&4&wWmnqz2Go?Lyz{Q_V0T}$QP=UL$iXOffhl%P z33DHFo|@;m;hs>_r~B$R7Wf8y>}Qc)tG42g$U&veW`_z^?dwX|7|Xe8e{(|TVQ^|o zNX`;l-nYb0eZ}4x2{Tu7fR}j4bG*9mz2Q(jr_?!tWm|*hEckeiW%Zu93tlL)tgdZZ z@M9%Qc1`nwC!#FbvF-u8yf>V)g+^-n{25l4CMQNcjrZJe(2qs*zT1Ytz6GC-bBbvS zhy1lVm^57&+%VcMeC3by!JvNOD}84U6il1x9#HPy^z@!r!2g6MQ9I~-gxox%(j{L^ zn~qHvTysfDqe}W<R=ujmKZ%1<=Y^--DN63Uf2(o97dw{MGA;qz95&oL$?|l+*M@^j zSw!odHe6iGGWEaHh7+*;ec|(GTM2caJ+cLS;?iEutbMZ+Rxakun(wmV%ubfl*DR<1 z&RFnImqq)}%moh*vuN9TU#Qp|DREBgwy?<E?u4y6jvp6uT>Ehby0)b9+?9#fTv?V* z7hF>;?0D0f<68BE1%GBFH2W@^5q9*tK+YC+$EW3jIz=juSN(-`%FG<U<_qgwR9W#; zyJ=~d;FW#z6J~<uHb845%hS&v+XCK0CvuSMy-G#}3;2TG8nuI3_mwnuO-a}qFC-H0 zX~5nK>I$t(OyrB&{47DfXaZ<2a!FaLBKyt1pu!k*i2Z-iuBn1wOE~WBV-?s}%YO9M z6=&I=bxDfsPeEJOCW5YN`Q<P6OyL`7vvvL+&bXzP9yy?0%=syad_j_+rFbo%J@%mD zcsb};p8QP{n*V_+vWL%go+R8zP2}_XpP<P8SUqA!b64)KPw)%qUt9wh{8{-4itHaj z6S2pBgL;_}V$T+YrYW+&{0urz^{LJih2O@YiEC?6pB=On+~%AUUzc?5G5&?k7eSN1 zpcDEh)`EJ%pp)v~NPt%1*>D$6u*eT$5x>sFv2Ll1$$smv0qiTYK~vXeV$T#Vrz^7G z1??WV1DYP#|MXeSO+K#m>5A-!LDT-1sv}Pv+yUye+kramphbb-WW|aEKsT#r{F&7% zqXO#fM)hx+(ENo>?AZe0+fDlmnK|^=HNSbS20C!&%Qw)G0N+7J0xXU^;rMYg=%!lG zp!B|$R?7|@(ER{>+{FUByIW<hfDRTC0(G2=KTFuR@CAWJ8md7f>BS15_7`X&fETF! z#Rr;RuLx=Z*|ct{49|35+bfPQ(?I(sn_Dec*c@@<TLhZ?zO}6Qqt;V}d7#tmLH7qg z0Uc9VsscK_-~{OO0?=LYpoNBUpj-VwamWU0w0vX~dzP?eTC2>I=Q*9`>3mAJeY+k! z(rWnd$ZEwqyRQN48(TpK6sITh34zA-pMlP^dBp^}CjJ!YE};ZP_PbL-a}ai*;hMvT zWJDH4KMXx}u)vPHIN(-qt4z;#(AYkx<@5ryP5&(qXtlzjLoz1o=7}6Ux|QKj_iKfl z^Qu-fU*iI8^E(TgFiBBlzd7^s2d%S?`O;!V6F}n-5-FgKegR_75=vx1tMNd~FvUQ3 z2u;;FbI|*L*qndA<oCz>If8oi(EI5B+)0};vtowx+*8j=&Qu;SmNVMqvu3M@@kX13 zv`I4)vw6>od=^-nIwLY=*^JaD3pa*!>j-~tnbw)s4LbL}QpNc2nKNzYi(~WO{oM#& zj5j|PG)w>OdeZ9cH%vaAT7PTJ&Hw+ECxxpBRbTZI>s<4_Yh~Sc6|Mt9{DN0x1a-Fl z>nXj@+qc4Ya>7hj;f(wbukH&uR4l3faAo2hL6)!nY7q~8n%YithGhwu#JO%b70cp! zU1-fW6UV~b&djxj%?qB?G;QTm(wM6p@m!^8lQ-wJXt#iWUQN7VehT1i>fPl&vXWmp zwd_4Bo`#+|vSn4C--a7DO|RGmbT)P@c-G0{t*f{qRyE=oSJN(Sj%mAl7yOd~p9BNC zL3H*p%eNJJ2en?pstfMreOLSxH1^F%=<Vk4iu2oWt(RqKzG}pC$EHorO<&_wH1?ki zn{kb;m_zHG_lAoPS*G?YM?B$aS|u)^6Q;hR&i0_tcIeLG+5A4Tp0;iQzge47&F)EE zUNu`GA}_z`SG(Yv4|Pp#%ONMCOcz}9DYj{mx$v56${PPP4odx3(%5;?OyXSBZNVw` zCMV2=ZSXrM2Rd;`%JC=HLAL2aA%FP52MhcYJ*d<#5b{_3V3PE?Lt9pzSBg+_4fw3f z;w|eN@H?a_^_=30YR-d2_tjS1SBrQ!9ojH?csSVI>%T(Ao`c(<_lox>Y&91avF}Rg zRdPJJne*B&CC8W99M>X!1HQ>MeU($v_+Q?{d+k2o;gu5VH!>I<pPCEm6oJ-qfp#@t z<@c5Coa-9!mz%{q#y8+IJBxQIXV!Yvh-Z>byKc!{j>_|H`t_MJtU}rGunhRVn>|y& z2gL2|O4#~dSY-d?gx>qmvDnG}zOtFFLNmf%>T+D0=^pUSq3Nrb;FSLi;45qE`Vtmv zb7*bn5ZgI-!K-{u@M^qz&S^Jt%$DcA^Vo3ZAIny8A)R38!Sp+KSG@9gx0&UuzB=S+ z0o90?<}AJKEUW*tFUZK@TekHUAIs72$`Oy>vZ(f}N4(}~x+E^NCRkmgn)x7j9iHhy zwtlF~y8q9!GP+g4?z8$+PLu05#TBzu!6ysID@Q!4X_^(U81c%g>6W>$PO-h?U3boD z(!y8nXXv$UKJ<-+_q$5O%UqV;e#MBVuo~9={A{bB-$srf)ecJ8D{55AAB^f3m;yR4 znYaANmQ#7&8{)ba{E6l?vzWEukvoT(Rr`WZ(>cU;PFwJ*pHpo2^aZ~f5;~uQ8zluN z&t8AmlBv6u*YWLLj%k)H3*H5?oUWa*;NMLa?JFGM>mK_#r0zR!I3>^7W#_%&R`#<* zi=*3>B9g_MCP_AJ?NiaH<vM7U4!x9d&fbbw9`Cc7KH00>Sl}J-k)K7{);Zwjq#4(g zj5$}m^Vx9YAj{SJz8j95WHCL>;;pN`qE_^v(Q1xY_dGY;dC&RE-gU#Fs?XPX#dW~9 zN9d}#GK6^vfg6P7f+C;>p+96fo;iotI+cjmWlfiAA<OZM4pz;6+p{~gP940i<%eHW zFBi*Hd&dnPrQjix4Z)%N?MhX)H7)pco^x7+bHL|(7Vo_-6Pn9%7>}8=&jei!x?7&R zctSNhx8)1@^M_<a>_BJTh;K4rU%DRD$K=&H<GA#&6W=2KO$O{+_k*r!=>{Dh!@K*# zbF+pIo|YcxW<olc?X5CP_|p^ltU#0GIh~*mCTL7LJ{7ba540lwJZL%IU(f`*xY#p= zPfVcc^}6tX0#+TMbz0#0;6e#*%Zz>fpicWaC%#+HHyW_dJgw&XBR`Sh;N~gKdBvcs z=j=fTy8Vur(R^q=Xt#Z(*s}#+cHJ$QT4Zn!w7mQcXgL6AkJeTjZp)7Opl$Y`Bj8Sg zHhx_L%>>s9gI11BZ<R^;f8>zNmwBM`bYHyNVOeZ&1GG`^I(Pv1(L3<&xt3O$Dfdr< z4zy2IWWVaa*?@f`s89J9bb0Thvrc@o_JcNjfzHtXwi&b?u~}qY5Z|t7&@#8!$P<qC zpvvtY=yu)Z8w}W&f;I+x1WmO+ojn<Lklv!zpf!4B=AaYpj~tRQaRW_X|C8I{ReWLA zNhiKbpq={X4uY00uy7Y&u%6i}^W=O=A|D&5&%P0KlMr~DeXPzI#}v?l1-r9{WR7f6 z&-3GZ1={Lx<PUs)V-~w_?G;C@<4%0HKnDTMT&nYA!S0?`%M-CDo%nufN6u(IBnxVS zr6=-v`Gbx*I<0fYG4=D_ikp0kK&S5BVgw!H2imAH=Y$jAB=3kB&0$kP=L~{|_qE|m z=Th=PcOKr80(IR%>jn25apLQm{SLH}VKFGV%}Gn-Qv%Hfd}RS0r#qun=8Aq&BA?K7 z(7wrD(7a9<=%(Tct(GT1hXsCj6?>+z{iGdeog?VZJTCA>MBvp81~xUX7V~+5_5&7n zwpy-;IpD;%s8sCPf-+m!dOW!VMfTVKn(tr9|Id7k@%<A}O%ETx_h0^UiQA<+W<kq@ zb$8w@v~GX=#zLmp;+)@-sRoxaRU;?0rJOtqJ|oXcQd4;DwN4)|@4RJ8T&;rD*Df*s zK3OFay1DMpxl-G8&!1QSz4!k7^YihaF5Wfk+w*7rwyWFz|JI)rt}^M*e$W8^gVfE} zK2O$QSZaRj@6=$%2zAGRvhb#_&xEJg&q`>1$|8DR@kR!#<I`*ov7M<*Z)Z-9k7Z=p zzjD>ymC74SS+34kj!3#DHzVw9E(iE_Hk+o@X@cMtLtKu3PjiIrn6==cRMXUViWz@6 z4r-kj5V>p6`*6)RQOA!W2c_7dwd`c?<$YWH)HG_v4q8nYniA`}A#V19Kd~&*YgAYK z5IN{({5&CQ>UM>Ql=P-mzlC+SwJfNbn9ym=nHA@>;YujW)^K5+;^(kkbnVOgp3GBN zVV~^plih0Jc=#rZ_FMN06@~}3)CELrn-jKnb9Aj&iFmBmG%2=etDKU?-gybKZ(AQu zx!aSlSC;eCd*=;~pvz;OIi|<_d2nSSXbbRuhYcsDvV`vU-*7{g<!ZhEh9i$zOqHvX zqr7vy0;<`YQokv!*xiw^lV9M9eQ!eRd0~@1O$kfI93S824EuQ(>avT+mqE@lom^{C z_joOf>T`iLr5uhgnGRYl7n))_AAGhzeSbppZ4Rw}(-IadIzFuz))Bfbet6|Cv8JQn z6jsz3927bZZ8FW4pKCSAs((S*+=Q90p*OQ}yt4P&aA-e=RNfZ(Wm_+8W9cndjZpOt z_#)AC)y^s4PfU~PKA(V3QB7O(6g6t)4_dv3O~v*t@B5OLYOwZGzS@lh@un_zLGVHA z?VMtkvlqOY&LNg96!PEjpwM@rD}RI!2AvnWa<8DCCw=Bx&R5Ggrddx|@Gq!I)DCns zx?+pB+&t6LC0|^dj;-bZugKfY873_tQa>x9H~jq3Enaz^8?K#WS^8XP&E}Q`Z#-FE z&-D!0=eFTsD2r&l$A*h@S*HH?*l=Ppw09jIU&^p->y2kDSMNJ+aA{lcOpwKUu1COc zo~BeiwH3QTH?s*`c`vl)1A9~3YtFDu%!YH0%@$nqsjO+yZ=p417LFeub6$fj-<&M& zCz~1T8Su-ksq~$K#&3m#RsF(K?kC7C+j?*ri|Aw)>9?){KMk5(`;=Gw;%qvqr?BE* zX_IQ6!it~9O-p~VY?W7zcm}zM_Ti-6vt^EaEY4S6Vc(w6>dYx6#u4_*!twEE&aj_S zju&5ZhW*xb{KyVjkSET0t?TUZ0<V1k4d>)oQpJVVl<PSD>=(=_xCiN6@BDFfr84Mn zfpQM9o%6v*C092t`1N|zjB7%-1+U!cO<2jwvbA3^Vwp?8_n4-q`<w#)M>UDoIbHZ* z*EIE?(}fD34X3ij_ZY=Mu8){CLxeHn?Om3m&xO}~lxv!Fn)8~~>;+%Ano4J}q~@zd zypL=8RIhg9L1a_cddnH%mbYd>R^+({nEG$Hp~-U9-g(23RB%gkL$K+7+ftRSZ418L zWjSr*6!1N>>FFYF!?nMnh1PuVYicXz472K5@X?cHb<Hfut&iOcDrP72#tTk~@!oK4 zGRx9*xu%C}HvfcXgqw4h^;x_~1sx>gA@(exKnZkPEoiPCbRNm6`zeWhN}w_EuhT(S zkR^&01;}qSVDFS{f3)byf}d()&lF5&x5{k!4mv@mJMx6%_X@FR3g11&iZ1M*&}yk+ zqUQR+Pq*R2Tq}ut7GDF|m&St*J^{_mKb^1hL}8UHXg;=DncK4CJ!n-tsGEBdv`pWs zuT^G=Kd7l74mz9#bffD%&=G0>i^ZNLTu4`BKU%-RfPL|F&{ZwG?H}`GUCN*<0uGl3 z+y%7(l0ZAV{{96GotuaiU04HJ6t5j|!tr;N*fWJ<Yi`RIcA%+<f9IU|et}j7w)Ph9 z@UoQ1JAX)K$|apA3412ATCUjB-zwwc54wweezGF_$J3EBnva#krvp6hnSnOXfd(0> zKwV+b4fHp!%lCm+<h_kJ;aFD=+dKzqAM}8F-G4yy4nd&)`g_pAebBVV-|HYZ28tD3 zsF~Ml>5;od!d#vGE$HCio25Z4{E)?S<^Qg@%RcD??TB~^nrxSWO}4K~RAhe)y4%$Y z<W$g1_g2sZLCrNmQ1jqMTdRx;Xfs9B=ZF)I;LUTEt*tUCpml-ojl_y3?4Q+YDY0fI zeDhqz`77?SJ#vYP>`&7{H^8>JKhk=-V3#O&v4Ho+3C+Jiw*Vz+a$9E118qhGofq&H z)Mz@RC02AH7qriQcf<+DdR^|~fQqhG8J={#gJ)+pUlId_r!aSMz|DHNk4jEnahJW) z1v<Sz4Rn2c3+U=!(BXKX)b`6y?Ae0P2Hch>>_B%eq{+0dp3+<cYAc*cQ)E918r!)D zI!+mMk8#JGgHC*_#5WqSZ=4Dm-WTRBp6~)`ePae_eIsZ*B-*=hDr`|+{BJ4SKNXCr zw`#9IcG$(FO<B8eZR^a=^E#VPge5JTIP;Nnw~p|9wR7sriWe30o$iTJvrCz=GG&{= z<_ulevr3nbMM*}gWv|Sb8IiVRW<=VPWV1hi&s7$`?XP~m=iKk#*56BO_t)Q>d9yuF ztny{N&DOL3|93wT?M#W=2D#tn)w!82j1hVMO}{LgMEjI8cJw4H<>r)HuMqLnt!dGA z;WcIQjvtS6Uh8;ben$MyE3b1(;5#&{1=bknGrjeVyXVgE(0w!avc50Vgr?ZfPiS7v zp|y>}EXq6JXIzu3obrlaOb3%@%OCsr+FC$oqqXDNW{zoE0$1XkHh^~iu2+e8XVvs8 zn<H#THT2Y689!M~TlWhU&mPXWrgd9T<Zf@mR%VW_^Xd_gO`0Y>7g`gluCccZd^Ov3 z;Wghm9Dk;Bn9V3;X$vltZt8s|6mm{T2ho8IUfy?PnsCVeISHLpSxobl!AJVHb54^K zzH;}Ahfns-wVbcsdu=$hkA;_CIpU>A(=pMe(0x7uTRb=1>gVhNO=Zl7cEwIEU*5MR zu2f`MXq9$TX%I{5cg2YJ0ZpIOg>wq{9FOV?=9K7yuN5y+cf87YFv(vqr2c6QPkN^= zXV$*ngq3?av*evNoH+y?lGvT@FMIMT$E$ef4ToU&igSYQ6+fljcWg^np5umF(VSiB z9M^tmIGz;dy!MO5@ntvXwVksTygAGAx|H+O|Hg!7b*S51wG*PG&#JBXBi7{lO=-n1 z!KR~q>MP>Zz(<*at`%2ny0snBfj!PS?e+@^p5^~^nnWkD@P1c_czKwmS6pCC5$I+h zZO{Sh+{^l|>~jnF!`5V4=N7QZf5Ww8mZkA35$T^<W$bq=AFKjh^&@bQjkT#OT_C4K z&hhDbA)O*y$E)*&bU-s1`vrAA{(;tElGb9Eqq3@-ZtWJ-DOPa2`;+DLT)%+-F-@ZT zoGuiI9`urJI`*0~?5DQl#dOZF>bHdwejjr=uT_XUp8U&s&1%wulFo#=PoWim`uZ7G zm!drb{;9J_uTfs{L%gZ?7|Yc7Vw+`KJ(?E0vgQ=K-M`@1Ll$oxwG}@lo0jS+uehrc z@hq%qSGl0h_w=S;{=#cMyb?C!fp%cmf;zBO?2eCXIl@i~i^RHYxV4<KE8c6vvF#jQ z_nbCdo6E9PUMb?aX49sN!j)6^>T;giKR2OyFQ-=BoP@=#EK}`WH=IxfJFaQ&s$XYU zDsMD%{2C9L(x?~G+3G2HdDULlgGRdruACLn`EJ<sOI-+jhl059nlHRf$IOM-eDZEu z#4oVMOi5$kM<<^2UUOIj*xFw<^Q~*ZuY{)3K6Q<1v4d6Of>ZJZategOm)?}vJ3g%! z&{;IuVC|~)>fjscz6<G0R9;c3b1>*MM^?PUhBIBTv}W!jd-Cs`1$FZiTK5Z^)J#lR zdVTJhkH@Wr)_js{TJ)JC%(`vCkDw;gRV=FOl_FkOHC@W)ytcD_!JEY_uWkJU>NdMd zoMXEU-SE==a;5TOW=<`6-wh{(npVwMk9gzN^y<EHL=vy#+53VzrYbA`>KrsO_CL7g zRi5{TJG(hwz4za6D3FEMo25A57ij5N{6WytF>B4H>Oh8vdo4QF%>!N4k`J0|6$CAI zix(@JFlVDgv^o1)7H&(A^Qnn^R=+n+Xnq5l<jyw)9gQ~)bTr;EC%&%xiHUq}+c!;U zF6svz%>r7N^*=F@FKPC?uGy2De}QVHBkMrDSnye9Cib8Pz{<m*>1#pm;t4B3C%!HP zjq%<F4M%f>mILr|TT0j+IV3aXA80wiMIq4HEcZYI(VC!JY8gSR;Xzm4%sJx3Hwm<T zdo8G^{R=dseR&>iTlR#%UqOfH%7Jc+uLs@J<Lh@g^pxYrUeIaw;$lSsb@trF0+ubU zG9jR0iZ?l+;e93U;t4z2T4hY?4;+$N0$LjQmK}5f>|{_6_~XkRmc<jgLG#w<K$S1} z7Im<nc=$oVcL}sVu||x$IN&D}w`E7%*+Vi{_MLa)djwh<__127XhIF>Ael_gbwPZW zHiIT1z-#g*w_3h<3Tk&*fSL(Ctui8@ZFFv+M#@h&&{l}wpj~?4p@vtr!Sba7)pJ^9 zt|+I2Zn8@P9XR*y$RU{}-#{ntgH9k$W8}7cp_iJ-SM(g@QqUp53y(VSwPi=nXuh-; zbS9n#cX2>vBj`-L_*1(}18z6A${Z<APvnzg2hGugJIoVwd}^;aW^;l@_d)w6*MY{& zL9+nh-unL8pri3X6A+eS&k{^N`@}4jnF6|MMrk+bFndtrAjb}LnEkOsGAf`Em9tjd z#S@~AI`Q2C?UFq6%M*Miuw4xMU3btCy5D&~N8?4btu|qA&5by5us{!VG#+SR0hHRF zhJe;BoI50QMGthk0%$tmu^H%2d(ct<InacTA$ReGn_GHjPi}s5P3MebemH1Ue|oFs zjSuW~!Jv8iGhItSl{|DC{kNUA32BRN9$MC!)^_~F8H3FkYmew04NjVNF{`t(LucyC zITbSJEDL9MrFE@UohiA^$7afm%q?L_(<W9r=qhJ-rgj?{Pp;b!zSjnFiQWCLcfNoB z{oL4M)gIR;PwThlZu<|}M}P4D+P#4)MvqfBGkBRXiu%u)!*#$-wCR*Khghai$lhk~ z0WhG8(W;te%|28AbUS~2|CMblTZ36npHW;<t#YvFxbT&Dj}3>6n%Y)#gzf5G@G+_> zlnqkgMT*qcz4FL?eA#gAqs<)GZZt1=lGC)cPeG$r=Aacf$Ej-^*FZ&eSQGCxfsmS> zgvHM}wDK$1+BToK#u8et9HHYJ@YkS8wQsJ&h9?4tRL%WC=c{`K{I+UJ%~M=)TQ%aH zVAHSjLTeJZ4c4|9bB5h&UGTAvWwne`K#APJtkkAQ-W=C<Ok40It7+>yWsScENL|-+ z7Q&aKK=){RHt~K_T~T3h(5;wLYrfZp6G=_0;uRy_q&2;=SBhBVAMn{9G|U)KeY$my z*=AwKyR{tCesehfWje?e54|UcU))z#6Lc<~FXUW2XBP0ec-<`7N6sDE;`PpB!!>J8 zFL{p*=L}g={naAg*ED?!7s@G6c09^=(2ZXx<R9}vCD6U>o0vGJ$JF|=UXIFPZ+i7! zA>t83(=2)Qh*d7&Ep+P?R#Y>C*XjRfILNhMJ;OpR;^o!!WQ*SI$`McBvbcU%k9eER za@1cb;_(~kl=`PlE0x!(Ili>!ytZ@xf;Yh|uh*(;{9jdUu$Fh5>WUv`O})<qLe2^6 z6frqo-OM2t?HBO(HH-Hhzktu_EZ(+`0lzDoQWrhn2kjv4w9W>d0JB}_%6#7qhuk@( z<lHx0n#t0e&9b_tcR|MNgst<1MC#`x^zIj$a_5CjTxxc9)1}?QYbGmdR9hUZIxaND zzB!?JDYSzqy5FiarG(q@>3jj5Pa2L_1rH`k&*{$$t+zcWv|H#(4gbNQcA+ct+&7%5 zY1-w@F)dr*O1$reL;ajmc0L;}#dAoV-@$M=@+oYYgnRist02&JGMR%?^VKver4B}Q zb9%))Z#Z|BCH1{(#CrdLf9F}G_qYUn+}dsNFGIcQ)O)3fCkjog<|{?K5ovlA+N8Q} z9yF<e`mUw?j&IvJrd76qFZ#(1Ji6u8dyfrHvlcvTWYMmjwBX}Omeny10bjJ5uI_UU z_><RUTIU&1<gwuzH_KA<{Q`$0)7zo7>*i{I*_W~o0sn+qq^EFb&2!&yQIuuse$Ndj zVp&4#9XH&#%yJd9AP=;1brxsS(r-#D_S!>s(RG4%(Z#!MIAjiO$sM*{-Z!O+rFXs( z_+UKqy&RXL-uAK_{jL)6n3+YjUn$}>OVcIMrqXG`Q})hF*n683d?cPa2lz<57eYMC zKbf&~FM$r?tlL-r$^&#V9%xKX5weTUHDI^zhBHx3yZVK7zMD7wl8)`kT>F8oscpCL znlE-u$J&M01gU83YDn1X4H*@y2FK`*Cr@UrR9@@O>1FS};T)T{;o41wP2hVY;+lB* zR9F0nZ0da`9I|gl!o=4cMFD^HxQhi|gU$!f2i@qlS?9@umEIc+izk4_if>tOHejFm zSIxEHCYQpV9QM0+K>bR)!%lp^mV=In?~XWe@Pia+xLg)A5ez!;<WC#u0A0|z@E*M3 zn(QCfM$BkF79DZIu?VzhtyBQkN>~o+sDo}Le-B!dl@F?Ut3gA);FWV4|EfT@&w<WE z`BV?;SWcV%X_IUS=(?68-x3wszw&Q3VDDstt;YlH5_=1}7UqmEXrVc18~$(5p>zD8 zA?W>}{e7QPL073(33FRYygz$LCd3YL`&`u-P|tGT0VlpkprvcQpygxtP8^ci@-9h{ z{UvDW+PTZ1MF9F@&lYUISroHW<_Tz{7+dwm3C&5M#*OS*C%#jltK*eGm&d&Vb-3TK zfm#W6pwsIUf?zl4JbMqS#7xr^+28tt@0U%p7Av}NcXF%ciP{ORGG9Q;6uHzlO=wPR zZMD=`3tF=Px+U<GEa*ymd(a(1lR$R}Z8l)<_0>Ck_M~G`wAix+Q$el-UnFw^UR~aN z44MJhKA~0S$#hV_hJr52ljbfqhytB9$1L_t0eo~pUXA6tOVDF(Kv%{mnQ>cY$Q?W+ zv!pLsk^L<z=tR6@PJFvSBl&mM>O5HhT7aNo13we^;D?={)8=w3Sl0#d9V-Ogek09o z*^vf1xd61bqfQ5OfbQH@8I|dq4A|F#PT%<@CiZN>WzY%`(2D<in{}Qj<d@!=V<~Ya zMUnkzENDKbPUp#j8V>GaftjFNZdZd&Q(O=QYB${w5-SSWKMl0gK24GRENH&w%3+-+ z3-<Q4TAnzwLnvI6{VpiA#enX#2aPasfsS8%2s%BeM2p+9;wk^1Wp#hV!=kTufNFW@ zsQujQ(NTHsm%1iT^qZXWu6$CI(B+p`CK;K}oi^p=lxZiXNKDeqEWLEoa!#^LyJcVU z@wXn!cdiCqfiua7)7fa{<)BIDYW83Mdgu3_kJjKt_wT=gR^8jzKQ0!}6|DRjpL_f5 zfAB%~DStq#?q`176q$Nbh0EdMRhHFvJp=amZ#Z^YI3xeZDR*WL`&l)auRO9(AAMcF zeS^n&rHFJh$D2D@UW;;^n(wjUpe2i_zRHaZQOBpZImId)7QC9w(!JLsz_v`WZS#>n z7Sr#F5m|hWZ!1|&&oO8O?+Hu%{${1}PFI$%;X-RJC}!-KmatTvLu$XnhEr+ciBYcQ z3K6;bO^?`x*A$94o)kJ5l`c3X&SS$luBJ`d9M^XDFZh$pBHaUBN`KUMT0)d`u6sZw zf0HYp(uyjUgGtAQL+qOqI=MNr%s8j*n!4cIUY64~UIFE@2aBY2j~2W-uM&}H-qgk} zux5&ShRythsh6P#=k)2#unGcQDz3|t{b$mGC(10@f9EbJ`I6+5JvW!*)H|;Y_x`aw zwfEa_u#iP`zu$(y-UXjhS-Q7yuKL%Mu(6$U)&JgvPG0HP?cCEqhrd0OR&!+lO=6U_ zCCqf@d?n|-;m&oASM&YB*NW#WM7(^?(krhNk?Imq((GL^b?bFuk^3_fddr2U*t8_9 zJufiDt~FuqXK-oEVja58uyjeetmDu5f;k@)9FOi7$}xEy<g@zIYYwrSoeN&=<`6qA z81mobppd%ol|Nz!gS<Jj)~iK4(`(vQ+w}CGQ^0@KCegUvJ>XmD4@XW`V$j|@dBMk+ zrcgWAfG;XdS68td)mMsmEY&orTwu*t2FI7nIj&VsT<}J0`op}n+m#)Enj8eJaHyM< zuvnNwtA29A#G}F-@kI;={X+Lvz4CZt*7Rz>VnmWhz~}oc-nsi^F0b0le9)*`_=@d} zgq{8ZSM&wfeBf<r<K_(8)wSTGR8wf3Z@`zrrmO#418OdxHk@NB1gk3M1-nPF3r?|{ z1Ye5B%JOu*%8dtMO<lH4y>hB6{`fb!n(bq{yy}-))6p{Z760m*RQc3b{H$zRs>QNZ zTtKJzD0FQ2ZuGLgCv~m?{{@>w|2bYTxOHSp*sW;`KCWX~y{CV{i$s>ywS5bIWU^$} z^e=c))U@>$%hLCX5ziBwHk}t<v;E;z3BRA_LOB~wLq{Zj+*_%<*qKvHoKwtl>VjA0 zoMO>#0e{_^RNtws_{rY1be{5xy$88`vS(U2-kr-it(xEQuQNy34;{zD!5m?~_#7Xz z9n`uGz3@%ut)J}5zw;LS*vyjsLd$3MlWQ#5Z(Rba?3+r9SW^2HBi^SpeY&r9BSF*g zXf~(WuI>e&-gAgmwk^m?QEl6NBaG$heXk8i_CZ7KS^KiSC4FiuYK0COJr}yNw<BSv znB!k-j<6rPj)#|Xg#FTYe5||f*^jB)1w`ihZn(wH*(J_#?T3-$$zqOcQ9c1xq6e+E z!>9V|UwPzv1pKpSk<Qu2b$Qi~w5Hx~YAgOQHn~0%4EZZ`FezO)WWBJ?#z_mF`EyK* z@(%c2*pwQluwwU1Q;Bm$w}ru1{Jen#T4lwPD-$n?b4tzk+;EDYvum9~#M|R6N8c;Y zXg)R{w0#bA>bhB8qGQp7dws2zFW!L8Mq>wEJa-s$-wn6UnS%=sIq`MbCnWN@fi6@1 z)DNnD_fA%-aO6^`apYT74?dX1N~|cL{%lsvQcDj|$$eIYyLf^X=nh!^<U~Fr(9vt( zO+X|2wV?G_=MKq;<bn2tSA$MFX=s({0o9gT>-UM7tFvFs1yvW;p!3#2=fiy52&%;( z+vkphrk7ubh!ss(2ikL;3YxM8&9v{H-753s|A|8~JfNBOqv5gbt4-J!TX0)y?4R3e z*#TOk^>s05{sDAz&wnk@QasSEaL~nQLFOAKG?z_pwLD=1Zvia${RY&kc~cd$ROZX} zv_w9x{A5LT$k8nBpyO#J#GWk(N>gON2%fY)3L1k3T{!nc6LgF{sHHG3QIY+nr1=BT zG4|SGMH75M0Sa0X`0p_2{FoPTFLlMw1l<b&nzh~oT8g(OO_BYob>xiZi21EDSLTEE z+Uswe(EMzg`KL{?OF-w(eaitIi#NShri2?b_TeH{biuy8)lwtogcDyIsL6BbE$H+Z zQ1hU&2tHc=<><<Os})D{4uzg_tZ@ge;OFPI^f;FYIu;Lfb{`AqoIx{g%M*H_T?U|C zeESX`lHs|wX+rZOVbEeh(2+Oy*7VLcW}he<al)|_v>>p|fZK9~{Lw=)Dqe_Dn)9IK za0PTVUfe;@G4`NQ8qhMxcZOm`7vwh^u($f=9Rsbzvj$yw!_I9fA%EhKOh_N-BEQFx zGn#Knf`XF~bROQO3C&N|>YQ<W9Vb>ap>9g6<%>15;iEKnUx>vlwR~|FG)x29V}FoQ z=gEQ@>4|)9pv3mGMC_TuriNCT5YVYa*Zwzx&dXu+S)nx>RMSIO;(gnhJ25qB-iFLg zn_P7#pW!*5k~U-Q2_M7FAEwMmO}S+deA4LRHKo%>qPlHVW@@H+&njM2+?8&V^JvSl zO+7i9az>lZ<b1KY{`J{C`P<<0a(=$uxBvd%?Kdtho$VU;FZ6cVxBve-o``lH`IoQS z?UJ@9XVrb%PNoe<teR$>7t|5mTX(p;Svl*xQbd}1)2`n_I^`UWe;;#(S=552=J#iE zJnWafwybZ8o@z#o>_M$^A(2?`4Y!P0j;8A!-g50m+kz*3EZM0XUh+O0&K0tx`YT7| zD?0w{<}{0N3iwzCE~{;nB2pGhgN98b8C$&9<=KMHFpX(?RV}PjDByTDnRA-e)CJ#| zno^}$O4qAKyfXt2=~qlz@UZ9Uo>P~mvGh)6S^dT{z{GvSt&8CK$3n;)=bMm|M}ys? zt_w_w^W5M!AAF*HoRY@=pS?2m2JH(Ty<?F+tGuE{<)G4XfsnOAI@>xH{JP5Gy+&ch z&RGd7)j6{Edu%w9CJR2Wt-KsGzp3XN@ZX_H)Xw+92bHF&`#dlFP-+UT^9=Z+)^t@) zMZ-=d;&D8Ss`=i|@S9c2jxS?5uUR%Mcq7R2dMd}M`sRdYZgm0Z0jAw6l@~u{nfhKm z;z?oCs{bkxIg@7_u6@PXq*|u9;wNL%Qa<$+wMqw#(gm;VZ2+I!8t=Bjsei%4WESne z%?myr(>9nBdJo!QDk^!tQhDolVG;YDgx>RlQ`QKq`D)vAX}a*5%~KY<v4tEjP~OD5 zXnR7G=yuf`;Qp&}#FK!gRr6IN-taWNy004XXcM&GzuC4_rBvMU?N*l4Hr@f{&%8i) zvI$?gKQW>8yO4>^jD)31j*l;Mg#F}myy(pl_M6x7qcz91AF_@omvdgb^&*UCdC8oF zxjVt*IEPfzzt4o60+Y^RW-)caqwSn#Spp&dOb;r>Hm&Lx(D^3fSbDcDQ+K1N<Js*( zI$OmZ-^v^`IxcW!uK$KR+c{q8t3^D7owV}s)Jo;0?VM8U)gx3d9feL~aCE(Q+;FU& z(@V~E!!=EorRP<_N5hF7tkUK<W$&`#pfHQ*f9DMs)3z7i`ZSwU>}D=_P1uenuiBUO z9pPfRy5D8P5la@+dJpi@j(nwvG*QR9iU*7StF74oQg+5Qu6(789opaph&3z+wc=GY zs>}{%l{P&J7g|&J7*d5<{ycDH;<d*tOXC$H(kELRuHCem^V;p!1vS$Wnh$eo)iow8 z-prx(zae3wHpi-a4jXRNvRwV|vEj&!1<zK$KU6U7*1QGZ&as>ZZ+i!ADEYo&rSi`6 z!dKP{tocye)HYpsO^L1J<7$qupUjRIpL2#)U%fjc?BiRGYaW7A?(`?Db>{S%@37&V zBunaf^@#UIO`rCw-gvMM8li;-r72%@9G`~YYtGa)>R#~5nnUdN^aa0;b566Ex8PYg z=d@LVSN63)mUTEn*5dhYIHdjVXo1u*&af)b{XQIFKW{)=Ocx)6W^kOq+hOcgHFmZn ztkrXT>CSnrvTMPbuLf(sZc_qZ_xMd|#gD+I-af?@F=`PhjE-053+R;TI)07joE8B( zhexr+JMSOpD2ZdWGs5_8MQ<=*pQ!>mb^YKWnJ0FKocMmZZ!}<U1>My45VX7g<#C-S z33osz!-Gy!&6wY6x#I8CR+%H9tJtL0@19@&8gjY$+hb0Amq4|iUt$o;`5?xY^OqdI zJz3dr^<wT}C%#YiNr`-H;h>dxpdD%%qTH4m|65xvJNBG#;#;+m9kl0c8#8EQczdg* zhs+5lzFG6ZC$oUgTfeDu#_^dF=+?POt(GTBLFc?OialHK;W@mrY|{q~F2CH$|48d; zg3WBu{QB%x85jP9M82%~DT?eLg}93^`~Y>=<3N@0x&%e`m!Qt~b33u334cKi1?kP8 z6Y;_xK09+Tp&E1tyEu2T!L9kA^Xm_SR^ZKnRWAX5Z-Gt)&;wm213J_u;uz?3mQ4oi zE02TL0D$)5Z~mASvs9)8G<;q3K1GrJFKAZTX<n-g59qF4uXNBb^iR;KwCSLO3qW`O z-aQLDz`ht>U#cwT2c0pSEyiu>@g8(6&o@wC7<_)gN1Z1M^;X=)2B4GqA1wvd!k|{x zzR9hY9cw^q1=B(I4W6+BEyTOM!GOI}Hu8j{@nO*YL7*W8(1Ao}GC>xBj|ASu4Laqh z+>qPy1*qM_wSDu1=7*q0QRWdRzGL4EKvN~#Ky4aOa>&gGO_hKa;>nzL;+q88MzNMv z=ZV5y&_cZHn<g~B$pwv<^Kx6Bus?Z7h9_;!&4Oa+O$Q5hJbmI3FrzteQmf3A@1XS` zmSRN#wzFC-JI<ynvVR4oo+C+OMHlw8wOV?BPRD->8W)K?@5HxDAGE6Rto%XyV9OWh z6BGHkc7t3AI((-@1$1t~nL{!ms~}#gm?pQVdzsy<1!bn7;|oB$3qW`7w*7x#{`Gb} zBU5C_k_yJyYxQ4FT$MfkF@Jr3QLc_ix`toKoEh@zXHp9n9bI~8;UedshGzE1o<0BG zcgSt06nC%d(M69G?Aso1vZ!mB-NpCm@r4Tu7j9fpc>GjW`fbbed;eF?|7>yo|NVU9 z4WG-s_RTwAwLhR{bM=ZPNB$gFyB85ubojd3?Uk?hFaGcJ&`tPBwcRPDKeHBCY+&#H zV&hm@;xG10wCT+f^~fDdS$;~^Mz(xqIU4?Jvq!%0l<EJbwBB@|(Aylj<1x!mS?9=> z{X!z&|2Db)Vt4%c!$WM@8;yoHKMflW-Hqa!u>Y60gWx*}?f{+NCJQ`xIZti(OG$jE zdg9u=BZo>APMB9sbFJuEQ1L!Q?B#Kmr+k+qW;|rM`R@GYg2$Yn{-$r_*srL;H~*~C zUXK8||4Q7S*&NGmdF$MfYWj2Mr_Ld<rbp82H+j4lo^pN9u|qPdC-P#?9Ga_m;(g>P zr9X2QRDAIj^VDWIl^({(6<lcQ@a>b7!^6CI?g{$Wn7a!29XCF56I*HN`16~u&KYKw zo4=wXcC2Hm+&$Ozg>OJjf_nEC<EEnP<q<PBvfQ+Lzp)^g<CF9EO&t4`HTv!zSK8|p zFz=Hh_vT3o*1rw7zl%B6Jq#3kDBJYtl>KH8cFt4zb5j!i1XIfACq2{?dcyy=)%8c$ zf{Ir%-Cy4NGoBJZ&&(D4mdWAUuk?mPcWVU|;{S0w2=4O_SX0*Ox~qRd&G%5TWx|fz zUQXAE@o%b`J!$rS%j^GlpGZ`2+!%aNsaH+o`<~9$nJyD#L;6~0J5G=fp66Q8y1?RP znpmc$W2IZFn3_<^^YFxnWdcv8*G_l6;U3Uq8nNUt%Trm?$QhqmZvHLbP!P`fN&Q`l z;Hi780XFgC0ZXD^Gfr85k6FX#?JtJN%K`yA+*p2U`9`!<b9QZ=y~#tC<5cgg%?5Wl zH?57@RPdMclWg=xj>DW?ci$&ZJSIHl_x<ySe3Vc0WgI_rR`rDcivvn~90TTj6X@R3 zw!mh)G56-F3cGh`b8l}~*#9k1?4gEZVK%?mOCHBce}A!OMvmWZOxB6HD6LSxO@9H0 z=x;_5{qO7|FTXJ|JWWzqVDOc5)6}*N1=AGbizT{0FgR}fS0J`h+wo>!QsOUxl-pA` z6*zN#x_fLBM>1zu?Yy*!%LJyh`=unN38x(QO?oIM^yKhnokLztkKU}*xfIRvwDoet zjJqs1L(gw6Xy^DeJ8dJ!a*nRu>6<;oIZw66r6jHsPVwJ=<j~Z5Nrn1My9FFq#TZ1^ z@8{CEbeEg))YEu&k!6~W+kOd&t>bmv_s&Rcp|In|mt0~i)g5=9%@Ru!aNPDeN-U4Z zao_bSu|y%q!V7L<nQV@gXVk>f>>SHJiHYS&I@Uca6H^otdHz0SVwu2{>3a_!dZT_K zucE)z+Hu1Dozq<{`~&Xn?|0qh6=3&Kkvo}>DMep~O=R6E!G=Fu7dI$fv11D`InL;s z<r1*&lOgxzE`{jlCfwI2D%}6U(|uv?f*Y@b#a7xo?tIKDmd4_^?YOI09+P9;0dbu} zCQXkzv~@1UG<|AWt#c-+>CG4Y$Q@f*epafA{#4Ib)zH(6SYpfabgTJBgX0{VZlBs% zkk0w3`t)Xw>6~51^U@}Ebum2MJe9F^WeK;#H!o3#hgo5)C#J7t({QS7N?Lk5Ld82^ zU1WD_ru&54fZ46D-6rH0ig#aVUvOicT(`-T1$WlkaU1t3+}^IpEza@j@T?6Sn>o84 z&)Vd{&3S5}CHLko1?%Oi+}k@9_OB4_-Y|Q?j#qYKF9jScFaOm!liBoU_uGga-Yh>4 z%SN=kW;uG9f1}4!c{YvsS3DX%U-cMnPReUIbXE04ctwwEhF8Fve-_<W+7`rY7w5h_ zQ{na+bMEWi3ir3kcN=spxKYW_ZPK>jPPPKK@k|Bl3Jz}b$qMmSJ+3qS1LoMwagA~d zm}lA5dedP-Y{02QZ&gm{%b!+yqpp!xG0WA;G2ou%#MYe-6KoASTX(xnu>Yykov@5S zMBj&1WaX)1hNsz=8Cq8w%PK_Yu`MX*<@^*HpCA||DDwJD;zTQ<DbnXt6RQMLqW7OU z#H(<^en*3=gk!*)jT2mFIRwaku;MnJt6*Kk$!*@RU|%TNy<x@zi(1z1Ez=g*<ePGD z?p4^GqRYK~y2AcE+wOv%1v_$;yGy1m*i$adUEHeh`=XfGx-(%7e|ElYPztG%UQm&$ zzQDt@oN>zOH*6xy7#+8L`mGbg-Bh!dKcc0K<tW?l$R&9!PsP|HXY6CS`OQ6IM<UBl z_r8rBk2$((`!{<uF0gr%ESARS_-*B7ojXcRf36to9MWofG{--3i7(4jSMi7$<(!*V z>u)M(=lnE#e}bT&u*m(rhm>NKH01Z4Iy5DQ`9$3()ddBj`<PDD#|3rP7k!mx=u%B( za9!mcur6R)Yo^<TwI6lG*6BL#i(?gAXzaK#(p7AwxZ}>Zx;kePnsTPE(uvV@{MVVK zb10?h(SzF&OJ=e>y%eQ$hN<a|@z%&4Pg#COmT%;c=IG*nwb8?x^VI3rn+@1GHc3lw zDp2SAw0qVD4snhy_F0=eu5+AHpS{`OsgA?9d|k%Y)xVq@{@6z|b_Faqb=Vjq?J!YF zc*^R39j%$Z6ZUSl<^HbVxX;sFY$3bj#;=EUE-^HHYL1PVF_Gow@!cB>ggHOiSN6Eh z@C%UnY0+)czrbdrIQQljh2OXD>D;ku`s4jKq9vE*s48>BlD#ZXOPM2Q^mA;w-M*pV zImah?o@Bvw0wVTO=ai;OG6vY0a|O&ewU}|!PLY5eQF03Pk1ZSor36H@`_m^z2~5fU z+tr%sKjH7a6tQ=LO*N-Ab)4dxl72srSdz%{)P31z1AoDk-)9pZE)#lE|BtELpnbuQ zD>7o4f{vB1V#Lx+9lw3o-&`=8^V53W1i^c%8tdXtD9Ng6<k!t@{n?`M`<{^4yW*xl z6H|4ZP8KyhQrBj1U9~}I!JnU54vATR1Qn_eTRA*@CHQ2&aAZpw%TcNNq=~nLro7Hg zOWY-pQomtpYju-C{r}rKhZ35SrpM|8X*&MwysLA@nB}J8`^^QLIX?Yk7E5Gw{5bQk z&ZVNJPivZW&bT$bk?-43u$$vkzFe{(pMZ$DT>3;mp(*9HXCBRFfB*k^d{^+NV$k46 zCg_&G_KzRzqmo5uYbCi%6VY}P<m@o*@<{P@RZ&V3&=w3ll(j~~am%q&LN104TO9=# zcd$f4P9pF)(v^6bgEh!Yaf)uhv@Khd%xun|nR=N&KfSK_?)fv8-~ZNCPhS0go^0jE zcpKB_|Npyw>T;Q+A9+$~qHml@cJ2%pMh)BQpT~k3BhvL8Z<-ve`Ykxcz9*r%l||HF z`Nj*Irc={}!7I|NImD!eLh9!wbVh#9ky&T^c<D!Gj%A_6w><?LmOc{%-|)lKv`e+= zsh{GC{ZkTJy*Z`g+&5gxW$6tUT(fD~f)~raf6~h4gj`Lg?|9Og^P1JX1z(byN~b}N zQ!88bMm5;I>blUB_kuYaCM|eW%p!eu5C7#=drS{1Sqq2Uot?1JnPZi__Xd~N1<!V} zc*pt#{FVZ*aoF9Iurr<Gl{>q{Ij(5v8vb_B$?k7GFZ@Vo3hh(V*wvA+bu(vIyvqi+ z*$bW+HG!5o>}^Y!E2(V&IS_@}@h86!_&^k;gKp}=A^+qKDvA3+4tk6Ed-ux38)sRr zzE_NR<k>V!f4BVQC@sH$>guM{GW8YJ3I~hktFNdRI>>cjHRFat#LMk0z4=NJPxG1< z`3tOBHdVs!<5|vY6}O=s=$*H(ObqK=@I{d2^&F3YZ$3?5^%OMzOE>Z6sjT=R4<0Cz zsbshum15kqDql4sC%oyEykf+of~HyVY7wt^nr=N8*10^(0lXM}XZ7JL6YuQjd<8mi zX+H~Z`uaydmTng?@e^M2DY0o0Ytz+zE&+c^noR3F0zPpyZC$6XQLBE?%DHLNYtC!4 zzbdo^|2xYfeFl6F&LLHCdy~?XEuiCq!DISaa}ze6=2-Q=GokY~XO^AEhAUQ0w`SY5 zWa=8XFL<ZNaypgsmAu!6L*g7#dTJ3b*;#t^6(gSZLx)4$^XFOxRW~g7F(aWjoY!#e zq}u{(wi-LWtmU|7*|OkGA<OGfj#KsX6Pmj@wf;3GERN>Ty6?Z?#AcSz_@4%lLH%GB z?>DB<qZsRwUU|HdXu8$SG0n1l!8=!$(|_kJ_-E84$_BYbpzG?4u*bR_VU_BR7i~Gh zep^5`*h@K{lsXtCE;MD|tc1C<p|e}(?pc>E`L5#lbGm&~X0Cwx3efGmW$G*b7&f`~ zsjT=F+H~}s(u#k<O{(jZR@_vL$g*^NtIjcPqr2gpU9*LCzLz!q+AX-|!$rub!>11& zE0vcTIX*V#4ExFHcu|`(?6<b#$K4#)DtZ<?QR`2P%FcBQu=3n+ZXHW1ze2?Of~HUL ziZ>qcG<Dgl-B{ro@Kc|q`wP@*>+Fn5RlYGfe!XsY?xXN+!7DZT2ZMeKU(plLDQ0rK zdzo|EZ$Zbu+8kj&j2#bKbB0yTSn#o`DKyDH!2*0Lpj^|V--2sCf;Q~(DaI5PJ-jmU z+DewC=anO#8#Qf`ZTgz0uJK>sAlqvWt$k@NnYkwI3qI}U6r1TE@HLpFdn(7O`tF3z zr7Ym1mP%Q+=Bq}eU4@Q@-2HfE;+@s=k8A;-;jx=T>YX$A#3gz64X4aGyYv(z)_Ml~ ziE1+a=NV9>dNAs|(3E*UMcOu>3u8$wSBl8jZ<2ZP88qNN!&5M(nN=XJx%rX#GP_p^ zC48Wf3DB9gF`z^6|0g8!C4tt>{mm46rXU#GTs^V*+3biJ%~$$B)5+}I#S<)Nw91r# zCgh9a(-qnON`Y1zT7nKAI(tZF3TP9+vG1D<*rzTBtymCs_)#G1l5PXqkhT?c2Hy`p zoimQghn@H)v2QeBU#lE>!tqxMXvAHN+w#P}o>t2ju}7WwKGlP6_5p3WD?D;Yrss_$ z_+p;}hh$u~r7N;u<p(YJXO2AK__r9e;C~5xSY+0H&>TWYGw8VBltexw^Nkal-+^}P zy(<(ex^VwVWXw`ajXj`&iOD)=9AEy`d6H1W09tIQ!)@6y?*wSEA!uMie1iddZ~W#7 z%}+pE<W_@Dw(Z|!z&=+T?xmFY?=t$g9rLY08}H<~iw$nfZ<P@NZ9wetOHgEgss&mX zIlomVr2gn3nIm}#itJzOL7P3U>O5Jnv$56E<E-4{XHOQ`f@WKmM4oUgw-<Y+P%Z%) zkw{78<5~`1MQC7?|7tOx7ihm;VSB6PiaQ6L_!c>XmT-dhTb_^VUTwnOn+uw~lH)GE zFbm{V?uZ%9ex0o{DWH3XszB%e{|f?bN09{`<#Y^mloROcA<#uVn_lkfH5a#u0iTn6 z^$2*Z;)WjR1R{OV#LyJbalz?{d_kbyDAk<Y#S?b6w91sM2Q7@W1x;R^JR~Cmnr1pY z^Ze)O7aTLQK{xw=HV3{r>%_OH9dz_ia^wleJ)pw`&Or`;Zc5i=KiR$9?$v@)&`rmn zbNoxfK+9b~rzPp{k_*>l|EU`}qxm2xx$RH~oxVJyRc1;*XdfeJ8_L%l&?U@*+?Fdq zXD1&q0u7#kcCfBZRAhfEDP2(fOkwj;=wi=z$Lv4M{`Jwm_UK__P<0PqyI8u&@Aw?Q zIqGMGv%GbTvve<>Iiaj;e8$HJbZ)TX#?*<CNy{dsK2lUZePolX+{QBo#+fq{x3wKN z*qkwQ(;21Nv;DwV0R8>*IR<<M(DmZ`_uv1EPW#tgtfc?{NU-(V|Dy0ygU?jlyb^2B z$+Y1PBg@x*rHF+d7YZ~FdWj30>}yMy8qL|IrylXvu<6li&TBUk-fDklt=~1NuxaZz zC5^rI2d%m}Pw6Q}yw_>^BrcS5VTMEXvy|nEE%gx}pRH70T+OLv@3a9_YH#Jc90fYk z-xhpPt+D%tE1fJ`&#Oc{V`|zJF050o?D)5uBdnrh!Nbce+OA5`Yw8z0Ja(*@Gwfz9 zc$m6EWf$l?RgR`d>H=#D*&I)P=DfD6Ye7kO!ra}Qr`D-N<cm7~+{z+-X6C_<-MfWy zrl_r`kvOQt+O%r9piY^b<5z8tX|SW`^X{3Js%&jq@NGiEOjDN9bneShdFf5RehaQC z;BY+rm;-#wc`;|$N@0<`jR{-l3yRcFNa)=!Fr}t3VeMI-!<u`A4_f_(R{wL(`pLeO z^$z&=m_>SybHK;fEYh+50Y8_sblW%td_B+7-OITue;4Djt)Mj?@!lJ*90czsdnVGf zOSI|fKDU7XR!yRHMv!_;?w)<=k}v8_$Ic6{+4Qk(M%cx-oMGOABK92#z260<*vw1- zt#;Vgk}x-$^VB`R4fkqUp0cw@+qef5E}kiI4s_Pi{<egP%&_WWU-c`GH!4l9=Bq_4 zatru;o5lOCTR^qtL8E@*E3v*C?zBlKMtyzEqP=I<f`|WDwD)!|_$UNf=b!{xkSEq; zx(hmQ{$z2mJE+v&rm<}6Ih&?U)k15wcP#j0&1q&aaY53|gvH#D#SXJMR{fg<S>@53 z&?zaMY+<@xB|^(Hpjz>ukvlZh?${>2@_09y<?DI%h=<ZFywNP$f14J3^lA$I=NC{S zdoU|H=g`N;y9L*LbZeT_F1#jGL8I2{pw)B1DSIa+>`i5PTJN{vAZXz|s2cm2AMEay z&7pPN?C2J;o2?68Nppx<Pg(HmH|I2qsSBQob4=ScXTdjKmeVC1uk1WF+==IW1-hvA z*=B<|ZPtQozEm|Gi);$LXAW6)Q?$jTbji0$$km6oO_R!nV2eB?g{REjD!FXyy*`$w z@$MTA9%K={@4exoCgi5tr7WSdS-S5!2K?R5;%#FIiO{@%)vr9VCZ9E2d+WBa&Srkc zyUiTaP77bLpPA5lUdUumOTyCkLMHp>CQQ9AAX3|uu(e)Lr2pKpf@?RXEqHQ|C0o`b z;8#XdsUNgKXIcI2%EY+d1%Jvp%y#rHc(k6=tZL4JPxhQ*GbImiS(WF!;RaLFE6FC+ zKBW~u6PuP!6S}gmBVlGX$E$lz8}6*<0B=*xfbId>VmafQSG2Iny~zonwGOd98*a68 zbj^3&aBMoKml?;kU7ZWQ>}7dv;}h^rvgvDDPUFKV_q!6BRUD6+3+I%mI6mbU(kX&% zXSu5HE87|C8}Qei#ruwPz-IpqS1z+`y)LL@T&{Qg{X4e}cV=_ElK0zih@C@fo}U5x zQY~&v3DBMHA$2F5_!gCKHelZhy87=2=u)+hkCt$}yT-)v{+eUaxoJ0cS!TRF<ivL= z6I2ara9f^`JAX*#i(jfD`%lnrKFE1>>^f%-t~l((cWQrnBA=48SW&=VdG2C?*?UDn zWA5ugd&+-<X6LOzO@%_8CktYe68VgtgEo(W4;BQSSQp5?^F3%i{anz>|8kut2|q+Y z$MEWNTS}at1zM*tm4(}~<K5{)GFR@MbK-k+e3JotZ~w*#%}+pU_+AHr&I+E_YWV_G z2X3+jofWJCI(MkO)lwp7(^qX!O$J^Z(Piz^$I@yk@fPIX{NzMFrQ;hWG{15OttDt} zmASJ2)FBy_b;*kCXF-$jD?ytMe{+gGQ}}GdZTZ6O@gMgW3fpD5Enk3ELyFux<;2(K zzS)3%X+LPcC1`5qsrF>>c><tu_v@fzjMsu14WKg{d+k9J^`J&c_A|2`UX~u`(?O^4 zMx1c`#sE4eNrc<-ggB^n3j&?kKcm%BA{OMv?VAkPCq4z8Y%Bpf0rIR9-z(`e$Is4e zcBw(Ekc<PJ#tWLD*#bUY5p*SPwHSBtgxwQbWxhy*f)sS%(4Oh7mKwI8Llw_&FklzW z?Or{l8GK~_Hg;~y4$$6zDNC`U2{sd3Ei>kxbmF@NYU|8h4cdqaZmXr_gKlQHXA3$2 zQh>YIpkh|5jEM5>hgxS3X6SKSYP>&pNXA8M(}d<%pnU~zSU?y2G`CuM%sKDGH!FOj z0sBhOc8dFF56Pr}PK<n~1v*e*TC2>L=Q-zhmj>Jb?Zdnd-iVP1TE^<t4m$P%bnMVm z6R{!z+x}L|6|o1M_#T}GEsc!@oz4K-@4*{+!m)~%yZFM)z0B)^_%?x--tPSi+VCMD z_AKFV{k&QKDmiCKJwUz!=-bZVk5g8pro5W*x#4o|isDC!e5b`y)hdr%n{<Z9Sokc@ z`IKohQn&aRp7w}7Y@&Sn*e92nouIpdV5=W=Ha~n{4mw-t<C|S^*MI-sJ@5Cwy8kt7 zr?=f!`gCglEwj!4{>Q7V_elA$YO0RV%#U3wD_*H^9dHvD3Rx$tQ*@Z+*6aPhdKsGX zS5zIpGV#V=maFrXA|AOm&6<5K=KF1S`K?!Unr?A(OgkxbCDv=h9Zi<6pqpxon%b@l zt|^grd@OfR%U?i5R(Q>}LZ-u-c9Ro&ZNclS6Xd=0W?EgcYFqH-B+Kg%j#F`N8}4~E zecCRNQy}kn^f(7-<6`CE$Qjp^ZVQF@3W5*FTg*AlqI1EsO7MXwdz%twUWN7)%dh*$ zp5%qxEzWj3If{3?T12Lt<6~ydFw02`UUagop6eX2$8E#0tt_hHf@@0k9bdY0T&tY7 z;7!$L!#Q6cu{<qTzLD?|(!JhU@#D(Gi<~S|&nrbd32It(Uje*LA+||XPHn}{@TR3X z>^`f%g@Jcw?Ct;`b#6Z|q1DLous288FDu8#vpK?k-h_5}F1CZt6>tiuIo3Dhn$&t_ zjhQMD+4fDB+J)8>%Q)UN=D4<d#)3cBIm|KyL;h$&wka4NRMHm?xtpZ!Cw;qf!7n=& zZy9LapC`5qd@>&Bc>8d{D|;s<?3^zEzNOY)(4?j_Vd;%?Gr}I<WLf>j7ko?YJ@<e= zCQYVxz5$=SnzrUCXxvqdc>a%*#XF4Q;iS#}{<1IkdIbF2>IpeC_`A{#4ex-Tds(`t zaIC89O4z8)v8sMHxa<C}D`BNNXI4Mww3{j7Jj>ttG(G*MvSNQrLhE<vF1dDfe_2h? zYW-A})h3)>_q;aT(&y~5_uFvHp2I88g#B_9=$hKy!fT2J9B<kjtWp;QZ&H2ABD&vo z!^NzoQ~MPno`CwpAg8UapKm49{jMuBv|jk2&}Yu9eKQkQF6PLZ?*Tp=FPr6b?c@dj zw3<Zg{4RVDY?}Jd?Lx)gyP#Db9swnK2eTxb9=Qvw`M40$y)OFnW~K64Z^R}A#fbNb zO`nvTcysiYg?{8^kv^-qqK4t1Qaj}SzjUFH|1t-Kc$;S3SC4p=*K~_tQ0Ma<XoTLC z{A{rHX`Fw6z2}BQ|5$kWRU=+9v-F-<h<Li0#dW<}#M^3?qwkd>7W)T$ifq~%r>3#@ zX^r3Qo1F{Z>|=Q?>lE<sFN^dX&=J6jE#7)z%lmrPDXsVu+vNIAWyP=Prla!|SNxN2 zQZ@5Wj4~}(h|uy4_?^&{+NZjrTIyiYe8m;@st395D`wnK1fR1sUoGP4eP||dH@_`= zIV!ih=@B>QwF+y;lc_A(Q#riiJvN*ZWl3GH9`U}c>C=9-8xK62y8bKO$e3(vFy|C! zldG6ONUik2ByY}D^-~f$xxv}9;N)3-e_791_kiDFO{rBZrSj?#@8+|7HNPc%IV#Z_ zvPB`R=~!h`sGU>57N-rjrbD(UxU;CfSB`ic2;R5YsTW}$10H3v+<kTl$APNPoTv7; zCNwYR(5jn}u(+60tA1j_L{S#Y726_bG~bW{t*KWAt*LKpl`*+~zx(}R$E<9zq6_m* zACgJoOHpLMs~j<-Ic8$3%$M_^Wqk27L=HA@WN7-X$bL8*G<W<3bSvCGP#5zLXkXiW z&^5Q~BRf`4X|9;lYMJroloQ`1@Fjbo)$6rPpljwQx5}j0gW3vGpxS~N)W<XjT`w@N z)l$OtxD(&0ThgH8>Y_oNS#Tp@#XF;~f$SSyb)GDkmz>BabbRxK=4a}l76Yg@t2G7n zFgsgiO5P_W@)hk*R%HL19yz1gNu_u7l;(%iK&Q;7gL;^ttrUB@TV-58cNAyIgLbrm zTy`uRREvT4NO)`md8t$#blN=VI04Wi#GC&?D-X|pf0$~00(3$G&n4JBfF(NImKyb- zJ85E$IPtBD2W`9rjaB^W2krV`)_JnP5_Fy-X!YT@wBMlXdD6Z?yO`^m-yK@nZ*^jC zbF0i3(0+o};K&mPKRAg!TM&}2$o?2@lR_709Z5<epVaJk?Vy_#b;O=6*xJx4vjlXU zQMx9#<%xNR4#|80t+n`h8q`iG1+_H99DWqYihxi03G8o`nKC~ikxwaEtSI1aMSaXt z%MQ@Ozpu6tGn$VGi4|S20j(vNd&Y_HmMG|W21#zq7ofX=i$JSc{(+VsJ~RZKcyRiV z%oMYjmf4e=KbnCK3pRz_#-mVm0kr7@bU?z#$)H-e5>yL=(!g5KDw3-@XB^W(s~z|v zW;EXe9o4-5Ama|NVuKr?mICMusl}j?nV+Cr2#b_Jrv)EABy%JSbew=LckzS>kUiPp zTZ}&6TiI{r0cxw<IuF`P^HuDz*3$*j>4|(rpgoZPK=&6ESa4fP%sX&MW(w$lgxCKL z<i9%ppW(SFdn2erU;E>AvF!1WbFW)R2^HVjQgX`g)fT^#Dm-&}*dJS*<70pPK*v-2 z<(kW$lT&ui^~>^{>nWjGd^vNj)yzrL?)Z4FzI@Un%JW&>BpuI_zv|Y%-ueCK<MMT{ z3vKQ17lRKMy8okTZ<<@&ui)9Hzw7Hk<M+q@Z=d9<WE^L-I>xY*3EY*6ZF=-wc+E!< zN6*uH{^+yIZ@p#)J_N=%pXqI{`hP=Kfg0zVM}ys~)CH#K3+HU;TJY#8i}YI66*ble zmA(sx)HWq-l&nsUy1HE{Ldi3r*uQD1nBWz=c?mOvIbO~4-*9Is%hzZYZSaA%phIZx z8J8~kBH{R0oHK0ZPX6Ql=M*Dy%fSVghQO2<&kffqS(fT6Mx^sP-i+qBcH1G~pI{Sj zoWcr;+v10BW>hzw+AXNFDFNK;-|^&CVX%9WHpi;@&Kr&#Wief^9<j<fpxXAJ5x?-2 z+Ia~(&pu0z`kF4hW&^k5VV#3s#|2E{{5G75WN{4_UQ?#)_;EJpwI5$0b-(4$3s)vy zo3Y@_vfqhOuXEf3%F>&@epA<|H#x|rE*$bl=b)RoU`U>jPLZ(V)p$XjZwiiI>jiW+ zp8O}_ch+1$XREN|+n+3_pFlg;@8+45s+6-i{^jNj`yu9dSei5Jm#X7q#)De*>KanE z440$ceq}jYt`d<f-!#cxV9i&>rc29(*90qTREr+0GKbt!%MYz#yX$9L8Qs$8&J3-S zJ*Z?Y5OP)kblTD{cFt)Qy^t>Kqy^usno{4Xtf;m>Sft$at4yJ7^Py}`sdK6kFZZ(a ziVLs#)CjHp7cU20hBt3PMRP*$d%-DtniJOk=J2xj+;Fa^88o9Iyk@$J#(%jcUNOOt z8vBE8%LPLI$sAOgE*SDx?_kn)!I1aDIv;;PLT%^I`74!I>WUZq`6knp`b}ZQ?g<Gy zzYAW8_uSw#b-_bb7VX;h1s`=;R{!Z+@M1B`>c6uW{19VLw#eQyb3w|igte^TV{11j zLF4ny&S3W{ap5Wd%?`53Hg)Y+z40Qk>C}Ivh?LK*Gs3Q#3+imscKpiBIn83)g0#+r zm76)in=`DMc9{$7e3x$e#V@$#!+A*E|Eb`zv%%V_LM*-IY7tKvnihF;hW*xX{AkR1 z?FX0R$!u^JwxmB{E<5KbJNFIu)VdAke9Bk3k)Ynx^;<A!iu#H_f=#Yk&?+{3zfGx1 znW^Jfc_E!bbH}sxf;wMy9N%W}U5-i<bG$3dIqmlJ1^)zDv}<N8c=(b<yS8(|$558l zTR6M!xo)^6&)H?~yy4if?sz#p@X4B!Z~JvItl7%!_;N4jwMeIcZ5|u$WwJco@3G<F zR2I>C2grF#4jWEfW(kci)L3sMroQ4|S(EBFr4^ML2ZPjwuGmdYm<g(}BN<t&L$@22 zs(i0)`c*El=0kN;o4?SSC5H{x9*Y)U^U16Ubhf~0K@t1LgkFE4DKV}auBEapy)Lw- zSlaPsHRrYJISXo1INLTK1YOYq+HmtIdU;>ZH-!~790!$-3y1t=IhgcZIOM<8K_T6y zS@y~iujHC;^$Y2IR&u<n%lG`p&g}wM{Ds#P7&snIWznvkv*6=Smen<|<)bfVtyBi> zS-tOIz}~CKZRxS^z#*9}X7)_nlbXMP?gB^$ZPm8zXtjJHd)kTblRRkTJbm+o=A^lx z?j@)iwogptQ+f?Lgm*n?<$tAEQ9%9KiJ*=6Gi)`R!ZR3K)?aY^d>7QeJhf>;^S2<e zXA0np;m)Td@)gCWDzg901|0y{A9>>7hU1_?esKR1bZCG0^TMe`0(bjbEm!RAZk0I# zI!ac`9n@t%sdL70HE37?bes%w2eaPfYasjH-JmTM=Rp$^k)XwgR|7#+$gHDIe5W)) zcM^ey&ihjm`I6K(PH6rGTHSX9bja;bU$JKjrOMov8TXGKl1X9Hd9vU)59mO}rx!s- z*5#i*BqMUqQnRTYT*DkJcn{ixCwtC`@0cs-Qou~HB7wD_?U(r*CN%#59k%%Rxy}=X zpA6i^7pi8p$}BOD>RN5WKG%ZV^2EO8R?8P}L30u98x7c-g+W{OgFy=qnZ$|$_JQV0 z5G(Kl-hxH}-qe8twyV|B<A&i5FUyQP(E7t?pa8W21t@6N1hgEoC>1nxe)N!x2xu?< z;jN&Fh%&JvgMFZ8jSXmc-?$HSZSpP9HTUyCjfHB^C<^3Eff!KWt$iGM!ts{~Xt>6X z+ww%*sY5bfqChJowYiH8cC@$3h=3A-oBpN=&7aOZuDHpkR1DguP!2i)a6+rh70_;p zBvsJKL&p!vECJoT{MJ<GjN`Lupm`MVT)<skP-3WVX_fgBpODDMwJ{Zbpi^10BKza! zh#AeN3PFoGn_4YbfY!S{0u4&^f)d%L!-r(HTmxM%a2Rv}LZw*Igu81RW*f8by{Yp= zVLvx_vB3$rhXQQUK$}@XGd({+cS>!V)hZJLnqY|g$GU%|{D0Q|?r_MCMA+d%D^t=| z%=~c$eBwZu+q5oz$w)Qr=p{3KmM<#idp_rkWqVrNPl1_|X<}=a&PaXYoE+&kjrTLB zuJG9&qseE)etM)$<E`Icd@ttr<9n9tKmYr8t~U1m`}yT>o*fFCIQ`$zVC(<?_j|-m z^mvo19jUVEqW7|2wv#m&yluP!ihVX*dG^_?#@u4jH=d@{G~p|@6B2eF=6I#A67f){ zscpKznky<9HNpqA%KaDYJ$d>vo4~(U7u}cjU74q<vF~Q!jB8TXf>Z9yO<2p!;q{#J zTBJijnd(7MalL<1Li1J@(f2AhG8_VaW;VGla!<AhEmw%hF>iYHTTsWa95SeQ$ENO; zM;5E&Td9Lat2tk-Q;c|5*7S>Cc+CeD$HTqPlcThA-7i##9n_L+TGTGIrcB21<5QOG z7?*$|(SuRuLR0qjCd_SD6VL(efH>E;tnbSk37^$}wy{W`QCYFW{GeMghnBqah7(5M zBZIFgfR7A*%>kZ$u4=j^E!+K2XEU$kU6q4H@0C~7a~$MyhaRWW?!CNkik<(3A6!kL zbq)bv!kVuBVmUfrA>#3V7S-)`eUG=_a|x*OZz|<e)3EMO*sIF&bTx}~j9<XV-z?I1 zodSMtXX&;9_3S{a(!bgVyC+498LoYmuM(l;8}Qkg#aq@hpxO|!qpT-k=X=2`?*-O; zkZx-07hLltzv)=CO#j0*pV*ofRST^7<_PY>213t1P(MG@>e4SR@QJ}YXD)cNm*uss zSHQn`7U`Tire&dqjvFrKG@X)Hh<H-av`SqNe7w89uuh?=<5_uOovX?#{&E~NIuG5E zcIR#m<Fc)HUUR;B@3-O5Z%(OaoMBcI!6WPYT)_M6>s$i<=rx)C^9cAP*|c?$dXmM` za+Qd5^QKL|h1Zx*U+{;MMS9H)$R2+2{T8JuTV^dNnw>Dwnq$?!#)OT_IacLw61W_d zRNXWSbkb9N)2((PonVy}yXPeA)EBrC?+Q6-$!)`>_ncDw9ATA5J*C^Yr>$W)r0V_{ zba}<zsSAGCv1HdwS@2{dOSZ1EMy=vOE8eC}<^pTB_bvGIp2IA{KOnHXV(Q{t4z2yI z2^05nta`>FHrqeouSt{Yx%nWcHO*c1^Xp3Gm9IIn?t5)G!^~1TUm-#-=+Kr|`Mw(t z&E}MP=egmMJBQSH<%p*tO^cojuK8x#^hmeKw9YT!Q(e<mIdzS{N(Zf?=bf1@<FMn& zn{|_w7+x>sJXJp<p;?(zYo61Fi#u7S?)TboVk=8%y&HI+LOYB19*2O>rrm|xL7P>- z$+4W4aS8a&(e%_$ZACrbL9VZyE&7%X3CpG8KrP_lreoiQ)_f9gT6A7`&9_&<25TQ# z3$OX;*EA`zX)B+)#@>#Extlpp#d&SGca`O7z0(HA{soWbbDCB4E%>y0T7pIQ8Q3w* zzxq}xZ)E0NRX-`Avz5hEo5efUDd4wOQ)-^lifZwLMfFN6{_`B<T5ltIIctaVK`+gw zW50#heBx|c#LW?AJ$b>8qb%7q(38LB#8{UuDP?zj$#~GpUvSFZ63t~>?|ox=df#uu z!F?>E_I?`zCocFT$<lp=V^v*u!bVe;tM#rMjvQt&{qMQq%CY5%7F!>)6i=9Gs@b$w zyP@DU->%=Fh5ql7LFfADa2E$y%x#t7(N9U_^SYm+$o^P5Vn(yq^i~-c(Cs%_ptJl7 zwI4q_<M_B#=ZS*l<W|cJP!)EmIATWgxf-#e3A&pN*!O~Vh5eHT)xEnwB|c=#d_QR1 z_admX`v+7}Y`SO^vs5M|{`4W4Ba?KVEch2E_DrFWgWEC#bcM?j&|R(HDnXS7msrt- zT+mu|&~b$S{({D#^Fc%VN}$u_UzOZj+x&4IXz9N#s3!|ve!qy_zxImbTF{{apkr;1 zfezXGR0O)ePM6!#13V-AE?JTN=6+CrT|DB1qun-{@Jv3o-5Vw}KiVBRqxqsE=vZUW z`h-n0T4h4)L2lGb23-dTy5jg8sA~?c#m>fqEP4gnZC5w1)$&A6A=|njzFnZf<DKOj zCp6bhYqiv<0UfRfYNIR#ov82;bc)hbYtX3z#@xjLyW3l3j>xAb@<}Cw?&Gat%{wQv zC2hlm<}aWdZHga&tO5^azex<1FTD_b!in!wI;gn-I!tNf$wM+dd7#@GK#o443>x3p z;Vu@q{RVW{&y{k}oC#=A|1;2GKU(LV_-=t_?$3CE_I=ENofHf@a_G=pP}qS-0eIMh z<x2xVZIvGLSkT3WQ$br0OU%WdE!ZZ{ZP@`jWJ(G&tn!2lbX7yLBKu2thyC8ULo#1L zhyDBn-4J~6nCP83G9sWo8*ZQ*BP|afl5yb!P11w!O$@Njd$pJ^2~;uvRT6uqu(7LE z#sqXFLC|*44i#t6@ykb?_;!_vt-B=iWE-fBI8*10V<PApz&VEx$xP`3ou+8ST^z8p zp;g8OG(YjSN~}mA{`eso6FJc467Utn3OnmwEtZCM>otm(h=aQI^*6xX`pUhBCz<#y zpSDDHe^ij##Ysk*Z7D%f%l*_Yc}dLOGU-gAjP-Mib29tOWZEsK%vDiNU3A%MqG#sG ze$C8_FW*erGU?3wlv?od1OFcHTMzEmzpmPEKi~fSlgH^fqLnYz=gs=M-+tmArHN1e zuT7bF!cBkXR<l{(i$oeO8FOCq6q<5(cEVm~&QtQf8xCr+h>8p5Y?-v+(^r=6JAMIM z{Wsi5X1N-#5|MOl=A3Oig%1W*3tq8nNtn4<?ZUm3O-`%=>o!=Izw%h;6;RK5kn6gj zNsRY~OMh8<K{vqII9}9!o*cFMtwVr~?}lRsSycN~B3`F7T>{<q;}`HPs_Cm0%hUI& zHxm9ryNN&ET$y-LEIl!5>UQOb6#u4G>_R$b?F*_p6FPsgnCh!Vypn3VmCZ42XZwP8 zR!vXmsjb-mlZhw2mBsP!UCuD8h6NvUnnL}cMV_Tq{wt5SPT(~MJEks3=}!P3iQ>B9 zTv~c^RO)ush<x*=Px4AP6#N4|db3E+^$Yk})8x8NZN)F;rlarFR{T?MQk@6A)zy4) zs89CFT#l^wUK`HTv6S{JM7--}`RcD6@zAEJEnINT6}5~S@q=3RDjL674ra~PIa1J- z@4MmHZBDOu-W#qR=kOBeyk-eGL4C)QH<wl_?+s;nTJN#p;9M5b{|*~2b}aa$EtVM7 zeS~w>zTSk5wH)BV)@07Cy55A9sw`WjSx(>a2>8y|^t8@D;D2G0=$0Q(A2zAB3+Po^ z6bG>+EZr_-a&LCRR2j#M$sA$7wH!YlWyy|l3;4v=v^7s%<FCR&tA4>Ly>kw)esfkq z<G)xFFKA1dU{i0O(uzN#O|D{)N%gW-ua*Y8C+Rl5vR96H#Mm^eUNzzsYtyZ0y@x+$ z%5uJ1#yRb`f#Y9g&afXwj)z%Uv}2tv{D^7_t@8@_V%K!FjpgWk<%q|o&p)ZM3+P$y z{JVtXKvcER6uXXuxt1)c{z?(~c8))-4zgVr2>HW#(CxcG$Up9bO6LVaYQF}>rRIn^ zek~W&nW(zrr)JYqKa~}>{RuO>)u8)4cUQggcz2xTYc=G`n_w30y{p<XP47)hm|89* za(8~hR(~Pz<%e93C%HMU?V7dVi!RISJH7$m1e?CjQ_=W;>a)Qd-fc5Mb^jq%@!(*0 zx8H&x|5y$x9TyDwt9CHyG-PesY|gBIlM+@kf>-3-ERkFmTAtnX^qylteSDLso!^BG z9vd#1b4cl{Mm#+Q8!R#Rm0kI_X~B=fEZKi1FL-k7d2&?tQBJS-P8-hIv83{=N4$?{ z`V_BzBZ1TLs5Xb$u89kZrYB5n=UDZxIl*u@!{L?xteRBIV5c74H!oEwm2iBU%rQ+< z_)4tLhC5GLzUC`LJbcc=E3Xpq@;ythvUpO|>OIpIWK2rf`dvuGeo8{`d7&vbtqE&e zS(b(it+@=J?Z16x;yq@Tr~lnH9OPyZ-M*{iaetZ0ia&Bqu6&9sq7))>^c=r#=A0Jc z81T88#e1#lidy-DM$#Ow?A$lRyh-9&{_h)$_8w@>Z)213%HyRmORv9D#8aK7MW;E# ze(O1Y<U1&}UsYr0yo9ys9A5c>$G2RY=^9YR-}H48i{**>S*?~5p!40k(l;5fF9xk| z`f06m#xdpW5{`92j4k0W7yO#Nvfrv>EvR-g1l<Z(WBJaNZ&rR<B3}@w?)df(R1lki zZlgJWNao481V#40pjyOfa;pqaKIlTY{b{f(Wa}eNIF@Yo2Hnm3O--yw;C^qbWkyW_ ze1<ut?$u(xD9{1#UtWR+c_q0mPt?tBwR~~+j1%9d{h<Af%wk0Wf6PG}1xrBZ+MEJa z2uiy_v%&134S0f}h5{?7p&-R=nQ;v?un#(32XqC%gq_(zEYK5pzdr;Gng8u>mHA@6 zPb55(j|+6o&|%OCH$iQ!GCiPs4YcNit`80d?SkJ4Itjn4)iUEx1L%66ltjKL{*4ow zzkr53Zg}09Ba;#jnuI6=-|Ms4fW7%JXqg^(9zdfGRExQ#iWLRewYOS!m_(d#{Q4U- z2*L-NFp&jKn9OOFF)`o86Q0QzWE^?I(fZ^enH13B$#<P2XEfir2x@qN?pKUB;>6bm zI_>AuSJ0|KY3|~Hm;PN39)c$}I_%882C{Dzk38X6bL{D!9QIz&#mPnbphgV`x1|T@ zWPlRTP(aSqR?8QlarB>{lK>A!ftCTxZ?%-j1uYr`-&;71_s$%dkaEy6%uLYa`V7$a zP)pd5hT~_@4pR?Z&`E_)LCvoyv7!raHyE(*td2b4SZ@nDEqGe14A1r*OyQY)UZBhO zKFWcbUk+kL0&@=^lDXog^F+aJeye3h%o!)XN#IL)O+l9{@`El{G>1<D{Qd$u`Oz%C zb@i0ynx<Au3D9X6UF@KfqHlr@Az}g@LUbH-TJR<V_KjCT!~5Ex(}F>3KtNk5UulXJ zUAXtg>&_g@6FH}y_~QPt?_U}JpOww@Q!=QIhYsCW?zNn@#C7>*KlQgNGk<$#y`18? zMdg{AuB!0dX(y)4@H0|f>t|%1DI|7$<KrDOA6umN?S6P-$(AWT>OGTIUe288(`j)3 zeW~sDe}6ykUU&TKpPKdefB*gY=jZEpB`=pvoL-kz{A}MJ?MdM(muevw08NU_JMSsd z@T;;(v~Qk6^|96NOdS0@{xhwDtlAfROlk@Z6BOAyJz=Xmc)-!E<f8WH)_V6MzJpQL zLR0QFC(Lc;JSFD}zMt&8(v1W`$D?N8vbwG%Vd8Sg(DmWa^q96xU9;H>etl!{e&ZML zS)plZ7vwk?HOIT&9Mhs51ODeViOMNuSSUqg{yi$;_c)Seb&hvHiFwmiJ_QZC`3b#~ zp_7RxjhFRpkz!doU3g8gxZ_Pzme*^QHP)XtT+5p#6mn-)!s1Gnsl_baGM)inU7A1_ zi|_A9=uBlXeXkPn%CYHIHs`cXlXywJ2++xWckbqZ4#!)|0Y5HSJ>q3HOYdry)qkce z$mmYkYR%DQ@37%mJg3(_hYi=Hr#;A<dT&y~TocEewH(*1dlvi&=QOhbEg5v?C|mW& ze;IfRwnqA((s$tySs|ToHjZDf$2Vr`Ze(>l`&~e1tGVM_XU=JseGA@YvYfuk`AT0k z;$c`*+jqe=U&Nb^oflm5Y1h)4lZ-9iedp#v4|d-Sxvh31OLlGdf-jXUuXPkPs*Mj; z**1NuhaT*{cT%|JtvTR>-EVd;c(t5E%(`tsRck_LG3?-j%AZ#zUg>4o`d&5SnPJne z^8z~GXRS6^`>U8E%tO%RPIJOie<2gQu7s)iLL#=a6Skh_=(_K);g~+B7azy9s#y!Z zw1p>IygoAzve4gh_u(rO@4aJrYVWwgapHnU<{V~LlNWqi%F?|?WyLS<rlWFdEB^U6 zshaIzySz$LJtE5*`KaL9xeNYDvS|OwfsEnU#MqTCxuTk}r!8S>y@1G8v1MCt&F1LJ zciwP}ox|&$|AuQ5S(ct=d99<OQEhmz>NE%VY&>>O@Y#4Lwo3RtH5byEGza3coxlFA zRNnZPV^w`$LZ>iCR$YI>N^Z`q?Hto0eFMHTHa-347Vw|7Nz^R%*vIy0A@JFFzXjF= zDQHyL9L&0}s!_*yP%0cch%<S+zij4R|A1efO{MeHHKcd*U5?7<Zu$gjhvqkR%~!qg z!lLQaeZ`0;HBGC+g><eduJ{+-q&iPw#ZURBrDl<R53kJg-f#wV!6axnqI`3(J9ye% z=OEX8wTue6gI?hRCi`YW7URuH*lOtbv3LFPE!Qff9Z$~XymqU5!52lA*D}rl-$I(c zo>SGhuX5wTG00p*(Z}n-?r!~pA^*$|DoL+Buw~W0sR<kBa;&PKk<e-5cvfFfr<BX_ z?Pkttkv;+6*_xi#xdzlbYyjUGu|w!^<V#(a-g1SAr_RvX2zUN@Rzbg&96wIxytYDc zN{q{fYhF#4z6-4RY~XlvImflz3wkrB)*ByWyDb=UMj)p|!SSiSfX=3d1+Vxy#dfzW z`1O^=`;IMa5n|yhk1Usf+j$4JOq=N%P%ho{)XpQIzP?G+&i}#&9|QKK@}Q+-;Hzk? z#EJy=c7x6o038_%I%K~0Ygpp)q6u?O9+KHIN#}_IXbsehH|Cm6-xo3*`u<R%`Ut2b z2CXXp3A*mS8FZV=$0*R1aI;!vrhqCl@KrSXP8^asVzztY^tTKC`GGoY+Mp8v=d@aS zfX2UX9S6;@YH?e>hyxwfzb;Xc{qJqi34rh6bLJ9umY`b&{%@SnT=+&UW~t?hJ<Y8$ zE|+!AIKEBRd7@A!0XkBE3v_|(xkEBr&ZR4|zXTn!<JaCQlTv^Dkj$5P35x7L|8Fv2 zZ}!bQcJ`#>$G5O^g8ylN*29;;TL4=@!`K@yN1Sl{dtB#?BlsBndxs9mECF@=-yV;g z(R`*Dd|a^Z4zJ<~-Ww(~{{tTvtPHx5mjkqD&<L~#{?s9vkh&vIe2YNi2)BH7o+#W) zPUMrSp9MRFU*RX{_@XUlyF|k^*<YRoofqt<^F(2{I(PAf)1U(oE`mluM8t{$Y(T3B zb<-5tpMp;NeG(^D6i_>*)w09(uoK^_i+p+KWK^DQn9%&JPv?wd7U(`>J5U#01~i+! z8hi|2^(W9lNuXKkzpgrG92cH-;%fulGPo3cOp>P9vjs+v&0?0yxTJ&DV!j2n6w1Y( zEhq#X`X~mv;+RRSXoB73R?8PLhn)B}X@h3h?}FA<fL7s2_;x*b2%Z55i1}X@v(!@J zENEOIH*!Yvi9DSr3#u5niv?z%cj9{mx@@S^IO2rkX3$xM(>70N{s!9rkjBex`C?si zB45!iW^;A+zu>+)Xu19z(0oo2s71rVT^wLp2#=zYhoDsedP$1xA5%eBQ2Bw*UY^iu znXxuik^SWf(D7C;a*jFiZHnG#z`hrB{Zd`L*s}*u|G%I8@29=cbvs>9HD7%Lawou@ zl{3_@_-xu5u}#JJaB@o8jZLrvgC}l1_DLX8>sHj1nJL*5+f|HHZ-Uq7ov%1%DQm@V z;lCm^MR((wEf>LS@t*fT-?{Gk*MCKY_wT=-fBwM<>1{oGeg)4qd|h7;y3@BAe5dc% zI8)L7`7Vq%R(J*cjA?R>VhIJEE-vc$HJxKxMJ3Z)UbXwmEf1<!>^$20dS5NWv{}9Z z*1j9g*fi~O7tkr!ar}FfMSG6#g#yciUe|?8;ygE;ny}!7H_PhWI^nk98tW$0ZweZg z(-YPn=Je86iAcW)Uf`6l{M5DOeP8qxHR^c}vT1W@*?Dfb*v&H4e6Qr?s1z~Bt9LoX zP78(DPf6%xWij<vj>yt<e4EO0I>t9(yZeSak6FIPD?}tdezyyy^BT(`_1=5Kse>%8 z&xO~7DQfI%N$Bn7^onx@AMAczV9n-f3*NYG|DaWE%yDWthuIA0fR75`<4|gh4l1QX z#;wa%z0zOScVwN)iaP6qLd;FG>eVB%4o8EQO$+I4h8`I#;`mqTAlH3`j0&}bUiRu4 zHLM4<whM{W&Pdq0Ur;3ezZp+@?+?gugyqi1S0-Nj&FLlYu;JWAmQ;V0i1$2ApX`-y zJSb}Fif!r*6At;OeNd^)dRge#uPohf9RmK=vUtDo5BR*9#d|7e)_lJWXMRG<;&T1j zR+DyjF8KGCMf*?dg2X3$KG{pJ3!2=UmoW9akjUNsgstZVz_YLK1*X{aC#)57d@0Rw zEz&jMTV&JMI5my^Pv_1lGXV9&bQ=mz9{uhkD|uFVMT|nk6N{!*_thfa$TYp$uNLvh zs%h4DA)T)#j&G-POzXVcntAnZA9xMoeD4j1mUBw!sYJYtX6b#+vieUJbUr;J{guaC zxu!?{f@?nNH%*%T)nM(`G{GtN<|fS5alCn$<65*sz`xln(mJXuet0+au2Wl4V}4M{ zUm!$QSZCYGyApn1&4qO~zJ`R_&YufcDz9|r$U3hc@hk*#V6f1Y{ap#IyE&xRDMq}U z&(doi)tI^VlUmavYhmz2MrD)fK97J;B28P*DQVOS9<&OFj7ChKvp4ybN4`VAKY14E zoC^MBp&xr$q~E#({A6b7mhlMq%FWU}*Ck-D+lC{pET;AT8?NNCY?W7wcvji8>-G90 z1=FgT9skO5hMj<}kZ5Q3k=3l7x8P$Z%j%l>3tq6Ytp3}#;D;_twv9(Xk;{f@ce^vE z-fK&kE6Z`}oiF47O7{(pGZ#Gi&S7RXf5E4GPO+Vp&`^8D9PFNSUN9v7y`4{X=UUDz zHO^_bx)*$_V>vD38t|Q^>FGZ2fd4j4qIJ#}KCm@S{l(HdUp3<Ceiqm5QAabg^OZIB zsUMV*hi;5i@1J9J$*ONb$<&0o#T?)f{ZjB9*bg$By8bKP(71Mdi`M?B2@_2mul5V+ zl(9H|T`!=samE7hk$`>yyPY?jIRzb!*zNBtd-Ct>1^?2%Cr4@TX<hK}9gB8t%Yu)I zEUTw*bm=L9j|9|LjCkzNq8cu+<|~WiOL5L?H=i)_EYE35*c%I(!*GT+&X4N*%Sz7m z3i#>H(ygO>!trar&Kbuw$DR0I^@DbMfd;QXZ(Y67sTk?RV9?oj=Ah2{z9XQcf;Skj zx5{st(EJcoYrNzKmBj3z!{tkYSo-}KTl&vAmb|^vZneTy{z&L4#~L>7;tLhx+|UE- z*g@Ca8tR;Je69jI*9UY|9B4WCliQ$b%?vcL-qLC*VSC<*@07Gn3+Ti;&{napb9K%* z=E#G3z$)Cu6Extnu^0aQy3%gt0a_P+3$!kLCTJtzcQMdmg#z4`FF?l~9?F&jA6X|2 zI@p*`tjORV=<uPMCeUiUv_!tFc+llOpu6zgnp<U5Kqt;cnS<tnvp~1d+<}jmuaP?# zI;;8KHBbdt20F7}oZC|48R&@HK+xEJsMs@wA}emo75_kWaO^QBzE|d;vHjnXCmcVY z*E!>;Wpv=|Nyln!(8Rhe=rE^~pu?Qbfev%pWWe6~7}2T;TW)v7@nwuyk-<LD!9y|U zo%j}knk~1Cb)G2vGvY43aN|*6+_vT?pb`D4pri0X(;Ck?#EK@^PHVM%0csQc1l=@n zun5#y2OVk*I;iKVB6qPsWhT73Ed6Y{!>d@}c3-Q^mG23Od_u(_rxu7kQ`kD8Rb~rl zf?=i=sQGp1kjxWs^9y_pv!_^*LCwTgOO4!L5_x`n$2j2!1%pOD7J^1T8d@zg=9s|; z0E%8-X}8MI1&!>lj-1i#*WW6WBA=efSEavULi3+v{g1WI9!yZ<w$ylc=#Weg=m@2! z&7fvNjaX5@TF|~p&{E4f&{)zA(2)Ln(8|Va&_xoU)p<8xbe4j)QGlmU?n;91fGz^{ z<mZE~R^&@kWIvo7F{Am?Nu4JNckO@p{;guoPU|xO*YrPTl}a8j{I+v$(#(s`7|-%- zHr=$<!1&`CqwXBd(>g}pHYuR>_9?R>maUi>k#;5OaPpLuTc&M1qhx$I7_{MTVx_}# zHLKDWCG$R1u6up<?{CPx0O`Bmzb~)Pef;^|e9_8}>ho^BTN)3l=^ux$Ntt+}NBpeT zbiYLmBC(DeZt1cdWoOA=qo}d-BD4Pga|hkmZZ&m$`IF_fj)F$Dz`-ilrcdgEIR)=n zZb|PmieWf7-}z`aXc4j6iW=dAO5X)SYI_nk&g4&uy84*K`;796o#qFF*oCgxbtTLc z=6p5JdBdGnmao%=*IZD|s1QHs)h}dnP2g~3YB#iOS#0eiyYj7PK#kx*DR-eMI>Kv8 z%^Y7YWqGZm48F0oTxd$X>juXsiax6!rLjn#Rb8>i`k)fKK#1*}gpI-+tLA%dI5G*^ z-Pvqfs#0p}_|}?ZTBK({x$VKC&2rCv{Iz7!e&cnaK=Gg#Ytymg!fT4y94{VaSsm*c z@W%?g_4kuv)7E*a8nV%y57%r4%^iU5!nql{tnbS=WsU!uO}u@|D}LxU^}b@6TJN~Q zqkX|Edk(Sc2@8H1vv}wH0v#$~-L$k!ZN*&Gh-U&#yN(O#eD`hoB`&b$!)J)gK7F{g zQhDiqVUvCR2~!OnFZzZhMy=jEalsEimh3(K3!WTg$*!HZ;LA#u*K_;>ws~&2XUYN| zU){?hI$!BV#^bA?{;Pn_CeVR)Ah%up?kC&%loQ;44d%$Io1U=pG)LBYg@|WiO}m(z zp3YNPvH#-Y8P~Yht7lluPgwe0z{IX2Vd{Ay5!;ywTcsR7dUIU+aTZd)T2_9(GVz)% z%Tjsui1cS1m$i0_9<2JzaVnj|%wocVN9i19RudO|0$oJcvEbEuPO;q+7yPQ{m?j~3 zWy^7cIZL;xtN@=B3~4e=zY}Xxs`5Rv=~rr#=ssWYp3VJA88yrYwf?JV?3$IZRkA-h z>ZrMTgtBKq5r5NGJ_U_hmV;L60#j_e6F>_b>OD6c{3^^b4YVXIss7c83{}Uc&pE|r z?rnP<e&1=sjXajC_AVQaB(j+9ci9j!b-}l!rqp#xE2?D=7X4RR@n7H|*Lgvc9^1x; zYrdp49osFi=F>q~N^1u#K%BVX$4i#%KNA)_5oO6P<?y=ix8YnfOX_vOHQQfKo)Pxb zTrg*YvExyGft(T!$EQLEm6i*J{AE0tG+j94Kj<oGU&tNRv%mYxdfNI1R8L-Rur^hQ zrL<fr;@w}Cul#Be54l-*{Z%7g{$}Z&%>r71n9-lG^}n!4y!VD<tFI+lsBTw{$aZhK z^jm1n<oS>R3Crp;S0>)mWO=&ZeZ#?27SVd|4Hwt4O#Sb^;lyN?(8b9<*&A~?R_$+2 z=&a?)s+*FqaxrJte4h=Vd$jZwBi8u_{7-8V{bvp7S<Bhkl`h%x@bZkX$7?ylDy<za zva+nMZCOw;F`;+8;1rwb32VDKyyAT~oa<#teXkag?-EegoDQ1FPyt^Y@l9#PpTs8D zKInk|&R<toDsL?2SY^&JEy6G0vp$RWUDtqW(}PA)?U`5a&Pmw0UFgdF=7d%m$HU4T zVZXQ>A8+Oe1Dz_6%Ch?J%mqK@vSiypx0CR72~3)pZn)1f<1DDo0G$+<ZVp=NG#|7O z@0b(cr}(r)KDJQMfVm-evB9pcR+%aPj~tS50o{mmwLNl1^NlLdda=93d!pGpEp?tO zm<bxx2leiM2Y?oC|AADN_g6N*dkI>N^;?kJ@&)M5A(4Ne^KG|pHeg?>$ZaXHJ~@$3 zYkh1#XrmWsJ>XkVuW_F|sIv~bSzZow#fu-Py0GFdzOZvnt4zxO1BYb3<RvSz{{)TN zAG|zSsbU9sc3C9;v(36oGCe||I#^HanZl%0&^^4MS_8C?`!8q~_Ysp=(S<*f+{F`i zO>33eQVkk}wi7G55PSNN%#%3TnSU?sf7V+5dcl71&2{SBmJ*;1eN#Xe9UnWs!GL}0 zDTu$?iv?`!UoGa#0$nit5wyPWSbXFO$4_x$&lY?IIXvHnIXsik>h^{S%{g75wRoUY z6+sKz*xWZyXnu4Zv=E>YG!(7LT`UlJ(1~x=c926Exh+?$PfX+!l79JgHn-e5a8-78 zt-5>DA(<u5K>cARQ0Ri%GoWtw9Z%2zKj^ZY9Wz>Gc-E&S@_B(y4fuE$G-_@L8a2=S z<NacR7-*LPsAZ6x586Hl>Ilmob>h3E4mua07&PG^>hM9wHboz_jN)FASkZ*{pn(w3 z9ey7J#hxWB;Vqb26mSo;Zt%?kC%#qHpkZ@Y(6BkU2?p8-aT7GO0J;!RA9PMM=rHDY zb9K%*=7DZ&a8CnmJ4oCNU$4<t4BA7##Ts;J!}&upA$*|e5@FDB(KA7fhL%>#j4cr- z96wcorXbk4Ej`w!B=T8-*5{R7Yul5<zSk187!R~yFye$0Ul*&`vxE{GZcB~%2SNM$ z{9zLtE8;+dLK|O4?D=8;`_Fmq0%p~6P;FoP1zg+ziP>o=ujaShXKwO2&1t%)rlq9) z@JVaC*>y(5n0vm^<{ls6XsJlGTTv-9BetDrS1~@C(+k>sCx6&SdGoPNJ=cP2PMEyh zcfPXtZU5Uj*DLGa7q5H$`|sa>QE#qEM!UxS686{qbKf2`MSlY}MgNN5XAy(W#=Zs5 zKC^fqP22b9?t>1{!6Y{)FL<ZOa$43m;J-<e=sD$#2=$1UQccIQIl^}KFL)8vbakGp zhMjfS!!;WVnBGcG?rjz5n;&CUx@4-VMy&|=uG(0?4fnj7K7|YBY?!s+ku8g~tzST; z&xR9en+?{k;^q*$-MrwJBa63;XTWEXrlsdpSAaIsJp*_C3r>Phcz>t1;=jZ}E`LFj zIa@iGZM{^}bWF1;lutopmU_fnm8M6}1=bXDI-U$=$-e6o@Jp|$l#M0TTwslPaWK#F zKi^oSb!Iw%FA@^}KHJJD$}Qk0OOxw4wG~my;OoiE1$7G59nZRRPK)vnsD6CbU~TF% z!7F#?ChX*K{Hx3n_QSyOurX)YFCoXr+8kj&A;S^{Coftr>$_6tA5i16p)I#BbLyRG z32VcJrrc{!n0uJ>l$`&DxS0$7h;y3ln6uy!Kd0HQxsU_iCoOp8w%Ksb(Pu&-{z5t% zze6h7oj?0lDzALZku~3O!x>hVQhnu!ckC=*^OYkO`d|3K*);W^_l1gcVlw+TaXVhz z%Mn&>?f5a7^V$yy$CFwIqvF*yYGn^vZHIMYYYSd^<jXq#{4bCr(4811TCR2@!@ucN zzH-D9iKbQZsu6E$nqI{#M?8vZnkCz`^qu;Oz0(qAKIeFK?w-)$$UOC?U*4Qy70~{5 zWyO^%6N5Szd@O1T-RBkXC9CObomar0xF*woKH$}OX0w|!r}_!3+05>Evzhan^`r%V z+&RoFrZ0FD&S91%6!MSrpweDw9lN@ImX%QTtOdW+q7$RM-*^Ok-pb-F>k(k>zv0YD zmeTWz5${g3d<_>|^C7vZtz2-;7x$)P(i~x(dA$!s?lvZDy)Gbfe||!5Ic#iQ-A^`i zu5ZAvq^8n!DjK)dBHsHoeX3Wukznh1RI=D`ZSOO|kUG<YO236dYQ+yGxi-C;uLQpN z@V-*SE4QXw<pMgNPr#De#VZr<Xm2~X<yF4-hC{0%hrw8LO3C|gIHk_n73Z-bs(HZ= zUdZhchgq_1Jp-a{Ct18cqpGo6`e0SH@Raj{IR&6?dF=vvJAZVpREC`{@S1ZK_znpU z@EsB!GM88F6g?QUTj<KY?u40#Ily;E9Orx$&KdTD&GGPSj<8>rj*r<n!+wII0Mwql zD88)k%C<SjKR)i}yyhW1#b!#v+GGx|`F<PD{bWhiSB-cd1sNx*YwB`t>Yb;&V$abs ziE~Qb9IN&<f)AP6KR==KGPHkv_Pn30=T*+Ec;5|Yq?&ep7t;AI-t_Cdz?uy|zs(3c z%yQ6cy?REC!9lI}${JN#2ealYYV4Z?-josJyCJN9!I#G@uWdlX^q^&>WtU|Q)_yHh z(fDuG#LK6$;zwLlub<kA7{!PbR>!OFg>=fe9lzce(D^9gc(z_x$28lnEx4M!$@0XK zh!c+G^`Ks`9O#I7P<O4SDu~5Aow3FIc|yg<EAFyW_8oTOJC+MtWS%WnBp?eq`lNp2 zgytV-WDAR*E!Zf_ZRw#0I%57esK;(3_H03LiX!{X&7e_US5PN77qoj$8MJ#2bYU=P zQ`D*dpaWy2^(%fpYifs#F-y&t;p8e7xIMR3<_c(?oC@fu__O?=UDw8uCmeq}foe8Z zZp#<<K!g0dbe=5uZvZ--2XwT&)<JnE_NBQICk|GCR*QW~18ujS(`vZ_bid9K(Czb& zxhI1g0v~jqDpdZw;x4;o9cYXnG)-{M8Fb4JXuMh+ye06@HJvjD6T(3Q48q*S239?w zV}n7*^MDp0Ts^<pfPLfXh!c)`K?mZ48X9NsgU$<92b~uTS{`7WuKw>BuR_fZzFpnm ziuuXC6Ha`;+Cg1+CeVhjbBAQ6*d2G`J2oA3lF<9j6PjOuPQQN(y0#F!f^1&8BKyf3 zN`Gd|YQCl<Ry5(><W|cUGN6HT&{qAupqYh#He$~b0_KZ6%)i3`I(6nKXq#igmbO-z zDesdL`INFj8zMk=2%A->$1Ih(A`Tj0SPE)~r6%$Pf%Y(bTM1fRVF?;JPf6q}0v+c3 z4>XQZzz@1x5#+{WI!_YzyiB??$8v=Z{L*BByUCz-4Ld0MC+eJWd@KTLF-!*yor5;e zfv)J?+1e_T@;)t*uL^X$!JlAIXkOFX;bp0D4s>k4CTP7vL#t)S6ObEKKqubtaa*p4 zKXgb&B@8|laA8j+=ssT1O2%&upyiDTitKl1?tY|o)-kUdw8!AkAsLZx$%^cUK?C?N zS;UGA>_9V5S0YY0e(@4}wxCRy+p^>SNzj74R7LiaUv<tLJpKP*{;T!kjEer3pMdIl z==A`9?#LNrU(7j~C8@`6@%+ePnRAvGE!)%2_!xms&GEKzOY4kuJI$OXnPsM9d@4tW zKQL|5%*3=wx`%@&f=<lYeB?~<qt7wdXIIzfT>rZ3-u>sl?tcHi`|s9kY$tt{_5ZIr zd-Ku%dY4aKE>Y{FPAX0O8fUgN-G33o8smDVx4iMXOdMRP#=-7Y?SfP6=Or{7b85wT zY`7T8GBsZ%;)!3=Dt<v7Go=-IxA$#oeBi$QNE(ajb3vW0Qx<$%$Z}f7Jz%@fhC4sO zg>?a_Puwo>XNlB3!_p;R7#ts~bB0Cc%Pk8n5j&XW+4RVp<C;aw0?^9oxsCx<st2vY zg{H(hZ@BlC<>_x0X&c9YkFHI<X?e#pPe%*uY^s0_csd5VCmk0EvF}aj)N(wl%`q*? zH{iEfQ|deA6}$Tqc0T8Pb^KX!ly;8ig#y8YUhD!UcC!+u26J@HbKh_)mF4Jq<%q?` zkUo#!y17=Ds^%>CQq1!D%niBATGrDO_O`M-{jPlDfkso8YE$ny)fJ#+Y3o#1{1R?D z`c8GlKk+8jd8#XZ-eQ$F7sT0g%UW0mz9H=0#9;R#c~$TN>Ugz`8!8bmkF)f?SB!Xi zp2c;(V#Hfh>jZEwHrc&t(rM0Xr-Y~2O@yqRb^>?a>s>Y+yekap#Xg$9tgmOD+6o!p z#Hj8wiYs<8gI9*_?}p4hPfA$X4KAyFW-oXb%X0ef#0CF?S+sL@D7RfMFgxgVTiE2B zz?x6zpf&8`qbrrS`U{Bc??~ufFFa*WXTn-*PA_|(4d*%*y!mCF81*{WEuhZ2iFcY% zNDcczx8s5#|11tFi3^0}3h0zEJARE9(E0cP)++hFQd#qDPv+Hmt{cv5V=0|3pfg>0 zMZL~JE_vmQ9g`B4t`{_kbKY?3JZINE{|&e9b9A|v9W1zZW6FXjW-QsdY8tf$2d$1n zPD7kN$F}yB$9k`Tf2&!f*Ql-dVcgXFPI<+j@Fv$dg%!WrT4n6Fi8_8YIVi*~bfrfA zV9;`*D|Y<}Gf#8AigVv^$Di}ndew+T3rKv**_oFv`SL5)a4q<_rKt;E{9{?Yclv@K zCt0#<+7_hDO<0@F>2=?0!@0dIsq)GZ?<1Q&t&eVbm@`3P#SZR+ZrU7L``Z&HPKNH< zSXWo|%HvH?)2sVx5s$>0X4y6^l~Y~um*=3->?MY4K?me*7k2#nltp{b>;(@yS+qkr zq~e`6oZ8OOb<bzRt@WH;_D&m)?N=Ajv1}<gd9t_s@Rn<{oCB;}H=HwS+T<><rku&~ zr@vrMfuZBke4(5xYAgO|H@UL0g#LHkaKo+JaL%iIwTL7}$FqAmrdiEdP&P4P=4PnN z-o=5&OL&`pnG3G@;N8^5FTCc9f77wo@B1>>3aM*Y%}>~B%h@IGw&55%r`J5s4cAy% zmabQdc+S?eDYogWoQlSO{wCfnPo_d<zjppu<|)|FyG?b)9`=Ju?Lr}c84f0;Hob~h zh)5E3Jo{c)r<C3C?QhO$m6I0arSQk4zUyWAx?M3MvAn5`U3krxx~5~xh1Y!g2~KMV z{Y3W`z4BP=8t|vA$+XTt;1g%l);I+X+hWb*?{i!O%FLU-@~NC~+;`xR3=inwo1^D9 z8n7=0Eq?w9>fk;B4W<8;<t`S82953i2kl+oX#2BVui=BGMaI6`A{C7@j#+}-mKpEQ zACgG{ZJoY58MJyVJ@SO(e$W*^`%XLYwQb*Iz`peUrU}g-lR=%!{W?z+tdtM$59G^= z2JJ_45_`5_BB&r<95JK$nkQ&cmL90fUJ}&uzmHYG#@q5lUHYrVd{v;G+<)>TW;7pM ztn*}nMOq@C+w3=>g<79(N6ctGaZcySf?fLD#RAroT4k=-gDQ=4iHhuJ^+D|fHPGI< zGlyhS-X$us-vwPXcL%gCu>SF0P&?(rHADw<C1~#)=m?!-?x1cvKd2H0by44TgBAnu zg2op>+Y#@bJtVV*P3MWiUlY(3vKf+~^I1SE539H%PB_-(gW5Hq>xb$(S}i5!f;RY> zf(G}$F5!?{2VOe=iXU|KUzs?!<%<7}t(G1(N1ga)Nxx}cZNk11wA9RYeyimP(7i?9 z4aA-)l!MObnST<rdoD$h{V-@G|57jL!iLmDJ}uC)z!x2@mMd-+-I*hE#2mC3;4`Ri z4qgoCp*Q!}isoyeLG@Z0?&1rYn<q5C`3X9_UzFSO1ZWK*4`^)WC}>dRBIvf_J@@v3 z#`I?%b>ds)3EDi@(kgR>A2habeA9&HXOf`)I%pdm=$xgLYd)|(^NV|SUjx~9+HqUH zus?W6MkEg8(3#p5H~GAxH&1B(m<Nh{1yJ*=4YYagm=oWlP_btV3R$@=J=Ucq@<oAG z*na`-)K8b?wme}6THKfvd1sEylkF*qd~Drt4{695d<|q@yg2fN<4+M#pvrMuu82E! zNahIWaHFq$pzZdjo%m+;Z#H0G3EE{*eXI_&o?<3wJ>F0Ly5RkLS!(hX_&^nXEo3Ww z<=&%rmb6+4XHM~X*Oub7d}>Kq-{Tp@hh^A{i)TzW@zgb+J9o*nD>q-ZEKSL?S{rPn zs=Gb-(vr!lnUl`sPQG%f(^D%Fydcl6{?EBm+jY;M|K1JWN*`Z-|7Nsn+`sVKdF}uI zil5XLdin3Zmsn@chfR@r-&D99{;INQPvMZ7=f2^RDogKmp*2OXS#C-D)~#jYsL%a! zZ>929b&jt64jYa=D~_9~|HsY9al<t`mZj-}Yc|hV@FtVx^;!judclKizD-@A^RxIJ zpC0EBtDLvs)iU;Ei|$<ifLi?~RX)g`Fjmvg!S0|^JI-&znXIN=*&Ne$Ph9ZNs!3E% zF=NNPgrzTHd{%$l#<Kd2XTTSSrmKAF8vA+@dW$)|)+t52&T6`p+f@1vwl?hdhbt5B zZDx6DZpCu>R)Ta>*KXmQDT*uhOh}lh<al*6r&zRSz+bN>)jWk2mEs43_yw=X3F>Tq zXv4Go9Z%EKGSwCKlM`A&bK#(I;)j=3DlhdHFxfXDVJe&B#n+r+za<?%vU6Vh!R_cN z+m*TYt5wsb-2!Wh860oQ90VOH@ZaJfo47#8AFG3I*9AlVX&zJpZF&c-_KW%Z`O3ry zmG;cg`&|j0b2+p2O-@)@$+ERyIpUd5)2>j+@pw^9qIFIeKGZc${pWJwhiX%3T(!jV z-ghn=qGm1l5z}M}yV_X&{0ys0zjz#9dUIae*|y-#Tb9>%-2>`8HXQ6`5sf#NzkDmh zz3J3@g@_bB$E%Au#jNKp__dsK+6v(-f6NXBZ5O<<Z+gN^b;t@y?|1tQN>#qUVx1B8 zm*pT=zIuj5cf!)e98&Y$Hk?|{(G};j;nsGJuKP|K-1-+hdCZb+>mN|HxmDtv)otM^ zpiLRg9H-<xHym6EZI>jazB-X%>i9HY2y(c9kj^&^=-~oNKC7RVv3M`#$ddQia7K`& zbh@C<ch9C@t2w|uShIs(`xP?&7#!59hYeuw`uK3hwXA#vjeYC~rQWM*?CeNbtK|5y znB!WcXTUeDrmuMl8vl!%c;%E<{4j6oHM3zlyi!IzBE`IE)qBN=97e~llR2hYWJ4DF z-T8Cq%ET+8EL+#BM=bLW`0m*B^q*Hie5Frz>vdt1JFN*z%Y{wO39czpa=d7CFl)ZL zMxEtBsr$+rmFfqh_ywlawI$3wF>yVQIAqHv_|mF-=UATJcinK%rm5?=a88Mu<J0qk zIz^I>SH%t{l?#OY*F7lYFMQ>X`N5!Qy<>M*?G-*~^jrAKT<;Arjo^idHDzG8m92WX zG}ztizG_B|^+B!uiW<K}4rcvV(vY*}x*YZREQ{)Q<%n!P$Ct?**DU8Qc=MCx^;OPO z_3a7Gw>h-_%}Q9T&Z+f(a>7L2X&*ov8g}kFyoBRG(r=-V^FlfsTNXTP=bUEMzu?<Z zmeXrgS5$K!EZVQM;=jc~uH>e+*|KL>>+J1Z@bMnY>Y9cHFOr(B+PMVOupX3(pXcyn z5;I5Hs+8ha9<S9PEAliPZ$9U^cKZovtEx1onFr)h1$Tjve+mbcPIIoR>xAs1bJ+m8 zO^mr|={4ai_kKk1q|eNSCAW8=?frI5zq&cXELs;lv}7p`SOq$G47AqkX*+1QmpONF zz;9-5%MQ?PGLyK_1CyRA>;zSEw|ZM;mKcN9!!v@8XE}37<_l<%7vyL>Z8g^q$8;J# z^jd2CO8{+Tjuk5k_-D&qEFigYLh~wF(4lr4B|)p=&BUH57*1}LG2u^6<O>2F%DNj= z3+sVS<^i4ba_4*G3CI6_pj&>dL36@wpgG|J8_=9^^kxJ0sZZeb<qJm87BK56tujaa zL07%VCo8g_1g-hM2D${W7IgdG&gNE`6wu1R_nBfv6aMpq&Zqsv61T1SQ8Z}O{2yr4 zoCCBXuMf0+?$jZfBdb7e1kJh^z6DtX88nv%Z=b6ZE4onE)oOWS4`{#FM&ZJ#&lJ9k zfsWJdZ?)8rIpf6F1{&9g9FGU8PM>On0+g4#IN&v?Sp%xb9`}Q`*(-4uU$~W|ndirM z$r?0lUaRi<p#XB~Tsp|Ad`@o56VpNM8qm0Y1t;uMUQoMfB4~a4SI{Ya-<Y^9SLD|T zt-B<n0-C7U$<JLp0d#V}EzluAXEH(S2Ae?J=RnIVe8rwEDEJKb(&1{*xj<hexGg2@ zj~|i=F|(Bn*JQu=7Btx&2Wr*`a2H=V0Xp~>)b-D{1dW@gCGuH;Cdj|Jfi5}jYn6E- zpP0zU1{z8?j5>05X7j~uI!_ktQ3r)5Xfs7P_;8!AA3*yyK-2VJi$SLdX@L%^oz-gT zu{H99<L^AsX@dsbmM`o;vnFO%tl^sMe?gZ$9(t$qBw<EkBA*v`<cWhnKqn&?nQ>co zfKKqq3g0xL8FFgDI!{5H81TN$N&LRGR~)mCIPqPQ);k<JtN9#{SkZ*Lplt@AV}XAD z)H!odyZ(Xs*T?%;7UhAr*CDEUm6^MJmI&VT%shG4Bg$*plBu9$ayIonzELPsc1Om# zz4**RA208upePmLOwF4o?|K<o%?(qJyp;KF`jWXNr%pmI_br6h^w#gcKmYtZzu?ds zU8UFmu57#g@c(~MpPmiUr@uC{i(4&_!ED!z1x3vX6Qem--E-e?L#yf4c_E#JljDBw zXSEMIyOv|xEXROq`=-<);f(wbuXGq&<lml~V>QXTdBH!UCed|D85PC{y}k>Wyc1sY z$;9#Ei5#Eot-2gt@0~Ur+sC5HuNv{XqUq9S&TA`$z=xU6<~Ri^EI|u^z=xThT&cWx zE6Y@K>zR+;%hXoPQj2&a(DdrKppIexf@eWZOV=r^s8v2_bei+kI)#Wl5Ab!hW^<b# zn%tR~uyiknl)TS|Q-;u$YwpkeWLM7h57^_k;TSKA>U))l*NIJ+R&!p9bPgz+9Bi=m zs}Rf6?+P~_C^U7s3+8~1`%Y?d)l*;bE4JzAJB1bZlp`K}gv_fKeU1-y50aj406EFj z+VL(k=d|A@j(=~mXoqk}#kp;`RL&uF-*3aI^_*RHZX0gdb9UvMh#!tjwr-lF*|gQp zA>bEBQ)wD>@_J6JMd^}qO~;@4!Z{bzR{YQgpKSk!zsc3iM)dNkUv5oD%amc))kdmB zyvk|1HC;fbSl99HZH{TbRUQAjbA<i)4e4B0?)YcplfBf!@o_9?Sf#w<#lsw7zhxZ3 z2f;8w4uYAwpk#W&+)$R(`N|Rg%M&f0-gnq=kf*8Zz3L4O_kf?9S-Ph{%i?vQZX);` z7y+F^eaEx+g>}C2IKDkm<C8s8m-E#-rww<iIlw2|r*lZ1Q;&G*)O0LdXw4-hjVkkl zS^JeW{)rrv`ac&kO`>jYKlkzWGBu68{0FVt1*Yf<tTFFj@Q0N}I>r%vw1BSqia*g! zuJaUD{E}}vnv|YkarM5_h9mb_OznL(T-nI7^|_GF<`n2CPG0RRk9XB9U*D@lJTzzF z4HsSmI$B`%6vMTlWeOU*)DLDwHa&6|UQ@{Bc=9vHwOw--eEG`q`i^73H^ru}a_SoP zYBv%dvw|vXQ0MxnxqlZ!&K7IOr~HCCMJkS0Cv%ELy9E3_&Ejpsnf0$FVI@0fmc84C zGu<qu=2nx#XI2Y4{uMdMC9jfE!E(?`UC<=XW5cQGYM|2^Sgb?8>{_Y3RbE)6z9FHP z(eb1>$F*A@bbMBS*~aqvja$GshNiE4>Kgwmn|RNut%y**@gl0}l)X~Kle(r=^-2+M zDw|%dx0F5{nKT=g+};Me2T3;FY8TWgR&u;+%sK71lH=cOj<6q!j)(OQdey6B{E<1R zRmQh0v?ROf>OHrBn))VFJHLQWEKOTau`JbBi+KJD+_`=@Y4dg;*_U^{1OD-|NZU9E z6z*-Eam_7SD8x@lXOq0+)qX)8v&jp7y=3v$QC{(rw`pmf+KO89gGRMYyZi-pzS}qb znmzw?CU^c^hYcy*3NfDw=C4#<+An0HC$OeS%JHJs!K`?7jXL3jQugW^J0~TqRp;<p zuNt8qc5ut7cP<<5-D7!b@3i6IMix<j)f*X{j!)MM>J-72m+-BcyE5OR1GMAn>weIZ zyy+1q96x{U-r!U`;nu`fnJwQ_68VfkccH(#sB^|~9jML#onh9xe$#~JhhITwv!v@h zN%$iGIwW2jR1ha7@@1`$?wLKgxdL=D>7Hu%{<$ZPpgrJLlUik#Jl|lzJ{L5u|65e- z*@Ek!i&^J`ri0l*r^x8*oH_WyRjeqW?rkNge|d9Wt4zp!&^hsZDT?f0ZMcgk%sA`B zH|sfQ%p5d~{@V&PuKycUi-CL0@0h{s0gSmVU+h0{NJeDQ^T%3G6Lx?m+^awn?(zo? z$%NP)b>dqDnqRmDn(3|61ohWJH|v3p3%vFh)L+-&F1}DXuT>_+JfaD7JWDR<JXKkp zGY1unHK8ZyIDVWQEMID1HL+D@%K4;3KBeiPgLKV7ix+Z1Ee39}X9^!dhXVA0_WJ$u zE}U9);ohuP%M+mE0(br1Y{0(LAGCO%Q|F9hA|vRIfHQ|=rbvMnIdX%xhUdfA&y`#S zEjOQ+s>og#+W<P#er?1F$0E>b$6NiaGE0g<4KOuO1MJ`-nJ?2ePH6rU3R>fs23nH` zTDt!g)CtZMd!`_?^3k(vf1e!{3E8eNqxlBnG<(qQgX`e%d-f3&exS4RZ-JUT#j2ng zlOu;@p1ey^WdA!Abc6wDU0w|Mh`iksroT<tBg|bK;0YQ5Q3P#=un;Q}m=D^>4mt+m z31}hTYd5i?332BR$&`e_#{o|8Ew_8M;JXmF<%xXo{z5iu&>g~Ypy*E!D+;h{Yqjh+ z16pD68FVr+Xi_I1v~sW<G`IjdJ15JO+cIN)Vj`c>Z16#Sc}=aBC*B@(;`;@<JE3(k zd>}yL9O&AEOG#oyA5Q82@csMM=*iT*(%^3W70CMgm~9cKl}wKwzo27yIwLh{*`l<h znG?6Aq`Lid+16t;`C8B!oubQz&qYeDp4BAm5|Rf^*r#o&IbgJSTIaSNBjNdm#>Owd zTR%U$)&AYw&sOi>zqh;o_3yvR{^`1RbUt0$Uy%jv)l2>d_v*h)icI~g!sT#rHfPvt zK@rg2s?98_>AF=v%|R#RePdagF1)5#!SUu!me*&MHR2V)w~MdlFx%C+;8QG1w~a~T zx1N(tA`S-KtNi_CJI~rkUtYCO_n?qw(=2uYol+LZx56CLET=AbC)D(Gox+OyY7r0l zn%ejU)_hTMd@T7q!D4leFL*oMH)RdG_JrO?kgCjbXTmFwY(vMFp)9Z0sA}x)OW6CH z^OU{chJ(kt4cB%RHuaXNuGpi0P^n!w#I`SC<4=~W=hY&TR2|Psb546DbS2Jz!<l4g z?Oz^xc+0fgO$+|%v1q^Xy<p(J;gTUsufJkMs-ojXQI^%Q{_x?(z^1KA-Uf3n%@$s> z+1T;sUXE+lQx^OItxE$fnRe!w9`onim5CRNnoj99x#lUa_+{O6R1SKYsqOT~=J#DT zT$#tRm0vMpnM1&Lm8Pfrd;|U~HHq$XzwjZfX=<JKg$j=ir$EEHpp$+2j!jE~EXtE( z$*yTw@Fb8WyLRG&FEd$QU*SBpPBG%WSktHdiZ>o4HbG7^{cJGjl(m4)CTqv5ItP>7 zg+l%_9TZCUfvyjG4m!=$H{f?#Q|dd#6}uY~b{abVjXZ6zR`j031<<(ud-V*P=?PQM z3yav!P1yRGqsyH0+7Cg;le;;uRdp=*V$AaTjLrY251Uj$6T~;)s<|>;^A?;^FMp8D zT_EI-%t5#1LLqk36DDeNuKG72VWTzYDt?Y>6<rISY3n}-UA9ee#a?Mh7uI>hopjDu z`U(*X%|L_eEY?>Gu3njViJwDiy>i4;e-_vI3K4HbOMO=V2xH0KGj%~q8+eO`y#I!C zHBFnI3#{2bX~CcGoMtbCLjEWp1Z^kV#%(yqbsKy$-L#d;;PVAq5;~JPv!-!Qi*gC5 zW<6+RE^uY<+=QL>0$1v%B((PG9oiz5=eHqf(t?k1EURl~EO>E|Wp!=qf*+AA*)h-s zVt#SvrAtbs9beiVwCWd_QadqWudn{IA3x27b2g|u9_1Iz2~k+_C$-6S6-%hTTEv^E zrdRf=5s&PeX4NZ$&lTACRN|bGHMBi<=Wg;Vk9W=B3nU(Tv+$l*0XK}YIl^8FfcLBJ z7ZRzTm(Y7XrYUpkorwu+?{b3g!k);I>do?cu4BMIrY7EX&`tE>^+u&BCH#(0_Y3HJ zl5xBm9^aLzJ56E5KffkbKBW~u3&95r)LI=hI?eIQjC0y=L&v|nIm1Ag-lTJcRbA!c zS^lw%W%Zt9XuIh}W3YQxWz(b29M>#*7d#1S+PY3fqgME!Rk_fV+6f7JmvfwQm!5Hr z?Y2NjjrBpd-@+mPBn~R63xxc&I+*0mu`1qgg9~W)hBL?XJAbZTnRtbnW$S!}h_qu~ zKC9n_v7Fx9yP&Qup|xMg#AY6NBFC;hVd`m)u63#rZ>O^yeXkyo>@uPG$+78)QN<T- zf$HD#)I>h3-#%Rr7Ku1i1oCZa2X&I(M}RuRTHM735l5W(x_CiLo|w5UHU5JZWvv0- zD^tJ8fZcE{=wkT4psGJfT&(Cq4d^PAQ=l_XKn;XvB4R}sY`a0-%TrE#zpjIB4xSHM zEd}13W$*^njeRK(YB7M$zq$FQC~jNx3((fJx1bZ%>p)fR4-?Q9x-*Alwye^5qOf-k zXvf!SC%#Sg$%%Yb-$6CoebC9T+&WJd{Me;kQ2Z=mi!isP#&XaiZbi@xJ@^ovRr5iY z%ir(>)xx@<9rvKwhq~#lmL7LcIq}{4zR7@nrXr|=3EF88SFO4(i0>Du)7@GQx<>XO zs9`f%=Se~g=$>QHNkSK`K*!y*waOd;jonM_pNH5&_W`sNU>;}%q3^mwp|hI(np$O2 zK<$+;patPS{Wrjl#{(THlp)D&sR3F=rvzH|pVi-L*#X+y{uOkP?~yfXd47DeZi9xS zK_mOM3f#pLW?E~)mN!^~uDU4_1x;O_IwT{q4z%1HboJ1sSWti}fObX9YL#(GUw15Y zR`acGI!_elB_;Anv2U2r`~-9w{c9G`7CKPZS0_=C{U&G&UEJ(enJ4cP68YHpLG>~? zmI7*uKtnag>yCw<a;(f2d#12Sn%i>4yW@vsj)3;~RZVQQ^w3FAWIqeqv6&?bs)SP$ z`HWsioN)YJ2U-SV!EN~>zlJyOoQ%jLohJ!9v_WSbO$VJ^09uQA95h1@ITqmD{9h}Y zZ-LIe+c&AzGULt(C%#Fspfi8xwaP33t!1=|YF<61`HdK87D8OCXu|#3t(Fo`B2GAd zlmI19E^bQ=&|yzXpp6(`t3V3@thp^Ke)9iWR`*Ao|2(%DsFKgz5}hjA{_(^8h~}+A zjD{Q@rxKbwjz#5e=n`Z-q~IpP*(KqqqTwtsv7_T)l(c~1i3FD;3X24}SxyNYTPEVA z5z^$t=*o0W>Zq5?krNtb^EO|bTx)-4_qBZ6_mSD}zyGax&98sc<kKnt+|@V#{}w)} zEwmC+%YW#KOuDO*b$&+Ef&k;9o4?MpB&<Bkk#$}%B8}1U?q7~+)>9Vz<7CmE<96W# zQ`6KamfmoIHJ=0=FRF8fRd+7<p*Fqv)-gU7)pEs%?D(cjtWBkTkWtt-AvZxM<~|pm zvVTrO^HUa4d4(Gp9sxgDn_QE^6QV-5D@WwmIey*CInAPF!LvdZZ(XGovFZ_NoQ`)> zSx(<|52)8Y$OSsHt%&2{r1s5GGp>1U<^-Kqrs@qo+`Ug#W8Z{?-p?Ffab6p)on=}2 zUKM;`uHnHd<Ml@hPUSmqI2hB^RV|QHBH;LRF{c>(e41C5!R|@EO|Q&_bPD+$&#H4w ztD3gpn_GBd)ae}G0CU$3ciK5$$$M-#G@V0gp3??U-2|F{{R%!Y*Gxg<AJ0Ll^>MrE zb|josZ1MKXv(#kTaP2my*L$}O=NMU1`&A;|r!;*sZQ|8aTTvl<&}}t`*8j$YiOV@x z?R%9Kmzu-f^eSIDLdiMc^J5loS;v6iDov^9AobUqkh|T>`kt&)TybAD;^B7|-uX%q zC)XX^B9-sA;Z!td*E_Ebw~ljk$@^_^o4MeLBun<+DGR;?HI;@5O{r~3*jvi-)O?57 z;hPDYp<P5nOUQk6?wn$lJquoiL&o&|Ii~HHwBT7Ti}zQ~EPKxlXHK(}n(yp;{QRC% zK)raAsGZY=4GtSFg>y*ht3^CL4s9#Bub*KRw0r7;AI&V;e_9tj>1N43dTU15%eS1@ zX8H$|$v1uFQ`GpM+Qcg+81hH(pqn>`7HFOR`~|P(bBa~ZTkz}ky5j}YZa_w^r{Agh zer4j7IF_yaY7xs^0?HK+7TK$;sMkEmwOznu&-8?)_JSsI!fQU=k~Uboh_mVHJ=cI5 z|0YvA*MLtFO<Vm`HEQ(^TAhXUUd?@FU*2^N_}9-OZQ~vA(bPOCN;=m!;OAVH?l*n` zrv4jl1hQP+@44Z~O%~I7#|>9_S+<4?>wLCwyqnH3t@~cf!z=eECA5}9n@jDxeIbYW zPh?qL)4bqCB+Kf*a~4$0PUzju;brHx;o4=ErRjHiGS_Zqb-X#3^V(^FDgRj@Eg`Fe zZs|fH|0EA8U5BoZjM-cK%HvI7(<|jBRkJ;;mskCCYg$^Sv|_I|xItt)Ct>G$!7KL7 z39W37hnKQw-*vq3LlAt)PsnM5IalvF1k|`UnS!t00j0KQ%lfwPDQna!9kg05JZ0~M zguU9Fr|$c0aGby3(R~iHs+kKuEtBn&pXnU%buUZzTlav!#Vp=)90E3bZMee9vUR;e zMA}i<5=8dpeNX;*1^jny5{=t0b2)2=?Ln{1renVa)_jU=T6A1^%{SSmN6&@V6iPat ze9Dr2*FT`jW5c=6EUD)EL=Q*iM>l<XuXrP2Jv6O-G!AxmQ*AnRUoB#ZU%=PvEZw@w z;KK#330?Wae=ulsSVGiRVbIBV$DR0gffi5i^aouf1KRucFdDQg9JGY{mngTT#Qh_O zWJ0PYEA6Od6}Y#r`Ngc2{Z=df&Tf@Cl76pkwlRAzsA75&11g2BK}WM3IV4lkm!!yk zlZ(6ff;FhVs0ZESo|mA=e)K-*yp!Xgu4TN=8OM~xw+oAl0`7LTT6Qc2?Ok^U-9C2! zJ{CJG9<=!Til)w!1+}1?S$2YMOS`Re#_?UASkVR00rMJvK<DzLuQ?JrtNGGw&|187 z(2_w%&}48!tK|yO$P<o#K!d&?YsHEt?3vMOnGt*1iSH6<n)}>hc;&z8|BPQNn(wuh zKhS!r0NVV;X1#Gj^CR%YHE3}E4``8Hkr21#iaJmQ9DBrx?^XC_1NKfmZp(~+eXW)r zwnv@#ZoLAnDNidFE4pxZVyoqez4KdTz7&Iczn?)ifp-M(_=CK3sT?#I4O*X2Bnlb> zNlE0(0<8!5VI}r#f#6Qza834;XF-b=WI(;(1BYZvK+Dc<f)3ER#|b)^R*JjWU`Io% zj7T)-L;zN9OAY%Yhh$vlfzE*oWq5eFG@v#UbllwRHIGuQSG+%PNJga$v}B<+az=AV zW2?-T{`5pXBhWQ;-)lgN06=T<Kzj?h_%}>wemJ4kQe*D{C%$9S_giNhvrl~sI_Sub zyEx!BDAqv}_EO+F8C?5MfE=M~#ck=KpP0yJ1sY)aW(OMDpVlh#WIpKh<jwCuErUWc z?qY*kp!1VKiv)`dxGh)6fle9}+ccs17icHIqae_j{!CDl>$DT!EzlN*GZpXwjq+!p zE%GOF4?FSw0?hy%k^`ku&^VIStX7#GZO|eB8L=XP+)W1TTcttqE+_VE!ABNuOAou# zhh*0Lhn$!5GbCmUsE&sZ+TZn=R655*HcRDfuJ<XGs35hY7hd{!y`JK;WU9fWut}<! z9@Fl;DY4qR<c*4?rtsWpch3BkDKEA+KYnxN<giI4`yeOc{rPBp{p*f-^WTCF#JgX= z|J<+bIWpBh<C8b*{`(%kW<|uB&-JS#bhcePz3k01N0FwlMl4UiE8R$7bUdoeX=Y{F z@NU<^?Jfd!-KWye%goeKTu~)@Fv(mvL|#y5<E#bGs<yAY>F{Igv{~)})$UEH-&9su z&rjH?%<*cz%Lb?B1rL*&rmj=Ts8K$s#V=$M>$>5VRkP{gR_`#5hg-Vxr9>1XlB=60 zSvGCuQ_`rlIB2CVG{tsu!d_RFr|T7NEbtHbSl84WCKR&mcE!}mz8tIayf@sCW4X$& z5b?;MX;x^{(sLXNF-0s7{jTn-c;@k}sA<<}j%m?;;B)x0@AhSy&hg$5G;6`fJeJjO z{4bO!9?Uu}C}KZ1p_iM}OHVc8HCxjq=cdwmiW<L#4ptSvd*IEd7*$mFdI85lw%tM@ ze*_MKN~(CJ8&6D{R@tjWyfJEeRj&~7D6eUjzo5=nCC9f%=I)3-o#Pr%F5dL?o9c>s zje}hADj5}82fge$6sk@twpd^NacQRVR5{1X)*NBglNS8oX35_3O3!EYlW8p3d*?3r zV#o5jre{G;Yr@`Oj#Km9Hyl)D5v}*%a50x<s=WG*6t94<Q_GVrx|cF>OkX3gNcH-C zvtpHv=8k9kg>*_y9N(@N)G5|;ylZx_=(@m_{ZkWK-8rS~{5M?Eeg|(3%zEd#A!_1+ zAAu~{HB%Qnv1G~qtI|+#QqaZQFW$CzNwKlx&34Xf(Y^t8c1O0D&2SI+sLLY#)-&Lz zGRtfk$AGWeEZuWm1O6Uo@h;)a`q!GUvYjLA|I~z;rSFdxyvp<1aEFhv#XF4S;-ud@ zXDYYy3!B)?Nm!~cY+^S#VXC~K$=;@ft@gs;(QHn~lUt`JM`iDww%|({%j-9e0pA>& zzVaz+)H5AqOBV>q5zHy6WOz79xno+)zsqMPo-ArwbzV?s*>8ijuhuI@BuO{Tdao3* z$|2x)Fw1Ef|A6l$O;7cdz!xFg3z^6Wt@)zk_;@-;*v%hxe%5zqC2TEc6VQuPbn)(c zZ(h9Qqi55k<3ejf)ir7v4_ZwZoU(Ub!rtASr|f+pD;xeYEZcf9jAiO(mf3H-1HKBg zbjvyi{GH9>J;y)bvp9=)thmFDCmf4Zx4)lbIZ0CZN^N7p&R)4ATVCaRZa8EOS(<;G zQ!1Pz>?fP!<<A^pzbzd<W^-QKF?YceVV3N_lNWqx<4?4By@cb`JD&~r?m<KCbNd+? zNf}@8g@<#!1AeBn%#LvmD0KjzI{Dvm!x1)RpViM^b56U}x!~Jxj%k)N7Q72&IenGm z)qLj-hx|FE;(Rw;(ghu?q!9J#$HSS*Q}+v-^xF4iuH7c-_>l*)vY{bi?RlXob~6*^ zZsq`8Gr8V7;GbF(Y-Pi>T9(5r|5!EomMN_GCD(NH89OA{)=f|EQ}I(;@iVq*shIGU zf4m2c%!RJhHYDr}W%+u&PULcwqUVJI>!zvqd@uZPXbQD+zVL;m>FPdb@Xpx%Y8p4y zBVO+VomVWNYq_`RnTLCSVpOWRVnn`l)2H3SIROeM96w$LjgnS?X4B2Miw$;mz^+mS z4gLPwt8>ONiIv+j<Cxs>YiAv^K-Jp*nV@0kV@`a#euGY<ZFLm1(`*&6)nxyhoNjl; z5z<It<Sq_)1v-ld)V+TyCst%oI}3Cc59pZJ=QamVmtCk3=C<^Bcj}PL7P}Kpe3w)~ zhph8}#+{EHlKFBjU6K7~JZK;v)EE4yC-yACgs<zv!zhl6hf4$geLvG~H6!PX%DN!F zRiKmcl6G&H(EJNjD<4^?^JKwKP_105#ci1Z8bCh-T54Xc3%bA!baxEsP8Y8KNs8=; zd5`a~EDpHU)GE_co|ed`RVh|vVA}#(sBfonsC~7-qW0Gcb*Ij>TV>SDZ<SF2Rbo+~ zyK-KF7XO_Coz!EUn#flrZ39{n_y=@A@IgP&SVA4BLumlI>87vMa>f<VNqC^OjcY)+ z&FxQ3<P+Ks8h2LKIpg^1=K>D-b*%!nG3;-@&Ifh2&pgw4vS4;%B41JU#tF^;m_W1Y zDxih>XFxZzfKC`x1=VL-VnqgfJ6kPR^hTU;tTE*-z7X*qbak%^Xi8<REa(z9f3ar^ zjOVw?r1U2w@>Qv`y8b8-b^1~u`$XDU{#C+;8LcuRpq*-Np!;_|HS3%?m?Fw;xnkXC zopqOFj+mt?vVR3l*1Pn9R#GG-@&&bncJy_EHX-_m6<vr0jpDBZ9fAm|{&&o8mEj5B zWWcT(z46(zL+#m$F8tRIR!n~20Xn{Zo6eJjUDn*i0oDzzGDkqmGNeL53-y~pjjl6J ze3zzgHejC%T2rwbG)4oOLi)LN-ediR%?D$|iUNE#8?Z0F8*#$1vR>?2!lntWG9mrR ziF`@R*}-1=p<{bRf6mVU_D)bdS2A-KPmls#1prz}@=R5%=)&C1lcv8_*lx;g8S$CD z&e;AR_a&<(`zshzZ$hj3xz}eW=beAFM0C0FB(;-w{DZug`>00=6<^NOocUslUy7H{ z^2wT+rI%*THsO3-a!F;YO4}8onNy}sdf5_aBy(J*Z+7vs-+R|Rzqh}>4qVsAR`1_G z|Lv~2>+5&Fe+*iSw=#G4-CgmZ&2{gz!!~)O96O!1vspcm;gvW?n8nNm4{x$)$GTkj zq16<cr>0TGe=w_G0JQbjEoV#JoNs5s?^Q88jP`$L0P4Zou`GQqyk>L5f;UP{U#AI7 z+24@REXpEk%_4o*H{fStlj}U?6}yfKzh2Ip-U(Zar?jGy=U|X()2(jKX_24-T`q+R z`B!@LttRbmT<|ZJMcc;XLV?eQOKJW|QN7QF)@)*TyvWQMX5F>mhf|a3IVFusyMs}_ zO_#0<uPIh{yg8NS^;$KJ{U?JZ&ao9k_YWPtyR5J0nPA8{VVxo&$E(JiV$og!f4Q1e z*D0^4WIq_hFK{K!b%Rfd=(14Isa<8NE9w~za<vPa*fb_A)fY5bC%ER*VQ4>b@!OTk zTkVBJ_Rmk~Rp<0NS0i;f>h)HZrR9nd>dpb*44S_7scZaqZQ?zryy6FQQ|~&}6@S>9 zT;D0Luu_W1X}&7q_w~Af&c@G>iHMy)`#@*GaAwK7Z#a|5QhHuBVx42af2k(XI{ynF z;+m%Z^S@AW%y`B%t@UaeyMzyB<*RGVQ;vB2m_^lJHR5$P_>Lc>8CXBrmtQ$g{qIj` z7U$H;Gkx}Bu`H+7{@#R%&K#@idJ;AUbF2#IoVEjWC<^DaU9Ahg*|MCr@d_x{Jy^89 zO6>5;{mcisp!b%4D)@J0;w5)ZDSf4gr>j|9^;II?s<Rx;SBiM7&!XDSl5OiAP<2hp zCwuN&PViYUj^G(s$4>AzI?$%Y<1F2GK+E5`6k>|Lo)30U;uj35pO(;hcK+clS!x{9 zZcSeBt&!!lj4!zB9<R2d-tHimy;4Sn?LjZwreoTiVU=wQUMxGGY_WRpyahkrv1IQ_ z2d`P1KF6l$mB(w9rc0ttrPCk_JYI90`rnz*%+8@@=er@WM0Z(eWptBk5KHKN-wihe zS+3f<Za5;zV!GdT!<DBjTW_<RzGDZyiT3-ymC8GpbG$lV!+1IBVJQo5xnji2Se9OX z<%p#o0bfd*uI}>;_+!*$TIU$>$*gJXJ7tZ(EC;Qm_jEj*(kr}XyRqZXa-p1p7tk2} z0a{venM13-IbotV=c<2m5;p3AS0R41aP)OeTmM_wx*~bntyv4c`LUdS;~!w|zTu8K z$E*2X8xCFPl!|lOaOpgU)P1iFr|yH7O0aC!_;usT#HbXuWuY}@2c`0rG<M1#jA|E{ zVmB>eZZOBGdCnW|9c6hM%_4orDd1y1i?pp5c!X@5$nv%OCMImG<y-~YOLqwByq`N) zDueF(iTBuWCX}T#Un%0<dX}&4EZR2y7Ye2&MrrSD1h2^3)4$*aQ`1#D?|>T4gHokU zle9Um?V7mY%Uzb&HYU)JD?58-;=O0m2~khCE8j@)Z|aI{>g`io@kg@BRZKVpbj#6c z&Q<^05;}KtWc_PTSZV0^*4O+<!L*s)0p;aQPw#mL{P$=QwX+4y;<R|ng)Qr|(5P*1 zmFWSM{aT<SYcE=Z)@1FEgw`WBD%7Uwu%86o1FH?%cKsQ2puM2Z6NPHfqB85|R+%UJ z&mNND0bQwbG=8H2`{LV?Cmbt5Thc%qrsioie3)moB5#YJ+)~R9P@n8oKB&qA4IMuN z?Zv;s3F@?4aTiZm3A!>q71U{;4%!(m&0QSuL!8@E!tNmG!nB@8Cr=)<ICn@U<lZ4C zzD1Iti`W&#iUjQEwOVG>BnGjFUuWXbU)TJkGFboBf>KRxOON=|hh$22f%eaV>b~z5 z+?FS9AFG)1EMbEwx249unV^emK?n56gSPvDPT$R%*=pG__p}q=tNZDRd_vbj$IiV6 z&9Cp(d9vWI1Zd2B<H=v@;xRF-0&y|ycW*+DY4H>*x^N$~B(J8SRfeZOHIdH?bcXI@ z(Aoc|zDJyJ`~up$_AOehNC0$>VDEp>RkhtZXB@Ln`+hW>+WZA{v|V~U=-itbt(GrD zL8}2$K&$t^E#c5x$0`uxZK)Aw@^ulP8)zfkr?Wa|9G}R6j>&6jwd?>L3itI!TE&!S z3LBZZEi>MM@4f-m&7j@(n>$)%N~VKOspXD1;aJbgT^#TN)Nu#xv45E;R%8%&_>fG< zwi|Qq?_g{ZzwTJ_`{cw02JBn+Mx1c`qX1eP&%<r$F%2}rkOUfGICe;;1a!3B8_*R# z@AbrrCe%-8wUp?IJmFZlS@(xxiNUPXPJE}THyE%_WCm@a(*UjB2VH#wTErmq+`sFC zw0XmWs8f!cEx#_}3%U++=v>fdKhRPD&>H-r*=G(dp3?kJM(o*w4bt3}66cZ=`Mf|) zF}sPamMi9*bmCj&4N9S+pjCsi+{G7mfX+LTPe|m8S{-r1(Msy@WMlTZxoWNjg`y4> zg|aE-bE^W`_cDV*wLt7y!d-j$W%Y4PX0O`RLEZam*y%af7oADTv$EkU^?NpF(aeX2 zM#g8twuB{3d!!jT?c%8;HWQaFni-h3XsxT<q%$JMlh5#MR+aNQW3uV0!Rd@j2VLdU z(>%`?gD$Pz7z?_Ze*WKgzklDYmtNCxws+4L?RmG})q}U$vHgeMV<&ok2I!nTw}78o zO|G|CLf@-K<j6XHP3N38!}Ryl`MN){uij}&03EY#%yL>seML3X!J_4YSN3-#v}&te zm}h#^o#P?D*`CT*9xwBnj%9O(NeYV0-Kn{3>n)q6N8Oy)EE*O(F>2b{r>;?}b<j#& zXiBcYnsQ;spSwBCDq0pi3TBZ$JEQqw$i1F~iLTJG;_&aYt%ObshS*O_=nUq_igVa- zC9CO{xscB0wgq|f6LzX|yvkRINIVzQXCLFS;gTIo?{uLxMJA3HCv%3a77~ef-EfQ- zx-k67++g=8e&H!`{u|D9v!tfyw`H!~-msu%RzkBgr<R=ShKpWJr=APx6j?f6eJ`L> z#_sqvUQowSWyMeZrln>#We%_0YkSbB8a4@QoAS!z9W%>UZx-#EX$u}MWznvkz2M_p zmeqeIEqL*mW%b|53w|8?Y_Qf;h(-0gO2q56EKB<pBA%->ZAuqjQ_ku5GhZ;L;5M{8 zzA@X%sH$hdCwmUDn;LzYp?9YxY_#QAwZAo?^Dt*t-He2lT#j$MIj8MxTkviz%jv&O z3;r!;(f%`cL1GCvPx{ieoKo-IHk_Ibt}9x+`{c|@mwZ!fdXz4-=A&!Vq;TOiSJgCX z<qulD<~U{NzTw^)UBk7XqJ?rcv@Ccuo5L*1FJPy~h7&JYLiamtxG|OG>VE$XM{J?N z_U!$#z9sV%SJ)P7`()3waJ+kz<@DZ(;Psbw9v41@G)=Yhzp%q`!>R8aU1gkMzjYly zF6X><;hKcs$+Mi-qFe&1>YGZ7pwXG$Kile(b?bsZ&pFH@d;>o2W|3a2xZ;m=lj}T{ z6;e0ZE=PfmS)a=>?Z)&4×S+y<rc9P}v9RGlF(St?0O~1;8)_iyk87KZ!@b}8Z zOZ6O5=kHB>9A2iPvCACXGKy0Gw~Wp!N4zeAGzi%pZ?<z>lNOrt-~1rk>@&v;wB9*v zxERMW^}gGN6BD6M3%55bRVm|h{AzVj=(^yQKZXZ`_=T?2%}JOk&haX5&Ven{Zuc$t zH;+X-g;Pq-aRaDLG~ai_sc??2IPVR&`Z>U7CCkG$(|x=$@tWK1#3*n}7<$6M9PkMP zD+EIR7#(!GE)eoh5qwngU*>~J=Y>P+uYQv_Cv;ojijSa9set3#!yMBr3!#(h?`8(O z7sV^Bs5d>xWv`G?A%D<|8FFIsDOrQHi=qYBY}0o9$jo`Ig5U9^C`<NSzkpqC8_rE- zNzGS`crVxVX}|i72QwkTR`gMn+hA?)BbKS<iV-T#0blR3bkB7N_#4dP9pe)4S(?TB zu1~;j?+s_7ns)gM>!e#JS$s8Dk4Q{!YWpp`=8Im_vEzbkf?yk7svcgMc<VZ6*L{}_ z$If$j**R^vHkoB<y3Ua;*JgSLn0aovw~yuNea{UC4Ov9(JvUtZ$uf1n+lCXpETQ#Y z2J9Q{xGh)wpW15akuyW&;NA#^hkGqD@^%S_zfb_5l=eL>k<SRUK7RWF(2;JS)lQ(^ zBhrFAb<k`uXdv|`H@9WSz7vOJt|WPa_IrVjtm`!g%?2;jIpg@+15{O<JS0<cZl=h= z!{A}&343!u8|bcYoX}hYS~X?^I{Ty^w1EzEdfKOK@rPPx9aE}7wHjy<zcpyX*ZuQ{ zWK_IB{dY;RX9}PyJp)vwr{tw8vfl-D?(fV8RcdEIJH0l4J`FlP4ZJeD?K605`;<7) zK6ZA{8h+5^{tHjg0<z1XT7#3j_(BG#63zv=woa^QLS0X*<qMnhPJElz$DOHf;j022 ze)H!YXrY^eSW&<kP?r;Q5gqudw4#rY1%dOHw!bo8Zud%|OdAxkpc8*>PC4<-st0W} zxENaiT9OA^zW)X^Hp0HifPLrpO%s~`f$oPXVB@xwxE3*^`BEr+N#68U85hv9hOMBz zi#6AT?#!{w$T5U32rN1YS`A>N16{c==YSL6rsbd$U_qA`fDBD2Fy^+@0PPCwxwdIS z^QR8bBDXuB^LMX;2F-H}xh+?K7AUHKMsl8kZhn6iB35)^--K4n6DDe~4FM(jpydsB zVnIh3fX@4^0Nv7){-$lU3H#Es5ho5>oIE5G0-9vFC<E%jPXld+NKE9D5(mXTsGS44 zB+z3$Xn-FylTzE%YWV`R4&kSz=DoGe2M@vLY`Q@AD;><x<hIn1KYK{V#V<{f{i+sd zr{_^8zE|cO4A?uZK_~eoiak@<I<Hk`OFU@7=;rd{p{E?n8M%umc!Q>)K<VwFhFFn7 z%t_FINU9?H<I@o{ny3G7zJDeEKWqG*cgsMveEpBt#gfNAR^Ba*TAtz+CG*B3>Sapb zV~OL1&z|2blzFD2Ha9BhnR`@_+EP^`)i%F$uc)~t&(gIMUoP>?np-j}e(Mq+^{61V zM2|}|&p+QaZ})lm`^B;SuYdllS^v9k|NlQ>>+Ys@pXmOdwK?rS<QBW9s@dl|T+Avj zia4B%YkIMEaxh~A=s;8FCewM!8ar>^>D_Pn$1S@WyktJoDWHt?V3lgqr*vWPMYUHU zm$T_Lxyq@n_{DQDY4*FWhavs~I)?e+{@AyL&mOH*hMnOR$x<3Fq*Jcy_;)Ld_7@JR zJcWptnN7!xpC?9v54YDln8hw2V&9d}JDI~PjN{s_mIYs=no9Fv1<UTbS03*jn?Csq z<p|v6y}ZhzJ7Mu$PObOu8%|7Q3H4Wqc;nObN?cH<kk#?5*}<T4fh%<l2{Y9>UY(oK z|4?VUs3Z7%Jm@}pIXS!1B_S#qHEaj9#Dzp+eK*|dWjT6XaLq^erb+$6Yrbx}Yq<7O zVN+?DlE&_iguPE$p4z)?IQWu9bid1nz~%*?_&LO0!lq&W9=<XWbluO?V_T-(n6%(o zH0QJ^j{s};4QDp8l=>@!FTBxLk9hc-g*RV4;^lXiUU}6B)lKYe!6n>HSMNCm)G!{D zYKP7cPd@G=n^`*te71dzTfjEg4fk?cp4Pi>IH=4b`rmuQ#b?z;e?HCT6ua5H;MGBv zZc&a^^*srl#hh7nQxjlUvh9UdVdd???nVC<SM0yZ<dfZME@(2xf5Rn5$U=+2rbW*M z)`Y2R)bSpavRBloG(QMx4Ao6bnCtufP{FBlY7zNzjz4t{vbjS~sp*!VX=P;9z2H+g zhuF@p1+V%!#dc3#@GG8UnuPF`nyXGe*(=|2WSv)yc;?Ww%Uw|Cdt%cs(I(M-J{Jmj z4|>@vW$c-kFxB2)0estlOl{UHkGE5+lcSDqSBy}04JfK_+S;cAo_<ajnzDCB!d`8T zQ~xI<G+T3M@o|_{HGwbsvFOh64fra{(*4#u;BPF8w~RfcmzY<V^~z(F8~D67bHOXI z?i=pR=XhoBx8cxf;~8OxEe?9+t7q)#0N;3H+Xue!W`9FMZ@$o!J&g%#^&DUR=Db#! z1Fc=xylu{0yIs}s=XSxI4HFhTN@S6i^$ytSv*E-~meBo<8*Y4MxmxeH;Yclu>3_!! zSJZwdS!~^|5TWK7P|kR;s9oraeOp4SKQuzypM$3S=PoGhPMA7hP~@%fns4PzkMsrB ze7yD7qOQojY3nxyjlDeybD11(26JAsp1$DEbq=!~eG4A>bDHg%zTne+4zbEQsLQf) z>V2{|%5toF@3-MdP}8jUsu8b5nr=N8&?(k*yqnE2?X|#_{j(EV_Y0ZSOiNgLU8doo z$voc;r}9`_rwgq4CfD>xwaL`Z91>=J>t<P9+SLWQ?Z*?mPvIEL)A(A2Wm^xHv51zd z-gx2Abn3lY#FLb!Rr6INa#S6^svQ){=FIxnmavkSW$S#Uh_q*qdJnUDhcP^ywEMPC z7lY1rZpXiWIm1o}o7hZDSbAQ-WZ#U0sqY0u_RdV$8ZRtTKOv#FUigWE<k?SovRk%+ zPRE-II(U5_sJG4nnq!~WD)Yo1)LYM*th6JCTVY4ErAA#fXib*+#tF@z)<?`}J^{Lj z?w7vUGX=9`&UHb2uR!DJLZE9*jX^z2&}nCHA4kk+J_FiZR}CtMe~WQjzPJxs4qtZ? zboBaW1NNnY+?Eoz9YMqCm4_jfrTn_)7k;4qbKt3A&<JlYKd1@@U3l}lMyzN;9%$u0 zXm8gyah)@c@9jWK2Gv3P=TbD+1@U#Q2OZDiDOMD4uN!nc3uq9X8FZbDiC9s<e<tqY z2|H@w`vIPP2W@OGwdS_W_zpVJ9<*8iH%LwO-Gh5_*#F9c&flL0T0hnUn!ujlD%0bi zn#iXW3c4bo2Q=V69kd(|w26H`=vV;IX8miQK{fABqdRjfU%WBUgsz-Zm_6^;ispMk zppLLScd>y*YpaZi{rN*OJ$k8%>`y^=$emaVIwqc<yI4Sa(}d<<plSZ3&Ca04!JT=n zmL6x*71`f{#_-cX#|MFW+IK)J^!9hPT581D!fOA6AEtp01-N_EiSHQb)S;>Apqci| z&V^H-Etr;|$o>&j!zUYZTYAWWP6Ytfr7uBS5YBmt6;0SX33T;Msv`SOIZ${WaN_Io z2Q_GBTHcu>Gi7^fBA-&Rx+`=;U<f~Gg~JWdVZe1l+{F`CfCfQ8?#tqZ9bf>uV)k7# zXrKl(^3g8`Y8$jhf`Sutb028eKo4k+K}&tZgyt8Z^X}g&i4_U#2W{He0<s5kCcr(z zuYv4q*&|Lk{sNu7Yn*HeI==vXrafri#D37;NsBqHG9ule2`L`X-M<rBEj!kLnhDaN zeGpb+MH@bX*W>X=8(Pf-Rr9s5MfYhbHw~sw%-mFSXj*5g8|Ym7`I&yH=QPjld}!?F zd&bDf_u8csMi;LsojwxPUE^UCoU?Y~%*3=u$ulxjt{I#@;R9K7U;4lB{_{QOe*d<9 zzH8ro`}e;N7pJ?${aTr83qAGz>;LGJN)vnIjJ$1ZJDCECA3Mj}i8d^K#IiMASZDKf zmRqxZ>{c-}<*xu;NSDXy__vrNY{!fRiOmU1r8%V5t4BO_Y+5AE5q8<eXZ4S1EZJ{- z0*d$!Mp-sp>gK#=IeWnyuBNYHf>ZYQB{Y{pyNHke2D`iY3x@Q`v}NiVO<wTIkEQ#q zXTaZtCRH)PD>mH;E1$A#4Hwe6th8eHw1l0%IbPX&ZaBov!fS3n=kl~Ng^W3B5l;`m zhSpnGDsMIB=!$pQaBM1z>UDuNrPhuwt2wVp3Qeh<m#|mT9Xis;-_-S6IA_a*1)pBB zbjNrEZ1vl4Bdh7vc0rxO^U!Yf&m&hRUMXhTYJNI7>hu|<6}znu7DYDw;^qjm=w0ye zCyRFN%mp8}vaJ3yW5J8NEUW)EF8DDcp*Q^e@dB?r?+w?iIlSI`Z#ee{>axwtePmyT za-6E~OlZ#L(E8Vruy{9z*8h146VpK}@;o5NnJ(v;cB6g4GeH*bxh?^}ZJJW`R994+ zLKdZ|ALMF=^uj)Un7LAUX}I2jEmHZe8&2Kk?0V<B;Z`EcQGZD9HJc^7wt2x9U&xj+ z(8X+O8vnZ%8_eN-#3Fj%4cvR>SB*${30;D9wcl5^GuA2K?`szCJ01a>y*FHOX4z^k zpp(pPxOP{xkk0q8CeVd9KR6r@A7#;=$|<#8A>wH^i|cyzh_~r1N97eF9_vG+^NE{# za#Z$N6^*$n5$XO-o7x4|Z0}g`$DPwG!Y|<CY8L6O99sXUBuun(ylOA3^G(U|>vh?~ znZnjWS8DhV2C)lX(G$=qhM$B8T86mKDWIPBAeX&jMuq-CuX?47KQ;%oHZm;>Evat0 zde1lD4?~k_pPI(bo`kjBoL=#c8_s1lZIW&J`c6^fzk3t!Jm}%?;_=lw%eG$BX*%^@ zDI&$bX_dR6PMFe)f0<3Hdg?2F<~A+OQw8rP>leNvE3ET<maBx{-(1eH3U$ZBwVYvA zlNNl`WLaGUJJ0_`YOs5jZ_}gg0&6~MH%;mnT=P}O@uhG7;Vsv0wk>#*$MX8EbAX-y zhJ&7vzUxw!sry|woH)x8TJO2x#$uMM|NS<&lzcpWnAID!oAk5qWF-dgw_X9&`Aw;O zkkPUE3M=B3BOcCX;mucycqz`(E3X*wG@r%Q+;U!cWVN#6$5@VQCj_R<ao=z)sOi#k zp*6)P!4aCU{8XH2>5_6~$Di|sb3O<-9u++3wvFZTsy}Q^u4%#{we|;-iaA%w`)@d6 z$zp2nz9FP}!MCq0r`M>j_|0&zs9tr&{)<68>8;nHZMpW7E0vdi7c{YJNtpVWqide; zhFj^JUF(%19{*-hy)L-ss=CHsk%LzHLR0Q8I`OgET4+kW{y{c&!4R8i35$(6wZb{Y zA}yig{a>qt-IKC8SN)%s(5cP|9@0PSe_%^izP|zcOcT)UbD+*T=*rK(prP}J#-O!M zpkdx$dfb*0<)G=-{D>2dC7{0gzq3w!kBt3}xXx@o7Om#`p-HFV1FNOSJ8(h#H{yh2 zl_KbHmW>md->`zJV9;5r|M|F!4R%azl@X~wdq}27E?trRY5hh6_K9cx4uzg_G(C7o z=15t(BKuc<&?+}pohJ(_wYZBXSj}&h*#bUI^|~M8bQUAf=`3=f(^-xkk`eg_YAwv3 z*8}QVf>s7Xj%NX#cV{&pbUe!$C%#9ZO?)3+K`ZjwS}ij`*Wq0%-)O)-*FExt<2QMo zGmiRITzP(cpFlhHn`7aXi$+}ms8Iu&qW%P$qJCl{Ruu3TbaUG7S*<cxKq~_u`GHQ; z1>Hke)z&Jr#oSUfJd@8T8Z-x?2ddgY%^-WwpuR}tiGv?Nix$6t2BG(LwOX$DlK@|A z_N5QB$k7($R#2VV+v|Tg^pv9!=$@W5(CPbepzU*d+?FRmXAo9B2b~muROigW1qYq@ zx<Gy0#a}@u{wi=63*0>5#J5W8;j=T2Io@JL0rzLNT6&xTjh}$8Yh5sN_OBJqSCYhv zF4)a(wLGx~bmSc95QTSEpjG?F56OtUOIKt+ygXt?bI_BLn58m3+Y=J`v_Q)fU(5rI zd?bMGfIV_Z#sqYT!b#8-cG;@jmLA(d^EtOby>jr;12=zz_UV6D;kG;h+TqA!7Juw? zS-_pfR!fPcpw*ZTV$T$U5)|358iTGK1RtZT%3VAm;;<9nEYQKoD?z6PRG&B`lQIu9 zN+X&6;Mp0+JZrI{3-_B_Ej8ZQYeF|T9xMP|b2G&+U6K7U=nMs~xverTprw*qZ-eF@ z5KHooAClSg2Xti4KkkIyt_7fKz8Z43&9|MwAE(UNcETib=EYA9X>Kz+)7)ly%0-@5 z+1xtQ(@gf9@VUbuObV@z>Nw~2PL)i}p3$yhoT+>GjEXVnbiAZ(N}I2=?Z02Tcjx!x zn_pMOzJLF|>fP_(e?MpD+SR{4ar%GO?Q_5W*Mp|%uR*8jmwj2|Dbi5-O+jO}YDB)C z<4<8uvj~@fk6z$qar@>bOsrPFaPPy^`z8$!%tOC^T&cX#(DAFUf874Y2OX;0)K~1Z zJ{ZI<aAltJhBKTjrP?f~V;#Y(<F*T#>}gL}>dpz;P?h>P*kI10+k$JRDQWDpKPcty z2ig#J@Yod4CG@X-nl5>BUW@b#_$Jo$^_tKWd4Ze{GLA=k#S)^VbDaY!#hYBeDX*xq zIGA)?FvNatLZ_7DS!wXOc-6cIjrgH`#5=WRuRQXajh2OiI&yw2yx$cgUIsKB`^*s* z=@C%Ec`)m`AZSG2ZTf;I&Meus&H=aljuu>-=^0RF20qC2zr;bd<6wt9oYehvrSjr# z4z2p$go(2`SN-cr*vQTaKFCy(+i>lyXkneHDl7JiA2ebYzGB;!urrwBRlLK7L*blK z+c?60X*xb;=RiKlba~&ExMHc}{qH?C9NW$5CFimstZ~7Ynx;}Q;VFCD686sKIQ73J zq4_tb*1y(-#o`=V$Ni2Mh}~>l@M<@QnDvAOztlOWS!9C8Nv7Yi$$#bX3NnpxS#d?R z{K2AnwH5zu4sxxxW4@dPI{v0y$mE<5_*!w*rmN=^HR?nUO5In{sANAF^<8L6T~or` zkKlTu;N;D-=Z<W-c6;7}Kh_*(77Ys?9p^N&YFzN?Cg>896}MC(-k3GL+OHJx$gpYF zf0c-=$(s%4+`28Ovsv2l?qAMnr-iTB&r4|C3|%8}7<>whLPibuL9P8N8oxLWX8l*t zkXxG&mA$8N!IO0?*>By!XAATxYgDrxtTJu-1iG!&)bVJ%K+YEf$EW*+bv8Y``MMo) z34M6Hy(ZI!8|PTA8nbxIxCMOP%;G)QEufn7pwV^VE3vK{?wsd*W$&`#P{)FWx{pGq z#kpUoFoPW6$^kyWwNC4xlsLE!JLoqx$455vu1~<Pyrxn)6^-8}2dj+F9o%wi|Fnc= z7009Bg>p&^9H06NgKuVQW$BLf4*0v9#ruwHz-IpqSAto##;Zi6tuFpKiJ4>iox6oW zED1Zm3tX}9NN5F}(Coh<XzGHGrYx&#+84Z-%d-0Kv;{vVvt<97zTnBU=MVGNZe@0S zX>!ntU1*B!tc1OjIZn;@-*E7%Fh_h5!$H5$Ki{rQ3~XQUDW5}3QYhpv|G}i_IsKWT z^}+{*s)ern5jYs+E_g)`vJi7M$F$#Kj(@E=!hV=K9#-cF`(^I<_^ep6#cCDs{{9y4 zzITSDOTIZaJu2qB_Jhgsq$^9duCm77NeOdrbDa9dajm*%!JqvcW;d2}Wro%$A9UL- z81j$dpi(i%s=9dz8@U|6p5~kuVF>B<=lv^q<?%|c=~lmh&gQ-ad0&`(vUlckf-imi z4VlS!&LK75al@%p7T4**Yp$tj?3<R*YcDjVrY~VFul|t&uYBhX=gvWgn9{$`wYp^8 zqrm=C3DmdjZIuzxPfg@=1I?O#;s<rsr9kx>Gk38-^|V%*EAgd*>ki7OJOT}wmw|>& z`ME7K<PRN^NqGjU*FYz$yb}^Dx{wdL`sTfV*MrC?hKHL=4EBJA0KE2tiek`aw=O-< zD(n(9?&5%IX>QAo_h%2um=x(eQK;16F1`?Tz=`h?=vI}vpmSWmfmX7CCxZXWau*wb zMvFz1r$2ah=3s`lSW!ToHmGJpTtNGEaj<-;fOT7|%oYE%L_Q(VsPVJ#h#Acx-K{cP zKv$v|ffkm(n+&Rg>vf(i*#A^0Zd>!A=!g>s4bFnjrak1ucMNpW>{R`Y6PjNnftCTJ zf_j&ot(F;oK#RbygLj%g`3pJ{0JQMy3pc0=?)EO6S~Q{7n7jDG?a81~kTZv5c>0nQ z*^laPGGJc}x-(|yxkEB8c`1tQSLHVvuy6bg+GpSo+GkKHR&?Rc9?%Ykm61A67VKr` zE}n1_(X2TKI^6C~C&;lKpiVoeSp&YV_ATgiJkY+he$f8)8LgHpa;rdx^gROY!|S~Z zI&+^v?AZd-_EwoCpaX@hL_wW((11C3$s6dzpo6xP!6yU%D3BGA2ibGc3N!>#p}Fpo zjLWuUMfR&ApnL7-x5`}UPf6qxIu1I{9@OQ|0v~6ep2%mkeZz$2cTr-`6wDJ8+5bL| zn9+R5ZTjP9PZD<Uf;P{!w#xK;2MvC-gEmuYHGFtz)gfmNx>NUZ<O#<;#}3JufHq{D z1YKsI&C6}+VSnb3ObO_?fSbJQ4usBXj+@ge^Q1f}k&o^7h6&A&7J~K}$Z<n=&v}E! zX+Vc4Z0l;3xw0D+nhQZ~4Q|j8#Iw%()LwBsHSM9+(*?GZTP;tVNmFEh{r^DztK;5* zx|dghs(Sd6JnJZ-!=B!!{9bLD0zM!w$}?R>cl&D<-$|--)mA=TX6&i^@QuYe!}h~{ z#WKYfJ}<Z2@$<awIc<rj(RSY;Z}mgJ>ej#B`ThO;`{2Xzs_Vc<=Dd7Y`tQsMZ~Ko| z)=aN={nX{M3pPD}TGpbIDd2xbljtcH-t%e^FO!;%t>z5dId{Q}zbvb5T?1;4v9AB~ z^`LxO?@Sie$t>A#Jp-!v4q6qfU$|Fbw2z77pJ?iHU)h&)T?6V253=btb!`{QDUo-4 zs?H%+IdQ?OXWSNbIcAPu?{ZGFXjqWen6Pp(2k3gTG(pF^p)9BG`UL!sYZ8@%cE<Rx z`^#z`eKjNOaV}@r&0g?Prm~&^e_WbOqaoRF|~D$7!9me*^PHGXRxtU50|<$j7{ z+vbBhO<lVMa;B)RsIfSxv=rL4UcKC3wo_MOMV%^m!112*hAYY}TaUAx&Z$v^F2_?^ zv0wfmS36|iZ@#dJU4Oz<HOGsyIl_LMI(}^Dy!PWcq}Ok`^P-YZ_F8k{DfcEO%&p}- z^^EhHb>D(N+aagBKV^}=>k;rXoTb~wCE%+(OSh~3p@LQWI}<u(IkM^+5<qvd$$M`& z13FI*d~<1buzS&T!7KY46I$biOll@1fNs3GmteST>nUl@t~~z@QEdx;9AwF^nYZAH zCQEkheDFrPYXVdDPEOd%&T*=r!)${p;vg6w&_OV=j!(Y}>l6t%UOg|UQ)cA&^}V3Z zMTHfWy5O0Nee)A$F6Vr8ZpV!9nbo$Ae^m~0y;sh#Xir#rUdUvg`-W5H;E8?~>(G*u zS0>&vWjUI!9I@Cx;FD+5);JZ7y{^rV%hQCX+-*+S%gk}AzAd47GKW?er&*Lsz|X8E z*E~hYnF8u7{>3+`n(c1Uw`?dld3L*R7lY1JwH15q4;r}(Ua_5+u=BmZm3WU0heBC+ zty#4HPFwJCG0W;d?F(Kk^RAq_b-R$r{izAP{Q^^LniAFuIleUJxE5*92s#1oO~_5r zVE3wQ&Qt%}5}MsPwEoRbSlp|Bbc>cchuF=T3tqKzidpw8_%)qlnnmw|XFplIb=6nY z3LiAGZQ8{ztn*#J>6dhD+ru><ra?zb3XZQ-Udk_Ma!z>7C)cJ$qD@!pTmt@ZHJR4= z1boVC+A61{@mJ=c)y32qVK>)uUc246;14^enMKQjN8%i2R#O*z;^!2DE-Q^G+G<;> zQYP;B)m~8NqmJWQUj5@+rrqjV@a-GR={L>+-xHdicCmc*SB!Yb&cZugXibT&<6~>i zu%F6~7t=Yys;`Pl_<giEDD@t4e59)S_jy*AtU4BaF=Tl?$0y*MOw(5>mZ$5LZ#>{@ z>Z(_`@glS7R8~?{caCSk*SRd+Z(Rdy-8US$$YQ$RZNrtDEL*1w=xnZnj`zRI4R$Zu zufF2H%0aG)PiKT3*5wGRVt0IO%Mtd|!tvrxmesXWAqNY%1Z;BMa7~wG>3ijf=Y>t1 z^aa*zzd3b|+5fU8-b>JNoJYr(_4V{AtpFVm{Y*Gy?}UVnp^%x3y)35fEZ%F?SL}Vs z<&!-#m-E#-uMKzHIbX>;Za6fZQ)-^$hM>6$?2kdGnLJIOth9rTRUodp`Nb|!&+_lo zR+%I7(-Zlm)<<{FJ>^&=20F@ZW~<DS&7jNY3_y#<8e1)2%mrP11Db|!ehON09xV1O z;YzY1`_ug!4A>`vW`6g5)4DSUx&rTgY9gP|Z{IHH&88RrfUX>0(gzxe=Hf1%VA<a) zQ?foGk*~-;QIY-cZqR7?d{8ggQta7+FS9~-cv(v1pFJcK5~TA)0d(GNz;6+5%MN+a zxh(rmI`K^cou9Kd6?BZQGUymxMD<?=IyQALXny<O)$qrl`_e#-gc{IL@2&P$nJM-s z56QUnfevN?HBmN7aa*p4KXph(1ylo_jo)CvzLFhuh#P1*z-C8ob9MH+7Tm=Xs?E7A zUw|4ZB6jfU@`E2{fCkKK=eEl9oKH;T(*m8J^Fm7O*@A1SitHcRBW5%oyB~4F@zOfb zb$HjNfd=<Mi^*<wx5}jOrzG-Kxo@7(TmxDoIOnVrUza#&z#MehdW|)AvB1uH_yE9H z&}qFLXCr!7Pig+;Blb*TVu~XBS=-1N%~xc^iZ0AM4QqWx>YQ=RGvu~>0qSudS_>Md zk>@TBr~+*_hzE7mXUCu3T`Dm5>>-&epb?di%n>u1-4fxG8c#eyEgI0-IhVRYeReIe zq6vFvwpzZpd)kTb6X@2%X3$uI#BRRuOg^{UpcMe1^KMh>#fk!KCxI3=o^axOl@2;< zzg6eSf}N9EEj>y>*Uy2*Kq^6J^gzzf`~GufztxG{O>Cf(@j#AF6c;NpI0HIC`EcZk zgFjfro-F{~5ZIxYkjMu)87~U7P>>(Af9}vBnJvdaVfpdv4$I;TH``ieQb28ks^1$Y zH2(p$4Icb})&Khc|L=P_|3BlawW_Y5svf=)?{Y?DQWkjG-KArjdd@K4GTM~0=Gvk& zD*ZENrfiEy1Fz2WK4TK)ZFt(_vf*;!=ZQYcA4#6=F`9ga=X}btnLli<e|>gOem`U( z-rIfq@7vcmE3dnu^6At3imYq@|93qR?c|BuI>}YZIL-`mVeJc@rc=I6uIrRmR7oF% zEt{TsI{xQ=*8gijJy_G}3%=PjrG8>5<yVh*XVLU4U1&`KqvK&w7VW!E7b+Y!oVqNW zvHt%nKW2{hZnxVru4P3FiOhH2aBLons<_~qQVGYG$(+|#3Qmc2+i<U_>65u|&Ibj@ zqw1VyQTeQG!Ii~Lu4M`<W+_JGC^~+1=A34c2J65kzw!WGSbLgtTBLUX=xT8{malKU ze6m~5a!8$1i^w!@I>yZrW;tWQ3!$c~e##p66e1oQHBHLqxVCHl0?<VqXCi){DmXYv z)M3YyH_Ij~F}zmgJoVmb!@-)SE_R`uEi)E;(q!qL;~(&~oTdA&L%?4D4M&n$OyiXz zvL3H4*q)W|zTwO{meTKv;Mw+mwTOjQpzaQf_0<n|Rw^&OFJuzuyWx~Ai>th9#M}KW zN6mLhU5<L3%c6RjCA+p~!Iyt5uVq{VzNs{QJ*TMgU%H9cibeFj(v25_O{e}VMx-1L ztvSiq;=OKPX%GwKX7MQroqsv9rg2QOnz-QGO_tL!KHwE;<pNjgXC$=N3!B_|AQhLY z>3E^Sy(!erDWD|2>FPeGfIlovrgbLJI`L%pvc4_rlr-)tM?6<-+H_uUjrr#0%&GO< z2ib}_wd!UhEVglcsxPb)q`2ajbJI~h6>xKCyU>+C#s`DW3tZ`o>wc)S`6{&If7cRp zn7`5r(CSNlK@*#42}|V#Oyb-&c+Fn$!m8=&KbL?%noXwH;(Ifv-f2o$E6d^a-f_b@ zr>0G=O<(&IHU8H&@lJycmW2DrO0HF1@yERhd_3N)*9L2kK4Q6g-*v;0cPys%o*S+x zf@`W`E62NR2SHWT{<efxdjXT0ISHz<{SQs<O-Yzq4qYK3bGP)Bhpv0TAB85<eU1U2 zLYlUoQ`e}~JZNPuFlBFl!rtQ?r`+=nY%#krW5FY94zpV`7kpCZ5VLGp@aj6JnDxvB zzx+9;W%z;ym>y1=ece~q^R9Qm@4%+iIF%K<FZRmVe=lqLmDwa}=Xar?zG><{w+la1 znnLTG0=@_}U0tWDQD=To%DHKhzQCHT52bjPgHOeSFIIoQQhD!Rj#Kqh6PkrNwXSi3 zPN4i`%F=zuGvI4JOSi3mz+c{2pX|;^7SsFQ8$zZn__mMbw2Wr}=%U&>pMd{LO`_|d zN9XWY2fKUKtAfwQTOZ$@DSEdpVe5ARk^9{Vz2}9%ts*YRmzz1R?VPaSjaSpxJXMYV z;!V8s6j%J%HQQiL?>40sbCe=d?m;8;>Ukg8PFcTzzqeVu=XeHup3dUER$;~7zJ!^K zj(3-HOsjr-c1GA=U5>B{bH~HB9AUpq93Lwk)Vi;v5v3gQR-EPNbm29Hm!WOopAW7~ zye7=D^m=rA=2~HOjor2ft9A=d`7d&itz97GkJ>@E<-#HVEDtJ87Y=zVbjI-wBWTiE zoV$3!hUg9amKpm%W4)l;?B2$LrmLGg1@Ek7;&^|}@%sW$Sv(bVKy3)<fLdd*B7;3s zS}is1o^awj_I;xP`_%eP6PjQ2M1v-Rw{db?cBn_rXg&t2C5!C1i!WF;w8|_2)m?KZ zgGS74Kxg75DYE~34Lay%?__x2^1tENK=#Grpb=i*?H@PELi(2cpljV~LD$KE_JxBE z*FXDyqXGL$P;dFS5a<TjS*<c9pxac6>eCh3|B6S<Xm(oDK6`TW!)-ce9AEwgUD9F> zI>7G`Vi7<fXc53~J#Nd6`G*h5nADwh;+rHZ_H03^I;eAb2-LYuS7g6wey?w}3H#ni z&=oy)V$TvLq$;u>1>JA6SQ+F>YtSP29MI^8C~V0XX!yCa9X<z;(YM_0mBQA8vOByi zGx84~l1V889r?RAVn*{F(2?x_IY4{qY(RVIjvkVkG7IF^Nv)PE?i_RCTLhY8hpfYU zHWyTp-I&!XqXKT$RO&oYn46x+XNBl5p8)rl_Zml@a0G48OW4rVDkB0q%*TxxGz2oM z)w09oJ!rP1)El&{qP<n-3h3}dA<)9T&jw=86iRitEi<lz7F8I5w$hzDB=aOMO_BXC zJE-L~86HbK*LO>YXYzT?2AyU9P3MfG>R~6oMckmp@Svmh>v*_}FIa#U!%yF2z`pi$ z<O#<rUC>E`4XrXM?mA~2&%Zl(_M~H-vDmW&gW0VzBIU`6d~Tq90Y4K!NAaW}+B920 zN9dY@20)C&o+)f>Yn3s1pPtAU1RBWr)>92Sh<LLmx8(~p&{YedgZ}L2gH9wq;l$V0 z9XX@<k|t<Tqa1fJ<WRgH@}D0R`~+3;h~B)8r}e^Ves6YUdxAF3c}h&u%$#`6&r`en zwaQwR*CkfIL8srUY+Z7$<dDbA*?zC5_;`BfwKW&Yq_=~Jcb9RTb^o->+Pr_yr}bvp z-~RvWej?f#@-JVt+a+yJQrA6$PNoe}^B4TcYBG(R=TLq4q`4EvzJ*WH{(jwW$&mfl zA)u<fsgzGi19a_+Fz2aoPO}{i3m!dXk=9jRQNw;vsb3(Zc4ETDndONVSGOxiDES6_ zc4%7qOyJ5q_YG$bf&116{jS>9zw&q&)bvZXNi<IxeE-RFPO1DV^JQC4nX$N*D@Wwk zH$8F}S`(<Gv9lpz?Pm@zeYJ>mPRE<koY$fq1O7!e@tW;S|66cy66kO`A8-d&+wtjN z4l&E71+PA`be~mUQO9>sXu80aJ@XP)$~nG$%5r**@`~Lbjp9=Cw3~kI7Fe@^-|?`` zL9gRNCU#R3rh<l>BN;*c*Nays-rCA?G+!~|F=NxDY|d+4_qsEu&U4*x?i@?%ceRN3 z22G#hm2Nx`Y3j09yYV8a=~Ql$Yo6MQD*J;;*&M6#E9BcYADIU2@I13#*0<!F(u!J* zgGR1RyVQkszAHEV5*J+afxW5CTyRZ^zT;!TgIeo%$zRrzQjd81mgVSom59g5EUNu# z5wBmfEIqFfu^cpR3fimoZPiNUz0#bg?mKTd_?Si1^uQLgTTKf--R1x-#mnkT*tnQu zRef(l=Vi{Ue?18+wK=oyJ8U=;%TgLHtRr5@aCqf@$%9;=b4;HI98%>kpKlfPOT+Q; zPL|a*Qy09*WLf=p%7TjSgx>uEQ}#?wSnJN=wclmKxijpRb!F8}UoU}o(H)d&>WWvq zk)i1LbiRO2(M?!IVec>7dDkzX)?>pFZWhz{?J}36vZ9-A?H1H2W^lZ#%sK71k>g)h z7VRsXQhI6;Fa241^Hn3BCN?cPFSzF0t#*Ssk2Z5&tAO6&XE}4O)g`N@1z$K>UY}9d zsMb4JHC<rJf8~R0woP69f;n6I7JSMMONaum#EXTj#0zHemT?c*>;&$>iVNx#--SBu zZuGLgCvqw)_D@S_4Y%*fG@awRA*gG?N6_K!lNP)%WLaI?z2L`8mh3-W3!a#QFFvet z*l<p&X_NHYBL&xPPg?NDn$zqC^uYX>KS!=i44k;&lR2l@O2Lr7st1$8g+u;Z9~Am7 zc%^1i!b;w@8DVcN4jNetUb#CtVQ0Va75iBUt@#2bHq8l3;{{CibtO!F2X)#-&}_fI zfXMdH8P}xp6*P7#AB?INm}1wGFn2M>scD?otmiKHbDq;|$0YFiH@mtQd`f2NK2yPR zc;zn3gGruEuimRiJbC~bkSO}RIoLf&UGR!sZ^BG&&R5$wrv27-{A<k_RxxG4LvI%C zz5fFH?C;G>nEG8%#I_@0E0g0#Va{tm<Qz|Ob6nfi10EvEgPd=rXSqA;mB)M5rcWEM zf)2(L3aQaQ=*BJ(@{i}B(r1oUb<-0ze&z&ExAQrk{V$-ib<%=w#Vn_Deo3?imzy0d zdat-*zG}q7%g|i#kP~#0zhXv>9{42xT`dV)>xD()9XA}Cp}>C9SMK<aXA8EPa$9=5 zKLt9RB~_9A=HbX0&G!mH^;#}ylb0E{rG^>kaQITtOt3zvBnGWyb7O4bKj!##Ca5IN z{lfxYi3hp>1+=prym2mM9_Vxy&>5x6H%(}M2O9K!cOA5G4m7O$19TcKA838~Wl+6# zk;6QlPb)u7k^LfQMc}P=&=jiy=+u*=u-+Koq~)N|*wx2Cqx+!!*Q-Gd2GA7yd(cw6 zdeG%~IUhhf?7Q-l68YRf6U#-Qb5vzcIq|Imbzqa!H&1B(#UDAN`3PuU_-8e!W)tSN z%mA+gSPZfVJjEPa%@v->SCpTk2wjQC4jNk!0F5nxX4v&W3-;wVOlZz%1|1O42U>}j zlE^0oIzI2oW6-X-V^VkK$SeU(Z(Dha6;1fd09x8OqgCdK{qaLGJbtra2k0&M@pomv zl|<|bC%#kRpm7lCh!c)m&mWRG5(aW9=;pno&C4rq^3Ada4K08M<bNxOJyS5A-YQe# zpOVN|6e?D9VSfW?Q2(40UmIxK*(F&}c!CxI?6H6^0<<dDU3W?53TQn3Bj_$3iwU6C z!UhBOwV>9*-U+RiFXn*u7J%yIy?or3C+;6RB*PO1I+OM@Xt?}xdO>kfz&%iCg7ylm z`VG3q57f-rd*qOeikJ_4pvER2bnhJKG@fcs?&1kMr?$$JfPA(SG^A2LxpaqDaexPC zf8RQtGme?|Vnqgapo<1&&O7lf`V49(fL7Mkfwn*FnAa+!0-C#l9JQaE$Y&+pfBk+8 zbQNIA_F13{4=>zqZIyZQ9dzn`rC3pb&9qiaiMgko_)evRmVkg_8PviM*xlMHv*thZ z{+06oSxxE>xr3_u%nP$YN8^2|_u@?D-qPA28Z5{;n^#Fn+iL^paJ-~m4Iz<imWc~= z4tBY?@Fe9r98u`r7{;R{mEEe)HPN*xv`0fIg<C^NAbX2aqIPt}yqVh1|6ZDTKjypf zd&}>4UrxU!FLAzi&xhdI`yU*&2i;5mb^2QHz4T{<`u!I%T<Nj<d1)nsj_Ldb-<+CK z#e}cKI&HYKk>#tudc?y$oLkcO{^@2F_#-E^ZkAP0mas{j_l8q{SzNCRuQ7YA>9hLB zH<s)-jsZpXO<VcYHDXmF(j^^lin6?3tD;eFdXR0qP{^L?35x|CpU(78w&*?r9wKh> zUiZ$dRArk!xc?sE74X@lY3VfKD|rGso7)z=(`7k**EgVE_8?ak+vO~a{)DBm98&L{ zH+c1d%j&sa0e@neO!c56{_5fWkO^45rcya&4e3g;%Tf7!jz8x@791#mxBb=_9aMTQ z5VE%=VWXJiS82{^J0>r9wwT2`S7F88t89L=Z-OSlLEZkms#hNG_CgLZHD&?NXGF8~ zu2+h9dY#4fy+TB;N5CJ+Cev*-e3!LAWp%!aMlHiZD|ewOwi6TfZss_3ozrYb5oACj z26R&B#kVX||2u9t5zG>5-hZb0<NW0h7K=qY1pMV?@lN5)s+*9oQkWxazUPKBtt_SM z6(ZhEXZczU-bD9Mm_<9+iurKn9_E8u>mjA`8|RCEm-k(<a|rlT(PXN{qN=YD@%lH* z()G#_&&`@P@e8f_ZsGXTw<R;zVE%%nu7t%$S*G6i-*BRkCDh)3!;POTSNFSbII<O5 zCO-phqGMy(dR<s&^Tx!e((URI?@C#|epiloSj)oO&7xh~x8NgJQ|LbLfG<)_SL?h3 z{@68{{&Nl}T0B$YTvTDxrQO17Hos?Sf*hNBcv;_<X+l%}>l|b|&8bz_p0L=IW$JF0 z?mNx_U+=SY-*lT1_BEGd+Ks8;-3eAL3%(h$oSwq@YM%FoJKH&5tyhb9XbyGR!`NVV zum4IJGBaB<*BVV<kTEG?>vjPV`x%gt{nmuF#T;JoUK{+n7rgOhd41P2;NN@}=^TX> zJH8&9Q?^Ce@u|76P7&zlJ?(~qlUEO2nRvsK<?4Q)4M&c$nAW?1Psn?(5b;c~Y1erH z9r5m@sITQJ5f6P?c=;6~ULI!Y^;d~_>doS+uN3jNo#m)COZFd73UTI`KBuPQmB(w% zrc2Q|O_^&!$4T5{d7a96s=gzkS(rnsZeqe>ZceTL4G9xL7u8No*x1gos-JV(jU2PK z;Lo8f-fvw4s_UCl`(`+7NMRMw+gbhR%EUY39H3<$PLmfrEM?LD+qmFkGt25f^B25$ z<7>F~YMdwdXux}p0Y?5Ct`&mYgU@T4Hcb~^Q?Bdy^S*G7!3@Y<1LFV9OH;OdOq&t* zG+aPu(}V@DZgYx7I|cj|X7Qfm7qHoF!xgQjTh|42Hcwmdu9oHW-{}kfxy??t(9Utc zP*C19)d+mBz|+UjS#|g6zOpN8dl&rhYBH^J3-}b)wDp~$hOJV>@ofjToXYdwaBm&U z)BA244l1&U+PiHCoVnmrIHy>qK*(RsgGt*3LjG$X6nd=&xySFUWOP!L_gUo?b5&+E zpRv<<vY^_4yLiHH4Q|U9^Fg<O?mOhf*9I#0m(B;Be&efi#&IdAGzN{=ZUuEiYy5i5 zpD*|rB~~;c=ExzLEqp18>@OFCmhF2^R;p;_QmAR=+jMnhztxM_GfsS;?9&qY*g!k& z9>qt@XuhZnYBT77=Ge2eW0uN<#2-E+bL0}}Xj(b3X9|TP+?E-2pu11zo^|581)8*< z3ECsQ{qP}~C;!ek@%`f8Y{1^S9NuS^$lGYT?vl)uxU)`t$EraUF{svkF;VA?<Ju!m ze2+lIes4Z#**@s3_SaQnMHBYT1?`+W;>5Sff0F_G-m}jhJbR)5I#Y)YbYRV+-5^&A zf?TO7_DrGZ8GIbzl{sjQei<vb<%<7Jt(G2NK-UB0gU-O=1Pz<pii4KpS%c=TL95r^ z&DD9b05lE4H9tj>{V-_p*`@2C(dZ)3DSpMEb^E=omMd%zIPpCKUAor$V$bwEy^0;+ zqx4js`}eW5T4v~if);eLY&z(=8_<z*UqH*xeu9oPIH)C76p#ZN<ln0EWI>HR=w|!# zPJF92Z+jT;#J=%u<O#=Gez9i?8|AqzGvv-4l3CIPS_;quT8am`fA-+!FBf7#ced{Y zwVeKkfkqefxh*9?2lQV$lUq2o$l%_zR?8Kj8h+7l(Ajg&pfeUUL7n#ARv8u0mWZ`% zpnGpXd*?v2_HRJD^z&u8El+6o%{FFlu7r;Sh?LL#wW9eVD80=A-KcvUbU6TMdB+>j z0Xh47S}i?5>*UYQ22DeNw(|W29bV9x)4qC2^E(-_XA0XvR~YCgCGv5xZ=BHl5Ol!u z%M`I9gLRt>*r$5KHv_svg9dAMPi&Pr0$Q6P)enk#HnC?9j{bKr{QKGF#wHnaP-PG8 z*H`X6e8=A^@_6AJ3%=s=Hx@F*!OMi#PBzkP^HW>8w4_XFZOg@%TPEqeG*R)+Q(LK; zs(#XArpId+wWX@&!Iu_YzPWPpq_2PDt{1=meEfaP^~Y6p`>ubjtGC<#ziw@A`nirh zU-akA`n>-?sJ34VTY10qx$h!|HIvmes#OkFsS8fAZ%$~Q$|9<-e&dB&)2VVHolgRe zR}~K?Npq~q-+cHqbNgnMXMPLzo=l12R?xT-V^XTJwPnG#pr+Jm!dGG)H^j_c@UNFe z`;H@cW0k+4Nu2+NQ(>D8)-EdM2)o_Cpki7=uP~>Vo^r%%rlw1)Ij-$Ygw7|vc^m9r zW!v=0Um#~g--1VC)=5#)XVt)G+Pe#c*v?DXc$srmILEXdQx-h?%Hn<3E#Nm}Q>vUg zc$xm$v}B8~>4Iw}Kqor+#r<V9W!*3QFlh=sr>ao}T8}3r67Rd=*k2Y^c9!hD4gpn{ z=NhanO%s|j*MGykM3$%b9XB{mT<}Pp13b!lol|UQDb!`J&M)gbBB#9KpLvt2nO{Pb z>0@w#{X3v3wNG(HwbsERdG!_bf(N<cl`|^14|>Hm9qSib^C`S(ks15p$hTKnj(%5& zcnqsvpIi)fk9x1D5vvyQ+^K0(xZs*{W5=KKg>pV{I3C?EkRx(a^75)bT1~E#SVH;L zBHm~;y?U<}@kpm>)_lc?S6)rGbemFPclhlD-Qjm<KIg0b9vcp6KQH?8(57iBpGroJ z%0VsGrbX#OYrbVRJqj0C^HH~HQn}!ouL6!Q(>bo)bO<op#@)90UQE*`c7dD?pnGIM z!zDG>A!qr|SBiLI)U@ipO2iuHfWP4^-Zs7gpXal9=i2gLUS->!F!L|xt2EAO)#{Fa zQ(3fYCM|fV)iiaV<Aon(O`&zLrPp6hu2kM?==f2${OFczH#!$QacbJyr=U^G1U|#n zc7DQMZ_ZQZoMt<E7d+DEFsqsaIZ>d-oM-u~K9+7>g%$tUnp8hQtNv%~%lej_Q(jRE zT8<}pCDs?b9FLzP?1#1EVc*F!u6bDtn9TFvaOyQ@mz?*8TfaHG<~wh2>xJ~(y#jvO zHI;%^gMeyR%in*lOuTn&cXHIzc;^iV<5)zES)^s11Af-BbkFe(`1+ZpJJvVgZ#9ee z9q)k8+gZGOIkIlcNt`pfEqvu}bHdK+g5a+Ecj$yf`_q-mOV0~}JMiy?ME15PY`rfa zQs0-*+xzXv7O!&(5!#*szXX~}`;;`QxeivP3xXR%;X)yQ_zt?23x~WD*7@`X+FZK& z-$%AHcf0uU_3ynl9C2hZz3;T)%1M^3=T#z>c?Epug502>*Cbl!d*Op*)6{>y7c91! zw{1S9$KraKW%b^f3x0UAWY>W1cLp^}Vk}FSluA0joXv6VrNES0(3$p}r~Y>*G_QT0 z93@(=b|a&{=~TW_M2e>4RiT4P+8nFuXC`z?b7uYPNLXpgvUR>nMA~1d)9&hiKe%O@ zH)NTGG^f-&hYgq7Ii%+MZ#XrbqwAi-hFkocUEevb{ZMv1S;~@~JMU0|*Lk&wbVkRU zhdHmE7M}87;h+r9x!EEIujw`vyyokQp93m|!Tok-v1baO7`QE0{A+|A%JPCK=dO%O z+QtdZ&+I@KmKuT<<AJ7w{gM^g?}848xg#EN!tuY9*s}!}(iGVb|Bjf^eCa)?R;v_y zwqVoAefseJm`l1Js0v&07PN%FeWL+;@Al0Tno}mWT4sQ%_DkR)eo(#h?Kf!UejI4? z9B4hB1n6{HZLv?&FC5GOZLYsJxz(}*++)vA1|69O+L3lV0@P!N)GZ%$o-VjK1GLgy z7j!HS=z_P(DXlUk^OF<#if-|Pri4M4uO^m@6&cvHv|4IBjW}`ehX|+=4g^)g6Iv}- z)Pj~Q<fkR_Nr9FRoCI&4+pP0MLH4G+c{-ofZP2;{@H(>-@65givhQsMoy@WpwADUE ztSI0Q2Y0c-D$wjTXjz~~H|Y4mGlyi3$fYT=f3*i)Bm|nJD8B8wCx?AyrOuNDbJG&} zjNCy(AfOHY?|4AV;la1m&WDWyBvf3!;x0SoU5X<6W6+TLsR^wzE}+HtTXXpigr0J& z0bTYBx|v{-H|U@n&}Kf!g)$o^H0OYtH=x;;PvxM~_Dw;HD?~uo<ADwiie6e+{7k_p z9X@bgx*s%LlNx!#@vjT0vCz{hW1^ps$QJ}^b^T@oT_$ABZTaFGXbwUcv|zBW)lws; zP+?sVU)yHT!1+GVz_|r?ae(E#Rv8!n^hCZaQPAqfDXo?nG4QqXj-R%z?6=AQwRSFn zT03*M>O4`{ebaG=SMi0}N1gaSX^K5d0H5dwIvCGw`Nj#&pLT*KOoYUW0`^V=B~Vak z{ss-zG_=Z?fJSeEX3K%DR{G`x8UU$>`{?_ImHqqvu-66K|Kr|0gGm=u+ru~0CwfKI z>3Lp$neuXpr_s5GZ+=)F2d}}KGebU8bEb!PikFZ2T+PhVNk1p5C3-IR%$j>-LewH- zO<mQ?oQqRlsaSpf8FRh(`_ISK>s}Yy-rrqz|NZyxe@b_KPRkdq{ONDA^)O_rz9fF* zBv&QrJvq~&jXIeEKy}4U7T$1yH6^Bwk3(5j-*FE3BG`0wo}$LShjYH(xBHQFtwVUq z9M=ukVjvsoIv2c&WO*Iy6Y$Tgi8pViL-peDFbRhbzM*gYWF>8V0)A#Tx#sBmtbTQk zrTeW5_`+JyUb=}1D~-V8>oJZ2-_x3&zEfH8U*I5@zmQ4Ko8E_Owx~KjmgNka2|7#* zG>BdD@XEwnA6br`SBZG6)HG?j@S3Yi8nx^Pt+F{zty7J7@7nZf_W7qjnrE_zepk4W z!RYvu>7Y`&0C=s?RF<pxDiKLvAv20QK{JY1gju#;7t|3xoe));E}*mB+VSsC7Ht{l z3m;6HrrLR5*x|R~R5?di8AsS}UdNBeIj{XNcRYFaS)v7aF2lU3bQ840lRh1^4$&*% zpD~Mcj9b9R+bq&|{Q`boXX&<a3n=y3aAVo;gs7|ceKs6f$70H_5b;W*>DF=qonkr1 zyWX7BvW2ef?@efphfWc<_xs6e{_S4yQSEn9)apH*3ts54tbXerVB^2xSUZQ;Jns$H z#5uj<y*Hd&%aZzDIU?Ty(udt6-xmC_mqq%mPe7%7lj}6-^mWYN2UjNEuw=QK&Eg&7 z9PpW)#TzvLEZCGfPj$uahyP|=E6P_`Vc(t5$^+g@SKF}QV<gM!n#Kh$LRnVV&R_83 zE=%?w&=zh`Z6V_;n|YIQM%c?-j%znN7reR0@>*9(<9}Tf?<p2hd;bj=MOmiqci(U# zmL;^_eZ!4lmaFC#0*51$w3}vmf~RugJT{!+ga%vr`8igTe#<!iJ<S>RL(lQBHAmPh z$T-n|j;_3kPk(IPE+}$;azd{&hnJlDhHH{6OINeJUZbK>Eqt(QHRq}S(-NB1q5Z_8 z|NUeokMbSbqP2f&!bB6ttIeEZ*3%aJa_5}3qhZ0baL#GF8W)tcC(LB$cxC6e;Z8lr zt9�!;uelS$NBpBVH;r9XrhtrU^X`Nd`2@^j4eY=z8^t$H!Sz->XNwHf*}2FR*6w z%Y!q*Zn7M#+ATE2enLX?Vh*jkmW0KhIkf6$CQN+IvFcw(!bUmAulB+^AOFD8+AG!> z*Me>fU%A%`ZWuk|oc7zm@ozC_*bgDc!`Yl+zZ4xG|K<q$DeQRhIY(HxT+>65`+W($ z<$_c0Oix(5lx3;ETEz1?;0dsYlQvKHk$oBK9I(%K!@<2QqW?WMT-?ku)!a(ta#Tum z)2jC>5jk>>Uk`Im(-6AyN9ACUHb+*x>xMI0O}om4b-uGV{ZeicjoVrPodC1BlNQ91 zu(Vvj<lgLrsfRhb=DBRRrOwe6@3X<JdBGE1mh8K(0lyNPO6MtQtd?27X}hT7&+WoF z2JH)y`Vtlkb7<AiNtpN)9HC8fSN-Z;sl0JE=c@m65<1&Cvi{9YSb0LpXZ1HRmeX%M z0?PfHp7N=#sFyj&C9j+jp&Ie>H4F4m`#R8^aCXFu=3AgOV}D%5o-O$J>+p}?LJk#E z`6d~IkDJ+YN#}{e-iB7o7c!@v_%<!yY{0%3G`adO8&tKifvPr5?qY+ghE|y=?K)>1 zQ)Ia<SM19(tg}7in8U_xxnlqHR!fgF>5A-UK@<BcFGrkk{0%yDJ$Syz!~KqoEa!vx zc3t<cUD15UAJlB96MMGcL%3LxK}{oQ6Z^LU(4H@0oimP4K^NZ4N=@X;0xe<t0qPb$ z1|54-Dal=YVOL+P%#wW2{IxA;=$xCo_(C=4RDk%+EavHaY_~y+z@xzD!q<Gi(rzX3 z7F5r<Z!%z?7z`RbKXgduh#u%H*nCh;4BDync{-@i9w=6Hp{}ph@<h%ik#J4+yKf_A zG{<zc%6tJWE$4Cv4N0E_tq2GP)o+}j6#<BmfER0n<zFrM#w}JPAiu$Yy|;b?=t?2? z2U=$xv!lg|Cfoxpayyf($bJ*F4SoFyC%#YVn+@2TMRlGms4xZ9#h|<Gzk>$&-9gLE zB|%5dCCZtrvwsDx4xC{M+j8bu{O(G-m4|McBKzCPpxJiNYW`|N&_TeHT4la~X6(6Q zK><3W)l$P2Gz4NL6rRba1-d)_g`dtD$6S5b9y-4iMfQ_MpxqGXocJz*hT!MU292UX z?$muh=T`vxUeF}VKTok|2?CZ};hOA6bwQIneL7DT*vxLV?9c&iG<Xc!$39c%$$~mT z&<O@}T4hY4LBYu<R&*f_v}EBLs9gg(DlqT!;>zuOzl=dE0^}fVwI2ntJmNmJE1H8E zK?fM5C-P~DgBF8$i9K6Trp#^G0lExG3Vg@{C|P97a$9;Fp9VT-DV>em^2EDyhh)Ay z+dQH9k0B_5nurx`_-Fsa_iqK~JCoq@3dY!L^<Pe0l|5b<H^0FB=fwPl8#(SLC2rht zl=JAzQ~km}4bSvHTyS*ZqT*1I=sO!1Zd_=w_)$-5@6o#vA#>JsIdix7$+tgq-*>kE zMNDg$_3d+&@BW^*eO@@Pe((Ps&vVbotqy!Q+tNHG?&I6v%2Tz||0SOf*9c$t$6Rgq zclrN)|F~OsJ58`J>vH{ZF0<j$P2&coyD@A5`#$+QBudR?JrTB#LE}y=%g?Ck5iP$t zx_XVeuS{J~b3I(gr@krYcec(QkEWXKpUmrapZlMyW8)d{re3!Di;ZJtimF(enPZt# zs@S{KraxDtBU<hYi=>CAPrRp~u|H;VYh}B_?oVlAdFGCF&az?;1sp%l-KBGBIm^?# z+cz1^RH*)8CbrJWx#7>uV+~4oBDez1lrwadWD7SGwOTW_X1YySd$Xx^_jHB${i@v` z6dX6+ysHzG-}Gtkv{p&C38lG_I|^BT+I`>1ab8HIy)<QFonwI9_0HBvhY554C~$w5 za;$sitaE5SM;G_5%^vweQ{t;<wEk>R*!@mW>>Yz+op-$0Ll(!6&Hr>RJ!g5!Y@e2R zil6DkJQ*g9n5zpJes&*baQ*SFpdsn!#0I6ijsfd_X>ebjr4U`t!~LDXao<S`v4@FG zNw3u-mN>FJWmnr|&@Y%$T_tu-_zAoHVWm9|0W~>X-CwesHqAeENJsUA-+xQ)?Xwi@ z^VzyTgf~69G*jo&ZkDH4_a`NO6G|~ZoBFU%@X2Kxv4=X2h41qtmuRy*P414EaY~;t z<-9JN$hvDn4S%lQXY9I=q3N*k)9MDLyRHH2wl=k9`cKH+GpY5p+l2g|R^1ooFSt>s z+ifyw!JS-LZsSG;>j%7Id0LKjAt{1s!XoN9NfYDLH2iBPw?=wSnEP3bdwZk8{tD^t z4}6Y=Pj~BF%4_=6_B~?8VV0Y@){#4mS$^8?j%@J>u=!Iiw(^iW!&Bqm46Q47$}3d= zWLQw}m7nQ}wj7hlLP^Js&jQ6>#x)iFeXP@?e8SBB;GwUIC$`(3RpL?B*kvS^DdV{F ze56>Kkz?5pR<S%a$GShNVu_57g+*x-<CHbd|LJOtbe|Ag(c604X+nJ5KDGBx_&K^> zuS=fDCopAuNK&GoP)d1N+Cx2|C;aygC{0<<6<`x78?a=RDZ?r8Y$mSY?JNqbqZ$sG zDxL`6-Rhd*8?Yw2-?ge?!JQ>`+?OXS++L>0Z9YTc{>mw?6+H`XZ078K!g;FseM;go z;gsWB5*|Jie4@TJMR1ys$nyJVmDZ|hoZqZ-My=`1rfoVgN+;Iu?03E49dPH$L{}@f z0Kd5rGm2Sm*79#E2<QBCTs}!qtDIG1-fKRMptW)gPgnCZb6wt{?y$`(-{E1D(39-% z$%40pMWp!?ChiiP63v&C$R?0t&6E1jP4LNX{&Yb#0TK3|l!?oPrnuXlI&?<ygrCge zL%M1w{H2d8tx?f9SJ~ux%ON1nW@772w+XRNBX`)c{Omoyfuo(X>-Mq@9_bvXs+Vmt zn9jM$z3$wh&>BXCcr)gJmRXDoDk{|$czjJ~oT7b>O=Ov+<F+TRV(WAr_Z_SeJ18hJ zTR&mqFM%ny_Z>OJqkf_+^3b7P^%LJ?PbvARY4Fugb)DrIAh*A%HPU0k+)a~Oqx~k# z|7z8}p>M&C0^RN{tqb<l$Z~I<tg!nZuh=^U$GYqkK|djp^1P&pdO}n9_nkbH!R_$v zN_4}a&}wOg`b_Bs9HrZtMW!;iW;q3{`)0>|xlJKDU!41Tr^5Xb{_YEt7u<NJES72D zxbt$ISQ?Mxw(E{!c|wkLCltjJtsD!_1d3(KIaXdO6ibtIEIY<2mdEQ@_b*c{QP{CC zQnGsr$Eoadn+$$)Y?`gVq2M^@Cu_Zp9M3trej9a{OkGg(M_X*!Dba>EKeHPSUHvDe zP`|c@^Zk=!Od4S;88m{tn~HJ|A1YBeF)b|d;V$7P(V?k=Yyu+IR}v<=2~OEPeS?88 z=O*UtjRluEJ}u5q6nrKiqJAxTqL}cM<yX@a!vs^Fo25K76M8az$|jE699^qtZSbh( zI3@i)C9zK+W&8Goho?9`$!|*$)DsrzzjIb8G>11pZ*#+;DR-DB{N1Fxpn&%l;}h+z z>>>*-9XCFy6I-e4xYIFRY#F!Xw$|i_zl5ILE=?6|6BgP1C1K(*;VHkrr6evBNOAv? z_ApKGiTwNHN;)bUev${2bk#M^OC341M*W1I)Tu+cswdV<oKt$EqOnf?q>`+PMt<#- zR!i3jcTL+`ce_mZUm?@I;3LD)V1I_Lkhh)<pO$tu9J*4?r4a4IB(hG^ao?}aI!@9} zNqe71Eb(J`y6keqj5wCa-%Q-*EeiK7H*h3#bnQ*s=rNh&lyll<17nU&$?+)<p9w#i zKkvMfj<UwNhyzM%6*bP+cedX2nNXX`$-TW<VSkxs_lEujJAQ_Wy|i$wJkBNd%*L_o zzn9oMDaU`4uSd3Mt!C_cex0c+<aa;A)9lv_t(vj?6ZY0IFDO{c@u~FRA*B)pjcIvl z6L$$uiOx+-WD`oU-gov;uiA<4H_j>fsA%x{=v*>s`t;&^<cysxH<y=fEb!*|WPkgx z(i$a=bALNrZ+Qg7ZJOVD({IAx!;WI_oSSNFjws0}YUJ6UQkp6_#op%Jp&HK#wpC57 zt4o9w{@=`W5cINR)u@YP(+Fxl&v0t$IYzF_O$ybQpX<a}H~q<t-@p;e(WR@m*`t>8 z)Z29%43s%G@z<U`Wa~HK{qorr>i&uveJc(qomJAX&x@Gh%5wAhstpC&9G~{DIi<8l zT|;lxL8V+(jrAIdiDiN*|6{saf6q|(e@$2CkY>}PbyYf-RGU5>{~9@C5u?Mm5@&~p ztIU~B)CY2DIPJa7*yS4RFmaa9l-1_Ri8_wos_hdVS_wbdf4|H1g=@f;wUJ8{S)QKz zzQG`wb5sA8<cIUrPVncRS30Ah(YJTL>n#6(x?RTHpSc{(EX4A39silfMz)+~IT~KI z!NZ#4RCe_ygWsH+>R+EdRO>XMe!YBm!Mp`K>KVFAdKdgTsUY_3*FlDx+|G=xt3L}j z{JDOZ(N#m1F<?)f$O4bG9H&a_P8}*yIdRW!TI*}a3I88fh$SjGZhSmh=Tb&fQUCIY zn%{>1{{P`VuJ_CYH1YvGc;L^Smk~1qw;h>t%4l+q?wM&RX%#(Zc#ONRl~lMb=R0o^ zn<S^??Hb)}B%G`JX+p}fHK~(8hYKw{;-ehRySe8KbJk3=Uw_xVKD*a`9(a#J{q^Ge z_utR=|G1}hv(oxMtIy7Z&T<?D&vNj_8A@MO4`k4a_uk-<&h)ld&0WNyVoBBiD-&;6 zHNDao(Ajv>@pb+757K8XS-j7xub8VEk*4T)SC!@TTxam{0^!0Yds-8gR&z?NSB*&Z z2`G8oY&hrYHZ_fX$_J&Y!F#?RHcjGQ*0-fkS)<nMpw(&4Q)ZmkqMZZ&$u;rHDX;jU zbI{FLJTYqOc9n<}_NG<ULORpbSL~aS(7Bl-E6xi%1G}1Y+D_1IqM!u_?-E{lyvuC* zWz03>8dtP{$sG3$m)^1T@~cEV&1hP5nj>trph&#uhGS=0RNpH_ybf%-<S)2p^Fu`m zznf<{ug&%juyfvUkP$j|{wOfm-OZa*E8b<piBOi%dXEh^=CWL^_up`&W5F`nu1wvn zl8$fRa!$KBb-}xTET?511NQrX?*WW+1m6RA-*LmK_pt5Wc9x|}wjE5I5%w{XC0m8l z>z&hvYsWdf<lQ!$Tgj5DuMqLRsOi&v^&1b$n!0M6dgm#u_!HmcYGym<a@c*h4WRAy z_Ffx~C_<g~>}0TeP-xSw>4G}NwvKmib4>fK>-hILXV?#Z$HO-SXI%5jSIyWXd{9eX zQDav}!d79<uKE5Oj$P;QigVu(*1q5iGt28Wvmuk_mcJienRrjlJt^wxeaP+%c{T8Q zM4^L9&xJz%Y8_0vE)epc6TBkt4``c$@RfT%G<ecyvN+zA<(&3gz!9_%5q4~!+&tUT zC0|6Fj`=o)*7*c%ao=!jK1Y|m`-WqyyJv)*T+4CoR^x&%aV)Q&aGsL$-f%CH<>`K( z4F|QFy6ly1yvS=hRj(591ayuq=m5Ia7Z)3@J(?yQQZIf`s9FHrbw4g}CC+Wb8C4e0 z;gi?ZR@93h<f>Q5_#tx8OIo)1p~<~D2~*33MDF$`Z1ooq(HB}%cnmV+U|9(|u{V@u zX}(g#bHAod{Q_&ul{EgZIy&PT+iby*8uo*3yM;pjSsYYy7YebRo3N3abCo&Av>g){ zJk#fxRyAY6x5+H0U)=Z#I~;Gbnk&P!YHP>8u^eG11Wjyu5|&=(kcxNMaLSw$Jg$G9 zv&-IP!?F7uUT%GlL${f!YV4IgXk{%t<!*n%UT2O|^PM(0f+owHIm%W&GGEr$vrc`* zALAxhIh7T^!kdo9DXsYT>#=2BQgqX-%%-K^R9EcnNSHa9<JCO>4R@|{zKVC>aLAui z%FbcKr3ni@cC)PBlgAlf#PD#^V$iXDYre%bJ^C%Mrcl7~q%g-dtLY0$<|fR|<~ViF zYs0--mZ$%nHXQuSA{rn4D6~(AW$JzB4Ia}Lyh`T)FL5Yl@m@2-;m0Iqj<QwH%9r;o z$x~c$S2-e0*YWOij%n3rIcJ3ZT?^TzuotpRA(=C5rGQAR--cV|99`=bBOYI8QI%JY zcrDp<$=Lr$!8Q1BrI~5zlI`q{Km7%B3V0lko)^j~;dFeOFRZg^)`C~-Ii4w)B`C6g z1s(R&DXE_5SahMrn7eqwtm966w{~wdV4ukxdBSn~i9<3^&Vg3)f!2K=+OFpM!A_^) zL#>6xJ(I72>`UV}O=$k83>uV}585mE@FZx3TKDD&%|AdV>U?wrUHj6|YMCK>(uwa9 zXnozeR?uOEs@%mFK(o$Ic7wX6iJ-9)cJ5+>OPV_@Ej9Lcwpw=NfCj@?GaPDvz2H~l z%6_Ykx96SsUbTY`Au<9jdH~%d8+*`+@0R%n1NNEL5honKD~df+_+BnnbfJGv*J=~? zR`X30nje;dMkT=e6hNEtET^=}xPXoV%!*G?WdC?Naz?WoX!j!YOo6wc9e7m}T4k1i z7C2aeP9S(A?e{p<`b92i58ivw6?VTjO=wO69hWorh!fu_(2@nE=^H0Bzxob3FDF;$ z$%22<V$T#lT7d2YoZV{aarD#<OW6Jd(8@*dX~`GvroURuSHuoF;g%D0FQAQBk-?hH z2JB0-LDNH^wFO1?p!)!m6Zx`02PpqgTmD$<tYb1Cx24Cq^hCa>NYD|?CqSq5Z<x^h z26THvJ}bB7iFnZAk9mkwg(}`(ahHW07d!(Ls(V1AH&@q!h9y8F75mIVXZsv*;+u6G zwC`hc<O#=WOYY(cnV?|_DY0h?<@~VIoIoc69G(e2?kCe*tjNF*v^m8VJ|^Pw8MKlh z`V4Fl3Fw^ZB2mx)%2_AAOXZ*ir;OZ|C+yB1lKGN0r*rj`=09ROXAUNSP7(l(RP=z> z#XMacIivZ6kyuf{TF?m%Q$YhKpftGy5l7EJ2TV+;>H;0<6azY#aOT;_6OQG2+{F`4 zZ<x^hj}a7_8ldxnPaTq(qL-w|{up#t!`J!;=3gJDp2}RP531+k_xdt9iX?9}(@2`Z ztmGyrt$j^FDUnY}YNOMJMS_8c5_(Te2+~-%#pFz)28(M?Qm#a=l7L{g)*?aeHc#b6 zykQ1PUIH^!=4`$;`7ZzV?7!DO&nx|2cfWso(PvF#W&OXab2nc7{~x?dAr3OZk<ztp zrVC@lTg9eF%1x$ku1w!AEfZKIb}-6XaLPRI4d)IDXXJl5^<L27$Hg~+!R}S5O`p6u z&31Gxc+|@xy;g0-ABKZU{sJL)ADH-LZ=A_;bux?h8^3_h3QbF&315kG*l<RuX_q#~ zwB7T-xBPs8o}YgBYp}bQzJSTRz=uDkzUAyXrx@{;0lZ0}P|5M6*1@Rh!c*d0H=LUb z9?*Yp*!1bVV2(g^$HS02(-IcnWSRP1IpRqNbo_VqanRucYAfnA4+?2>W|eVFi}DVr zmOW^6Ug*l*HzhvVJJ)i)dhfR3kR1!}bHOzsO5l@Yy*aw#+&A2M%X0L+D$?0_#=-7U z>myq-r}_!3*{tn&b1&yL>z)OFrgNG_cm#Y@YU-WEGPT}kgGWE)w%S<>eyu)#pa6VO z@NSN2x4IXU%}bb>46XU!%`+=iDQ9&2o6Q;aL(uVXHAmPlVaLbIIl?;Ub!Lj*ZA#c$ zE(9LX?-!n8Gb>?jFo)NC_YLPvSyFGayuRxTxu+JiIzX|-TQ6^m#N}HV#Z9N)D@3I5 zH?5Laj#%RXJ`qqyeZ^1Srlom`EB3Y}%xvd;)yFZd`f8+v-`_|U?LBiBJdA0YYUg#~ zhelKAKFH-uF-0XeuS|?;Uhu=0CHv2u1y7n;vTYp$qC$PL=gwqFEmw)iFK_x}*~I%y zIOLBO_>SIq&kZMdSwidGHr)6NIUDcEo9XS`(`1}>Jb5M!st<29F8C(Xl**^FqFUu( zQM}@cc-4r9Tup7OAtx?@2K1#JFFxlCtNtr=XYHfgf@>zKY3#H=809W7#jY`7?osHd zxmNYfD--W|HGQ&Iypf>mcvSJA+j*goy1SM$t|{FX2&vUSnDkpH<iE*5q2q#AY?>2R zS~<Rb%`t6f^MZGKSx*0*y5OHNi}s!}O>l+S-svgWF!i4Eg^K*9P&?OvFA+^w{nRz; z3=c}(SJbGKJ{V=&bg5rx&1VzGo4(UOYE?%IO{q6O$W|>F@<-*M+i`)Abpkp?4_OXP zmvPt-9J(KLxPUhJ>WGgVj%VwIb+(?|IV0??F2^)a$Swtc0q|0XU{0xd?i(&`=aAaY z8TM1v@gn2Ftp7?H`#u)&r1zRb+P{+~@Ai?+eCrtSE1;>gizU@xEh692@n^nJP60Rk z$fbHAolS|nmsd%tN93e8y^>dncqGs?Yrb;CE0v~Or#YtWgr6?p8|+>b+w_ZHXw3)y zrZy}7%TX_VS$fM=BAzm{xbmw-yxq)l^fXKM9Or;fT1{K?6g2kEN|^hb^OT+6hPWp+ zJj?$`bDG^qgf6+Q`F~~N#YUE?_RbqlFg2}87uMO<w4kaRa?Q`Ygq2@ew$4|NNIS+V zvwyej!J_xdEB4zT<YH}VQx{lMBIfw`G)LIaf6!$R7p<4~U8!>q_+!{)`p<nrbIKd1 zo_xM2(24h7a>Sl3*sRZOc>=V=?Mq*>BKuF!khA05RvD4~#}CQ$yh~7Ie|jG@9{^hR z@GD;InZmZ4t~)F(SL7cB-5ony<ly4X42RsGFPI5BuI7pj=)k&Zt(GTrk`&qRf*J{T z%0b&1%|Npk(xAb1(00b&$P))EE_Z`AF@7==D-yUn6||0^1$H}Je3Byj$yU%={Z62R zf;Snk&y9xHEGhN2pj8B*q5psOpekdscfr)6fEsP?Vgs*@6PiDPZW;2J*D4dT|HL7g zBcR0>UqNf(k8A}UljIEAqyRc533Qy&nP8nK3w9UEny2#><tHk#|BVHmmeUSe4hKH7 zRzl7S)TjYfxF12&8B0OaLf1DKux|zJSNH*1uJ@Q<=ZV5k70@9KAA(|*$}BMl&9zu> zp3t1r)@u1e_K*|bCs3n+O&zo{V<+fn+bq!0wxFAb)`03|aQz=pn*q9%S6QqmVEgHU zsm~M)yIW;UKpW|Uz<V!2`}K-NxGi5y18u+q-G&Q3FZd2<1Kw)TIs#_UO%0%R1UDZP z-I*if0^0V!RfXHK<NdiqGA4YWh6eapK?TeDSBv?gK=V{ppltz>2c7sfT?b8F?T$F% zxbOTS86MDSzmK><+Z2w8?#W?)3c3aGgbe6VfreJg4p77Gl{)B90nqh|pk)(F!ZuE5 z{x%nMG2lM<o{zi7L90E#%Wzx1I3D&u>#Sp9v{;eB9MFkQpt}SfAC8>SeCi{pi3mC- zDfW~T-y=}9?AG2YqjDXzo3T{qiNasd@l!Y7c-@&Jld?T6k*{j^#tF@T>_BmTZwZIo zI`Hn2qnAJ}8Zl7BgQkm~fX++WJGa%c19VJ6+&|DJ1%0Mw_V?iaJbc}v{~6z?S1F4w zYs|dkt3Gp5nEG7R%y-v4XDyj_M8&jx=9FnK-jpm_YGHl6@XTMn<A%@MR1G~LM++@d zEmd3UckO$1?D@Ul&%fU#cm4C{z3aaJ{`~WEc>SkIGbeuCUy*hD|KH9hqMcX%gEk|Y zMdVMbC=_B{@GPx6&Qi2tsSx<IVB_fv-q|!g?NeV-FLsbiT)-qpXw8<k1t0gathTXh z{MI)4p@@S)_oCO!`mUH|CPW=g7hJQ^+VNy9=e1M9Q|$T@<{ERJ(o>1ZmvsCY$|8No zIpAYpQ*WHY3YplRhdP^h9k1Ty5S#5B@YkS8wQsJ&2GB-1^Yb&TCPg^}RBIkI%7sk8 zayb5d&KY*2fOFZ^0_~=$Wr`Vd6eFGrG%a!kA1z=vKcQEZMKxS#O{uu!%XZFdlF%vX zIdcm&mu<Z_jpb>%(v1Z9rY?5DoDv?#r@<Uzmh%^6H79HoWx4uZCE}4{)2#D?I!l*I z_`RJ8nRd-@dRoNtwO=jb;c957`r*!CcdzLJCUJfnPMzoIvh&z*Yd&X}yWZg~*D7Qk zPs(y!t1@?d$;@%hvTMPclPs@8IZyqck<k2_L+js^gvIO}TK_u}CQgU#$=FvWdAvW@ zKfu;^!;yb1ruMEIu6VL+J+Bz?OsQ$tbpf64!cD)v3$HOy$@n33&};opnZucTWDjb+ zSJK!ee=uvlibkE%L8<%Dwdm@vgWaRT1*hzrnlSe_$0<A44fncPp2pujvc=3pAf!h9 zpj$DgR((^#L}89qb&#HGyyJ!=QBAXKo0iUl>@fFTUy-^a;Us9uNcpuT90!WtE3DYB ze~?RFJ)=VApqFUVF>cPVopTnv$ZEP;=LspN{Q`;}YgbNPo6F&~oa5R|=YVeoO<(&| zHU676@rwC?cJCkb3*D3R>ck4)fS=+l-8>wt{`Dqo>|J+g%c}ho6FOr#v-ZtTSn14} zCC)j`a_)k6HBC?TR9Ebul+Zd~*raAg!qWXhCi4nS+ctaEKv#{vI2Y`m^<G({&fuWb zd{vD~t%Fg|g{Q>%Y&gf&v?;dftDF*ehkXg}ve1vbEYfd10)Fmg>6Y;b_-f43J(Y7+ zeS1PD=w>N!ljLi#JNVj&2?;aPIbXT0JGx~W=&&VOj<5=T$HUB!uIpsZu%Bj*7rQyb zvIRx<&rRsPFEpj5En)3+POtnz+2wC@Ko=5#f~{;l=wJcPQ{o(E7M%+oZRarC)v@5y zR+jENZUJA-S-Nd~1OCRdcpr(H5q5UFfX-HR$G3kurddu}@UD~PbnWB?b#oJ1SHoJr z(aZa$)cIccq1hDr&oiLp^V1pEvTh5B+;2|k)p0zj%yG@Calx0HEU#lc0={{HPu%=3 zdXUXuAVfwuXUog45`Lgf8Ah;yuUGBM`i?vk4Ee8gP$*sS${)LfLB375Ktp5G7raYm zIsLbJ!M|hX2~pa6Iu|5%B`oC^GO?SJFjZeb#CCGR*7t%U@opQAorPwEC$_=vQOuB) z9T&NLR=>H%^7^e;z&~adX&JA8kDpnj=lTWgblY$usA-kCpiY^&<5znDosTw-XT$Gy zJk;4L>iBjp=d_bPkZU2{EU5l)#a!lz-Ek+rU&}Wcu(!Skb%8-==#?mQTS}CJ3gP#W zCmc(T9Fn<`qx#O3?@{z7=vu=kpf!xI9mI+z*nujtDWKhfpyLF#ceKhp$xle+V=MQ8 z?NbZ*a~D(ygSv!IdGij1&T2m4BUTjPyUBok<6h9>xMa{la2cQjctPh4Sxs-1*`g0x z#s}K7{vC93;q`Py_P^<%+P6O9#KD4Yv-j5=U*>|g-id=254->s_uzB>UO<i$FyglC z2nU^f&>wNa@skzkI00$UaRLWG#|fk<vfr!+)oC}*?(niav43i-rNo<)PJCVRiHUq} zprwPKrh^VswA6XB;1{StP{zw`xdL={@{zxjVMipG{I~cT$i8xV#0kgh&!IcKiYMIc zYn3Vao{-2_BoErGuM9f;ptDtm=X_cspO<|Cv}burSLcaB6=-mwdQz**5zr+zQnS|{ zJA2a6=-?rlE#O0fL1Q7t`S3M&-+q9$d&~tb<O84Rq{eNju@2-^(Dgx|KwBWeYe(`n zv4v;yC2fv4;aFP^T5YJ$ZJF^baz^u&LeSbxHSXdGktdw^c7g80xuXTz$=Kd%sbOOU zTMlvXLo4WrB-iVYw4NsHG371}xY^q(<MJHTo;eKKAQ}NW)`yMT(gSqTPn37$3CCS$ z56P6g1BEAORp-6WI!_e#Kh)h}S!{5l3v{3W$dQs_MFDm#t(F}k@FrS_Kj`q{8#Q7@ z0r7_p$*6>Fn$Y|#7PQbIQLN~K9B42}a{D8#rwew2&Jw=e30gOj2-}-r2pXXg0c~aM zZ?#-u0y@kZbiVcO$*nR+<dYKlq|W=o(%pm*_V7%;DC5XA|Igd~|E>SwuI(jIcOH7O z(4RZYZUlYYa75?uRVi&_BmRuj8qtf^y3X{JGc-PVCSse)<|D~lbPP{tR36ZA&OLdm z$7u4)kP^9qbDim1QY*BKg3svq*35fdRs6pH{<_ztd-tC&1C846zjo%_nG>h$AFX`* z?|-<;dXFVvS54IsihQ3MT=h<c>wwi~j#JM#u367n@TZVPT1Rn3h2257)0|rI{u@r% zvV_i8iO|{fXzI_V|GQpA3+NcOFL?Hj#amZpMXkg^qi*#J_a0pBWfl0h`R=PL6YuO~ z`3k!2#;U0;n=`Cx4tTIJr%d;F|2ee?U0=v$H$qcvrX{Ss%;}}C3g5M;s9~>i<3THQ zKXu{d*9L2Q(*#5AwI)og<ya->v*CtP)2rn|I)UmdDkTpFT^G0#=eyy|VwTeR>JfR5 zr%L$!WjV-YEogG5J7Fm^r<A<&hEpfO{li15?&oJ(1?_HG@Z%~=_7@JXb;=R1Et@VG zuRFfw+RbST-t@7&KC7<rKeLIqPi;kn;*A$MO{exNL_E=ITD4tJ=NpIP*Lp#njTd=g zXZD>n_XC}br?g_P`az@L!dGm&5_aYbT>-5CoG)yWBfO@B+wpNbN7zqw$BVrAPeQNV za|ozmZ!)!W0B_dpVp;015b^vBcr@am-_*SsuRPv+K~7s@a6DQskh7&u{PHRp^@x<} zrd99NBXZ)KUd>mIcvR6e>%MBlE2pMg;Q~6BRUrojtFKr;+hC4p9H`z=Z1I*mH`gkt zO5X9Y%t5XBsv1#h5pS(oj_NCb$5`_fB3{dZr!j<gN?z95t$MJknB&y`=?TrPETZ<# z8!jd_ow~0U@njF!X%8o@Ub|9x<5ZTbpuG{CO|$;1Mr3^smDz7QJ7MNsj#qjL5$hZR z{zo*4?sL6Rpn1@1zf#7Yri7`xIlAoJHr%S`=sKQvu;5z7Y3PXh&evBaUbACa%C8ua zF6emkGRL*m!c+dM9%Q>N5VB`-!eVz$t^czVCi><b-Lfjr9XyS(nZ;YiCE&9+i}zfo zfZsArsd{rE^AJ0$zh0SmN0#O5b-^_TY8e)f&3v+#nhTrUo0u?_Us%MpAz`b&kcfR} zLa)5QlpLWorJ{~6Z*yL&Y+3MTGt2AT66?0$f4(fzOJHjs`F&+2-}(pq<Ywuf;}P)n zH%oV{Pr%>rEZ%p#0*W0rTrp<ZYW^lM>U55CKskHUQ#+4<diy3(JO2wGSemA0vGnRI zMLaEsrM7Ti*_E~)0e>=^Oyi2#mxUH(H*MXft}$0JB0auole^HGavR5=?*(%T6djM= z7s|P!x}wJZpptUas%=t-BXhKyUgfJtB=tix!so}q?m_MXSM-E+irF0Ra&t`k&FlDA znj>t-^aT%tS+v)dt1r7+;oTHEiN*D~;F@oSO^@1z*L)0Zn&i!K&8mOFm!hW9Fz8Cw zIdA=CU)nkb{Hte?&e_-YSR8b5L>|jjd#?>A5?Mm`J8g)Vy5N^T$Fv=D7ChU^;=NXN z#b1eoM*2cmdhfPA1Ya4kTWHOPi4doK`Y>Uo@=|?a6T9Aosq(@iv2Gip+86wgYBK%j z74V6*X{%Wz>d_qvO`lYoEG6a~a^mZ<PfFx-n+{q-KOa=Dz48>iGlz*I|D5AjebDi{ zpap+d;>*pq<?#t|iWOa`0WI^t1v-G2KOvFN2(+2(9e6E$yUvpZ_fr!2xavWh?rwuR zmh(a5&yix!7JRy8m*>Z~=r(90TRCVzzeeoYf{E}o_X?GuGX=N!fwtU%R<@rzs`EtQ zH@n!g1?Ae@mM82%$M4QdRAfK;!ghyOvB9mjR+%aHj~<e7`Ie%{e$^i|yt_E!gyUan zP)k7v)KZY-E}oDDx-M2r?3u#n3-A`eyUW4yr4w#Hh>KY&^99r`<a!TsEf05bz%LbU zO9^?<g?0PRIq@w5cY^0{n$Y|Mw0rTfnpn|<8qnlJZ^Q}5s=vPrik~gmEXr+pVqbHs z<%_omK|OU)yT%=<U2_Z6t~m!917QOl1K89m69T#)DG7AJ;II1;Gn!l8f$pa(235YG zn{aP|_7?hp8VdaBiF`$%ONRdmfp!Mkaa&60r6=-vUEe&Rxe#>UfsG~nJOQanhVV?j ztmq9Bnty=q!QE&Ls)SP#`J&o4PH6rDYOn=^PLe1Foh0#8=ZQi+Xs<`aX(zre&~^au zIZl<E*(<m6DS?LUP0t>ZIU=@ULh~=sZF!GwfO_SS19+GF*IseV0v{EeoXBUS9dW|3 zoR_<J0_diqf1q=d6EC+HOf52)13Dq8EK!mD@m^3H@gS(9-T}I!0n|}11vL{Sxh*|F zD;Bqaj#l`x7<4w}Pmn#}KKadFcF@)YZ_w=rexUBUKIkxk39XhAvWK1cPN{D;V4qkF zTDl23Q>x6A+j0eHw&aKvC~fwE?ntVTFjr@Pn+rM|3p6cZJ-^km;;HzbWp#U4LQi+@ z1=sOkZk5U&FZ@<Dd(#=E*<I6l&Pru(Jz->+zhY*@wiT()#>(qc(q_y|Nt+S*MAdNf zi8ULic}?q_F1FT3$M{oMYMZX`bKbedXSCy%?Y#KC`rPF2@8{3`Y;pd4dG&t#`J3&_ zrdhw(cUEcrpDWvL=H1l?-3f5#|60&_1K~b@lhp$m!dCb!*!yq^hoXjwP5mp6mqFka z+dF#~yx?lOI!{f*uK4IL``Qn;qmreYCTTWp{idj~cS6G4WR6qwyf)mcWO=Huc4L8W zz(=vB-gl}i{-_*OlD@mY4%|(g5q5R0nk$3YZ1;d#{U%jDl@*aHkgLgrbT+pvco)?4 zG*4+oJ^w+jY!0cs4-D%!iKu7TOi!3<%h{!;5b;){>5;ndn!<D7s^U$^$)myUQP%~g zyc1fpdCr12SxsNRC@c%DD{kU_CJ=IGZo*<2$EV61Vw%Dsw%rLEU0JTKSBiM#)-<bJ zSZ8b3g0d%yJn1tdp~Z1|y1(qnw_X9DYvkJn!Pk<#7ckk^kuX)v@uD<G*l%&ik5gH) z_qYTUU2eCmd#%f|v|KUbIYZMX)~2t13L5`&n|Sr+Kt?0P>upO@N^BjU)(h!;;&8kw z&MDT-Yq<7RzFI_5deba<m55gXO}CB<gNtgbgGKw5R)7vu_^*)hL*Ss-d0~@#PXu|= zr@n;__xHUsDP1y6RilpMpj5nqMy1ukD0>BsTFHY}*M+9+ZHAl{%xQMxN1)GY(7n93 zCNB8I&LL(wW5KKEoMP6!3x0j)oR$IIA~$>ge5*;j<}Ucg)s#9<afNlU>awkOq&Z(L z=LoB?cRbt*Ia6RI%j%l`1us-tR{!l=@MA4YHV=oFo$H2cZvBZCOSh{<q{B|1ySaCH z-xod=jsKBNync!+B9w1ra5_G{FQijs?0EIRkj^(2$FJdQ4?(Uxyv;f7lmK}4<|0d} zzgon*-7H_lh1YzT4Xr6QSeGvOA`d>DdgF1!wTq$!)_gN-dQ>g2=3`*fBzK`Tp=#hI z9o-zK;(RyU>t%WR-xoa1Zyc6vA$?Y9#T=E0l<lxqiTq3}A?rB{eog0`W-)oeGk=b0 zyCyC8#>;a03+JnKDiQBen|{siv#5KxmW8)m5qzcqH_Pgp&IK7$6Sm3=i|lVo=(UG# z6`5S_E1PNS6i}sm&}yUUjIf)s9M^8oT=1uz!^~pJf=A+<W>(D$KJ{~m?VPh9t36?( zHRmdOAIK?7zggNgU-`zewOlD8?LX9MyW4$aPfq1{HQ#T;A%0G&IJXU#<T<54Yde}* zT<0rCyfyVtiaILHlD%j4f+uw>*|I(Xzbu+c{ggGT84p(ZHhrpBxbff{B+!aJ{#&WM zIGsal|1V9S?1{OYtM+v#Y^>#6^_+8>#k2*_u5(Van!n(iD$8jd<rUT12aCQ7Ua9X& zXnk>6!td}}&af(V$H#j)!+sutjj1Ou>$|egE#Qw$lWCn_z$V`f*UqvmeXknvoU>_@ zv>o)y!*YR~35qLz6oSw0_!HXXdQNr4FXN`8(}Y6)OCJ>a4j#vOIBE9cmC7r5=N;XW zmG8LWOia_RY5|?^hE2cRh1Pr!ZE8ywUUNm^#K9k+%T_;yixmmPo;xIS1#~k{Vedx6 z;tMx=V5iUAjX2?Gb^MS_3EzB?gT(<14~q*X*k*$6;Z5H(q4|$L=nz2AB<c@w&~d@( zitJB8djUP3SjIfn{(r@m^{TnR8OIzm(B6c8*xrQmprdkxBTqQ)K6OYY1vG(rR~xjQ zZ9ZsJUk@~@5AK|U%l*S!gXK#Ds{Vf7lf(X4HeyDz*Q{0<7f>T3Ykry{`$yr38O_JG zgL>>vpdPy=x1~q?c~B2CMUnj`=q{q_##Wgp@!y&9&dKm>OIKt+`hAlD`{KW#0|0|{ z&Nw~+pCt>n>T14U?G?v0=bZRn?FSu%54vdh*?mxF*jeYvg1<7{#S?BmagA9jQvzBG z|ISwD$%6f$PWcZ|6G86yA(<)r4m$B21NFD3#&4L={9-HU%!A`je2+kfAoixKyM8DD z&jEPk?GXcYGC>FIe(BRW<Cq=~I)k|bG`J611RyH*ETO=T+fpO$)FGK3&{eyia&^u) zrhpDQ0L^Y6N!!;ldvfzHGo3SzN=KdeX8i^QDCk7qS{~5Z%cbyPfbV-&_FJ6*o%--A z7u00n=C+i$clwablzAzN?2mclkA==^J{6|(M8OKwF@Ag0iSH5UlHpz@ZcC4Opo4hN zr7E(&1Py|xYjRtjxOe1`%$IkGitIm4K~-?`iD$3>)%!wyrEv_rho$EksL=>Ia3O_} z+j526QP6}5XsaM-x9Snl<wJYgS}i?FVe0@Q&pPq#nziwt*5T^H3Ag98%6tKh9<<(# zJaNzf6sCQkQ4r8pi&K%4l`3{{DM;LRF1dKcUG~blBt`a*plke&-PL)bu(PYxGQ+iH z^_1o>I%3Zj7|#YB8=RWRSM?cmrlJ8TNR_xPBfft>|K<FDhPNvx$%3l<+8wt_C65>W zxl?TPT;#d%t7kWsbw;`^>rIuM$)9oh#HNj#TxWXPC8bTenKZLAt*w7zWYV&UGn1xG zOiP+IF*V69Fl~|U;%S~~-19d-d|w`$|L*f~%j;iv-HSiB^ZkACe^y70x0XJ6y8hOl z*8l$nPihNk?n_ebb}_5CnNxC7h0Eco*g>W3!XdR25;ksSxf-t$k+g2@|KMB3@jtgR zw(Q@w^YRjo13})LS^CNm%Nzo}yEHvLr?8^l@F3T90h2vb6PEtwl;Y<MtDLtWBj?z! z%)^mc-JD(eiV=?$nkH#;f(IR6vb??m9>r#<Z{HN|FZ=SYQ^3EtCSEyp@Or#rvm;xy z^i?8K{F_!qHXY?tUQuUrP-wZ}l|3B^D_3)5-S^pW#+Ie@x`2+k+6wz>wYJTNnjppB zr-JV*m6!hI1m8;+(X?naXV~tB1r?JMdS`QZ-SgdWEtzHMb-^{p*~&hv-_$gH4Ptrv zUG+wSrQ^}XoMut30Y7b;T-VKk_7;ClUa7osH^(Y|j%gLM7Cdtc^vPcNmNV<U+lDiC zET#Oa5$_(eeDzn2Sm<`)Ls8SzI-d(a{F*}lxdeRCY`SVTr}d%8{b>ok*P(^q<e4j# z*M1k6VmB#aZZOBGdCnW|rLsJ&ciG@LeZeDnPP3{>3pVBUWa@%uG{S{-wwXJAEf>}) zWN|!ebTBAg_{zR12{T`V1FgmT-95un70{kCe}Od{4(9l*emIRqTa{Dlz3YZkyE(e# z{5ITL&e1jBb;B`p@Or#!Z&{Z9W_i6vRpYnN!Kz~Ohg*Jz3+8Mnflb0bzcMkfalt2b zPO+6jA%Cq7CS4Z_`EPkph+p8!AL)ZZ`T|$_&K}${?dHS<?}VD3-g6A7S3SrzUm>Hy z>Y!J=az>5mL9Mya%53p>U)hy^r!M$W%#xk6OLJLhk>J6oYN0816B6bg<~TKt<C=Bv zf<K@$D7zLsI?rLYt9`+zcn-13wgs=$W+z&7t8%Q`-<Z(J4DDl|{q8U8DeDftqE}q# zO0JO3_u!^q<-%(|q&Ky_<_NoG(UxfnJze0P`-Z4_3w{`~WY_d9c=D4aJJvJcms?Zm zyIGJ3wfz0!%EWt@S)MMQog5{d;~(&`ltub2<a7ZU*MP5^S-R(XgAdN#!kP7NR>Dem zjx2lE4QI~ml<>Qo%Q>xD*YPjUL9X}ENhbdOIaWcxcpM)WbA<hbwhBeTcSuMGPMNcj zb=lTyW-Lp~RU^{#n?Sc8ma{ni^cT)4FmpW0cF^s*aL7N^gG&6+X{MOJ&#z3JvF*r~ zRr#(Pj_9$N-uKxM(zoE-LzdHXd;-4fG(Fwt8Svk#Nwm)K!Uq2hm+U#D_PcC2B^F(H z>%}>i)q6W3mq%1UVsws8<|~iYUID*^no8HHYE(-ftl}4#^56O(o4!EEotkW)?8UO2 zTFW`bBHaSMI<s`o^$7Ue&Eg&76Y%*ri}zixfZujasco>P@ch|UlWylo`>g(_)FgV( z<H84rrm1!w7k0R8I3>=}b&WIZx18h0XpU<?WF1c)=e$<cw&2Sgi?+>vO$*-0vAmXb zfDG$H?+@0it9y0gg;Ue1`>GL7(wbJ;Hd%J8O;lw6`X4mcdh>RYW6=fBt!y4|&pGkk z`VAUF1?`8c2Gt>}H%w^$_Y<_x$r^OZyfSxjz%N~HO9}f^hh#$j8EZCKFJm}l{Y=3s z+uFvfIAAuYmeUk_w%}tK=nx{%)pekYabALMmwO%~Ry1KR=t`Qcppw`ev>Z<mv>eZz zyVzjXPTP5)3uHkj(1505U)=_+aa*YKWI-IL3SREl_25wy!^5Mc7yg{O(rx7t3vw!C zJznGq$M1<^&lJAvixpj%Z^++&Nk-%m=muGB(2@Aspl<u2Loy+D$DH^UEe8!q>w|_E zQgzNaK5o}}qHr@ckuQoL)E5R_6y*N-y8X@vkF**-JhD2GXKN`W@@&C&ZqN;Ot*w?4 zPa;k@eq0QiXa^lH@J|TTZ=V7>Rp7uOnIoX(3}5eqRt>60oNzSW#C*SnFDQD`gywJO zbj~=Yfm~-hqt)_6ZA+`n7k|)sIq48z9o#yl`JrmC{;LI7Kx@cAK0nnA>K22J_}P2H ziSJRd_=iO6j5kM|_$GlCHeNFlE1IAOy5ktsc>2Zz8VNB3t;jokNQNgbRgwKDXgmJJ z39T|yK<fjPHnP|kGIQv!Yku|U<irIA>>E`<tK9@Z>+w!H@y${PwHiP-^z1%&NG4@n znj-t%Xizh3ug;SN|3MS~71LT}c%JJ#nSH@AQ<2+J;{CxxG9jBla}g5U#R1myT4j#- zgDyB0_wD*1Y~Ju7>XhTBRV&3yFYE$cF7Y0822pmz3CFTChh)B_=^t7=rTGsN=u`n~ zZcB}K=MTyBgl(A6{AnYoX#?u8$ALm~7RZUhpq-4fTV+h-lN0%ZUW4XKt|i%67EhRI zui4bSn&D9Q>jkrCRRyx|tc^V3SZ~Q)9AGi4RfZ>gqXGNU&!FQZxWt|%{I&n#Tl-sn z?V*d3psK$9#_M9);~y*cTB@D2n(Jr1u<h{7$JYxsJT5rnsFvulY>BIp>e@e1%O{6j zid=p<b5c~@JC&_Vd=7ccl%IP|bLOSYxqoI%3bT^*)b`x8@BZtmceVcu?_dAAW8VDt zrTg#SKfibXyHmNh&-d;55_-Gp_W!>f@S}sxs!odR%@<-#*vjbmF`e_;jgoh#&-3<a z>=Zp1wOepXoYRJLA6Zh*D@1@M-lYz*UH4gFn{4FG@lZc(PwA@@8M=;7-J#{SbwX74 zS;ZCmxDN_RHqAONs8ed?_;xAF=`~6#cF#)KIh*rUIcHc!2YBT1W}k%L<C`q2&&-Dw z%DXCFdAyZqdbC_{O`w{_&PfStS95yZcL5*g`kM3FZHIum#g#Lzv2EtiQsV?)K9kYp z>ZiJ*N)CJ~O1$TWBfZdB#b<ki-GgeIZutx8d{%P2JJYjo{_Tki{y8;?_Nin<C`7!J zX*zZqG6T)avih!XK#lA{sd^=ipG*g%HqJGebE%l~+Rbw4Eb$w`VD~C@;VJ(O4zh_0 zhWz0Im)Y+GbUrCKUbPp}`DWwzHT>-HEz@rFEqHd1#aq@d;I~RssuxSCzDmTq(=1=t zD@QzRhq~+`Gw6_ag$x_Dh^JGnlcQXPSysPw4ftcwWO~mp;FC_%Ry)UlUqMZ!vshB! zD@44nYx=Zb{l){!rmpojSP$RKkZw9vEud5M0@^FP`sd2T8#7t1+WT!dqRL{r-*3Z} zw=7%VD@QytY}$2RNJspx=;c-WMGtcA7B-1-+HmPM<Zia(99?lf8*W|a=-SSC?Z->- zu!)}K&lguFUNdG{n*OFObFFdvf;a0}UZ--L+CMd+`7)<g-IRpIp)6DPdu=$O%Mx1e zwBg2KmaFb8-Z^`$+k!urvUtDs38*$aXau@3aT62A^gFh>uRPu<vwRH~T5~}mqeA$g zm%UoXo_PsV_X~-Ha$SykyOrf=xl%-OebXe%rmcRe8nt!@t+Y8##kqpJ@Sp}!J){<k z`E&Zp#Eac5Q{y+YAMZb-ykeL9!6bLVkb3ijLeGV+tP#>FWp#Wj%`t6f`+|2?O;7*% z1pH@h5{=ud-gdb_@1R#^(=m5}HJ{eOS|;iKvMXz6E%=ejl3g=<!IM~)Y+H|jDvu54 zKC`55zr%ewD&M;4({F*C0t?5Z<w7|jN-O?oHo3lH3El4s*_YwC;fOFaLZ8_$?_07h zq9OCDpMcKhh6V2uSx)b5Uhppxa_Z7j7VX-}3qH!Stp38$W#_lymOV#T{yy`z&BvrU zyxw_kxVDgGslQ4DbUNqZq|H-TD)05?I91=A(0rRy>))J&#m70c{?ARAc=p@DEvxc; zH$-$T_;rxQdyYfE=UNu;Scib$NlmHiR9D<qi+GpL@>O0n;$c42Z4Y~D4cAVM^S@9L z-W1BGq)}yaFw3>+(P@rrKWrRNW^-KI)w<wIR8y&(8u<D{>E(uVJ{30cmZ`0%P&nvz zTqvYY|DcjLw5hqSF7K5`j;SN~ZYk9jKckzL&Qn^kwspoeqs^SJ-nneJ!^rZrm_>U} zJLD?tX$wAvvaGI|zTkya)73iXfEwn5QWO8i$>~KDaXg&Vtkm*k&B=)jXB@NTxGg>O zlM?x?+(Gy9YJyJp0oBR>dAN%Wb~Lrhh(v>`HaW4PfPbdk#R8R+T4h51gH8cV(>vrl zt@#(9&KbufMQ+QCeXXsQ9-^Q_1#&<q_tylmh=((>T)*V}ebdTzs}r^DtukNUgKD__ zNs8=;6+w*#b8btC{GIl3OD$LAfDSDLpIQgHs`m$I_1k06RlSvh+{G7Gfo{6}3L5kE z105;=TD@Q0)GG7j|ItG-JliZ9=I>x^5x?$OcpF@kEe72ZJO^~^JZKHvE6|BNH$eU0 zf2yD(3uQqI;~QEnJwT`1+yV_}&otz=e37?rMtuuk5%|zx(B(RZW=EVj_`wBqXfPw_ z+`0`0>{Ipq;8occ%dY|KTi=5k4Szw6hH{-J3O{AIi!a=I<FLoe(gSoKKndvjqG}G% z;lZF`hWnt!Zh8rz8v!>MurJOA4KkR4&McJYwp;-^O8LfSohJ+SWwPa;lTq0=OXT3u zt>C(B=j|)bvP-6In9%&~BWTeZ=m4VFV~1p(Wa&IvP!Bqc8FYOz4`>zJQg=}GmI*%G z$+2Q;k-*%;pv!&|71=+6&+hMQl~Dnm`2(J7uL7-(kF<r=nF`gPuQ<zo*#$Z`S^##_ zVlHRgQcDTY%@I$3f@)&WMNe{|$Oo@-G=eo2K-cQ7oDHgpL4*5|=biX=NrM^-ZtRcG zo^-4i<1P;HfHZ3!9xXM9G5H$6zH~8Yq6c)aQ;{5KqXGP`pI%UKg3gzEv)O=st$O4M z$6ui1FpNJ7?8{-lDGQpB04>tApVVq8Ap&Z8$%s8m*fOV8W{Q4#BA=4EPZzYMw&I@c z*8uj7r8-X@JgxsQ``5Slbz-uptM4O}lU>#vjN%kE?C_YO(vh*Ib(x6Q46hkp8o{eN z1YMepSh7`>k~SzY3O4h&t&wmsxyI!vqTIY>kwl=%36TI5g(MNd4G-sRo%iPV!+Trn zURR!vs^0(pcyxWzy)!4Y?LS@#`~P3~q_)t?{aLErF4wA$>U?~p!sT%GM%-&Z)`XR7 zA)Q#Krd{3~)2v$;{Nrj8)l<#b(Uq{2&+&0JXISN&1uwW+R_AUqZws#BJ1Di=Z-MRO ztE{X7Z=6rE2D?YO3r*1z1P`*Svb>IU5BQhX#CuIBq-ILOVnfHLz0Z=Px>Yz<z4O^{ zqo(OqyRc57k>lB7&S|@5EGV0tFw+#)v3NhzYEt!#1^+&?Xy;5gx&?gak30CL-jl*2 zww(!Ei#fX1t3*7GYMQi~^V+W23%)qBytZ)<Fx$m&I5J<%@uw_@*$nH(gp;6k>w5F7 zjH28Ee(E&2>M5<LGCi1-+w`hjNav%1<Jol1X|p!+FAJ^aZc5#zzGAjw#Jhy1U+O|@ zK4dnvi3_dy;@fo0TyV{&)v&69-$!<3FGtt<{Q{Sx9{aMWZdZ<Y{g-7aze>dOil$BJ z0&B_{9e=VNWHT2E`J;N!?YnTuKkI`^x5N*x{N>hkbermme|Al(MbPs2*~Vb^pyk3> z?B*xT)aH0~&tbzIeU4Y(Im3QPJ070S5mt4$PNsej??J8is^G13$sAqt-8Q&QUGU^7 zOLlGlf-ku&ukV1mPoNcI-%hSn-Yd>|YJZ*JvaJWNv4~z~k=9jNQDbsYX}M6yUzvkR z(*;BR>m3x@E_CIO?!h4Erd#>~I-6hqlkmH1$#Qyc`+|Q=O`?6U(-^&j-M!|kX4G&V z)Vi;zQN?{QYrmq#KZ%1<|J5{h7V=$=(sm4}5^pMfCOpNqDPiwqPVhy5-JDu=vlAAZ zvP`XJ>9#R~UFjYl?4Beo*N_=nuYFL+TJXx9?u3<xIkM)vZ18Da@Xo90=|0zhdeehk z`_;fR8~;@@WNZZvM?TeMaSdi!UE8tX$3mnN@@%SKdAwF?x^!J&&1E%>YVm_r{6bUy zvmazL1|2uFZ)U>cx13t_6A~smbF32M6tkYZ;Ma7HX*;?WJmcq_wySx;H)fX8HpWo5 zmH%xvT>JD9%h&D75f7PJc==T#Ua~YDOBVp&1Q;%~<{NX<qu3_Xe;xs!GMl!RaI^(i zsU5VsEi~os<b=I4jz1rBm|4`q+9ijsOaz~}<iFv>Tb9uO4&W1)l)DYrs+y^<_{rC_ z^qay8&`!FgET#U+5$`^O7q={Qz3{=TX=<JSg&&?xq5ohJTC&;MvJP~KM7erIa(vSy zR!E~r?x59l!6|#^CG3snJoUdXp;^)K=zpP{EtztMSN<_;axGI{v5Ws;k~hby`Z)=m zqR^z4R{P2$OVsggG{>}^&}Dh;3;rEzPmI#ealKF=-ZXU+OK-nI#M5LJ*XhD*zJ)eD zx-PipV=(yAjH;FeUuGoC<>ikzj{zTcY`OdC5{?5^)`C;+&rWDQ%mHo;aydR-FQ9Wt zWyLSyrlao^SNs!iQk|#1;^!{qim5AavTO}zIekX?gyZ)BP%l_etmuOM>{d&Sn#v#+ z@l-~Z?@H{4vq3kpe@PZAGT7JMYPo_Z;)LTD&<%XwZYdY)7YWQidPv6P9_aQQ&@}Kh zMV%)KdwW_fU(7k_#J9;5)V&1FW&iJJwUoGX(21|BJ}r^Y?L4T{mfd&g?9Ap9Vvr%( znjL(r-p~5AqB#O|D=&XiBA<{tXnhvwCiyF%dbt*~C~IeDt4s;#{?>Q?pdO|PcX7Z5 zRnSF%=TZ{+yg)PVk3rS)DMnBa(}ue^KpRx|vVtlLX^=gTgLw1j{94g`?JekLKzq>5 zG+nJSDc7x~!ZZ1*W`nNV163MtK#u*r*?@iVQqZx%o}en&j@xoY9e5G<0Vlp!_UVay zLbaeZ0ovTf6RJ8vd%d(j<jJONgD;@JaJLq;fev)*Tpj3mq?#ts!o1`}KCk#>MfS&_ z>yJ*I2L-4d=mKFDZp#kP<^YqV;}5i+D(o}`H57V5Hz#c}V4th1^F*PVi@W$jG-ycx z=q%^vP`H;wtb^rC4R%d$m6;+Bs^36+174|lgKo$%Yj2ggQVzOm5wyJivxnF-h0r8L z_P0+#tpw0D%zOJ<El=D99mAXrI@V{g&XWWS(Aq(1+3wksn?Fv|Ipdi696pJD@h509 z#d;2?;|@Ap@j2)$UeIaAt3i7wL5EYFvjwfOFn}HDWNyJ1p2^1sS^-e#F7_<Jq`y^W z%4g8DiHcZJfNeYI7{C-o_OBqzkGule16dSM4q6oON)dLVlS@BnMMJq5ckzVVji3?! zO$O|(M?qsXJ*}1+pjC>;K&h?Bl-sgn8|aRKxjIi2{wZ)5UjPk3sHD$nm~G6yRyX3r z!Cjzg{;N@=PM#&GPY+#uKlgg{@~J8B!cWXu;+f^Sd}prbOc(D{OC+q$S>!z{m?L}q z?4ACSD9>q26eEi^PrfqA$kXkV%W_pCP2HU{Cy8;+&A<P?)b_hw{hxECw(Fihul{@Q z{rTtT<I83rHtX5@Y5m5N&;I|`4-3(m_IH1%j@azaU6K0YE_Zh_YP4(!sJp*_;~*P1 zr<R`jjSN=Dr>8m1c1~RIYA#E+txG_y(}p8b+~McN|0QMRxoo&{jb&@OVnmvJ(=Kj~ zY0+*0|7)5=qgZ(J6(U~tF&t8L7x???F?(8H$ULPCtK~hJYqv2veq74|Iyp9_F=4GU zcs<^8o2E_Eh1P7JwBXNM7HJ+%Ejz~z7rj}gUbmie*>9W5ie1bHld9PT^dc2qyw}Ow z6sv4(S&%k2VWl@mR=od)Gr26K;etBfEgb)fbB0Ac=kQtmP>e-8l~d}y=Y~^`EWYBx zYs$<VKX!9o+c9myleH|_cjX;+JmFZRy8O7YyOqAcl-O#)Wn1srG=2KaX?CM|!J~8z zvsD5ic9Rn(cXO<&Yfso1&9UnLtc1?v99jQnC#>AsccdU|Imfh{eGA^bV>vA=;IJd5 zRX}%V{g*Qn54~jJ)mMpld7Gs-Uo9fl;lh`|rmO#418UALHdt$V&n2KJx@oJOM?h75 zQ)!=yMznIod#0vO;et5@!j4Dd1#`Z5Fg%>3+%YZY-}5sQJ^y6*tbV1&(w)k&s=fhy z{hyrUhAWyZTlafxI5U-{bT<p=@`V41O``uCFBH5plsM;=uaW_74X9`A(m$B>l;M!7 zc1y;|kCW5-O!heheBx@_y3ar07wGx~C5_)a2dlC}4Ay?~Y~tOfw&I6fQ|~v$6*UqE z)lNh1aX-zms=hy=)6VhiePNxiB@n0Gxwdh}H6v-ED|fpRcFyH|CC(A{L&xzjsMp%J z;Nwzo|Lx^l$ZY>)mh2;9$rh^D)gxZ7Wm#IT5&<30-mcP6aFR<gs_5UHnaa)H99m@@ zX1jV9e9GrEtDLjoRXwLz^mmKC_<HVxLbrvl{NXqlq}p`rxS&okpX1$b&S|^*7W`Yw zqW!0B!NVB|OaHS7=vg*poP4~s|M-@$N@K^%KUr4S&RFoHktJKk8$3cXi)HD0#fax( zO`E<8uK6zE`13iZSwuExTX11<Q|~tA6?4>YJYi^BbzDHF%#GpUBvGd=Pkx1`^{K2= zTk$iwY3V!F6@S&6QkSumUbkVq9F=F>^y{|Jnh%OiZNG)rl!!P!mO7{vua;3IdN9jg zNu!Scpj5qz#!s1pQR^djRqZG^Dd^(umoFuv7@_VQP-for^_#NB{*Hv^U=FRi&V<F< zoLc`UBuw7TxvGytta{plU#nN|Id$Y1i|KvG4OiB&Y_)gXaONRPslQUhIzez+dl&Lo zJFQQo&f&rby{4)E94`EjYzmFr%5ynumJ0YzsbZGwnmORJnf+8W_BJKVJ<W0Ip2vo` z`3wFuvq;<c23+(zQebw=paJYOz5Th*PNbxRw`=AwI)2qUDD+(T${)6aLFNKi{#hP0 z;upA5J1JqO<!QsUzg`QknV^=jL;0YWylO@b$3ZQ10TbKl30v1gGicxXIhL1xNIITW zJQ!84tnpXqpw;VnM?P+j7Fx62*74_F4l@nG5Sw`kd~VM{)h_6Qx0B#qbHSipbD#^+ z|DQS}qY{^*$bR<7$%svs9=U=d58p;}TzqStvA_J;Vm_nlO%s~mHNtL=1y%VX`%XFW zwShLBEtTW8l(>H!G^T&ZiEkO`ZoIA6=QpjM()<IoF#n^RSkZ(#&8?OhwX<4fmS}^z z=RBa%e9%;NtgOhx!=W4(50_5(fAWmGEYCa82(&$@*45;;)Y$(nBxb4QjGSXme5*j$ z`z7rLU6`{xVn*|ki#kshR7!CdPuMk~Rc6a=P+gWLR&-%+d#mLM+fz<_zs_$oU~j#C zt)RHb;Lem*OO3tS8i(4m6<zqRAFS9uv)^ikNaTrwC4!(qXwX5ypyP3+?t?b?%?2I5 zs}Jf>3W_~j@L7l3^29O!!=ba9?;Qhmdi_A7&)VFU8g-yng6uiy!m-JqqZ8{u#~PP5 zE7k1aQmop+_iF!)pDUV=90qOh1FeiV{azBYRA$Tl1BYZ%wk0aE-vuoPxIMj9=8HI} zGJ6WD%$iy)H9!*-$ILewuurv)JaO=gqu8?rW}wcw>A3@EXEq;m1I<)}+XkvIFF97t zpV@EqLMK6y{U&I3;(d)+(FD-hh|Qq8hCcF%Jxln)z-_5vfAo-y&ol78_E&5=XB=}t z_a5KxY_;?N^^re=8i8A<w90Hb4_bx?TDkeo3$(kwI-rF=929hp^%kE4*;~~?gC444 z&lYUC7YSNZ0XkCf6rawMgk7L*5!N$WWsXeWV8GsM1zL><S^}^xU6K7IXmaA5mRQk* z+BvP3FF=zMpI*=D1>Gp18*#$X@bn>>o^L!|A09@5(nEk<#<RtIYTc119KV7FipoG^ z3h}2wmoTR)vY!P_Qe2tQDzgQ2X0TEA{ASRN0ybjL6wK#?R_!Mw@`e3xynm*DI-~LL zUAsZmJp8oa<(HLaPMS7fIa77+(#dKk|IAx*?d25DEh^94moH6Gza(_?p~bPnIkJ7p zGRJ4iX9`U#nYCovkqJ>s)^n|NRVN#GP5N20?)A>!e+t1B{qN6z?|uLM_xVZLx@*rS zPXBjh+pTy1|GRwZaw%FLaZ+g_Z=BIr@5ver+H)Mi>!+^^nZ!A7IQ3UJ<9yB2<tze! zL|m`?%dWI_52$(k>h%8Ff+JF#O_MfrUbAXg@FkJu^%-T2YO8})-W;dmeK#D;Wf84r zkzT93;*ZWjC28FQ1*`I$H{8%;x%yo>;?V{0r235+v($ZLJwrLN^wlELOdan=b57gc zzTjU}ljuCP3<+E5%TbxyO~*EKgxzdk@IsMgwXA!<ACo52bLtv9yAsx#IlkP@d94yO z*9<y8=S^_1dzJC|gIiAJJ8n35jz#pl(v1u~$EQl*{;O?&0%+-YzFNd1y{1|H0y<w+ z9N$WE8_r36#8O(W6p`oO^sAU7%mTC-*_mUy%^dsEB_(=}kJ%1t-B;JRr5f?}JIm4e z3K7oFlcH43)gxZNWm)=NAwu0f;G0I%S3fn4dcK2f+8kPS{RxY$Iko=xB}_aH8|ZWs zON{Eybqn~L3prdsnZ;Yy6FjCbCU7OzZ$nJ?f`76s+JB}kc$mzh{df9;kISAH-Z~Y{ z+4atC!>xACE^&@)KR!VR)hn-EnRx9e%hL7g5zot-Ko|X(t7!a}Z{jsunHVK{-)Y0e zbu3fuT{fH$WC`8xvH>)h|C+@+#y#NkaTae|hk$C^gGT556(Ybx>UV$C_+;;V%kk>G zT7;tag%1WzQ}=mX_)*dns;8t;#S5vh<iS%JmTD2%i@6QvT)Hi^W;3_r&A*)2tfwsa zBhF#A0=f>NTij1p5_F`3bCc^k)fK<on~u&?T=8$#(HYl-qJ^*c2<mLrcYN#2G0k$` zf_IrLr(+!g>O~K7*(+pJm>=|FZaU^KxaQL<?sz#pu;XOz76q{+Y%LcQxj#Fh*Ixj< zM<bZi>pI7^NY8+8VohJ)DQWy?Z{nS&vf{@o)jg*I=PdYS%_(+LAmp#b!KC8?A^)Wg z3U!00`xBOD#o3jrlnOh()jMd!FMOr8DZz3#$K_S~Ee~?NSIF4Ge$Y!^Iip78pjK+r zB5uyG-8~C_^s;3C=~?i^nI+rSFQBS<sl+*}+0aS#Ilg|fFW>qF{9DW-E#n#RaW#vy zuEL5M&4Wtj0wJJFZ)%%f)hkCla&4Nmetq$uD}5|m%atRZB{c0~ZF;)TDd4|Olc?TI z$RbYu^`N^KIUOIfbB6uYcDyLg5!S7HcuUuNhYiPmb9l+QY`7)}8PoS^+H{)Z+U~vu zHSGz_>>OJES`!vO=hWK&E{P|7;#*j1+gJX|<BdbptNCgXi(CReN3(eAs;;QzJ!q88 z@#>!Y2GG?J{hVPHZ&hc69nR$ptKxTj{FgKAr-<XlN|x2NlNbCDYBHS#?e#y&4R(+E zE;J?1eZx6pmQ?eYzRb1TO&x!h3+HUmcRXry(CxTz$UnA&O5L2R;#@b}Sj%#?-W9xe z^S@GrR+VO3aJ6?+Y8o`u?%b_@<?(JZ%h!I@h=;FPc(YlwV?8G{f7At?b~9b)iNY@p z?&5&o>fDwc=VKa0XEh(29eKjBC|&H?f~kp$>@PtFvOkvqtsQG^wS1uqx|J8SknW)G zWTlEAF^3;ZWJK!0xAL|}o^Y%@a!AG{Ev9Ytl;&5Udh&)J=p-3Ov1bZ~?X5B<_U8}D zECHS6`prq~nZo4+MfSU(wN-b{N1kx}uLxR{$1hf7aA!f|Y-9GNpmT2uC$(Cxs7ZjY zH8U~)8pyu&{Kg5*kfHq?&`JQ%{<ORVMfR80ku#e8=C{hE{6BX{<_oC3^3y!#-03od z8xvb)L_h=QJ$z}3>`%{w&IOnpal&!ysY5bHrh(cFpgo00WZ~UqlX_#&F1q8OX$V%( zG{j5M9bT3%-W@n3^8|ElQM~|nalj8A(51X5Kx^_qhwy^-ubnCfb=J#3o%L+7A^~~O zuEhGy6Pll>X%`khTd>s@cEcjy9Fc>E!F%W@*w%nnI;x5lO|WlnwUp2SwQxXv-HTG7 z4fpd~Ej!kvE3#jG4qCKuEF84`Y+gblpAe|O{Fy=QnL?=qw`B&nLSDXkLi0P&+VpZ$ zZp#;-%lkyC;9lan?pu4s@nxh~k-@zJ(RG((LO@N7i?N{7qU&^?DAZ|yZun_zl~DmT z!LkjxEj>U7^IC1+G@<#8t<D+8d|l9nh_i=ecou~}eD-9)4pGqB3ecIxprwHmok7PB zfX@{U=?8D4%LL7`+kwXRLCXhoK`u4tw#)#n?ns#jI%Q$zYS8e*c2;i77w^v;k`eg^ zn$Q6yx0Rq<qe06lrhW!(qf_NB4%j`jRptn2+DED%zRh7mO%do&0OMIZyevJAgRY|T z1Kls6&Ru+A_F*T!Pwt@8mw$rB?f*Y8|9bsD!|FPY^Ps98y3g+0PRQcBl_x*7%=Aoa z1L5N*&TQDK6x|uwwfu<A;;AP;2}Ek$ikdPr=UnIQl8RYpEZfuCW_Gp<8TXzM+T3%- z*{lk((eCZ8xa+@v|Ec@__wT=*k1WsL1XuNQKJTwrs&rL?9FHfdKeJbAvIfJ{a6z3* zsw;jm98B^T3b{V1*WU8S3e_~>D|aR)tlZ0y^_*kcO#8-fYLnw*8CmRI?*=dHdvZ=` z#r_!yt)eWv*M--V$U8n(=LqZ6Il84Q&u_ymJ{ItmwF2N()TKs_FI!n&>nLg5R*J}% zb^KY)X;uN+D-FJQCh^sYjB8J2_V1BBs8lTwV%w3hk;(C^Fk}(+Q5J7q^%Z-kCCsem zc*Vy#t-5c)zcZ;4=eTYQnB1A0u#}lY>b!Eq(;u*5^>iQEl~*~t^pztXt2Iq37hdyK z!trG~=e3(}Kzo2?n!c7PYs^=@k)Y>z^f8B7ltaKzrY6^ELLqx6CV&>={hyf7`4LjV z?)<sx>5OYZ(ZW~mO--2jm*bV3<AyuaIbMZxg#BQ2JbaoXY}dR6ALp{H{?oYNg)z(O zy`Q{zmj4K2$zH<Y_0D_4wd0&#^3EI1y@XChq@SN{b!qpM1%I}(NZ)Y`D0J9x@iNQQ z_=|_Oh}~=gU(0s8eZjBe9Mddjfe*D`C3t0DOTx^nET#GC5%0dUe4Vcp@$hMQt_)<F zv;8CZG#EF?X)s;^Uo@Jo?sEcPgIMPj@F}Zl>n@h1@+uL}J)1UdYYM+P+c)6fS{CUy zt^psFS)|XZuZU5Lc=84uY6ty7|9-qO@rGB^tL;KMh4zkT_Y3KmCaW$BtuAg#oy1c5 zT_xh(VV1A`DiIG)v+#l@GnAW-={AMtDQetOk9e!kax`8g;_=qiprh8N&$&|<#FDVq zmcvWlXM<nkf;XNlujhIO{A*{C)=^(kVSLc-yFkc4<AX})g+qE{dv|ZWv5n>Gecug7 z8X@NkaI$PYuMqKU5oe3OWkbU9S9-IpCcPH8vVV3$tG%E}&9sE2*JGM9P3Jj-*CD?1 z-*8KvvuirXwI6DZC%HMVMR^5O@gB4)7o1W%C1J0j<InK*#|q4DKwEP$HP5e1yjaIF z)!uQ#iH$6w`yDsj_{nm0HjDQihk(!FEZ(+G0ly`iQq6Lcqe{0cMZA+``TAWoLec-i z2Z^Ss`}{8auxSddvxAQKf7!KCd276oNd1h2-g<#4J#pQcYqzpGzKrF#cC&rKn};l~ zWqkwwWwS_M;n4cmld$+I%hY<u4JVvgLjU`1xS@7E(c<cTj}1r8LF?GGv{xRl44Q7a z3+fb0Io>Vioc3GO@$YKRupPY%9yYUR_i{+x{yO8DR=$G9F5!dV;{`MhO3haWA1{zD zJS9(H&1TR&li)UR+e+oVzd29+?@efyG*5^UjrZRWIBCJBYEH3}f+2r(4kj%Z2>H)< zP^g<TE6#Ppm8zy&wN0t>6juCJI#{%R_r%NJwyQ@xw1v9t;X%+Gj$%fQ&_S)xrbXQx zVY??S_+iy#TIU_`sjzA5JarA*Y~E#C&uwE#z0C4j)+6BGWfti<4gsM1GGbi<esVRr zUW4xSi}`!@iXi(&MQ+O#|Jy+;<M(iKPilS!T7h-t9q3*-d+y>1Gmks*?NS8|@9Tm# zUr&HtBMTa;y|f-Qy&4GGN0$#e?`BQ&Y-9GVpmFH;#}3Ju*qLZHm1i-wyuadD^zMqg z?3O;zQf|;_`g2eRGxpFSnJ=K4`KKIcCb*?lMg%m~-eVTqy?RRXC(x~LC)R;Bvx6E4 zWh~s5E9yb#;e~*%*aO`U^vX!A=)ykG=<^-;rn3dVKU{H_ee&<56W_0kvq2~EY*6L4 zlz4aOkjxaj15SL$KxgYs1r2Y%c&T&7@ohDzY6D$fmjSAVWp&OtzE%_~ngF_O@I}rh zK67>Uo8}u0*!Ru_tsDHSbLQZK4e%l6uA`uPdFLK;;yZO8v<_f8==wTSoimPa%EXES z{>yR~PpIf>l`)Ag<6d`3X2~N^hzfHTPq;a|Ri=bLHIc7qe!3$2-(b+FxuwpNgc}Ko zd|s;~P8_UY<}MDXL^Nx@oLt#&wIb7YT@c?R&@RMdeV{J9ICt@dQ=r9zHDb>e6mxM~ zo|t#^kjxh`&{_DPwPzdVwaSQq4)b&K-!P%M=t<a}IhGwc=bZRff$j<3XbU>QKmfE> z;R$FHB6$13*~!c8UMXw^4T*qnSrp^8e6bF6XA)@3{=dhdwV148MFw-WHco%LV2dob zrNr{c8O^60b)G0hfu`0$GcG?sS2#aT0=2x(9g^8{ZPSG2FQE28I^v??-*>LK%YI2K zE1X(1;eH$F7=v?8d|m9I^$L}sYYT0-iv=v3TV+CCgT@#nxh+@R2i+hH+OdBYw6)_3 zXbHpKo4GqIizm!H?8LWg_eKNuojTl>FV3eX@^Kx9@1!?~F#w%(#0?5lPVV9jAMJnm z{{0%SWEOrMRMFR7fvmibu?#de%)WT)h>^1V#WNblnQL2TcAlRRnX*i0^9h}g3tiJX z*NV+lo2HrNtz(>Z^Mm23kU7Oh@`dL*KXdv@XJ@8NoB8AS+|SQ;{onDvIPUr1zjKRY z@4ug4{`6OLx?9|@&gI*F{-5s=H__v%sCJ~vri0$gUUYeiG+dQa)v!~GNWQ#Ozuw$p z(UWT|*>Bwfs`L(8wF^(NotLne%kgJ1huMyv1&_9}Nb9Pv*wdCU@wwWCc^^;NF>$o7 z&a07Lw)I9B%hg~O?=y-kDkTmE9T&VZ&ws<2n=GZ`f;#1<;CtbCIHcC8M`SKemGFBU z$+G&5Pe6&;!K`)xk@@Z$j%7lNm6Y699<PI%E_riYi}VU8Gd)=Kn&VV{h4r$nj&l|~ z>SK|9>l^S>p~<yRbww4|!6a_ZRq;L>j)bz9?q=~`s|0EkNJjtrRB&(-sKk4B##6B2 z={EHh`^67(u?v{k%t}~l<oKACWp#{8K#AeOEOTM-skz21s^&YI!*5o}I=)mnXjLsV z<?j51y^A?c)%PYeZ-#afwf=#QgK-V`sn62=g>%)vsR<j!Ialr9cKoC8ZNV!w`Uiu4 z3trI^&?#1OylV_8r?ol5ei(yK#;cmN;3GH7>LXzvRM`deEO)(K!f_z$y`siG{ex2T zl{6}u4o2Nq1FuO77nt%^U`@HW<4<)Cvx<2O9%a9K656*-amAm!Cf9F@D}H%49ra?l zy5DufkxF5X_#%dbepmlIy)y9%FU!_&0iDkhj&}_Y7OmgMeR<V>(}P^^l`<@*CoJ_B zFtMALFg0ID1T^BR=J@e7$F&{J3!W&mWZSw2R88J(IHz<QY{B~O*H<RqlWF=?*~HtY zyy6FMQ|~#o6@R#!T-PbCsIoqo#4jB3pZ%cF#cG4Kv+|W9vc#KiZRVV2IR$*+(mua{ z{cal$orfI<qZ;h)wO!C8&UeG9`<z|pc8Fh&dfN+Li>K@y@F}5bYoDq{t=2&+Zq8G2 zP8;rpvOLXKy^$d5cvSG9TbX=Y@K0Wr?l%U|+Vv}QuzM1_P{@CogF@=USN_Ny4B~CN z)y+9=CuFAopIbnEv)!_-hhB3^sd0u?*+aUoI*u17bA<iYbNtB7dF{trNL$Xb^7565 zVe=P!xy<r<k4He+>ED)hprv^4m2V{2H+9Wdyz#=N=~QTwtDeG&U(!uS^Hf&U=^qsG z7rgR^|6ow`nj-~S`Q96RK&=RHTH6=wUNm2AMLp9&uKS7^6?O-`_N!&wQH*$+*R;sF z>FPh<fSS+jps5_MfFgeIhSge?gI21Lbsch!KjQ^+3Jyb@wzC4%BuQqO`rmcKiL})Q zYgchL9W7H|@lUWxwNGKiPuHfUe(Eb~^$r?M7rwH$FJY&g<6n1<upjD<hkfOa6ogfA zJ3fx(4Ey<k1#;)|#ly?{uIzIN*yFU}SU-nXoZE(LrYuXR3$OWX;CM5g^IG@1V_Qz` zpPA4c%b``*k+9gAQ>%Vn!o;7Dv!RumUgb8aPJ`}3oXzhm>$z5ah3#WSpX`~D;PJ6{ z%`9K})gl&pT=)>uG_}s*!VjUQ(0>jAC7K7b_=QB`L1!ehN%)<#I4HBF4AcV#ZF@e~ zI9X|jIIF<D?&dd!pp#&&XST{b*$-MaCIz|{u3GF_LJ2o$u=l_r85dCTy-M!b*_q8Z zKxg07t8*7mxB)uFtr&Ff3Fzz_yH3!tc%Z&IcjS!bJMTf4`lx|6di{r2D~Fdaw|lif zBoZ{r3Z4&sDhpa;t_~Vlu;8}rkO!>+08I!?0^Q8JmOJ8v<F2EJWJ>Zt8|XmS3Em3^ z4V}k>hR%;F?}=tVx*ascZ^CV<QQy;Q*&%}HTkbdd8pyuU7*w~ZgZA8ef#%mimF}+g zR+%lJ3yYqyiWObB`zJ7FspW~iz0lEKF8NeN_QT4cqXDLanlzww?Lp~^>=(5`{a^XW z6OMmCn*u-nfcxp>bC935-b@DdF7po`k|`-mS7g5lI@`?}v}kbukwY>(exS{M@*uZ@ zjs*HyCH72V6KJ!&d}<<JQnFZ4!2K_Ucjj1n<eYWln+2-GR_@e!vY^%iw1ch=KB}=D z<fom*pnx?31uSS21bEYZHfSAQBxoJpN8ue_mK}LV56N5sEeEt~Z?(*jIp)MS33TA? z+Ml463TE8J7b1^1@ofSvquZOR^F+ZObS%JYb=a0bjXaYhp|hGV>WCEu+yfotcP34d z{i-u)T){@HD8RnA)zae%sO=Rb_Do@GORLNl&?17FS3!pjf*N7DKUDJk_<j|NJzKB= zbPm%y(6M-Yplt=o5i^=kX@Z6txD;x3@Gas71s!Naz9SwK^SeN;8PL|bwW*5inbln3 znS55D7%Zz6d$wS^IJe~q`2&Y!c>2;5*^e4=7aK$!aN;`!YM)Fzs`F$)l`(g*K=er` zzE|fr7_jUAZ@zzJ{eMP_rHdOX7*lURtN3p_OCH5^@oUcXo|cv}bB6y7qm5@)q)wXo z&{)^_OjydY8IeDx=$t;WWuuSsX%}PV(?>SB+NsRc+;;868H4CWen->iTz=}d|Gs7Z zy5IAE&$(V%UvGW=>)&_ttqmVvT&oP)PCtA1JJ6vyDj(~!&-b{b?MV`IIH|VaS=i)Y z#t1FXfNHseM(n~@VjVWz(Pa55F1V&Z)A6v_L9gvXCUK4%PAz6}y)Lw7+tF2DwK>~@ zYt)-ezbR@|3LK1LZMvi`yrvkk<NCw=vvEeHOUiW}f6nGKi|`BhnAp^NO(3Mtwk=b4 zld|L0y_{mR{R93=G^zS2t*F#H7zCPdci!OBzTllv(^EO675ir;wED`&+sA+p&f|aX z*Tt}Ai@M`uor7BLf+D(t;Cc6>EZH%>0Y#bzqrMAFiSyiWZpMN)Z`3QM?tRO7>b>8F zgN-br{R-d{-HkcLc7iq`iGofsogM6+WG)!8e|ADAJ7<=i`-UrP1eb+Y^BpwWEqKMY zAz`PD<6mLUupeBGhq*b!ei=GGmgWrmY3z8Bog=KeZ9&BsPoDJN>(HI*lXv^cX723< z&tc4Q2>8a+^fe2-f9@bJi|Bus4Hy5iOr5V3k@75fM%dNs0y^8c9l!D%6l#au#<pDe z%D#q#nWv${ukYgQOI5zRH~so9xW+&!Bf~#AN;}v2LPdI0=snMXF9J<h?Hs|A82kJJ zHaTv%rqAJZ-*3aYy)3En>Jj<Jj3xYjh70FRfNk)<Z&;dAV&M3+Us$I|%kk=ZL7g&5 z$FK8+bqb{&&)OXfTFsHQUNs`^bh>3-xq8#ndwv1`6`Dlt+%9}bX_~r<rB`1u;%Pc` zD8fB|rd7~yX~&Q59M>v37d&}(J~1l$tw(^B?}l?VO`DDjttl6B{JCBzXG6<^NB*2< zuLMH=X&+Q_Zd#=;q_gejX9>Tr*M)U9UWZm-KliOvUb&bvYdXg?%k~BDlA4~@IR(^f z9^|T5%-At0VW~KW)Ooq&sMRVQUGE$>+^Xj6lK0$jES<w^p6dqi1cp+?^JU<Xh=-Fl z?_H_9m!0#Jz5j-TYs3xKcCA;wkzw9+>b+vblY*vI^VK5OI0gK@&Eg&78Swc!i}zjE z0MJd)^Hf(z$M!zd*)Hq&H<mN3;yc7?l@&LxOuV!lvNd5lM^~KVhN#&Ke#~Xb{?onS z$z_)8vo(U3wf5>BwAw8IZU#DYo)YIYvzWW!kvXT?t~m=nt>+NinGD^o@ydRA-;sGL zEA}0f^2zSBa6D@+tg}@fc_O2>c|l!sLTkK`$)33hOX~$p>gFVf?rnJ}a(7n3R&zm- z`_mJ8??9YpS$XHm#A_>AmY!FScy83R>AK*Wa%sn(`-O8hbS+4FlIoMaSeH|4|HOoe zl`Nt59vf~jHNA>gh)5E2Jo{cy=c>|*TJD2J+R*bF-q{(Is(d$c{CoC#(Vs)o98$|T z!+tS1K2GKg`)T8N@iU}7l+AhVhppqur!3jLoL=h{BhojYFnj%Pme@Px-OLB8ss*Ri z+aF|ehaBkZ-aUV|m628Zf}*(z6SFy1{p(BEn96eXzxReCSxvLn&uYxnHJ!fTn;gsO z6waaxwv#~nyr#9vd^rzV1%E$Lk^S&$(2czLktYuB08g_UYc`z@V|bWq-O&dsh_`hX z=obmxpVw-cu?KX6J3sh@7SLLF(02CVL`C+S-4QdI?@a~O!P1~j%;MaZ8vkauT1wcS zcH%oFEuD8x#^u?D3C&qut(G0KptWuCp!H(vey|hGF8uj)#a(uZUWy|7TTqR9rdQ|5 zf@*cp5iOuiewTI5I3_OUEtpzlaAz85u6=5&OwV`FO>pvwitHCb`|EFkPORH=?2wE} z-3ceYNucd_YeC21{{r0~_!-=T1s|mMrcL^h)>%jWb54Ap@)HyJ*g$LN9)Zrzz4#Hd z4$l>|4iB^`{9i+>Wk>9JC%#v)pgyoXw`GRi!9y}ja@6wt_-;jSHejC#>hqV!gGN3; ztH|v8S}irEz-vp1dG?^LC1`E_$5PN-3FyS!Dt+$afa;!BnIq{rEvrq~d)G#sa5Or3 zNM_43P)GeBXrDbJsG|-V`2Zd6`e&)mnS%?CI`MUF2OS9@3UZ<bcd<aEHS83f1-o3Q zOn<AeO_<wq#rwmDWK=*k>RBf4;t5tW;WO<UCN#eT?eu>KTEh^3?vRWK=$_%jps@(e zZH9S%e8)gt{;7K-PdJv?ftD<^ftKHaPMv!WkE01Opk~He&~CY1pymu{&;J`|(7?Va zx8;d^D{jy#JkYVdh5lmC5~idovOirMF{9a|vsESpbXH&zXs-Ql7U%@ODXlUlpsja7 z+MpfwXAa4vq~&k=_pGTMa?`=?zfU{@W;EXc4KdX#fHuy7mNkObFf26&HNKR@o-MeP zqR4&`G(~g^w6Pwt4iB`iApPvKdMEa^py86c@hZ#f|FN%_x~B`&nTK9#^KIwl6IT`% zOYd5F=J1i1Qn(JtOPMw!b&E~Hw1wM_o$A@7`b_bZkCE{iA0uNM(V3ZA|LU%PeOCAH zX6fE_-@kv4`TqCsyZ!2WOD^x6C|;NKS$xkAf0gwfDt|Xk)e)Ncu_-eBrV5wCO`C&N z>H<^j=O#3Bt6#YH<7q#eK;4##r&lIktZF({E}-*?!SU*H4zcdMZ<lHw=C3@j9FfH7 zc$S%CT9jwN?~10>FySj}1$D|T9sicHXy0+W@S(10>O6&vJr|!zoYRVi%nl##*PCY* zbXq_p-f_dRLKanj<%rizO_#Dcu0?tWd<$#(`c7HHUg^exw4^A}=R!GKIu?A|$I>n1 z74TJ}>1dc>h<$%TC-nA`ot1~LOuVAYvh}@c1ZX3fang)yMVmQasdI+in7!cPK^ARU z*9$*FnnKShYE(%c%(^Zpa^GQt+x!Jj7PDmA`Ug~96PvSba{;tKeiIk$UUi!DRQ&|- zBB?M=vt3gbeEQBIwsYo!SMNE*s#_NP`p+@#Mv}MB>Sul|-b*>N<XtwL5riBC16nez z81Zm7bTA?@{gubd?JT|WY7tNOv$&?8JG3S2cH@E{^H{R?^e%YvkR^L6hu3`14d;%s zq^?(tc(2y<X}{u)2Z~Ky{}pd!TwZH1=M-m?>$e$@J%>AgU0bQV@i51#`j&)FV~(u4 znF%Xbb7tN5*x=K@;9WDz>A$lV{8QU(xK?zVa>kA+2}`#Nn4A+{^C_`uk!aJ^eJ%li zw3<xoEFc>X{O(znF8Rvf_;NYtwa#+~x12hs9+A)K_|sfCXTzigkJ>rRqI?5>cC&QH zI0kI>-EiYA%hmrb8;(q7F*Tpp{ZMBsujAXJET`XqPT~Wt?=L@kWn#?41^+Txv}+m{ zJd}d;;=-Cj|9J#_DQvnLCwP2I*Ky8kH+mO<&w}v^_!ZGq8YVc!c7DQMHpidug>wp^ zXXoww(Y{g{w2v%1+b4UXB}-_zYDA8F(<{p+)jqWqKRKJ0o>N^>D|yi9y6}~|4jb;g z=X_=FwZSPxnrHb#ohHx`$$P{PYQ3KW2{g;9Cs!ukI?mZO-xqw%&o+*0yJjx<V$1T{ z#wp;NWz*MfGmd}!Y%QEKL2bnkzNX$jg%y7cn_SN+t@st%bab8SihsdPs_*7OX4B2v zgWZG93tgFKDStUC&An+?wUEyDvZi0{!fQSRHnpV-tqD=jsNp@RWv{4FC4MlgURC2C z&q1l#x!n(^#5ioYCdaaLGs|lk*ewI!maJ6XYs_(~zBQqFHK*3U83~K!9G~tN&@ob5 z@$1!FgSAJusjv7K1wLZxXI#@#Ka~}+kYkcTt4@`hew7QY`S2XP+9l@GhnFjrmxkx| zgU=Q4>RRx^sOjoG=YT&fO{R9f0iT?jwysmq*gG#_?rqLfd>q%RCoTA+Ei7@4?Y2Nj z4evp>-@+kv<_DFIL$c@en7>!9OpNGV@M}BAv<UZr&(m4F@A?P)E^JCQi)zTc+A9pc z|N1XSScSOb;bhLRUn-7|KXZotRCBzzm1T7)XV-oA4ae-EV>q6-881g=^EtkBWqJL^ zIpCW>)7L&Vjd-;g%?Cl<#2>t%p1Li!rN;lJR?Ch%r=9p#{ZB~bOENAy;`emHK2h%C z2_B#&@StM!%0W=aQU%npEDd7O_h4+%KjHZO4d`f=+PSSVUqJV@K70<^XU`AXGG`6i zXAf#DY`fDr{jI{T39XhZ_D%$?%i3(f-pd3(nk7k*{UvBy_&Lzk?Z1sd%k|B<El>RK zZMBrJ0o7sIj4k}v9Sye`ue&7EQ<kF0{uESoPizJqlg11hDhKUm{|{Qowxgw0#$^BD zLo!ROKu#6sE}l?1qgAG4eqthD(QN%Ape<gE+{FPEw%nEyX`r6^Z%}2S0-Aid2^y1U z6|jq8zt|0G(tOJW-8SFZYMJq8R;!E(=zP_vWU-<N_e#WLmRi05?E>7iJ~@%Eiha|B z=0BhjhJ&4;u@6a5hzfFBYM4jPXg&dIr2Ntrd#3PB0W?F>-D>HPGpXj~v!-^4uZkfX z0at<+_=EN=oOl8{4}b-<;?0WN@<sfKLoy=kQWV(_gZ31@<P$40kOS@STOM)3(PWqQ ze_^W?XOk7#KZ1_7dCUMBF9$Ug`anzFuIij|Ty6$#0yL$+RIL698ZW=LaYFN-T+kFm zomf#oO;f9-MD7-ja8357po?x!B#9LToZVo+z7f=jr~`$lMQ^K&320$r5U5hG*5xjq zuybmwObKXCph#J)=)(591;x)67?cOG@I$T!dbkL5l<wQppm`FIQ<FjS>!P3rLw~Ex z5zrxqkJ-eEChTc#wafq=M1QG&qXGL|$=#2&o+?<+Znb=&8hOI8E+5oJG~l+>kUM%v zrpFJ|QUD#ib0QT!0<a3a*dT`)bVOd{jOHVYK}#HMK-V&DoiY9Gg1r-3El<2T3Oe*R zaz?ZG|9$ztp8sFA?D-0CJ^y1C<hY#76mLW0lhYn4DhD5*<7abb;pc{P%+n;dPRmGp zGVu(L@nq0lb~Yx{B2%tKc&na1;@lmkHchhfh|%F|lcq_gWmou2p7(s$y*s}jZ+~4C z`~H3L-*>-%->p9_yZ`jGiPQgINz41ae?Mq?e&_#a@Jc+hujgmEFh(r&y6{1(X=<KA z#-6T(sqCCx>r^A&vNk=M{r1->ed&L4y|QW-?qz(M9mU|dFs161N4BEl%f}qoEGI8` z<I3_{S5>24_8^;VQ`dLloG%uRPoHy$-7FDX7FufEbo7}}i2am=&Z8`*-Ynj+9s$*y zNF9rHbF3!qp1$CpRFmjD#S9DO*37k26f$hu6Q(+Ibm=KYye(;ZBrdR~P!-&-xa$|N z%YDPStfoz`Ij`NWFl!60%WmRLgLEk-b+h}*N<QV(n(wv2qjkZnr!3vN$}8%$4+?!3 zxKh)Tu(Fh8>*C#sQK!$Stg!A**vSf69+cA5_FQlc=q|SFLTfI~gVhw@uS^7A$>zJ^ zm|L;I+DXxZYqoMbzWmF1&9ZGl&cuYh#hj<=XC^dfbAZe0-5gr~Cnii>&arA=Ryj}l zMhnNU<pMf}^^omWcR<s^SD+``2Q}^DZF*Yg67b)zN%Wt?g%6%hQ@5}$yIR5B6nf7u zphWIqmSxi;b)hvMIh!U;7g+O^x9O6((3;}o&;i(+?aTYV%u~>ipV^(6yTQ`&=yt)J zE#{6-l{v*M8yCDf&MCHg#)4nhIj3a^Uio8rFo<99N}TtGGu<qu=C>zbes<3*z}|Pm zp@-0B$-}~6ckqfd!Gl`y${JO+2ea&zHU2RilyYvGbY6JP)=a6(T6=8|S`~Ail5^W| zFRAI1yy}eyPEB2<O}$|PA^$WFDt(78yNdby`pU!`n^~^LZx>&-^~g3B({l9)E$@J8 z!GlKW0$27nB<x%-bS2(z!y$hTsc#%%zqlPAe`Q&{r!1dm`3p0a)o<Mb{v<S+-h=Lk z^qXf^x+GLlqn7oc)oG4X_uMwzvt@bu-)F-?tER5?H`y=W%20EBDsoVXT_~iM{~&nA z+2o+mbipfs_znhb7r3%-cEZeq&``U(e0krKxV`$zLhH4gM9Wk&cGw^EdasrdqZ08n zn8h`lWp(ZB1wYQRWdG?}0B#Jr22>rclsIQq*tE%7c#Zk|1%GyPm|0{(A~fdDlPeQ1 zE@hdz-*v+YUzX6_EZw$F0e|PSc;Bd-5q8#GNN20C<J(G>(=xu`)1^+aeAQQscsQGd zH(w><<#b3ZP#!j1Vm_lkbL}^_rbnKTAu^GJQR)Iy>}Ds-?dAlX3mDhE;Ez6sSw+W! zNB23+swOV@^xAli8F*}rL(IBqK~-ZyCuC-+;N;o!{<5C2t^vQRno`3Auhcdq?A$MS zrG8#Q>y3Xi!VbUX47)XX!N)|F)in(ZUd&`!UE8<dM^KaLKL3DC&Ks`PvMiNXk9d9) zHd-=UrXh3fc3sDxwj5?BghFcU54xobg#6PvsN~JDst&T!wcdTh5vitG$xTbsbPg0` z<vVXUBh$2tU0CP4UDL1Sf@?m2?kVI`jQRATYo+p1HAlW<+d=n_-3Q%=4mv0Ao4i<& z!2KT%Yc9x`+&kpNHwiQwe63OEiNaja{VdBtm!yGqP`?MA((+%AyVzhyYpaY%{ozA0 zJ#3)9Wxm)m1tHrPQ)hF}Tgt>Se`)ip+3N01hh&bVZJf~j3v{d4qX^K5IR~hJ+21O& zB^$IdJ_@ulerBuXiNCX2Wxjy=_FVr#i?Mk5pV>RHFE!sdq4^`|pc&P}PJD}wgU-3( z2W^`Jt<`$$4J!1-96sngRj51*S_6;=YBTJPn9+PrS?7s@by6Z<RT;;+Aihu0n+({S z=SG}xEVKtz!{E{Vj#kT#IftG2R)G$jPvQklt1E&IW|`b7V^R-VEeJY9?k(>o&`j{n zq#zdlaBzFW-12K6<Y1Qn8lYu^j$%ayHnUqTHEKb3%!AggX@O3*zi1sXqd97RtIQG5 zMR-#GQx(}yp5=d<YMt@+gcIMT>dgl1bCn}bIDV@DHEhJVEl+?B=Rb(FZ7zStuNBP~ zwZw`7>L!3z;-xCGUlj+9n5VTr)OxyLA2X;8ws}JHGth#@QW<W`jQJ-I$w1B<T&i=% z@g3-J*!LR@*jqu3nGc|`^Di=>;|ewjn5Xk;-3HBMYc+g$Xw`9U?ynWiw-)L=QP?-5 z)iPrW?6^VDK>U*M4F>FULE|&k`k)Pdpw(@l!SH6u_QzUJ7tBaV<Z}Z}AN&MO_iyTG zl?efD&rcHHFroPu=nUbcpYUmov$sJj0Inu0vcCm2LegwOhZfYZth*%hWE<#ufSaH- z019G724^-KurCb;wZK5j4~i_gEj#o;*Z6_f1O5Qb_dhlSHEE6?lG(Dz{n4{03bvD5 zEnl1gUD*R!*BA?$n%|oYT33-G_AH@5j@z>0DQJGaf^+wIi=CjV9=^41cCzQKmnz<; zRA%n>@$xQFTdI0avM-tM_|1nF&+2y0Rq?*GWb#Cl?Q16+bx!m1@p4{nJaO8jxp&s8 zES?;u9yw{!&${)mcYgo*c+TspnDghqm*0DT{<&5C+($=?&3pI!(Vlnf)Bk$Vn)|Nx zQ74rqO6t$<T{qW-am5|Ah$nVUtNMj?w)HLeb*6ItpC=C%PrK2x;8`Dw_gl|^YP*9* zu1&kr1$D{=9si25Xx}kv{B|b%IKRS=*;y6muS~qOn5Ea9W%ZirhqrVc;|#k!Z@~|l zCR08Ijh#ISYmGU*^wlGlI|h`g9;{l;dCJ~r!@<ogqFe3THeXa@nYvvyA_a87cNoLN zNvn6RRNlCh<?4Bbh(}IMv#ty1lo~s}4P`mKMsdaNX$d<|FwO}3dy_>w$N55mdDGNq zLMCxe8%|}ixauoJywz%Yq}yZ)>x-$&&$7A%I<(81<@Fh>36Imat3~Mh2Gqqj@rntD z*t8}r{>-Tr?+7lc->XEtacp|!+@u<(vf}5jpAzSSq6NWA@M>YBoqDsZCjDk{{QH?B zY=yANp2mcw^94-m8WN`33yRb>CTzVf+mb1Ie^Ns4cY!Hqgw~X5IKG_Bam{l2f;Xuw zuVeiK{vBtL{-P$JW7$%0@~FL^tfZ}fz|XDR25VinDXjRF*L3uo+KM`kgF@;8SJnvV zlxjjQWt+R;-Cvf|e;XJ41D!?kEP-eF!)GkoOF6(t;vIz575%fVf_|Gge)NW{NW0B( zZCC$-FAWKEOIcFQPb5dZ&UFj;7t133#xvlfLQ`)S%T#;U4IaG<UYT=<?Vh^e*Lluq z6*-Vf?9QLtS0-Nh)t(Tw^)buoHx2>id<Tozg|5WAZ8)UPDW#_#@$xlGZ@AE!OR5^b zIKVyFJZ{4|;QfQvO<TVyXzaB=XazfE<=nL8eP8rcz_;Gysjc{7+yt7%`Nw@w$(Zlx zmR0*ECTvu3{K_w&W7xmonIMbzT)%+dOiiil)K=V9ig>r2<*U3>#KZHjRtacRf4)V} z<Nobx5l{cJxPDiTSnCk*C#1>L&OhLjSJT!!C5>9~gI4@PQ)*`=>=oxcbzCgbLORDa z;A1W1M7)9~S3hWLZs#vhQacT~_J+^#Y`n0}R}sgz;vCa%{wVNS{cak|>Alkz)J;oh zJ<K6B&t=1<bWW*ww+*MZb9UWx+;D3>N0+_lhGU>PA!m;1bM9mqF57xd418KLXdfXr z=QZob1%J{x%yvv!kTf}A@oi46|C16Xs&lORH#uP=FSmr>*X=?&8;u>$@(b%sRbNpn zbI|BH^xl^{dsAL{yo+Y}ny(!3P@ILAokja^^Ma3T-Ue$!?>PmOs5f1;a{=ESaa>@E z&FqA=QjRaPIj`-Uy5P-Tme;m!0sr2!NUy0sqJ5avn@=&O=;PVRN(|C(9Re(!H=L+x zTIDXRQzqv4RbNnNW7C3X{2bGEwJ-Rl%yPPi<JGN+drsY1202cknT7Yedc;eOremp1 zq5D9?LR<<rRxavYsl4^Qut>b`hGXwJyzIO;T<cjnBkZLw$2Cu(DR<{4?5$*Z+OKls zK}l0ryuytaSxu+zD@UY=I$pIqn3T;~6!2f4yLiHkT9Nxz3=d5$GWHc0sc4*W%rX}% zx^S-_G{X+s@C8~b7BjU~=F57}arXB?bJn1m^b%-n{|D$mmQSDt!rZMq{<meW+&k#R z_Xs5Tu@F=epE)G6#V<{f{pDxSQs}3XmHvEJZg>!R%JJJV&^;}s5hon~fe*&hgdL1` z>qkb+Qkg0D&mEF+DFfAPpx)j_SDhyd;y~N$pKqMd{0vkfUf~6G*x5lH_6AUgJtdK^ z2-H6K+uOCnvN*s4z9QS;PU@@0d|sfr_Q#-m@SeJe6$#i*Z?#-e+uAB~WPN%fpOigl z51kx$@r9};&;_-iW{tEQXyL#c(EhJ`=RlJXf@04SCV-~aA4kq;z6iSS=1(eUT>mbp z8Us%Qyy6E{V`cWBe*3&uOAnjlPJFX2CW97~Z?)vM%y@s~kW9)q&?<A#YQ%S-Hjh21 zeGqfTiLVWO#*G<hSK{eIG9hxHWdNl*XB=~%74ERK?8paALX?5l=R>ZZ+xZ;SssZg< zD47U4x8SG~-zL!H^j=ZWiT2!}HduSBj0mXJ<fbfE6cG2mB4(*&$C1brj-}ON&lJG> z>p_PJ3!Mf{uKR!{*XOj#YytJQ)1Jb8^zIdCtj6{XXlvpo19sNA4XdX#KUC8><Cqx@ zTC+d5)p7;sjKC62P<Oq#Rpy9(N+O@s^$io6pPbb><CrZ9TCop44=?hBqgjzs-Z_~s zplf^nnCYB3n6Mo*f&$(+-&MTa?p4B;mR6Z5pp*5KK&^yVphFbb9ChM*RSr62upQ)3 zNwH@NUxh$>3#>pxM4Lf-3YLLZfb7$GvS9y=R!a@gB@S)RLG1)>&_V?_v1bd4o<lwK zPW%7C{8!umGn%lqdV%WtYRJL&HG6|U_RZ|gkvy$q6uieR?c}DOFts!-(89Y**Je!b zw30n%`O#|AR)ftaw9~|9s%{H0@!pfNB`Rs=#ZOJ3<L}R!Ox<_Cc;23$&&$s}wz0oo z465tT$3Ok{(^yIW|D%<W>;Hi6unYMIy2I{T^-Ymj<4&dxw<aw3!OfDL6Ik_i_d!9> z0WjJAO_z!}uFdogC}TWW<;{8OI;Yu=z6FnRAve@YfEP{Q&0%cYd_$+{)ox*(iE|yQ zn@{>VbBJHDNqXgxW#{--mF4srl@-;x2aC1~TzN0J<^zZ0;dIWhTTd!{R)5^aviglz zK#6_RRX$}6yZ(e;F2|FiEZMQn0ac<0t-eDC6z9ZRmoE9v<M^}J@4yzb8J+=#t{X1y zW0}gY8u7%UX;r#_PMM+O*VP=;cFbJxtd_-_mm_Pvazxr;VZ%AQZVTva7j^`-3uJ5{ zqt|j`%loE?34(8=6J>GDSBiKm*Yt>Ac+E!>$CF?E4{f>DB|PQc#DuxE9H-=5Hrz90 zdFl`8!QNN9@xra?RBV&09CW$b&R_j2l?|giGDGWa4+>ceT&a;i7{o4g#co!@Oe4p; zpE;-f76V^G@`Kg!Fgs`1FKfrgCr(P7(^{{r0owGum*ptGLd0WZXf^ia&`RaC#hhO8 zZX5i%7rdFv^4iuR;9owA^d66Zk6Tmw>~GCm@Tr<Z?B@IhS&a!Bg*jKvciwPhDU0cT z_YGHMS+-sm(D{4>)`1mY*7qc7c|sKU{Fl2dyw3&Je93G&#?29CIU9VieeLuG73~SV z_XVcZ%t=`LoYO16POEM6Ii03W)dFj#t7`n$Y~p<e8!Jiom6cqpvf__ylWU&pieK4H zN99yk*r`P%UA_!jiKiBkW!`k_x1dh3h2z~ymeX@R1L|21a`{5;s1<d5yp?73AK1NW zFOGE^ti5{AC7?#U$<)pxz{r2YwL+Gq;=*f+4IOW;=DfCh=7K-^oMsXNA%DaVx=GLL zdl*uud{D_+IOH$G!K8M9kpD~vg_c8|Hhb$z<(1l;S@&HwoY~7#Dz6stZa&M`>-Nl- zqaO0I@RqAYXgXi`q0kg+=O6IJr0J@jl1827K`DDBjY{)_QT&2a<OJ6wcgM>@Huue$ zYpBVz;T|8$)BFD5RUY>48v<u8_{7T6ZNjnY-vr36jCl#2%Q>>vg+B~kwoPrtT$PBl z{H9&(0y^I#n|^U~hFRo;TTErE9;ODnd)X^w)TkfSs%={2FSO>HkmJX-=brrN-7Yxg zPItoEznot3t{cvEvZStNc^&H&@b5QxJI2Ry7HM0@fS>zWx^s4db{-U*yt*B9o6<D3 z75@U8RQps`RN5R2I?a(4@3i5}SC&$1meYTyE%^7CMf*?tf``x2KWcgBD`xCrKd2?I zs9`ldVXKhi$E7UUHMvX=z4;VwtekY+S2pvmS3s5NL92eDDYbnGdwr)TMLiW}k$&SA z@bNH<w5(siPj8lP9Yy5Xj4Bq>|4tjOY-ZVNZYO;>GVM0B=f8WmkL=0Xjs<n|5?b|z zOk#XCTnguqiuc`c%Acd_o<I1~M|<ZDZY7e-LW|TuOYuOpa4o172Ay_O4PG)f7qkZN z%pn<`b#p`x-i=~-c-Kl}8>k>I(FYCicY->X$%^b(dCwgLt;I6~?VHmB?VAG~QEGqk zkj#=UP$dl7(H?ouiEr2R%?9i{<v|y(gRXOZ*bh3CB`J~5YyHYc&z>aMG`Cu=*i#Je zFPF>*EikWbYLz+CpP0xe1sX_r0;-``A9Uio1lmeIcQ>dX%nv%ZPgAUD!u6e?>uzq$ z0_~eScu1z_8))C040o}B<t$L|GA)rW={acM9B3T<2rpy^;75V1NxdCt-yD173CG`{ z6LEws`NK8Y@2&-%;&waogk!xvsJC7yR%Bq))oQ5$x_kauC}@D6SFA`NccTIO*7=~l zeV~bh$Lm37;VFTRxcO9bZ*B9JZ#rij)osCJ=7-u}EBxLFYSn=5b^Qc7WVSgKG`?R7 z8dw0$n1I_f*Ffv_LEZMfpd|~@F%7Ft*gM}woNz4m7kj2)3R*Due3JqDOwd7pyQj2T zp12CyhX}frui!SQ8Us%_9KH%V&!(!URi<Ztaw4DB?AVUgQ<`7sh&@}d&6?Y?BRz6P z^D#l4CkmGHTP-tmL0cw4UGC>mVnq}7g0@V41vR`tEt(HH+?E=7MS^+fWO}xN2F+tZ zlMr>FzymLvTh$KQp_e1aZMh;Gbc6wySkZ+&ZLO9bYm*e&-~I;ODgzp*scmbuJdwLa zCR~&K?@XODj*3T}_}V~69xinT_0~bR;T5rQTXw{qIV1x)zkW8ziM1em#2kJU$f~e| zR)t0#cjCJweXU^Xvjv-Zxh+q;I|4dE7qqwFAZW?L2`9cT(5jG&MW9`X;Q6N`PJFAr zgC-zAJ3aqqiamQU_5b_X|Nioue!lb<T*cpjEVsYodopLDyOHs=s7W&u(;jIWZaxu~ zG;QM2M~cd)Q&Qb#bv|W`_MQ_wvzYJv8Rd1UGa^&G4NsqN-W29G?c^tyX`S1`%>Mjc z_xkK!`+2d~Usu)FUoQq-VCSE7?B{KlxL@J7^R7YeqgRRBHpx{<dT&bBG4()(X}3BT zeA~xzTE?jH+cEum<%S2+ujI^2Rm!;>|FW`Z$M{_+P(A1s+jK0OBdoG*!3y0w8yX*o zZ@zVn<!HG|M6!I-BzEC7r8<r;jSgCU<~*gR9FfoJ_;WXhS%fX9c4gVT;>VRM69b<x z`K<mljip<KbJaW74L2NFuJ)@%JW6Sr^;}42>y!m$-3c>8Aw@N3)34W@VK-_7m&=}0 zjL76~I#$dXrU~us$k=AS@^~xL^vIjz+K$czPv){@+qwjR?jU*1aq5`fkuBF|`v%mN zH}UeRtf*i)==PaIYrgA-6G=_0%ms9=DX#d(a8RfpR!!*p$$FmM!*O|)Z8!K@wlvOZ zzfB;k@x&YtcXNd8>H{BN`loHdi)5D7y_{Y7Wrm>ROF6yXd2P7%o6}3)ZNoWEXvaGJ z{0ys0(Jle|JU1MC%OYCuyW!$u$eQV8r9P`)NppzZZe8%}H^;OS!dL#-90VPP7w56z zj44ZLzH-F7aF(y^EZTpH!PUfcn>#6n%eG#6%_;TXXTzy#&MrBx4Y!_ifRDuMUGOB7 zCHrr~f-id^)6S7iU(;fm9!>#GpjZoroDs+=;c$F<UP!0t6}0BR`rc2rGuAQS?{60G zJI(>0zq5Gva%9~W^2wf=%kk=+$A&xA9IxbkHXKUlkebFB_KVN)aVq4to4b(nZ!WWB z=ajr`d)TDfE}&<*^Xd|g15ut$m#T%=6bm@sOy;;|J#)dI?VM&2Uf?^9!URL!3F~}P z0bh5s?P$=QwXgCOBa-}^X348XywYg8r7oxw47rc)O~_r<VD}<(;Vb(mC$!!dGKult zaH*a{>bTxv@DVr{O%7(sD{0iJ9F&Sz(x@~$7}d?`b>CxyU;BbL%`C5N{Q~~oXOTV= zb8Nbd!;UA9W~;d}nBD4K@QIyM%yQ0xtj>gu#*j@JUs+7+eKuVA%d%BoIpP^>)2`X3 z6;pSzfLG)FU~oKqm?P|$lH+4zj<B5t&>C^kY0zEjE&+SoHyoSK>1F4?;o7q4$x%zU zt4E}ZH*I1USTkK+<3DQ?ub=vg3dVzO*9AiUDIQe%E*SDx_+Zj`!I1vA#)mo^??Tqo z?fludQhDWH&MbMa4QD<=4#lf!`V}s?=7L&Ah5kXWdX<bnItR6+<2y4&?@mkDx?Nc0 zet$x5zu*)dp*5vijxRrRT-%w%1ldgTW^J&0)pvm@|CtZ6DK~Yk-@$eHR)%!bseI*# zl=!Aq@~RPUESg@$D@P<TI-X@a7zAn#`EEEP)wIiBSZDca!#TgA1=oDI#>oQe^nWUN zzfyUrjN{{Ej<BCvju(Hjtd4aHs9`-QWv{NWb5_Dybxtq$bqBXxyV<nh4Ij(vx1It2 zlv$)@TmwF8vq*<>YQ=kP@W_U`?A7^YeMjWfR{S$>QoSa8rRMEc8GF<A1!c1mX6|IM zd?9!8kj#^PpblI5W&`$CZ_uG9pgz!-V6h?t&~Whz9?*I$S<sR(U*YQt>>ojctB>za zR;n-%bNG=Uqrwk9XYQfS69wCTP}lOL6W=D#HD%?tpgHyy(2;oOK}X`HCi1y~wzPd( zJ^iuPS;rJHZp#&*!}dB-LF?c_SIj(e0gdW|u5;Vf0a~~XTHa<2Uo~G+4Z3^oH#fKC z3(yvPk+@RXbwPY>w?SRE@=X((KQe+AxJ}l1qEN-eT^s;9nEwZ8kyfEDx24CubBAQM zyh~POf9Vct(iDRZZ#(rGw4HssEVt!}<q<QQ5B`H!E+Y2e!vG$Gj->_la8p2=592^L zyX7S-vVV;ST?@bnIy<+y)zTxkh<RNQ->uuAN*KHt&mOcGuTZS$f<EZLoAsL~G(W5a z-K**aI$dyTtL2J6h&g~S6G3g7zjHy0@$Pi2Hev6z;I{MtRbyMefwm!n7Pg-&gdd7` zz=`h@sL|96x=H8;C`3V%=08Eb+$3|*e)e6UbBS+HY?Zn4Ju#6_h~2;I!NVwqhlfiq z*p$6m%omg@R&-(B`9m@(poMeqxIn7_#JMeBfF>Kb{5MW$PJEIUv(!=}_oNeSH6G|J z00psU3(8EmEj#9)IV58Oy6Wa6XkK9TQ767jpk<rq4ugUYT>o$K_o=<&m=B8k{Ckg5 zttHNYE*`Xvn9+POMyx0RbSVEs(5VGqWkBaLwY17y$p;P7fFkf2XdPdcF1KX{Xw`$! z#^{3Lq6>4+9+G(i+O+VO8MFgY5M&X!0l@Pd)X;dT13Hj+vjO{5>4+1KTR=zr$|WeW ze+2EMKh_Ou&=j+-yCky(G;_pT|G@m~<9e5W3bCMyzW&GSV#(tlEAPstzgjZ2q^;R= zlZvm^R+Y2or%mzPvc#uLajs|P%F8!9w+Oi{zwEWC#ESRip~T~b5`5{$3+Ma{RJ&v~ z(KGX8zozEguRmk17k~fxxO&~|LfiZEUsvCM|9$@bFLzd_xyStqpS}6;|9{<2L_3#2 zuB5L#xn}ZQ7e<Y0k%LvAIZn-Y+;A|dscX7m&X!3FKHX*Mwy|pbwrp~|4`a*zh*FzU z6|>i`dOx?i)>b#Eep6Ucsc<mJUFeG4%!HY(;0<)wRaWe8N@)Gc!fP(D=8KNw<Fo4y z7KGiLw&2A(mep_l18n>^9P@<qUk^QLTB*F2n*)4Xt)=75QkK`e9H;DkHyk{ZIwS08 zE(f@*2Cc<&4EV~@bo7~U$a+DYjV%kFl|t^&G&pGF51kvnGq+H8+15K@EMLo2BNUy% zC&2WnXVh37)Os!?vbQB+Ybwjp>jG;&3OJq=JQ!6b+ZJ4923a>fJ7KRgC-~4-=+QJg zf6QH}yttcFE8cg*iL9no`&A>}cs9M7y~S{?>Nb@XGgTt8^qX$A3+NQ<INrU?G3~dR z<KJ$Mupgq1heKJkzj8|1J8n2t4;}6A%iB2T@%4Ki0X6>M&g&<Mrmg!N0(N<AIM>RO zx?UyXy%=OAUSU($f3+JLWwwxgWJ@?#)ioq+T+F$uejd{FtGth_XRKquZs!eWYFSF< zl_TE8vwSs=Z_Hf#p{l8^7_yISF-MrDAmmbUVUhiF5_+XMz3w@0xVD&O>3sEw^lQA* z?cCGWFdR}%7oM!d@cOM|z`t6^`7g!bqjLY)Ho5AluBc)@m}J}Zs$M1Hk!8~?{rHos zeRACcs>_>F`4m>nR*!hMndPgvz?u(t!S%$Am2KY3`=+c@&)CzKFx6f_q_!<#>x<qQ zVIM77viD4dti^K-_~p_BJ}P&9!d_LDr?*+8*Ql=eQQg!#Pie)Tm%b9`l%T6Nr^nQu zzB2KK9m`dFrwuL>7d#8+m}b=vzLzb=JD{BRV9|c%74}LI4^w4*RzJ*XntIO%a+ZKk zz!!_AtNVNc{>U_$N<mWElP9LZ?oq2bz3e?UoSV#&T57y(>%D0#Pw%^II2gwwTFfFX z>kaO`&hZWSdYq*@)-~X-S(B=q!it~bO-q%wCs=IVt`Lz1TK@)`TH0Oh2kyQ8Gi1@O znYbWvYQj=^L6d!x5~fCT!g{a!JvJO$o&WU5$+;ZYyo9IN%}AKLnDZ3q!pBIKr}Z8i z4vIpZ_ULS|yW4lc5IG^8PfU(iL3jU&-JZDMmo>*Ui;e}))H$YEwJ!KJljZak&R21M z8}9hBe0{GN@z9@zcfNYW%c<KFEqaAnR__6wybIdfmr?f0<LzUXqt6A`d@OC6)XjNq z*OUccyqZd@SW@K`Bi>s!eOkXm_VTR+>!z-J<r^;;noh|pM?6VsS|!?aR7?<jsgX8x za_Q{uWqnJ`1g_MzCCoe<mK;_3TtH{K(u)1^2f5@`Gb)rIR~y+K)UsFB*fl3%t2JjA zKgYEn?2adA#`2`EUCZh9-fhD<J6IE#f4<cvZJ{auwGPVgoJ&?@KRO?@emfgft?`LH zQ}|@SZMotf=&0AT5zVTn9c|AYl2HMTp`ZP}$$)(&=w9;Q7Glp7ia`s-<{dsH^Q7*O z6W=e;d3dewmT<%^WEI%w#D16^w3zLSxmc0GHQ60r#Q~MF+?E}nz3f-+9d_b-)V|4p zz1M&9gyxi)t(F;c&pPp45(mv$uLkwiL8r`oX8<)6Ko`ZkTzmBF$$}qIpi|6^K}X}w zZnf-?F@klM7yMeevfru$w3q$WeNe3-zHvfx8fe8EqH`&%^JKwqCGO%0)o17K$zlIH zH)2Nfq1~WXO@i371tFk2(m?YQr_3WyIDP?b9r)%AT7?H%EB2=fzQpXwV^Ht1s<BmO zN%CuO?@}3bSM6lb#dAkMch#mO^09%&A0C-U%xDgr+bT1q{@@`Q7to?W(DHf#(DM2# z|4$y0Q8C-kZLZFK7IY)ul^C(23+F)XgvE$f4Y(S62Rg_;{>&j65xrzZ_QRmQ_scv` z1FWUhazziQ0d}n_W~t?h-1AO+kE%Bqu=g@Yo^br+0lLZ02y|&dN+O?Ccf<+DZ6^=O zd;#4S^QQ{54>1w$qeq)TXXoBp(+)bcV4Kbv$CPrhqJX>eTP-`Dg4$mype6AQu%&q3 zpe6B^+{F_jLFXzkgBmm@ppEN$q|MX$xNdKl(EKnDG^igBx+;E7tL2J02Czs{u&RHx zm@msztVkgK&><O<b;*kCCqc{pUnhc&Ea(EwukT<F*JQs58c?~nPUndNXz?Ul`^E{) zk3NF#S11uH3b32rYS|HU$cb+i=tQC$Qes5`^&PF29zCFd1K$mpacl1mui^=_&N}hk z+P%SmeI{t%0O*A0gvatfeE<H?|HYb{4yxzj^Yhj|bN#wgyk`AY%~YMMnmOsrUzM$X z%Y7E98k%Qn-t64smvZW?%FIb&lXiMe-cWK$Wvj)xnTHemis#6-C%@Ztne$}L{_9`w zz|O>b{`>dd`}5DwS1*5JJKHVppRj-K&;Q_qa(0G;@2Z{d`}dOy*8#3{L6bdG5|+N^ zkh<@;;gnF*qHK<^*&9Dhy|4RYV^_Y@2DeEIp5(D)%en;o;%F-Mo99sdH+(s-!k>*d ze+Ii(O&6H5zb&Del|}S7WK3V^ppx|c(;rvg7S!3M?f8|MW17XB1<yQLyhAy&;(a!p zxeD&U<_S9f4Q0{Z1KJx6x=j6;SYi}-H<{T%t>1zowmk`3g*m$Bdu}-9%A&enDPpyA zK$YS_D{anG>y#t%uO;y;{}aX{{l+PvP`;`6nNWzHpiU8JNxpVN!O5%K%lnS3Q&~~R zeNd=e_)3i9hAUA`w~T+!xMsxJw98spXS=!MUrQEk8Se`PatFPh3!CH#t@-5Mw8*yU zs+^+6KbwP6>-WB&3hTWd1@&IvD{AZ&KWJ6iwCT9OnsO<}pYnn^1+0!o?FDj5#2ufu zbBa~=Eyyb2TyM0^5_}2WMs>%t{z5vXCXR1|Ij31dZphgXT&h3cYEm|&_u9@OW#_x$ z(y#ByQN8BM5l>@TT)!(sycK3S+Rc(()4bq`B}?|-o&{fcSzh093@Fn)Sf$+bX?-Qb z;hPDU!4+&w(Z{*L?ryt<L;hJDRB{�bN6vE*z3Cpi^iIKJ=#4-tny|%jpcwWufK5 zkXz`?n?#FPc>5J1US_lOJ{Ml|31k+i4qJTPPj=<s)&)OmS+Z^X0*pQ>EZchR7z?=j z8s4;tU1&|Yg(GMaU4ZI}AHq$&>(o~KNpEr$6Ar2Eft)7rQPFSqjWVW#lXM#jPM-a8 zW#W~8EL-`NBc8c5?MfHY`JN2!zJ5?|YUAb%lM*tCbKh`Enr%kd#kHJa)%K1bYdNp| z&~ZHZnDd%d^MaDO33IifTMy6OvnpLuZtnQgozpDBJK*D2{^TfWRSvEF{RtCw9Iu`i z&?%E~{F*PUQ^@FeHeL|Cu0!si(P_?CcK#dgyq=b5@pZdG#KXPN3ie?f==2x0j2fPU zTB1#ho(rz|=H2wjTyV`t{-#N%Ij&XpE%?&bpAhvr$1lLlb;G@REKluSHypgkBD&vo z!^NGDLvKJAD1ll`;s5PQRm>Dt{Np(&B&~CNOV+;Dgq3qSvfevxIJ1zYw43F0?c@dj zELpVw%vtb|mqq(;?}Cp(O`-FYG<MzN;z{3X;rLOO^V$#4Zg<dNN#%(v6R!obEcI86 zc%IX=X}aK=a$CordI#CQ3y0K9N>H}#$_%YDKB#0Z5b{^xU=q79cq${cY1V!9h*v>P zx2_B66#s^f<J|qdtnbM@g%$FVotbMts5Q0S7GCqkvFRAQ(3($`O^eip*L<^WdNf^N z%|#`Roec?VkFqQc7hdyO$?;}y+njCNbsc~53*>D04;_z)m|<mPHF3eGa!#?GGZwtc z=M>xByWrPe7VkAmD}Kf|ElpZ&u=ZB8u#WNU1@HE;oUZL#@b4pwc1`<&#JLGe?+cjh zo0`C<_1&i{;Wd}Son6f@PJw%t?Vz5eZCmfm=3~=9JD9ma=dplJJBb0E$07sT=5_9n z%oosIEI;ExXN`dl&ifdzbLL=%CAXzUKWKFK%+(#1mMivw8VYaEIPtxbPfp|$Dn^vV zb)X6JAkZ;qzd@&yUQSVDzYAK<f5#p)S}w_59Pq=O+fpL$hsC-}GE?pybK*M&x&rT0 zpjeT>+06#*TfrC3WrEJH2VFQ<$;w@PVb{b~nI)jJ(yW?6lfK|l?3?MJmd5sjpl#$Q z_D^lKlmOlM)+L{q$miA$x=eL?#Ej+>D|MbMh)Pc6OPUTE+kX#Qxjh%Oa$5m(e*LUg znJw3&K?eZMJR5PsvD{tknZoo$MfSg-j`ksOq>Xc+eP&DLH%w^$m<k$K09|TR#SR(; zX=s%>;;wVX@$kGOp{E>+g2kRK*vbq#67L-7^4~N?_M4y``f;H13P771*+3`EJ}T8Y zbMOV|z@4~5pz{hqiw(dl=2o1Oc&K&OF-KUeD8L^ytZ)}JYpnn}XO0E54iB^~4K!>2 z4z&LMos?M7h4=%9WJG>}PSKqO+Jtz-iSL-S?a|$(0XO?vWn4f<;AgRe?y`Rf3eF{< zu;T`8XP<O+WxrJh=z`lz;h@&n?T8bOWuW_nqK`W9ebV1xz}|f0@(wRcjXcmYOwg43 zr#jH_^#-8h>l<4wJLZBmuY;Bhbb=agn?YM3#6WlVd4WzA%!5zTlwUIjRm-=#T4lbJ zCnoZ7{RTA_K#45`w8{Q5=p>$2&=?K)Ks?Zb3ecIyQrZzG9E)_hi!aRjsgvi&cZpN% z*@Df+pb`DEhh)Bhwr&2|sB`9E!aewu#?jBq?S7pCopS%W-fhpmj}?rmH!DBP4i#<x z_@&xQI%?X6E6chhTvSeoxu}5dtq~MFoaJ&vAuQRIbCG}<=OT%Vw?f2}xmebic6m4) zIiVyN8MIMI(6D3b1`S7+WL=X0vBn?!jQ#!ozdVyZ@AtRzb9?8$^ywB~GgrRyWw_1O zv#0mFe(G}R`oDdWtCDe?k+i9LAcNL@j}0FE3tk;&>0Yb6!tVLX@PFX7c(WEf%VY6= z>mFe3zu`<FOX+!)h<7$kzqC2RcFbGwP^)RGoJz)?X$ezbTwc#}eV%FLLMD!7p(U$5 z1skrGscP(-kkG5l;iab*@tUXU(rJ!sk$wT&d^g;i%korS;YI?l<I%|16;l_pvP>;k z1CKVg3+jZatf-SZC<I!|W!VBd!&KJs?NpZ2Yt&X)D@EizleoOfettr$ET_~v=M9$> zS$f3<*A#I%UQ}gSZOYLV@4exeEQ{)U^@!J=O_!?J4o4y`RF_@W_vM?K#(d=)4<een z)P-|O^c<ghbBOJ1TktBErTeaXz~6WlZx_&|+Ifx}Kv#=@SBiM1(6sBgfX;W;reC2= zqWeHsjer^^ai*n9zDPG6`!2NRlYi49eStOGZdUcl-|tK4HE}%2%z5pXhT}_N&TE$K z;Ef5fo&o=^vq;}@4k+~4aIvcC)NH%<hdP@;r?!BbCfogFJ7paL{wlM8uO&Op;(e7f zE8cO#nX@dV@6{sS$+LW&uNaZ|__^WQHqNH0_na<N)Hj9N`2>8afb61kYBH@e0nL=O zc>CQmDP3|^S>rFqL95psr_R+fUXIGoZu*q320jBuUg^dQi>6beO|IwESNw8rI+~}j z;$L->s+`h_pCSi?r1y0^ymGHAVdfQBZP6O+UL>yqJ^~Rm1M9Zo5<iF3cFwS$vW^!S z4`%&W)v&V`s{biJ?_hskZ@BQ3JFN+8YdO8-{WkbbT=3>4cvr^%+9uw0vmG`(5onqp za`Zm**j)K0SF;(7nW4SHI@^RDzm^N>6lyr0^%u}7)p7)_?}%{=_#V~tbQjCl_v#T3 z@3Zi#@}w^f7c{xom@u{62XdmXj4kNW!^bQ~PqSp#%w6zgDR`B~ud=4ncgh;SSq@eS z9^P^)-*dykZ!Dts{We^5WSMI3w&8>)OXz;L4L6RmT#aV&zGDRmxx9asuRLBALazDw z>C<=rZePOA?SfbACnU5oIUW|~4Ev?!__&)R?5C#V#b}PO-@=X`k8@nB=v$DI!_1Su zHkZ@uz2}B=jIe%e|2(Tp){P7Pgmaj!5DxjndC<*VIOL!5L8X47klJYp8-3FfqpogO zj7Z{dnibi!v`=Y8t<6EBbm1#|CnW5Y7rwH;GojTUde(4zzmKeDFQ?S`xxJZdKUp;` z+AX~1n_SZ)ccC>OC7ULt3$7`(b9||G(8^q3%HHmTz3q?zvbI2;<&UyC&AbG`Tj_p6 zr`1=_pJycmy5?s)$FvAX@K(CDN-Jy?BGPmn@7|P7iaNcwZNWc17VSOV3m!gX(XQ=U z@bM+f>MI;wdg>8x#aWKNSBZEGyCm<)td+`Zd7mXjEfp77vsu{jCeOjDcA+W%RSvQ( z7Yg~KcF=9QV8}nqgG$<v6Q%?m`CgfcU!K)`q(-dhLJjBunOmUwVE(j3KBI0m*Mg~B z3VXcR?}E0qKiPNCiSO5R(1<i>cKYF4(1^6Y&Xa^cEZoHbGdBvZ3*uY!8MM-TQmf37 z@1RRh<P#LxPbNpsXujqNI+{g~yZFM+8LcuYpuyrQ&<g6B`JfGScABuQW*@;v&E@Vh z)W7Zc6Lfc3ioRG;K&?G@v4C}3tIQS9u)WGEohJ)^#)2w1QEtnO`-cw6q=3%DzY97t z?apVNCky0nvw%98`3Z{bhi$<-=s@eTGC&jTJ3-YMxG8Y47<6IJH(5~MeNwAsMhR%8 zxt!Ru1z$BlE66|#@@D6Bt)9~S26X+*Jvq>Vyg1MTZV_%vjcK6K=$krE7W@Gn=voB2 zE&d<qP=LE<o%mkWgD$)|52~Oc<K}lX+E$yeuLRY`??KnUeoI$mzYD72@1%n!+Cfw3 z1&rL55@sN$_Ub%Is1XO9i#MZH#^pL_Er8|hhtHlU>;oNTe*<)I!T01uzNkvEq6v0= zpxF{jc)z*&9%%nu*oFzsf4+jMI#F&*4Z9PEWO_iS8x@rlgVy8a9ChMbwR@8R`^Hw# z!L%%(gK5t=@y$vH-B6nfI=XL8tL2F;pdEgGpiVmjXlx%;3vb?5@f5Zt@L<6~@YeQA z=X9PV%t=h-(*pIqGbXlLt^f_KJOXW%JoZ%Qi9)3;ckzWN(2X~%L6asOtuiU;c_;U` zK(30f1Ko48fgiMk?$9Bb9<hxRnm=iR1{O|&>S!j8c}v@~l3y+6OS-;kLh~=sp@om? zK*@ActEES#9B9e}l-$nnfllTz=PsUbdgFxVe;Yw7_ftSiE2e|)2sq@#cMQ~AnR+|o z#KF`5&szO`U4PQV|1GFrUp--Vs_5~LAFMeJa<K?V<*DjUSr+4!v6IE6M@cAqt)t5! zThWUh9F8u^i(0xR1XUxBMmRPpu?TQ>Nvz%aP{~cOBf_vF!)49GWrB`P91$Gvtv{c= zSzq?d_`dq)=X?Ci%F@k$9hmm<#IF8ZWt-#wiJa6H>f8suyDmCCtU5`EbwNeS>To~S zgkD{>3-=yeRc2&qo%DHG-<EIc8hfWC%uQth-Bi2YE8w3|6K|f%iVAD+rEGOQ2@}OR zSLK;aeti6yP>8=UczpdMeEoD7v^>7EH}RFnyRfET*&JaKLMAoy5|;Wto)Pxgl4bQ9 z=K#?8bjO86?0XY>KXZCr<Gi+O-hwY#;Iex6w1mCSIZoy8F>TxI2%4V+otb+l`_+jT z0!^pfA*aC<bBgVrvf$TNaOdKta>Ogard#KQbc~aweOABIX?iLqbj5x~LaQ>T)I6UJ zL9Giu7Bz*gn+e@Yx2x!t2W&+ikK@VSZAZ6UyVbX#q$^==Gsh`8#|`&9S)STEZ#byR zBD&vsL*VQMpVo7VNeYDgH9wdno!9;_<bGR1Co8nG_-yv_z9oF>D`Hh5p6N905*OC_ zp4;?myTF<c+D&czf@{9$HywMO-=DcwNL^!>^uerrC5<|XgHrMe8kK4XqvBOGYE=(f znZp($zV(xRY3mX2Z$683hS;*uLhYvBXTspMY3)KGwe|;-Qky`hqCDb)bm;t=Zt)A~ zd{%M1dxB5mT+w><754oJt>&<*0yMmS&;7y=9?06XqNb}+EJxofL_Ge^qAIT*@!GZN z(rk5$x^z9qn}0d3MLPxTbJ%e3C5x!N`-Y2LO`x^YPqx7-iv6>#gsP{2&ll)8du&VA zJC6-l_OWag7uG43flO-XIR3Rd$aP;eW5=w7rT2wQ;=DJUs^{#=Gh#m+nQP|waWCh! z6R?Aaj{C@F&h-lTWz$rur>apcd$4LX$Ep8q3C->tTI+smzJD6e(WHOI&A5zZ#UAE^ zO5Gf*_Vp%gT+9JJUZ9vGtFAR+r5d<7cn7pOk4s^O>)rO{eNX;52h=Z~Yp_;yn|j6! zrHGenAy=~<W^p~Q7V-8p%hB~}5s#0vsJ>T=$aV<$mDyBkHmCdHl)KXs_Oh}(z3;T) z;6rG<K5Adq*K<y3MT~O96RoCI_DT_N^qOAPD@8ogY?`(HrsU<Qtn8*+y9IS7E3T-P zI0$MP)vF!kidV|0P(A2nuaa>`HR5SMv<2Yq9_*fF#(!DMZgN6zEQgnz#|G$iwaa}1 zz9}_*U8kV&pSg+moyv+I>`lG%R95VX6FMB3;@z~$v*{?G!is--O{#t~K<%bOs^<1~ zr7ES0j&DzMPTSeJ;GHbX>93rx?EN+z@@C;RpMU<Nx3#dzz5ax$<w7E{ZX0eL=jaOO zy!M05@uW28wOzdnzWil*Z3AlQfX+tv*1A%8?+v{fVL#_`nBADZ;E^?lnN{C{Pwt#z zI~x|fy3Q%KyKzBPdqSrvi|K#A4Oc$1Y&E|rdN?wT&++bF&S}-wj(?Lm!Ze`g=e0lg zmDQ~6UGTA#W%Zw)1utq@R{!l?@S~U|drzLZ&+3$>1imQHFz+t`Q14v?)O!aV(sC|Q zk^Sd-(9Se9ZcB}SQ(7%0KpUS=)q@UvJ@41`piZOVL!HHneP!h~mc;_IL2IO|LA}l9 z$P<pnpdD(UHTQ2p`}EEffws}j0&SxMUBmu9Es>9_9&|j<^2iy@K_!gy=E(GHPfg_0 zs@^c6`Gq6sblSb3(`kjci!c26vV=o#9jicG4Ess%WpP&=UxO|}$pdvacY_A|&%+kx z-A~}R@hUa|ugoh?Pvmox1no`Z=Pnk|+%%#26=*j82I$nZf4ZPkZ@57-?Vwu2_J|YT zEoD%Dv+mVYb@7-OuvK?wPF8kI<SW{}X+rZq(DgkJ?}APUP~t8Q_@%;aDG`6<kW7da zsLN>#I?9ig+p@#{#331ze+QlTCf%Bx@5H`VH}ZsIl^u8S1<Qt3nH12Wf$v$wiYEML z0j-NK2x1Yx&cv~9sf@^e%dd<0+(0`CKb;2+j4<mwS@4U6yI7!G=g8tI&A-HS&NwE? zaa(49E^S{j4b(k00?nVWaa+C!1Fbp-Rnhgz+{FPq=C;c4yiZT$^XdofOXSu$<CwbH z^-rI)Lq(yi%X7azmR8FZOCwJ>)^LJOw4VpNtQNG+{R<Z;@|n3UPux3oNahP@f#9DB zpq3bDnZ@jPt=m^xN}NqpWPe%<8V&*NxVN1K>cAg!;(G;J=-&Bj3CI4&YyvUfmKkyO zUl;KOt&Tk5_}dN?u+u<WBa##OilpE7x@)rk1vL&qCn@sqCnoZFxo@7({1H^gKaCM9 z64*PX)pAAbX(zr%pfvz){h%`p5)=8N&Vz=RdTKvBIpdgq4U{67IP}*wzc~cD@ZNex ztIU&r(Cmr&<_XP@cKwezB)?Op2XuYGC(uDaDXQF-EAD}A)digm^ff(VM)P#_x&MCH zv#w@xVt@ixC|$w$_QwC!Pl`p3f9(H!=-jrYo|k@1o0xKCo7z(A?6q@aFR5gD-M**d zJBjV2N7kid9!08>lhjlf_o{hLKH^a{)#T?S?UNoeRC-mePdD-0R92I>_t{VW9}kP` zcWnRtqVoRT>T^F|ifvynGHJ1V>r~nQb)I@QdY)bXBfUL~zT8$}Xf_tNpDM;Q<#ZfN zLdi~XrV##0!G@1(<2VBLU+-q{k^An{aO`t;QpuwvIWNV(pP3D$b#1vaYG1fAJiA|^ z=<wWJpJ~GFFw1TCzL<+HsNN;csPX2LQ^UVHeWn1b7p)A3mx(j3koo4+@b&l}4u`iL z;!HVvp1CrF-T&^?@G?A(Wx>yGai$!({kP4;8_EuHGftY@tz>)0L(!J~(5-z--5Gyo z@8xiKpQg{EaqqD!16zNUqQg&1eI|pdtK5vMlJ%Jk{$1i`d}UuP*x(tHv|40A;VN;) z8L<yq8P@KNV@Zhn?$mJ3H;zT&`ZuSBcgk@r3qGt7XZjK!Df056R_ofstW)kqSBV(> zIn>P%QuoQJ;cH6&S|f(7!FvP}9@pqIZP<03n{nCo3c-eFy>T2HDz}R>X4t=JWjJXZ z$C8lyqLtxiImmUz`Yam%Ubr%_)mJMz{CwhFyS?FJWgJJq-Mt!@4)=;|;}bX7SM@T` zVedm%2DS1^MTf_V`b-AZx40Rj^s5CMep<(IT=;pWo8d{_2d9R2@o_8*Cfv_nEwZ3~ zoj9Y$+6u)3AGGwD0(KtcX1w<PixR_D_PqiLi=VqPgvEbyYIu3OTgf(N>4wrB4bPT) z_cNL8|E|RF)_$*mg5^u2=v0Pl=RP_${5cxOvLO7kQ^TXLaV!Z1?cz*R<Uc4eEMC4x zV8O3N;*1jepSCi5_20vB;EA<9OU9YK90zia+ufeSuy*rajst&`^jTiS?1Xt{!tT76 zfezox^qB&7+~;Qe<zFS(aOnUyV_SZeV8f~7aU2`|trcfn@%LdXgY@my+fFg8nfJ7n z;qoSN#*Da!tqil<_i#A;Hq&SEc=uU}A=|$~(cvGfKGTKj!`zHr^15AL3Z@>q_K=C~ z@=|xkr}y`A9LTs`7rm6>s_`C<0~OQ7nXd3x2sWH_)Mv@K^T?GUt$nY+f~#MZ7(Oe< zaa^dp+s*LA4it*lL2<oWoau|dMZ#=uhnFV$EE4a&C^1Z3CC()C+dlD|=4=PE#vG=b zESoq}giokV@KwMn@c%!{KgK^j5_}bmZ*Trz@9~`R_{ZDl7gne2>v^+kqd~k_Sk96c z&Zn$`<|(PVMs#ghkmz{&(B(}#v}YZ6vkuBy5`O0Fx*wZjHNRzaDLvH;dgfhqbo!2N z{bK2B7e9#fUfrfv`=8VM-Or~__I&#Ha`NP2zoIj9ESIXr%ckD9U(^2la{rVmGuE%I z^E??oU3F7({LlO^_8NDbn*Ln*sdMN(XIHV<29NgwQ^fZjJCvh#LNETzA=jpw$^=0> z6^*=qvfU+33uNvmB<cyJ@av^NTqpd*f8SB1JE|ISHM3oRUCV0t^wqTC(3EwoC+=0V z2ka<&%22skE}&(;fQYwt#FFJ4r`~Es&N#?&GjDdpj*Bcm_eDpxc(NQl7^-vWG|SVA z?>8B6b8MPizNx^Q^V8<CjU4p~8hRD;U4IEXR=$iAOS5(?J6k7~C-1oLle5^v<fcd8 zjwsDl)p&1x=unLE3Ax`hT6g<Su>Wo@w$OqxU{4uWz>@cK*fj3{V+ojX?JC2~s&vNI z*FF>Ss~TN5upGT+s&mPh<>|TU5i<l?Zr%%z+`-B6vvI4=p^~OY9j|pRt!8=3Ie(); zqk{EgG4Ab?6zt!db$@VgO8U{{`itAK@@cJDnz>`yVP>&+29Eo@&dTo-JGHOwQ@cM$ z*Y4MwJ*IP<YUkxHo~#i4H&^T(qvOA#WU+;b!VQnkE^AP#T`%eI^I$n+>&pnP3AtC8 z0(Qu;{G7HnqGi6Ii1oI#iSv{-zGs|1)T4Uh+xr8Dd{s~IzdoUKMp2`$c8+V5N5H&W zQ(GhbCd|Fv+p4X8LcglX^@dMC%)M^cE|#aC?&+LyY<e?odBl#%EI(uIQw8;eMEJj@ zPh2NF#ozAmp*zYau33nkv*Dhw-;gDs<<dfiqt)jbyRPI(INY@1*YGiK%K03q6BFN5 z^V?A8P(oAEcJYWM7g?U}dmK6AB+E@j;m944EI$n=N3^iA96hPO$-|rT)aGRy4YD~l zrCyHQ;nnnK)AWdzw=73ZH%Bh1WqEqaQs<0e)0@8Vh#kc&KmUG?Y{_Ohn#>)s<TlIG z;`JL1zKSuWWQ#L%UH?+r@MkWAhSO_RhND&9jIOVI0`h*TabKRTa665a+kAq;{Z!R% zgQf*Hs!h918W-3U=yDr3D_C!u(>mLI!u%ccTsc^dN{Z@S@@o3D$4e}Y*|F@Tn%KH7 zh5cFD-5X{v*s-w7)ygMeUDfo~m1-y6-8^|HR_%oR&7(?pR5Zf&pI5R~(1^cx`jE*l z28HTu`vnEM{0vXL`Ix#cOk8l|g|gU6cE_Dh1I3ovJ8rvdD3&MTxbHcqSfY;O#{ZdO znOcsO5vJV6(-o}W>2PoFP_Tc?)V*QO0*mc!u3G9E`n!%C%27PAZpW!ZZ<S80U!5w* zCnT~xCVirx(3JApV~4H?r10NOdYC8h#Q$!Z;5$K)?0pFn&%`!->iykt$mG9}LiK;f z1qE-t<$ga)-&oVk6ws2#a`ajMCXZUqQ*Wg=7&vomx+}e@AerOS-&q?tCUbT<&)Vp* znd4NlSL6(%rZ-c>bnX~6{dp6nb4aQwDKcAZ<)j6B*64C?ZdTa6jhTD<e1-iRmAeai z7VLOjDE3muv9dl~Y}qu0>OIoj<?Raf|2V}K#_=~K<?1_3oMp!uu<x>TzznlmhD~38 zGjUySRk;5qQ*5ER<HoZLVwnn#J5M`^rKvb>JHJ`yj!V;@1q*c!@iaX;k*IUYrs>m> z=aDm1S#Hi;9I+#m<>$}jh!$R!qpJEFJVIHXhCYs*Vasx}t5fHWVAG#%o;psZj)mvD zbuOhgeVUl5b4Iu6&CHiNF(-K%{!E?D;F|Hp$l>F)9EXWf|F{;!7>NhW@MF1oZ2hJJ zTh32w>&_~DQP(KbN}kvzIHg)ICGnVG3j3aOhkTV!@W&rgI-{!57kx@eS4qRadU9)| z<Akr;5j#p*eje41Y|&*oD!MvyNi56L((K3?&MY_AKGnIS+4QISb!3ZF)1!r4VlUYo ze}<@X7tdGt{oPk5rui(x&-y%8k%d3!Gj@Gn&ET4~pLfBX1nUI`cR4rp|DD?U+I_<N z4|3fGEemecns=MDEx7ZZSuBmqvFrt-Se}{Vzvt|cEk;d`ru0WFNo9F@(>-#=R+gLh zWs)D72|c-QaZu@vg2p=Avr2E(HS*V_CH4uYl+R0jcux2Ue@ud47H8M-?1&}SEKfgA zkC^dmI^(AKajYWiZrU?^+9?*$Vm6;i<n?_9k(JzzJ8$lfm|@3qQ@-ZhAzq~u<$Dh+ z^{8lk+j&~aS4D&W*QC~&o)ct4FFl$)|7h!M{|WzH4=U*>YMiT?;u_@|Fz<dt>q><a z|F$V|Z|_z3UnVS;$nUsukz{vC%Yr>?r?gr+Pq=%#sdcy01p8ZEt~*=<Y%b4o)yiZJ z*mp@RV8*ex44dYcGl;Ca%Fp<zzl2F-p|In|!gR5f>W(|F_D9ZOWVtC{n*Oj&_(}EG z1i@p1BJ4INm3);o_}?EsRMGV2$3C4qNlkzB=cfsV3H<xK`Om|>aphNZ1GYQdWh&){ PGXAG?|B+6QeC`DR16<bu diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb index 2a6e0c5c82e59082ae413f098577f10df4ac2221..f17fb2dfa33c0317a5b8bf49cd8cf2f51e64bf31 100644 GIT binary patch literal 1988 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJ8wP{7f(=WUS4Kix)sdR#>U3Tj(x?*^_)?^w75t=BR@A) zKQ*toB*{?U*VR=Y;$HnSaF9U4Kpzr5`cPg{W}bd&UU^YsK|yMYeokJ6nTcM$eno0d zVv>TcZf0I`k%F#nN@`MRx<W~1L1uDdj)JakQEE<Ng@UebZi#}0g07E3MP^QXN@`kS zX--K_W)euGI5oMnC^fSH#I7jFRnXNf&PyyP&d4uOC`pP>%Pb1b%PfgcO3g?tL({E? zkXO*n$xl}RIVHa+u_RRw#DhekiHRk07&&o?hZbk%r7NfwD-`D>mZd6`WE7<)ra+R2 zvAH>NXfbm!T0l*)G)6T=Cb%TAs03tCaY<rHW-{FF%=|oXYBM%5LNW?!im3&%Db8H7 z&iT0oIjJS7DGE4@F|t5Tv=C#AEzOXP@#RuSI0llGGxPHlQW8rN71DC@%M}vy5_2kx zGofBIF~s67lw|J5rGexwf+iZ7TVj}KY-x(@#2_x!kj&gvP~y+eDJ=mxFD<`F0h$>> z+)Q{jH8wFpGR}yVi`lTC7~*jgGXrE(y}5Wn?gV8tP<%py-2&M$Mq*qd1&PVoiRq~d z#U&|v#U+V(DTzfX5aUb@P#oz=*f<d`A!Or9QY%U_^RXHhMA$G{E@_xynW?F%dc`Fv z@j3bFnaS~nhGr&MP4y>isuY(5im7>}xv52&$??S{*nK^Tk+7MuT+xX|$r+g?smUd! zMX3s4PJDhrYEfcIW?5=!US^3xewspRUP)$2C3+@J&d)0;%FhAiVuffZ2c!d548&sf z=~N<|mB1ATb_uexh;<d*@ep^xxrANTLWIlwxqLBPmI*4$K*r+^DrjM+5S^A;1X77V zh$;w2oHLgr7I#33O^^k+oq|w<HG0CiWSvSgb4rl2Fud3XSCLZ8M&=gC8Ci%+0AeU8 f#3AK5#Astn6XaaY$HnEEm!c4qnw(#hBESd$K*m^n literal 4806 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJ8wP{7f(=WUS4Kix)sdR#>U3Tj(x?*^_)?^w75t=BR@A) zKQ*toB*{?U*VR=Y;$HnSaF9U4Kpzr5`cPg{W}bd&UU^YsK|yMYeokJ6nTcM$eno0d zVv>TcZf0I`k%F#nN@`MRx<W~1L1uDdj)JakQEE<Ng@UebZi#}0g07E3MP^QXN@`kS zX--K_W)euGI5oMnC^fSH#I7jFRnXNf&PyyP&d4uOC`pP>%Pb1b%PfgcO3g?tL({E? zkXO*n$xl}RIVHa+u_RRw#DhekiHRk07&&o?hZbk%r7NfwD-`D>mZd6`WE7<)ra+R2 zvAH>NXfbm!T0l*)G)6T=Cb%TAs03tCaY<rHW-{FF%=|oXYBM%5LNW?!im3&%DW4g+ ztbOxSN^?>bY*dTw6!P**6w>lb^HLPbGct2h6$*;-lT(YquFZug%gie-0i_SHdI1h8 z7DIDm6D{tF;>_H#)S}F^%w!{0E@pQ>7Z_E<l?RULV*L^$lf=@J(j0x4{N&Qy)Vz{n z{b0u+1zm-ZqQuOSRFH^5VrfcdzJ5u8ZdzteNl_|-rJGt(nv$8ASE36yrno3sKO-ea zKffTgD6u57EEN<@dSwEPM(kY7M#csLOcsm|j8W`{2D(NDW}wjHsNjHPqjn}5_?wN3 z#VORy-AIB<%ribYCqFqm-qR`6EgmEo4+=M<0SgNgJYms9qp$$^-AI^A5G5=IHW<wD z1j7Ux1%tm+sGE@@mwb$WJSa`47Nw>HC*~I9q{f3}q77ofNkd_v(uT2tC7wX(r%|Bz zgoYR!DR9a8$0JG@m;5|Pn1BN$J~Q5Mz{11~U)j+>i!kBjVs{A+FtKnoG~(mp33iT8 zOi2k!EiTO|8Mr{ems=WX5eRHtEIy$jMxb^PatI7$QZO+dh@#UcG{gi{bfSlX!9XPj z6BB$nqM3Gy!OTd6O9&$v1~42f@RXJ`2?usAR^QMFHw$d(!FZt3gSjETY(dL#Fvk)O zMwSB=4i*MRc+x`;jq-(?i?ged43|_)a1eT%q9`>z+8}mdS`!>xEQThAhIj&GGA#mx zgNx1CF(@d~1l-EP9wdf_v7lOH0K>)D6i>Kx(jr_SwW+C*B$qfSp@6!WjwvZcsl~<7 z#-PwJ8o1CfwZvD9exXsPG|br<)F}l~1JM!V;9@Z`w8Yn_YGvf|494ioE99psl;jsE z<fN9R<|u@DxcDffq!wqU=P8utWtM<O5i;`>ax#;O5{oK9ytKs3oYWL90S+l<BLh>U y5db3|E-uJ;1xTY28y8D?eo;1LT)^1KFoU50-aqE!;&RPPQ3y&+&M!(4U<3dbN8OeH diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt deleted file mode 100644 index f3cebc9..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt +++ /dev/null @@ -1 +0,0 @@ ---incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "audioProc_behav" "xil_defaultlib.audioProc" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt deleted file mode 100644 index fdbc612..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt +++ /dev/null @@ -1 +0,0 @@ -Breakpoint File Version 1.0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o deleted file mode 100644 index dc2c534bfbb5732dacaca2b72261cff671fabbd2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 809360 zcmb<-^>JfjWMqH=Mg}_u1P><4z%U_<2hMQ-vm6+B7#JBm8sA7TGB9{_?okl{(_2(H z!1NRqkU1XREnxbXLj((q-R%+3*`xA<fq|hLLN&i+<e$=_@|}T!p=%15YPrNe^-!me zib+R^iZOqyG9v@SYX+EpkLCjj9-S>JFBllWVi39qY>!8Gpod4NfJe7yfJdhX2S^J@ zy4#?03)n`G0N7p42Vy{GJOH@@AqC=g8gxShI=86YL9(K|fTeSb$^?i85Y@RwWe$ow zPv;hu9*8`M>fE9-1;lFs8`Iqb=JBsT*gXZTkAM9|%?l3v^Nw}8sOUI!l&EO)_cbtq zJc{Dg2$(ZLP6xTKi=(4Q<v#;RVOI;7;n8>m6bFYvDxjWo0O<nx93%#z89+iH13*Gh zWgZ~03s6r$#e6_wCs4&gKw<}=Vj%V1;GhMm>K4$v=)gb!Sf`JQ3dk4A{QWWT=mKf! z=4gKTpMMG{I%PUSRHXS^THx{!qdI%QA%yO(9Z>tA?gG1E1FBd6$XzQ?#lY@g02Kq7 z(QN@T86?te0Ahj6=oaXPSPBXoP&$OgG)RLsM`sT>in<{bOcVclki-m7oc5@IsDlp~ zIw8>tjos#hAOTR~0O<iateXR(2$UeYd%(#DqNoGvLQsr!_o#rlohKbTPxvq%aOvW3 z>F7~uXJBA(>4MVGIDg6h@Be?0*|3mhgoLa}M~I4Wi3B9&fTIQERFJ1$E`{nq3G0{r zP*GI1l~7S|R%V@`Zw^j0Ae|sPp%DR2ksy5_F%ZqajidP`$m1YSK>Y&oH7Jm>Az{VY z*`v|`^)u8Ua3TeH1Y{6|2HOXU1CS6@891YYA`M9loNz(mizEh4AfRYQ5)1I?bWy25 z67T^D6o3Rkx<N_9MWq0eE<jql1wc|D%epzhNdv(Ju|RsXIXZn*a=Lv~azG*=O`vq) zqmt3>qmlvgwvS3mw~tB+D4Km#5<I$HR4O2;z(*yf+eak<A`t=74f1KXk4gku%!5io z{%t+rq7W3aJ}M#IJ}My)!vne@0m<y6642?R;?wP;;scTK==M?ZfSB$AG946e-99QV zXr_bG6-XKyyb+)fv4ABskaI0uI>6Z*ssLQrf|4mn6Nv8iU_mXwq1go?&(jG>>d+Jq z%_JassF~n61-TC-2BN!R=@ptUyCH=nsBD=6DqDC!WeYcd%Y$G4|G#GFhLowyE#NY> z6T*WEdUW@wfK2RUeA)Qx|NjZ7z@xK9<%dUSiOL6$&JdLsAn(37=HSEtV*K!6eF#qS zE-E)b{1+b02OL15a{<J=08QpTDrdTVRL($x{6w~o$_dU+AC)5@B_IusAPqY}yd7{2 zTe^Kzwm>v&==M=L!tA56q0>iYO}CHA8i??UZXcBukf>V%(tD%ZM`cOp$<9+Aj29fb z3_zY=3=#*~-~_T^hC^403Rq}{Lx%y16xi)Ipt_#FX6SNJd43oc3IzObWB|(YBiH}U zBh&wmu=Ib0RR4GTsGNW#;Ul1w4N1ZWK<N>bgb$!5;r$?SP!a}bk`16F3>Mmel!U=j zxRbC)<C`7e_T?Ux4PbhU$_g+&MP&ga1H<tal>lh-6;!f-gt|QvI(t-77{Sd=5cU6L zXOBt(gb$)xPx8+^)DfbR%-{Km1=OrM-l76(!hl-2-4JGHi%JMc!yYgNt{6I7RD3`} z5DUBafO(8RIw5?I<Q}k6kIo(y2ax-^_kd}zR#2nG0xZ~~0;W4#R1CoUJz%<f3Rth< zH;C%)9<bNC3lloGsOW&~gi!y_b#777fbc<7>o-UKnFm0HLxf9*i%K|uXB5mkD$p?L zZcza-J6lv_!1h5+c1-AOQ2{j}yCKy76P+z8A|R6?RA-Ay10--kO*c@u9B)zSVPs(V z|NsC0Zit%y2l!_m>U2>F=m=5q=kFAQS=|A(8WbHMLqNqdNDLG*GeC~$Y*Cp2qLZPH z>TH4ZAiDQ}X-F7!Lb?$kL%=l%G)z1?9Sc0V_duKeTfm{&Jq7GJh(4IQ?kNyUy0@r6 zEZqohi*yE7fZPL0CdV2<trrFu*Q4<usL2L$B{+(p2Dx-QCb)FAfRmF;H-vWle*z=~ zN=hIhFx}arQUD3D5~%-=x2V)WLky<M@&5rw{+S0sG3MaX>7rue(&3|G&ENSI+zU9~ zqEZ3X3-UJ16(D0hIype@1WChsIUp~1u!346pwtCPO|Vo2iDi%OzzT5Js1xFKNbd-w z65Kli<qVKL5QD%S8)%?+ZvlH3Bnb+8aQ_ITx^oLS7lFjVrhxlL;8e5)oS2}g6(JAm zAAwWV7I5N%rQQ~>G2K1jv<&GVfl@hg|HuSX0UGo7eS`NLAkG9u8OXmNcY*8y(On!Z zC;6uy?C?=B;&0_=2W4nTvly(U`9J}>29IuVtMdc2aSBxiZk&P=5y)H!jV~O*x>zA0 z+oA$$C4uyT=x&39&lozlfQyuF2n7*{fTnsd-Pr;zA3%v2R9=8A1iR`6*j0N}AoLa$ zkSjsy2c*fR^MFU^A&AoM9*C089+eD`xt%U58r^%qg&|l$_a5Y2(E?G_cm!0I9yUCn z4T*#71OGc)R6s^|L#XBhjHm*gkn-^5lVAV;gSCMQ9*8ytNO=LGAlg8z?iLjg)d?wg zUe^4EY6B&M>;wNH3PHue%R4YBQ2v2Sfs**kzkeWo9&i{p9{_jyK*I;15Qfm;paKOA zNC>Qq6_$cQzDI~c@-!lXAZkI~6i}*w1ab?wxb<KKb>2aupvEM^1aRlBvqc5e@d1f~ zqZr)71c^X;5+HLRJqeKQNIeM%7p_e}^I~U@$`MG15=4PyAe#BtpX%&^q!|d+*`osL zB6UNk&K{LL;FQq<ZtH?u&*07kM7*;{WeZdq6e`^iu4Cs3w=NzAP~UPhv~LNb9UERU zIr2|A1S);hT{=Qk)c9LGz!|NpMFpflhmC*A!44M{)eavO75)}b=6uZnlVgU+DR=m& zDDk(rgNrVh96Ll#vBO72fxkr?EQgdAS+Ql`V+@SQN?;`#C})F02Sh{qAD|+r8&a`% z_JHd}ggmJK0WOJpASDr`YyrtbA{>@DAgQMXJTwFjLr~)DhPDo-fDMKBKx9B=kTicw z-EU|Q1f-U^1zc)%Lh?^HgaVb#Ad@>8U&{YRNxI;&2h@oKIR!$4lP=ho-LQlQa~(KY z!bF=7RDcpAIQhZESX)4m+}Q#iJpdUA@g1xb0@E<H%?D~g6%DvA3^D}L^{s#;SWrYj z5-iA0qy!7$f{X^~0e5{%x_wkiKq4SbpssHZq{M(wkhWk!w~tBzq%8>UC4*ej4IT-B z^pkTSaw#BVKz;}HmtnosV+>3$fBnS_Hc-ri)I(@+uz>;@Bn0s`EZ9IP9xe(EHc-Zc zi@}2ply?!LAV-3N7?c6wqTpbIWHOK#$Q)3}K|~;d2Qmc`cp#gR0uRE4X#<ZcAthRn zI7llb2tf_bZb(iC1tBEec0(voVD*5jOpqRs^B`dfFFHV_DMS&dhyW=9g$%5yfQ~91 zV_<%{2)QI>1s4&Wkkk*;1WLQ$;KbTm02>P$O9lA@qy?;$6*OJ~3OTTc5v>If7h7uq zYzm&%0z{tt)`AEqrwZ4xzh-#J@&hFyWq@1>ibJ^Dq3r=sM1aH~G&pgA!Wkrl>P3{w z0GhZ!1wTS1EKfqRH!M%X5*H}7!_`6)7buf~#6adi5*J7WlDI&oKoS?oW~9Ug;li|m z6Bnok>4qc<kT^&yj>H8@CeY*oNjI>{1mrwe;zFuVAd0~0r5iHv?$QMwdj|y)v~~gI z-;+L!CtNzfGLT>cYk{^SL2d$NUdoGa<n#tI6_n5j72mJ{q?dn*DZW7_b~3)~`-?t+ zgnj(PkrC9h{o%oScL?;KL2(9}uK}eXkIpTSmQ3dqaPtCE^@5TIXza`I+b&QK#G~^# zD9<qHFhc5c-VPrX9{v_k`g+Z9%tb{PG{mF}ljZL4QQ<0)1<UAxWb`^*RP@2aQ2HK? zM?kh8HvHz%>!M-+s*u0~u3!theN+TIIvGI`3g&|c-yk-7^oFPyxO6dq`nBK=AK2~P z5VwQf*5RVU-%z8%&%{6FfC^)Wiwa+tiwa+di;CXM%K!iWgRH9nS*HLh;K0Urho~rc zbaEm1o&g@+kWlC@is<~-`N@OvqC=OZL&qK!P*wmn&5^BzHN87rROB0KROFdqHp_Ln zsK|A=sOY|Y`VT$%Oh8LNpzwB40S$?O2O7cS9w43z$gSXE#~Yxj78jKZpm`Sl`7SEw zL8B(;9a_FQ@J|H|jP3yycDqZJ5GH~3f=#;74H~O{@|vOBMdit1P!a{14Ymn9L~{Tt ze-Ab~IDta<&7r;f8sC7r3!wB19!==n0`4VybWVYFxNSg57St~4hV;Q9<3BE--bs%N zh=SCwpk5a8piE~AWb~j#1!Mp-gx3k-c_dGP^v*h`fCp$mNdeU51*bevl>+JofzmCQ z?u7JtK#D+ppKizqQ0EE5Z?Gf-N@t*ce76^9XbLnG)7=B^o<W=jYPrIccyw1qfIJHt z)$-^LN&tBj)IkHugT*?hfV<BiK3KeS3Z!qBJO$kJ1*r$m=5)g*XkAns;O+)b)po-M zTp&T{(dm={ayvv0I>H2Lh;*Jn_kl+@bP%Akr~t%<^gWBfSq<DN0(sK|-rxd<PdB8^ z49a+5+3vsy@VJC$0&Fk?qzpVL0g5hAxPsNed)MGl-2#qFkR*r;Zf1Z~cWwa(BuE@= z3b>i^2h_vbq5`5ix2Ujy`o~a5bQge{8Q=y7e9{+OM?nUox_iJwOOQbckUngK5>nv4 z639ldGn)^9TNxl%fy5v*xJ3a8QAnx;6|bO_1BwceG6>xRPQ)JFfd!BdsepwD)MRiM z0MbT*^kHFx3*gke1)NABgA3r{qGU)a2H68juAo>2(IC6P4g!_MAVCNXnYjlIE`T(< zU>{rnbqqme6KHS&lu^KDb?*VEH830G8L*<pBcO~5o|FdXRMuzUx)GMx;K2ay&V%Y( zkWL5<b{xnwkPyV{FB(9;fO#Dvb^tsR32{8!xD=2&NI4A`1J7WAT2~13Ak+Vlil7BN zbOLF4fkYrJFOV6KmKVrYq?Q+i3o;61HK<&M_Qtz=P&?%UkWM@}ZlHxg54bAnhEPbo zbdck~Ef`SK2G*eJQCR~m$3S7x4dEhn;#V^;Fo32BL3C%2$`Vj2hftk8;KB?^65O!s zQ2|kg|Mzh)Fff3tc96lK>2FYsLuc`zlir;@;3;m9H$myP8^T4heL8dq0YtmDoOI-$ zdJsH<z~B0s88PSviFy}9(6mwucq|BHA~gQMQ4ca5BnF`|qaL2^LE#Qk2-Qsg$TtMf zyn~zps*@q92Hfxl6+GRL$_3;=P|ATcypf_FQnZ7Lpza=UNd#$AgNh!I9!LU!C;~Oc zVM}Ac3ko334@kosmUf`MI_O#fP!fQ}HfY8eGT;MENDxy&Q4CYk*`oqYXdnuEa)9Up zb*iB$0^(p$*97EXP`L(86rh$r(z*puf&i(2#uK<S1dSDd#2_>Ss00CzM1a*mW7tIn zJTDIx0(Z+?R5Cz%paS6Giv%PAa3uqtMu(~>0T~+rYUX5sGP8?H04OKBs3d^0F=(0} zHl+_{gVlkf50uNGxeFwi0Es(LKd!q+1w=uD0x5q%f&!G8KpG+-JtojxJS2yKq<vI8 zKy&JlzyK`)@KN!A2NYy}9qep)-3SU$kncb=q>F@<tU7z()fPk^G{S|Hm^vX96-YnW z)MiL|3CUBCA{Sh|Ahk8Rr+|%wk8de}8j|w-Eyh2g9VC!i<`!@T2%RwMZh=;=J>aRC zPR5s4e*FKxfpG;Rf2#p_bPwSG$Z!?Nu^=%J4GASkK?rRWK>`+(GQ0PHODu4C)Y$@A zeFJHHF++GrO)-#qP`d_Hmw~D;5DnG{D*BPV4B|s-lszgSx*Jj~cAnS=Y9nH_WttCV zfQ$th1!_(~Xd#dgD9?k0AYpr`0we}1{6S(Mx|<8)6Hw-WW(tS^DD{Ax2r&Rs!$K2P z=P6Kf6#&`705S(^HrNTE-~x$3Xs{C?{(?5qnh!aEoB;9@NEw9Q0&P6h6?k-7d32Xm zcytzVfTW=&gIx`>4kQMmVXnp~rN9B4JOvyhAbUUo4Dtn-?sQRs=A%wX8w4bS=0A`D zsEtLig3c)_;HE(*i^|JA-ytJ2kP6~Gv~2(>ANGI;H1~y~)KV`OfI8zIqwRn2a4RSd zN8A74ktR?k8EyYFFmw;{_P;N*5eO;C;Ei)|BM?%8wSY@$=zJ`wgaTC^kkSiMpMgse zXusa0d9?jMRNDWmp-~UYs)#s+%;dt71B4!J|3f;FqwRlC>u9w75A9Ztw*N=l|DfG2 z!?pb%2CX27Y5#+V#|iE?Dgd_)L1Vcd&}mxKHU_N2jImz`qzpXx{02Js1~sDu)SCn~ zK)Sc6z@$K2aMKecfV5u-YzBDf88jBuy#>5Tr*jK<oDo$2LF7S0&u2h`c3V_HROc3z zD<B?pHG2=32N`+>^)Zo$o*|Q&b>W~%A4m_~13Y2^>ySa{V+`QQG^qQ*YfnH91~~vk z6TguNG$;zv1);%JG;Bx;YB)G>Kx0WDWl%8^_Gq-g#~Pt}!JBwMOHM%MfhHP313E3> z?K7Y;ofhywCTLiv1u~EY?U8oFxNvQdC03wiU)??6%~>EB(7;VMbaWP!;-Gt0I(xvQ zJ+S^cWSa#@J-AyBno6sI?!D;rfov)Pg+jNFN(Fpa)JLTpqyg#+@Yae9&=64uxKZl@ z+78kJ9#QdVJ^&uB0*_xol_!9zyZ|KqIUoTKB!LW&fCG|13P`{LWJ3Tbgj`fCK*8gp z;sFX97nKP3ur-(sQ3nbV9~F~s9~BdjfCnTbd{hj&eN+rOeN=S1eN=QheN;3+vJT)W zLX~bG6^%|G6$Ow`Lbs2K0+NsAUAlZ!%3ZobRODSXF7i)xQIP|2<Xl@WIr2{hFDv5$ zEi2>XZ#@s*w)PrzGau%p26%r7C`)zsfU{aBba7)hBwcrVfJVQ-IjaYfvmki|DO|wm z5t3Y6z!Tfx(J#<`D8&8}P^N;9ezAZ?znJ-3*8G8veu307w}A5?=Kd0p$(@WZ1EI@l zpz&7#iVOiz5D6Ru71r>W#+~(EHvB=IA|`r2<!JfFKx`m`7b}8xOyb%o35rfo;(^ek z<r~9j`8Ha<5h&k?Yg%9{-#}}+K}#CI13R5tAj=h?%NUMB<_JMsOh6eDw8R$HvH=f1 zcej8SV03f9HtmG-cTR(CH32P52I=f>0k7OW_<$L@?!0>sc-sqTM2P`0l>r)zfG&#% z@7n?KLHl+<J7hrnK9HA9fVF}efRIs&7V!KBhz~CMp*v8zr+}?F_=@=xzW^)9C7stG z8et2Ap^Y@yq#uax7HGW$+P4E*+7!m$$pZ7t7m$8Pa}dOYcm`A_g4Rty!sTEA$eEy~ z-0>Fh)IUfINE#&64O+Pmnn60=0@<1bZq4?9w<dHmqV3i>37)Y%-U1$;hnNB}5#&Yi zFmpFV4#Wp9Gf8d%*UTV3s2&B)0f1<TcRL}=V?i+ps-;1aU>A3~SAf_MG5BN%$Wy43 z1z^S9Tfn;)JUTal*G_hCfsA{1ZUVK~K*Qf)RnR#bNaBF3&iTYIzyy>3#4p$cX+}bp z$sB6}wdEK=6*agS1vUd?+YDF~8dtCg2ib5O6g1#|1xOS!2@DeN^Z*}j0Ae0@-~rDq zK^N6@bNoLD+85=cV$%@<+S|jx2AUfOg$zVBXeJ(PB8Uraq=32tAbGGO;M*8L>8!g4 z+)n^Wg1DU=P=U@K$QnLa<^VUJpp(cT3cAMtqz`NhxcLNby295XfNH*O$fgG9x=={R z1GJ<Rx#I!bh_fBO<^}9bXb%hIDv&J@8eETpk~l~RstmlV29!KOVh|d#+TNpkA~>2s zXE$_$V;FjT16U(`rvN1BK#~`1?F%T|cSE+s9el<N-Bsj~+yY*i4@#g|Gcu&JvIpFK z0IggCITW<^1+;1iZS4zKDRfB%DCL0GzJLk~aANP?1I`FwHpo+;sV|SlBcMVKy!Hhg z;-LNUkO@JM@zAYK%?BAfAsZ8*0?=&?FKwWk20%^%ou0q|(F*P~_JD`TAzG0HI(t+= zrNql~P_3Y#g=vK-22H%ZRE0KJA%P0c7)a~C!J^Qp1Q`JG4ul3rB`oa0%HRnT95}G@ z4LXzGq5|r&fwV)^g1TRzhyeAw5TcM+Ms)okYQZz6pgn=$@(N-SsB1$;=MOx&53(Dr z>j#<N2PYfI#*u>$nL8mzFhF~Ppb7jQaAy$YTu_k#n!E-n0wq#t2N9&mN2La&0u)o9 z_yxcj85B(2J}Nb^$^K9Lf?#oo_h1X6K?Mj%Cy4fe2A^-YM}$u&hi|t-f={OdcndyA z8cV4F4s__UYG@$<G7OaOkV^$n<_C#`O#zn*pfHE}u@jQ{5%Qo;6)5zf-s|iE_m{g{ zz>AuoK?o@oKxGGVsQ^9rqt6YsRA2=K94OvF?gH5Zq7mEkl=xfQ!1WGf6ax}I*t9^$ zF(3-SX$b0dn3<5}5}<+;8W>0=9>`8ehY=JS&?Q>X%~T-$5K}?RTtF@bMI=ZRM1#W} zye<b)zIIOmZ`Fc#8DSfOzQK+p0I6k$bT^?J#Gpkgs7?l%*va^E`Y*_|H#kb5#X|Ez zaAOKoQgnK7ynOc)q6E9kz@pGiaFEOi=@f$p7$5~B$OB+y@QoSZ^a4#~uz53-EZTek zylxI0xCnLNb#vetL5P7*ZUC1Y-4ta`=(;&*egaV-XM-F78IOSE%x(yE@F7#Dk4g<V zTS13jK+XbXN{~beXbh&)2eN($n*RzMJ5M-u^MJZC;4Mg?@bBj64pGU`yvRSrMI{?F zYLN|HxYp^TA_7{xCd}V@8D)q95{$4+3rb5MSAuA4X%3Vx5b~h>gqq(#Wf4doVk$UN zkcwZ33sKh+c25B>7=))e$iN?e%M92s1t?K7w}5kMCp4!*D5NxJiBjr=0uEG*flnq$ zIL5&6()$l+S7ygSSfk0K@enwIJEwp*#(g`;Sn3UH(Sn>0a_A?10gg}naVI|U3;L*l z2eBZYf@p*!$xr+OE)Y3TLIstBFNHx)*`LI~z#zoHzyPi^UoSzdKA$kbF)Y}l@r?!x z<VYz6Fug@Z0!&X)5ny2eZSe=KH3BuoK#l<kL6&&OFoC!DgDCJ8{|E>lM8Onxc7O^v z7|#RVtO#I&jMsn+L#$TzfQo`>aACjzu^!Y$2U&l-MTLh2vI!n!Bt#jkz30;rqT<cp z+0F`T?;USZ;a~x2fmj2vu15uA9w^X3avq%l9N>N3Enr`Izza{1u^{77-R1yw8;Ayv zR)1gy2hA5|h&9JsRQ@m{+y+th|Cj^+%;TLUDlQHkH7d^ho$N5z{eWr%Sp>1LM+Iae z$aNq&4A+6f5wvL;#T~8RKo)=ucjE6n0qe@xFhShZ-J$|wcDAUPfTCs(m;$GP&K4CN zkWh;XC~CX+fO+6f8Hf++l!0`BdOHeW`}U}SXs}jLsVxB(Y*7Kzoh>Q?VE!I3-8}_t z4bou>;DBsA2=PIW3I|v*gzh#(9HL+W>XDiAcUr@|!GiDxh}qeq@&~+<yay6t2opa* zg+Vm98+HK_H&>v6e7r^F4m@spR3OS=Ju-ui5EXs?&i~+=@py~M4X7qi%z(@R`S$@c zBprd|K>QaVelpZOpp((S4%nmef)Sd>K#CrK1zS`g^d5+#JKG`Ez#gdZ7H}AXR;V&E zFraqIz`@qNMFnhm=O%FJ)xAXpY<K4-NYMw$p&pHgz~y=86ctdq1EnDl?a~GAmVwN1 z>1YD=I6%da0?1<^F%MQy{Rwg@NHIbb5>L>`?QQ`ZiRg%dq8!o@1L=Zx#6V1>ju=D@ zEMr0rA?y4m0LqvkYapuO9Wf9WoZCSK2}mB|I8aXr<Ty~;0&Ua;ry59712?%qsylmB zV5JS%6mXO43S{RAhyopS28{)XJgADe0Feh#pmA;xuLW!jXiGmM0|TVVbqCBsoEQh$ z<H+Cl72f26I1?P5pwm2{?gRN9+B5*U9PS_R=BgHG;)l`Dl-xZL9J%1G7&u;`T``Ca z5Rn#evIQ9jPQK7H4nWzvdkZ+1L$@J-oB`T~0HQ$~V?cB_TK0vdNC>?JoNYl}F$*RJ z2DGjiSPAI(7LYlhm5AMYz(oeQDCph;&XHg?$Ylv2MU6*5l}WT?++o85pgIv$x@j}Q z4;TOyy)QGsrx}1`LD7OF3o80vzQB|PZ5D!*S0Fb+PB8$nx?5C06lkuRiGkrIH~35g zkh!2j25c^<<qFZsz{J1+(FtOK&Pilq0F6$8%9fWi;5tFU3)Try3(E2@KY)69y)7yZ zpnTukqtXGQyQipttINhiCk}}-fR=57ECrRBAR|DI0LNeV6iCcLcD_M$9E9lTffNFu zwktH1LUi<~oB;75Tl2s|tdQK>qH+Kv(G8)&Cr)iqX#hJ0T8lv&s-R;gKrsTL!M#cF z={?<0WvsB=1wP9ME(&Trf$|)9FDYCUb}j?>AQ!kOtl<GZO9n0qZgGJ&$V1%;G6~X~ z1Z_?M&6Tx)4}<|tm$iUT5`xW`^-h5VFQ}CZ%9G&k7)U#~R|z@?2h>l38Vhm?q*n>f z0X>i$06rZMw7#{w2Yh}GsKeL;J_QC879fX$8nB>)^FX5o-4H2=BG9QZAVr{1>h4hi zabf*Q=!*YhM#$-aV7jA6r4bzLdmw25R7}Il{*D%vB1Q(#c?}?{qebNeSS7TmhK`?s z_ic4Uw~lp#7aW7zbKOv7jfWsHGzBsUhY*IeCZ|A#>JY-9wep}O2pV+&mH*&W(|8Ef zyax$`RvaS<Lwa9RAnT30A%=BZffRs7Q@V{nERadvLZGfPX#CL;DVjj3A4wd<1Pxg_ zf+NZV6n8x;APOV_GQ|-RQ=p6rS`f~}03BZe^}o7%z~jr1NC1rxfHZ+z>j;Y~=l~8# z4x$P)TnthL3a{=S@CYy{s!sVbUU2MU=x9*^onG1np*mVrKtrvdK~Qkc>)rw`fx#tK zM~jLQI61+C98#7~0q?B>1p#>B10-@FLt1c^u*d=RDnTlt!3`<Kr$8p05s?GxGk{_N z)Q(3|4Jp{CfCre6gdv@uDbV9qA%;OB2jpx><bX_qL=MOWu*mW0ZBhBb#K7PK%}YML zbD%}l9Ps#gH@8Rk9I)fGxjTDQo`6QXArwd)WG4T5kOZjh*WCkd#z98HK;4OM$WS&U z4nUK&AU)s_F>Z(=&~P+#vKOKVw78-R7RYnJ$JKU2CXPYzb<T(Ja|biX5OB;i9)^^O zbHEKEpUyepTFIw-4y4@#qCr0Elm-cbba+B^fK-CYU7t=!IqL%rc91eqIqcgh3=)Fq z0GHGtm7r?P2U_le(h?~CK*~Vnu5Tw3OvtnG0I1*vsRR|gAYl*<NoE$z3=AL<kY3N` z0|6japz;<Z2BLkt89+jy5(Oj#R@Qg`lyX4Apn?}k7*z6tgh2%!k}#;~1qp-7J0xLH z*$WZ|6=_Jqpu!g<3@U0t!XU#OyDdOWkdR}y0f-4Q%duMk!~{74mUujRr$7=9v;cPO z<^U-Ho1qOlEE3e<f;PlJ5@2H>IUA!42DQCFQO(G}07(j<#ui8u$ieWO4K33_au8LZ zq8p?N6lBmM9F$TJIU7{=cD1O0sE!sDOK`c0r340fWdjofs4M^#!CjC7xuXSIRc?Wl z*^S2_DQ*kOgcv*{!Z+HA!G#(Rfl>n~CxePakT8huV(Ms7`2cGAK$D&aw2TK8iXcT0 z8eGPMibIePC^2{-%6L$Lha?Ou<3WWnk}#}{hZIZjvIthjqZLuGG9FYwfD8c{1}R2B zB9LMPWD=wp0l5G<C4hnt6ctc$5EEnoq>Klhs|Kw-K@uQSaFy}UiWWpc;uuu3f;53# z3r`84+5%eZLR5jO29PRHctNWO)Rcf)#(xBdJ|ZQ6YFAKU$pA_Tphg?CtcR9H9W5BO zH>e1K>&Sqloy(x}v0GF?6ucJ4NIRgRDNu?4m7btr2FEP)Kx>aqMA~US6aZ2NDlkFH zAT&5lfUE@xfpsF%1h{hsOHqx7Km`QI0B{OK2!jd;kTAF|K?s8i2#_$iLP7|G3J8!e zxT1rdy#TKFK_ZYe0Wt}aCO|HLr3p}EK=~jh$Q6*Z0IHXvgN7gpkZx#Nz^Fx_H93fa z#4@ZV2e}fS7NE5fNDiV3RQZ66289!}5<*Q2%OUXuqB>esR)DGrXnWMN`9KD!Km=td zkP#pnS}cP?2qXjwbx%aG3<_o>VUPxp0if<Rk}xPI`hX5PMiK*MLy%Yjk{Botg2XaF zVjwfXrLl`j2Dm78QK^8I!ysE>Aq`5hpaclg0u=`_!MefaFsSZ@*0VmKvyP#`4Ia<w z0T1M$)W@J|84{47`WU3602<bybCV(UF-YD=B>`j)C|p2?CL;&2i%NW#i%NV)i^@v0 zj0Q^mpm+giG*G*+!$l>o%S9y)OT!D)GX|^ea8ZdxO7Nhx1UefypbIP>q7u--1Zs+d zVg@lr0n!Z;1<{b9V5Is8sh<y#2lexjDj{e;AEX~*DyS9)b#&lebWj%&RLOyc6S^Uz z3f)swK!X7Ap<vjGHHHt+6>A{1%q=Q6KqEKM5d;VY?)!l{my9nLzK6^$fSrXp{Q&Cv zf~FsSyhC1*=F$8n19WCd0_e=-h|Uo3nbRJi(<&W63*8btSPMi!W3?_S;8lnT-5m|0 z3=FL&`KN%6RMjuh15NIL);+Rb5CIKZm8e8?hp2!~s&>!@pH|HXJ6#4e7!={rU87>r z?V{r0(H)`^(e0z+pn35B!Ommgi0X7vG3j<uF=>9m=*U0i0O*KA&={S1Neqf5pi{6R z+DlX%G|&A%X87N+^Jixb=(v~Toh2$Vo##L+7+tzuRAgZ08g#p;7_=UMtXB+CkuK!~ zFH&qil5yDZB*alAFh{wlIB2`5cr+hibnH9;Ho)+JW9I>ol?fh=hd}=91}m;niReZs z28E)4N9T2rI)ToEV2vO)Opix5C~QDWH+@tbKvpJrG#-C3Q;Y$mk;9|&dgmcfZh@?H zeHs5B5=(^Rr$G!gekO=vkDmvicm%tFhVcWkWPsxb>;w|y2c!pU{BTenKOPdO@e?6| zJ$_b*(;@$WEE(YV0Xu=j_yOs`8b1NcD9%3|lBn?`A&EVHGC=W2>-+<<WPsxb>;w|y z2c!pU{1hyuIDRCgP~%5K3VZxifZ~zX@dL7Cfa3@31QO#1qz7yKY*<Wj{Afs{#*c+G z_W0=l#Urib2V}_r#}C*EB*qU&57zjZu!Q3Hv5-NH9}gKs{HP<f-)4Z~k;?I-0BXO< zBemZ^mf(yZ36D-t`%MJgev<&T-$cNzs7@CZwDy}Y1?@Mm6L7>2s2K)ovvI)MZ@r?R zscleqK?5WXYRiH2qr?@c)usV%zd7z?gROda83$>=c{IKOb?QLtp+Q|8(0PoYURLK6 z@MSrmb@-sRHuzv1usrJzXmo+M#)5>pJ2+$!F&1574r&ZTR?B&?Mu1dzZvmgD(H){v z0Xmmg+ef7WwB!hSsw1f10kRU*<jeqd;6QyLkN`+6YU?`%qNW72`DqH+Ov96ops_{B zN{&v@Q9CXwDUkO0!A=*I5YVEU;F45yCxO=Mz^y4!DL`(e`#@sZ102gfpjh^R#d1uy zi%JZlmF`~318$`^9sva*c(o-cK)N~#xFs1HK$nUg>hMu<>j+VC<!?cp(gsoU16d7d zdy+GMiza+B6{4nr2gw|#jt~_`{ua=%6T&-P9UQz!Y8*O3RP6a%+`;BRIu04#NWs<Z zqEevkqEdm`cbEd++vd@D2oz%wEs#@CkhFk00tV0?gaIrCf^IedEtQ1m1g&HR#~tLv zA@Et5AisDt9ygc{DJmdI5u7N&;repdzyJTcT~rd9Ux3c{>~v94>F`leE&&~%dlq^h z6xayX4_uNAu-@A1$0%B4I($^5!CLmAXsO`FsYRs2M@1N{Wj%@(1|FPRcshJkxWQT$ zplInp(Sp33XadRkt3nx493thf4rN6C@<+{IH<Un)@&U_V9-#c?j+(zfPQsVJ93c72 z2AsbfK>5oCoDMo&RM7I5HLm>iLK`W~TXlq}Sn{`kMqQEf#f)3xh&*V~5u#$w-=YrA z7ocGeP=e~}P`Hhx#;hYm#gxCr3{A}nWHpfc09#zaYRJo9;NSsgNv!z`lsiBrxdtqM zAxe1g@vtC=do&&bnS!3zKv^mPTF$e=%lSRHbJuy4M4X|GGu<O{*8vnQ61PAT{g5Ma z(J~|=cWp$`vH-UhMDALIqDA92vMngNYr+J=;|~(5kPHPIAJR}o<R;|tp$ZjHRsxNI z&~W?#WC_mpg#sjJ(0F_Z>;xR`3tZzvAU!B;3Q$E18Xsa<04dZ#4HGiQhZxjQ<3~UZ zd;BDT;*rYjKMhd(4|)6!WXS->57-GL#t%pj*7$irMEpd6>h%E7_$sI!>Cow;VgYLN zfiHCn@L+ubjUVuK?11i$A4u^d4{M(Xc(8ha)PV*byF*kgKwG)BeN-$^+Iiq%)fyFz zZt!3&B$pYWw(wNCT~t&c?KjZ)sst#0#9{4os3qM#ps`+%_7W8X<d&NNB>(V$YZd`e z&B6n#SrocmR1^>`H*R?QJOX?ybwF1KN;%Kf5u(D$-vXM50o5rkDghp>4)+kn5NPN* zz=QR{bA%A2HqhuUfjSOky@89ii;9I~^8rS%I}AZ*W<s@sLJO`ITWEEIEd?n9MJ%XF z00$~4;{|v$9>1^<l<{m-JUWm2bhCgLM=-q90-ZDt(TH4VzO;h0^+83aNAnv3@vWc% z$&ax3Hqb!iN96c!P=}P4aHr8CzCo4@P<(&DjPD6A$c}HYM__3KcYK4CVU6zti)a<! z5k;Kf^H5>&ol(RIpFa^VfzF=<c(Cp$<YYi`8WrMO0F=M^OJI{75zxsFL@okNi-7VF zQisI@(IS!nrM&=;#zP3Vfli?CQ856ug(RSDA<(jEaQ=Hei<tI8gEl1n!`cfIv=Qka zxxMf}3zGh6I-dlxWPs}<uoFnEk3f1rX$3U@1fFXH6<eV8g2r-CGOkgfpuLcxgBm{- zI@sgq1SlS9-Ch7$GQjZzb^?j<1JZ*velmU%jvoT`M}RIQeqiNEf-WL{kn4{Ppm?Np zdjVt#E$R=7>VB}J2-gK5WuQm`6>=zbzrYGmB%#&)u<<;C_4^Gy)cAR!hdq8QK<a26 zKOjqJ6F(Hx)nG>vjvtURtnm}@3vc`oSkK^K0Er)1{S#n-h#%zf@CEwRuYWMc!$Fqd zEZ^ue9u9T_j`9sujexQTzVUF7eo!>Q>mpFw05r_fdB|}mylns<4=1?ZguxIsegq5= z@q^qxNC3qnt?M6<B{<`UKH~{sC*X)5T;mBKJt%PkZy(GDWgUvz2M5sN=K_xSQ2?o< zb^L%V8Q}N<JAuUb0qMaSKLPV7j-Li2)ciBS2$6q~%eMzKh#!pd4P*(<_@Phv26h6D z_#viz1L;SJD?;TP-u4@)GVVO((c2;rF&h-sE}&!hYCuGZ3aF$t0QaOolQf`_8qkQ! zlmnnnoH&0AXz?R_v?Rj>F`5h=@(?h^5K^$j5VEkw5L#e^A#`Gv7;0w}HZKHP#peOG z5bTq49*xHeKptWI0Fsdal`|5c(9!_)!I3wZhNyr#k_dA_qhO%kC#Vy7%B2&0OEzTf z8^{a|kQtz!jRBGwoh&L~n>|<&#z4oyP@UI&B;qh=FlGX{KT2eL6s#gKK0q61;PG+8 z2O|t0tRy}RJ3y8b8HNaRDGEb`G5Ere(0CR&6@b(8hCh^qpNE(_eC`n%$qFC?ksHXU zkqjD#1KSQtgC{_0i3~r4xfF#T!WaVK=K&i3bpVb3T6BhhPvF!5jsJqr+H~+>U10(# zuO&d<cIfWdVS*^Hg<<1E4j!x=Aa$T2&F&BtQ0`LD_EFJ58~?Qc<sFG`aG?Tml>+Mc zuSmCxiU?x-mj_g|aKp!kJfP!465S=B5(#92i;9A_i;4!)_|WSs(5wZfJeuDS@Lz@* zs{bm?u>0?XDWtt^F~t0*VS(yD3k&T2>o6ar{>uQRPu%OjUsyu?2kS5WutfL|xjpUy zQb%!n50ro{K$#v?8AhNlKLITRf-VmSEkDr!O^>U?lWzuit|7sL^}|^Sl)@yTt3#qu z0x?~!))AtjT2c=wyc4=QRv=5NbcCoV^SAVY&xrx$$%L+sf)*s*N*y68iu^5e5eht5 zPkcomxkh*bG*jUKnyK)B&s4nh`43)`VE|u~ftUi$fKD<bc(BfBK=|YJGf-^_SC5$g zjYO!|XvC==G5PC-Q2(JBSv`^Yd4Vk?J;2JV4Yr8%fLvZNfYi~tyaL6Kg9obs1EThY zOcWuCFi`L-fP!BG9{jHlg8d322$x?1_Ne|<u*dG-0=q$)zX_DjC(!(N1Bd@KK<a26 zpXiYXnpUK+{%LSPjlT&F*yHcP5cQvhBdY&A9I^Xv1}GecVElbR^B;o~cK-!{)D1!Z zt#Cq(za2RI#{p6|1pSxbjOxDvXYBEJV2Jup!UffT8ZOxVR{;u#AsBxb(ERrRhyM&f z>V}~IEZkA!&%+&i{LOHqL;VhJ&vbP#v?8@P!HZ=|)L%0YQ#o!2o8ZCP(1O&oI|gd$ zKro^Hya($7Pe^z`<`+F!89;nciyYM1?ha8g&^-135NIV(h>A$(scs(?$Rd5v@Q(+m z^$zNrT7X*b9-x+&furUL$NvX9&$&PsT*8L7Ae~T9D_#K9ibr0g0P;eB2kV402roc| zR)E~n>7oJ|X96WQkVRk{!IpuVdC2R5Ant)pHDOWmx(5<0aFTHN%<w@CpC8_kbO9Qz z0`*<#7CsESFv4d8rclB$3{?WhF@z3a3Kg8dP}Oh>L+HV2450<k0HRtXy<{O}eN~1p zYW$o4IcFf!?}d{XK~n&A8`XjaTlyu?-na0Bgb%F$=HZ8<yaTxvl*MQ{9s|nP4j!xt zjI`^&eL(XsgFklv27uJj$-f(zXy@M*{;2W01BZV(K<en^Uj`Q1`8Oc|)xQM+*yHyA z9sL`?N`Zfo#^XTQBcj`*q|-;m2h8?pJ^;HT$^~?a0C;5~Xz_|q=c$9g<PW}*X1sXt zCoAZ{a~~Cx<1Q)zp#5vdT~tCqG{^{$Vw28u-8=@}Au2wd*AM=eKlonSvGal>;}6Hi z2cXkWTr>|kc3cGA5arTw5|sNdzXBZ$0nr_zV$ytwrSn?1h(ULaijQOG566Q)<Q)&b zkaq0+<;r--vGEbajOz{^KfxM9uvpam;DO`!8!nv(I<NJHussBgi-VdLt(W-cAMEf^ zQRnYp2p(QDJmAv{y64?TC7}5sW2cWwK(~)d2!t8Z?V}O^s{bQ8yFds2fMlRt(1}4{ zW;a--`QbkZZxcuzn902fq^9{9Bj-mjA7TWU>Ct>h1JrPc@Mt^^I`j=RJPKOt2%3_T z=sW~%$9RCwj_7t#@tL_(fq{YH`%lpMjR!i9d4TRH_|WO1q63<s0O_&lu2IqH{O{QL z!-w%$x1CG3j|%9J77>@u6Ena6bnHCos0j*e0hi8`m!EfssEBa>0tI(>h)M`td-HJ? zG!tApPjrT;@XQ1mC*b(~r=#XYm;pRs143Tz2W|5pI6gmN3uJvNxK09%T7nV=s7VEz zp!l$vlfe^u5=N(s3epq;sP)DJYQ1suw={y|3zSA2x;q|hL9B7*LYl(>83pkZ1#=hz z-7YEukme(34Lb{H4uiRb8?;sMHG@a<5fAV%utQfzMmbU|nyDj1g^}1+^j5GxJXl|p zA*%4#;8rx@@xqR+1j6&h7LvpB##Zd%2{MX);rXD9((p_mBRmzJ69~_SXC#Mb!E@~4 z2{MWf;YmzK?h>R+LO8uiydV%h70*cypNtpS!v|#4PzxV{mjuG6;04LylkgII_<)R} zL->G8r>>3*wUp+^fLhYaH;z{X!ZYI~$>ACC3VV2hj2iCY+3=4*cs}?`a(G_&hdn$& zM$s=kJ1QwnpB$A~(x(KdK_&oNPr+e$pqm%eux)<G2x_`<bat&+!@z(pwq^}zgeF9V z!=v%g1`ZIr1jIfz0VEDOcMH*^lYp%of|S1C^+R~=3s{S3U&vaJ9T59Y2!PlS`z%1> zB-#hv(*`jtf|G&4qxlerN2iF2M>hxyb#p;Ip0FNd5k$<31uB>V7KCU9ouL4Vdk&Ar zV;&%JtS<3reuLs)6#FOOv0q>V7W+lOf)M*b_qM?7|3R$%m!L<l`G6wq;0+#-{||C_ zbn>Wpbb~NwHzR1=jia+MU<b&4h?pY_R4@cA2+>>sKE4$i6Cm-<^E>%r+qgZNk3gax zum26e_G1r!hMge$(ZZhvEC})c3MBhqfbGAI+?jw_587{yJNz^7*uMd66`K89z=9C_ z!S_0JL;ODjBu;w#FTi7e!Y(ZSPXP-;><8cR0=3@*B#zzw#y6l7Bthq;fD=sT7WmzF zpz}bxJ6u>9Kqsn$j~0bpzr<?63K|1w0iUS?I(`6jdL{T)1kf=VkbADM^p8u9w}5Zo z0hJ)fA-C#)n4sDfbdX93q?bGea`_J4j<N@&qwI0{Rp%72kq|RNR7&7|<vn0y2zHmJ zKyKs0YAI@``FUrEN<nvsN<k~M)9lXQe-C_cLN~-)%@683AuQ+}Ul0M%>ELh`pi3k{ z2Vz21v>xD}dJuG`3V*8?6X^bo<1H$nvnxTtavXB^Hk1ik{0L%#?gn6BU}%282rqRt zPw-DY)ajxEIV`1B9BfcG#2{?yAcse^z6ZPgIOKjUkkLqX!|wg=Q32We{i5QD<_C-& zhguJGgs7PDw;lm&?QQ|f!u(*$-?|4T4)$UX*eje+*Fo-KY2AXX1bQtU)UBN@Dxl+T zyCG-oG(P}^73g?~ZiqNU0HgvmNC8pQ-2*=61za6X0VmwfE`i<PYl}b%QN%@dgE9<6 zl}F>D7yKYDG_^M#`+=TA8jpbT#o=zqT~N3N9de*C3o2+Ux@%N&kVg%m8No$G18wL* z1vYY^!TAfCfV&|H5pE9J00hJ+$QXnIcnm@XbqqoQY!WD~z0Cdl|35T+LBb4_qF%23 z`~N>QNr5iZe98O|%m>93nD2(lhb9KFls0Hw1Df}t1%i(X_&gU-5#a$X4M2yIg3hpk zmII)}Ml-M!0wKpCm(4>{9O$TB5EGPvL0Uo}g+Pc(2L7Qk8Au5r11<qTXY)b~2vG@v zmjE>?8AN1%kOR6gt;5Xw0o@@g0j<!yFU{Z22TREy+aXyU#OiKQ0T~Gq0I|9u{)I#Z z_#9@43Ru38;%_Yk8+E)zWeq4O7{C`#ftn8>-tiU{&@saxCg|jL$eI2ib*Q;glE2jv zY)Uu86qxA}{H>N?@#Byj12X40BtwCi(Bh=KM+Ib`;tA9o+1&%Sn-e4q%1_Xo2r?UV z8m%~gE9h8k&_K}3j6dK7b{V)b3h3-%Q1E~fcm!x56FH;!sAP1ys0g6t5*}DC5df!c zknZj&;J}3&f|g5sR5Bo0gae#Kcu=zl2iO=;l)MBbc6daA${A3AzHEbJ0Z>SQ)BQ<Q zJ~Sr4QtLpc&_F_z8xop`kOPNQj|wPfHGljMz2&g^(|^T_%^&}RgBYv=q754I5QU(K z#-<Ql3gR^ptODX5{3e1FzV!R||39?B?J-*agR&Hv_5VX~)`HdnkSq^6Ast@&f*J&% zG8wAk;tNPA07@EAHK4q75Yhth23OqBf)|uIp~W?n395-fOlX$HR<lD}0McNCptUMC zb<h^TXRzzZY5{;Q(s<2)*#ZDvp8*yJdl733U<cS%s4oz?22@EA-vT%Zx(fxAmJzK5 z(D`?u`lz$%0H_53$zIJ57!l$}@U{R}fMh$b?*ip-@TMS-q1yz=LNoymzF>qTI#2+= zT#42S_z&TOVhiN&mrjr(55|WkMzECnXe$6ZO@!PE0Nrx|PVk`W2~q-pSn$dPR04pi z9B3;5Rsztn9RRwd9_%Dgr3NcsB=}oxz!{G=?SS9l*{IhHFO!B;JHQ1}je{Zxo=-rb z_Ocn058zFKW2k)Orod{58KA;w2sQ=05lsPbi(s@p0IsjeY!5sFXEA7P4=D}swFeHq zV1ks*pz?OKK>!K`nluOs_Ar3jDxhXJN`s(e4`>Vk*N{L2NVfC(F8G-WL%2bZiQFK7 zCc4oE0nSA<kZvYf!vcb^A^_A3fD{2B7On;Xya*V!ErP@$)go|))aH;D0W_nG<QBnb zdw{O(0p`*6Kr8JB2o&~WZx5*K#n&F-0LgY<-vzorey9%+ptJ{|iEgw#Feuss(AXGl z4}i}$s8R75(3t|1_5d_$M%x1nqw57g3nI{_C^6>@(bo%*JU+lO+8&@od*A|&_P`ZT zjo*!Hd|(Giw)6Tf7Wks3p*vxK(jI^&y3zK)plA<3V`H>EF!<U7(5M-04~(`4z^e^u z-yUEcZ4c0)J<zZZ`}jc1K78W?86ert>$~80RSlQ<0%)QeZ4V5J_5d_CM%x2}uRQ>b zn$h;aXnTN8?E$vY_5dB)0|xuCw+Br2<7*E{fMh$b?}Fb^JY3oX&_p-d9vBqu0cdQD zwg(1ZdjJ|WBfLETT9gf%F90p?0^P?BS`i1@Gzg-*J8Dq(7lH&mSPRJBUkJM560}PG zIAn7ghzZ&mM9Ka_kdY8G$l6~BTDRX##Ck%|p*-mG1xsLyi9l|ERP`Vhblp8f0A&90 z78Owb2l*Ch7ZG$9AGRF;(47LmVdjGj!KMznQ{XaK9nSp-AiKMJz`K`FcO{wex2^zd zMcb8R%HO&GCJy!xWTPS4Ucnj2N)QVJL2e~}r@#UDP65bXLC|&pP$KK>dH|}`d%$}R z5rR)Zm3B9DQ)%O&54@lnehXxeY2&dIAX(&nw2enVshgNxf*@~#_AQaUOAus0_Z0A! zOSm~``=5y1B?t<@m&+k5|3Og)UfwScS>X(_1<X%E<wNrmSjru`695#9&<qUS4+s*0 z<=)}E9}tvR(f0%10xyAwuJeavO_YUyplxQLWo5@(z<ceWc@?zf3&e!#>+S(>a6`>S zQv9vju&e+w0k)=JlD}0MERJ(cKPWb#`@z5)&p?aRp@j#5y?qk=t&hMSdd={12jwgH z@E*Q3MFkvCkVTiEv<==Mh?chDd;4%6!3EL(vI>%L;Y;`Lqw<lL?jM0H_5+0quBH2+ z5QJ>b1LYcQoAW@cilJc)Q3#4sYzjfvboZ#>F%hhS=v{m%pr!jBjc-6D5bpYQ3%2@o z#c2KNqvForKU%+nHwuB0B=zgp2?yXC@e%dwlmnm&6<)t;@PWAS`n3WiJ6gY@)UV(S zj8eZsa_?yU3aK_)z%?eM!UffiqxEa24`?qv;&98+`W3qGp1k@MbPfope#L%1&KuPF z6<is!J|Me(1+}0-?ZKgUKF$X$=i}T0H&6MuLypCPo{j_Jf)>?xLXH4}@0J5KkU?Vv zm>c0n&&DCYzl(APj>AECm5d_pau8Gv!xy@3Ks_5r10;)DD}(X`F}+?;at5{N$?o-n z44~rKIE9FPaF7}UJcv!TvvI(M0kp10E(wNnT@A|j=zU=>c)kbq>^dPQ;DGWysDkc< z)Y#A-FC-d3!w}Fda<Ja*@HiJIhw{EN9nZz_f^>*Mu>^@1@X+;0JQoKB2=0FaXL-oL z8)#4)IoFS@^YK9C0Q&ef<a|6(#s`(-c<cXzFSsB{4to<|^l%*Fn*ewZ$N2zi0$@2D z=L@KkN33;6YXaN=$#!1f#Wf7px+9&B2Tye1W&qU=#{riKqs@SRUgDbp@R*=wOJG1x z#z`GgEdkIR541rBj~Z}eV1%8FGg|+Hy6VKtUsGKFbC1^lbXd!_;1C1Wvv8Ii!Z!ra z0g~;!zKeUf)&I~$H(LKwx|R);{n3X3NUHy#F)>>I4~lhcDD^)yYDVjS2GII7a2Fqb z95%SEKidBfVFMKa6!rgkM(ck%)c*m8vDg10hw;__79iQq>$`Y{Tm27BbffkEfY<-f zm>8}92VeaUjhfN=f3*HbzP%Rpyc>$^f8NphpAPju!x8NDKg$t(_5TZK{SUhRZK$7p zhcf;TO?0F6|A5#3(3lvl{|8_F4~?49`hT?kr(yliH(LMGq5j{1qyFE5xBj02lI^^{ zi*LB~|DlO)wEiFP`X3q-qxJvbtN)=<Gg|+T*8eoD|M^Gje>&9v2}iN_|5J|Q>;HRz zWIM0#LR{cIbkDj)>Hk9$-Dv$k;PpQ=CPwT3!B_u7qh_@JAFcmMtN-u97XTAn{|~zv zb9DJXXn7te6c9V|LA4W=mj4SJ!(RQ19K%=r|A1EipyOYL`tW}qVhbSr&QDMPQ}1qE z@RFd><^TOW@Z3*u7a%P658K*5ZAh*D_dx6egy)fwSo=?yFuMMqZtMS{w=IIIKFlKk zM)&_wsR3{RM+4vp-Uh%5knAwI3m2vT4^4EV4S)gf|3hP9*tP(M@cut&)QrRyz-awX zxB4G?yW(j5PtyT_g5%f+07{PI8vuv^$qtjdZ&B)hXrddf{|CJOhsMNc{Xh7||DjPc zTK|uZ|C2WUPty56(AyM8>wlWo{|YCt*Z(Rf@YVkuAlYGZ*DXr@4^4EV_5Xm^|InBi zt^WsK{SS?r(fWV1{-<I654}BcwEm}Q{eJ;R{eJ~i!{a>vZwE+rnA~lPQvX8}-Dv$k z;PpQ=CPwT3!B_u7qh_@JAFcmsSpP$BOB}8LX<Gj`oW$P$Z#jvt|DOSp9VU0#qSXJ; zL^oRh4|x3#jfv6vfAH1+(5M-$|3~Y88rJ{pqx=8pu>a5C6!!Yx<P^U8UjiiCd3_iA zaNGY6O?0F6|A5#3(3lvl{|8_F4~?49`hT?kr(yliF<SrAq5gk>qyB#as^M{t|DOQK zc3$7bG2H5ZXrddf{|CJOhsMNc{Xh8Xe`wT<*8ijRKMm`D&e8gx4)y<p)7bm}Q%>XS z|5t!yJFoBJ9B%bLG|`RL{{vqCLt|pJ{vUkxKQwAaX#Eeq@27K*3TR>)bpH?NC>zjK zzaYB1Lxqijp%Zcc4@l61Rf3Iy!K0I$`+qkuK)~@9l`Rk!gGaZEN=3JiN=fIrZXN^d zSMfDIc)-BG;G%iRvEyQ=kBWy&$I0#x6_3lWI;W_BjD(mGqEga)h^6ydw}?Ua9+e&j z1_sB@AC3oq$UEZ6{UB#xw-ojK|A(C+D(LtBECHVY(%k}f1EhKfxuzT9Ifwwr{NpVu zpnMPV@bMNEP&xxK5&F78=gu=UKV;NA!9Vp-r;Cb1M~I3&f9r3U`5;4@A24F5v*-v> zG3Rf+3|4m>;tr6}NWt3;vAeqmZ14AriYJ;MFm@bjJ<t)NV#eRP0<5(g;wsqP<fi<s z3t-}45A}d!ixcWPgN_gteg4)N$Vw2W`GDL?{AT_GKD{j}6Brm6x_iKsNB0yJP$KK> zaySDPoWcO9xFLcrXAnG(#zP5wAnq2h4v)rT8X(!u>z#)>55kV|0j2K4-7VnMFmtB@ z0|UeNpUnptI}dao^Emj7x${G(i%JeOCOo=JR4Te_RB}51J9hr?VLaAt=h6*H`<*T- z8ZMnDW`6(a*m=@X6O;&5Tslu)e%>9TqQUtKnhd+AfWrxHPV;dVOru;nPjrT;D9m)} z_EAxB{QlEX^CHY71+Yn=0DQUp@BjbMWCJ>t=%xHWFdq~fV15!R9~$9cDbU?!Xc-uK zS`R1;VY$~uB>|Lc5<I#YJUU%eBD#H4GCEHk{3U<zl{DkUgFjghK4k9nQ3*K?x!oR` zsz6t#gP0(#AT1$~OdFz-(RuwKa^o2-$I3u*tPD8Ef{x397!aZo0?)BEDj7s%SC9j` zF|EVQtLT^Y-C|&1cnw;50<s;FH9;)sC9n_ykm1K$RAzvD$iTpGyhUXWl-Uglh3*~| zkQ&rnB*ovV4a*83Sy(QT<Zo35iyw!iaF9{QAy-p_n9xMm-2=8y@dRoP>h1yC%?T0) zWr1#pMv&QuIzm(=_*)-=J@lI4<qpcv-Vs4d+XAo@DFRNB;DDL}_BtqSM|9Vy_@Je2 zAC(M9!sY=dYys4S%>y<D6gV%dAPE-~#^BU?AC(UcbFkDA$T2;j(t#V|eMA_6!=wjN z@P7Oc&3Mh9{wrQ={`empm|ztUZO|}o1}g+bDK>>5Yr6582vz}!OZ+B+6uwOP_y0fW zd>v2;<bkt(jlovG28`CPJ}U0~{iF3OxWob#`iPVdsvf9Ozka}0zkUJLpwKGVqw&xT z)cW-XNOrV-{rCSrqJ9NuV3hh5l6yz%R~2xz(E_eEM(bCUw&Q61imk>5Rh!*Zu3x8M zt6w`t>(|lxmDc^&1!uAJUzeN(byy)?T#v>>7f|ch4v_3<{fbh*f-^8m{R+vwBdvZl z19v5%{ZL4CN=E$(9%wQIbt*v}Flgr&GOPsdYJxgP-H>iCtg9)--<koo`!%RT46+l{ zdp!=R*Fnr~NRJuXV@2&7OY*n6f;A%<da%O>)PJ=FixcV_gX{x$=b)7XsPzl&g@YZ8 z)XRf8Se(E053Fw-LwVm=0IhG#1M3?LfIGDy2X+(LH|7BMjd@V}#vEW{z<pymNQV~` zHQbO$=!Asr%O*%K8Dtr_YkU-y4~-45)GCM}pzxx&*9@``(rX5}j~cyZJSKu%{L%~5 zYn}iH9*uAC_n*&TtKScd*6*YBJFV;YfOA;t_mFca^*aYYhzlQ|wgAbF*6%3wJ2(TQ z)bEhoJ6gY!(|;bV-%+P_e4q^`_^>tdd<<yD!$oDZeg_So57zoUg$2v}a|Fxi{PXDe zIF09@8O~#=-&xLsDs*`NU4R$Fh1c&dM(cM5hL@=G&)^J<QoloT??|iPN9UjM)WM_k z&+uVy&}1K^%MBXpK<RRWI>^)>AD@G*ew{E{zmE2wY2AO`fUSPrf?B_Npw_Q5K(eF# zXO#XkI0K{9uaMk3TECLhe;!@m1zVmyy1t8nfdNtxgC<2VDq<qncU{3&zn&PaUq|a# zTGy`$7qIkSQ!aoytnmJ818V*10g@f9Us38;a0W)HUm>}7w0<3}U+q9^_%k|PR7U%+ z1J!@!VZ|~&%)mOZ<HHV+-3|`m-437*J!rQ>MK^u-C)xA&?*}ijfNnH^tQi5hhVuPM z;C)A>hTzr7;9&|Zdy~Msgi3kAt42V(pFoQfNZ+EQ$KSdE<_plqDbOMh&;k-&q^(OJ zKXtmOWOTczWV9aOpK_3Y+rds3m6UD}hHS~<yud#dB&N~fqoU5=+5s~XtQDGeA-lGy zv9wy?B9^LG<RVJdy92fA{XxsBR{?DaiwtZD3uMC)C=j6QF+pQ=;4M&S&40))D98>E z3GgZw8PruQ65v%VptSI^2eNeuWGX1mUq(UJs=)ZjTb1lls#<Vf_5tlnYJu$NVufU6 z7nKN528w`gRSM|#QAxnERmlhURwa-YAJ|r<1pHf-L?D@01e|$6%T+*jgLV`7AZ=Aj zAbzV7x^<Xal{`Ru8Cx&$&p+7Vqaw`TKVVC}g!o(2z&Qh)X+eGmt?z;^E&?&}E%g%Q zZ*>N1MqBD7z~5>O7AIz@7kEt<bet2Em<TNO;^%Mu1zYNs16k^ofNPhZ3({0@KzEIb z3+hyGLZ^!g2U<R1f#nm(8ZA(OAT9L*8-kWkd{h!ZnM6bdoJUxY^N5HFIE#QLT3;$a z)@Wf_=+y$rEFi1Enddkv9~u{6sWlKoK%s?ip%*k~qjX@AR?T(yK-zJTC2Sa~UM@jd zkVSAk77II;`uNA_daTj@F0K2!2e4JTM^GzW2h{agD?qZN{autw7@UDo`n!<aJJR~Q z16B!-uD3?rXFs~$8od4;T4jT3ds22OgBlW$QEX7d1EqQg8AbAXYa49!y8-*a_P0mR z1A(5*0q&O%)AK+IE@A0Zms~>WR9B$Z?-3x`(fS>weg|h@l=>Z#dq?YcNIwX&uL&|( z1}ZY~p9eC!-g<PsHQqBrpw%{*g7ma;)W%>H(2+>ICW5MM#40dS>-Xk29v+=FDh?i< zB`Ovk-8Cu}`#^&UkmHg-T#xSk9)+OlyhcR>B(LGo`k#NwfesfH^%Bn4;M2M^{y+G{ zFTe^K5ZVFK+<6c*f@R^+ct`=Hvm0b`<1r8$B7OW5KWL#W1A|X5s|n~hr_LG`36IX} zpZEn`R0Q}nz}h81F5>X$yynyGqr&0Q$)n=ac@pBR<|7`54KI20mZ%tj#^NkISQ9`E zj^+a#pp%O<j=QKBfZTH2MFnI!#EBl=4BZ|q%?}wneN;?9x->u{9L*p9H$P<Tu2C^* z{>k9TKlMQKe-L%hmE(kC!vjV~{%t2ZeNe6GJPvV1w~LB}2P?=|9#El|N&o-<hi_E_ zGd!?_FBAMwNw5*!4JSaM)ae4T#=rwSWZmJTqF*8a315T%4_-5PfP4+%J9u<EXn>SW z1q~4D@wYAnk9mQ7WpS)Qp@@Nj5zO}J<pmvJ>CxE%vf=e^Y>{-V38bC@7GVoOPJu=k zh>aOxxzGqxfJK-DG{O`>5hehQFaeKF9~BN*jJ>q|4>}^lMFryWZU+ar>)H8RT>k(6 zkL<B-2Z!Sh5};6esrmo^e^5+=)0RiKkBWsy<G~rA$nHGv(RmCU5g76p2*`7AfRZy@ z|I6h_BU&DfZ#cL>(tA`G!1NZCADo~j#U3!dj~NknEnpFk?uLLOkcJkO2_SPpIT1ud zBC$s$nuCF%L=5CThyrj_HXZ?4au^~Cwg(ZRJt|Qg3=I6OhoEr^vF2FA3P`j<xQLhq zS^jzrM$AqDJMLH$NEZX>3^lMX8V_lJ+}aKGRO2xa7c+`Gz)`$MB>?0RaJFnw@c=mr zlryJ5g}10UfTgebboW4+Jt`I)3=Hr{7Y0Xq3nU;x9z?`@kBT|SycSt#)T1hb#r}V2 zLj~-1R*(xohYW&(`FM-U2T;<69Ln_t$^;$40+I#i?Ct=T@0S!WHa}!^=s4GU(xGFE ziW$hxRxL}=!C%N~Jy=>#@=ra~F-64`tU>~;q8nmIXNyV?2LtG!Fc1ZfR?u`(i%JJX z6iiJ~0l5J(snnukz`?-K(W9adHtd-N$U1~!3Y=iWK<YbNR8$~5kRYUq0b+GS#32Gm zD!O~X>c3xX1vT$Grl{zFZJ2EbvH_Bqs1;PYV3WUtO~xKnI$#w~!732G1BDUjbUh9R z2BLyW0}@o~V8b?}8P)*_9B5GWKzJZQNXUR#AiFpj7$5>jDnQ5daWXI<f=Uf+gRB$v zgGv=_@&>TU*n>(1tYRKm1^Gdx00}C2uwgl9hC#!EDnTU&w&9m6^@B<lY;vy~$Ykt6 zB?DGb4OT&ZP)R_7N*rvMCz@dukaPqMsu~CnBnU}FAXaya3P>Cx0Ah8wsDQ<Lz~YEh zB?h)3%@gDy>SjPuu*rwOCSwmO5wMC4U=`#Cl>j8D_`!zNp&3TaRK*9j;e`+NgNhey za<wnWWb8r316Gj<RzZGHf!YlnJu2*A!_3hPqh?UCfo)hA0P+xZQxz-NWRpOU$=HL6 z1*}2|tb+WYVt}No|LmZa%m=VX5Qb4RsQ!U%hzbFDh`K@b7i{udu*uki>JM1OZLkW2 z??|lyf3Sla3*W(ptwb}7nnCpqY=dwF^@Hjw*yLqkld%WY7qE&cU=`#C)dxsWy$2hX zgk~5ugX$gFhBMLB5309dljCDRCSwn(H((XMU=`#C)eA^aJqH^mjb<1%gX$UBhSqqH zhp3wYpMp)6NC26PJ*b|5Rj`3okRMbJAVGB>Y}ggBM-YZlGpO!?ZAeN6d5F3}br)>% zSFp+0gX#`g#bdAvgzrc#t8PGo>N?o4jcA5}8%QnSj!-A0{RC|_L7GueX;5nlA^>7R zRdl1btFD1<IG0BKpt=e+St}i6GWMXl0#+dbRzZGHfd(NudQ{GX4SNRm2*NOGrmAyb z8(wFCJVf18brx*0dnU+a>_K$~tilwm0^vJSQ`HGbsyYrfj2X=^Y6jIYunoC6AP-SD zsE&e7X2=DZj6JB1fK|K$+f9B@9e@PYez0MC&<vwyQ0)WT;8g(f5OsrUFWBU}V3V;2 z)gG{l(_j_k2h|QpP;CbrHWSS-XkkQ^KHxU64cm&TA5>exCJU8-OvWBmTfiz9z$(ZO zstu5!S`Rkt9M~fW!>F06)`4xfTnh3KbyL+^u*v#mAd|5N)f%u0S+EL(??^4HRzQMk zIoPn*V2>aSqh?So1KSW<3GxtigK8<*<QHI*u?N)>u!?J76$syv8dM7)K{X$2*b+3u zs2NoAz&04wQa`BXf=xaOHW_<R%>k>}3|2vYP=RJNI(k&5gAHp$GmM&L)ikgT^BSlh zR8zqw{{owgJ*cLDRlESJAU~)kKvGpd*syJAhEX$B^?_~J-9-JM>IIuD-V8Dsdr<X& zRj`6pkRMbXkf3S@8+IA&5rkpX45~J;4Yq9{4^g+QY6Y8o0c<k%plSiD*audD@ExgT zRRbib>cNKfpczKZpsEAgAk{_vpsEF%ycTRS_MoZ(tC$T|L4HtGK!U0qY*;3mVbm<E z%D^_X^-@2mO2H;S0-KCIs7k;pE`U{#A5;a9pvnguHV@4(Y6evv*oNH`s2^0hV3Wls zf=tF9R5@T3tY8)72UP|nsM5iPT?TsuVHh<tU>ewl8<RjDqHbB03O3nfGRS1?L6rhl zp#)Ze@ExgT6=<zXM~_N8*su>^k01=AW>Cd}ZHSr%@(^`{Di&<=Td>L4gDM8B;x<?X z!gr(wRRkneg@X-SiDnozgDMPcgZV7#2URH8<Wpdiu?JNMSj7&o3i5+001{OGV8hzb z45MaQ<p;K5@m%T$l`q)j|6r4`2bB+4#apln@`K6)5>)PB!*-(?M$J^^2DahAeCh|4 zE7)Y21t62L2bBw01s7Na`9b9X2`YQAVK=}YK^R8Opt1wo;ItUzA?lV@wqTR5f=$LA zRG<~q{H=$<DiFRSwXCv$1eH11x`}9pQ8TE_z&6M)r+!eGf=%88HW_<RnSfO+0IMKB zs6Y$ULF=o)hUK9dM$NKH4{SsCD(VN7F4*MfV3V;2l@3_NRj>;3gGvLEs?@=TEk-kp znyE?+Y{QB*)DJ3Eu*ocIK_+7lDiyGbPhh*r4=M#nP|1T0JAh^wHG@hHY=hPYkcX&S zR>^`*-U~Jvdr--MRjdZ9AU~)iAVDP#HmnlOFlq*s7}y5(Ez}PxQLxFgz$Rl4DiN@X z4zLRHgGvArRQzDW!q5z(W?97twxM`C^@EBRZ1Q=q$=HL62drW*SOxh(#Q_N_cCcaH zXogWURk49>__~YwLB$F-xnnoTWb8o&+D^^iS_W1@eo!$$g6cmTXnmCnnqkxos()Y` z*6jm%h`MFfU$DuJ`#~mS52`<475ZQm<OdaKw|Ym9%6G6~f59F>7<K`&h8sE$cm=`( z2||`AfLPrvDj;!)0EpGyq5>B00gEHnf_?+rP;eCFA?gOzSFp)vz$Rl4sxM#_yTB?C zz9Y2>`hg9+6zx6OunsiCsF|wXfo<qIPW_;I3pV)~*ktTM^#-is3RngCLG=O>RL{YN zEkZMlnnCppYy;0}>Ic<Ru*vhmCSwn(Ctwx5U=`#C)dNUS-3J>Mjb<1%gX$jGhNI`G zA5?e2CP$nHnT$QC?toRefmM(nR5u_&bscP&2%2HkY%E*@+hBMJ<RR*oRae0#_kvBv z9#mJrDyqRM$PcOukf1sbHp~;vuo;ko99pc;f$%_rkWw7P0v$@l0oyo&qyltnAqVot zk#k@he%z#fP@M&v9C8a}GWMVX-G#;9>I7Cneo&o&1l4h{bpmLHQ8NP`1KS{R2jn5@ zrmCZ0lS{xRV-Kn$U=>MV732pM=&G@f9+mxI!wk?2qh<!&2e!fYKJ|lYFWBUjV3V;2 z)gG{l>0lM)2h|Qps@e`VEEUZ#YNo1fU>nXqqJB_q1)Ci67-TZ`pxOdf;RRMfeo$?I z1l4-5VG?MDQ8TF4fo({62J#Sc25bQD)P!t6MfNBMc#~x7MF;+=$3Pn-*Mjx`hw6VB zbM*gz=uS(}w(gg&j-l|6Ll*mjrOqGw|KFn*vQ4+MMFnKx@fMXE(2beLTU72Km=6%l zCkW;X1oI7oS;GO^$<4q3xsn#-c97BC0)`hmdsHrf_HIF_){`(ltO5JsJ@|yd*9_ed zCw2FLozxx3VR)glMdbuYBZO)_0Mob{tZ^F-jRIhe2Ot_j6hh-Fu*O=b#@7rGc)Uer z2f`nFpv*2vpr|mm9N=$_0&D4pD1;nj+M}`(Y??o^R3~)zS&ItD7+j01kGH54Ky5kR zqEZ57c0u$)EG%LIrHpQfLYRdsz!s(>OLexW7(jdqGN!Xd#RS3w2|}t+5bJo0iU!n{ z<1H#WP-YiIFT}zwW{`!)TU0>XT0t>+9I~|)#DwOj?jEoy;Da7Ps=6Vms=EhlB3Kx@ z1r|hs91Ys2yBzFjYq0UJ8D8E#0#2Er^af6j^^j8%K@kAv&j9Tt#z>wEpiTxIqKIIw zKrq)Jm>UqxEeK`>zT`Or6lgsvAPSK@mw_FA9=y35SMr<y(%7N`q7bQUDOlq)92z0X z6IzylD1^o(Yzz#giI7AIA&<AHG$8!Y0%dl!sDJ_l6mg~Y-~&HF6&@(2jzgC7gP737 zsthp!nlN8BLQZ%EX$J-O>v>Qcz?^N+gbCujZ1{_<oQQxr;dqNm41$?}V5T6L83<+$ zf?0wuA_73+*`orY5D~E$9G>_i!ULqSMFm75!gCQ=BmRhRfM^6!2#pJ|MT7;y4>nL{ zSBnZLK#(E=l-od|e;kq%K}={SR&G%NnE=hOFEjsu^8rXZD7e890g?xEKoJ4TuprLM zj6eVXcSG_eHzZGXLU_>4=iNQv9roRjo$V;+gsDJ02c{sIw|fdWvtp=vnf&Mff5QVF zkkT7;Pyl#;dN<^B0*}T+0lA>F72wAa6yz~5fX=99@aWv4G6Qsz^D&RkDJl~{tV51F zK!-0JXHh9*U|{g+h6wv~hNy5HcTo`t038M3q9Os41nCAzDjauF(ePkkVA#L_KP>`$ zNDs_4o{a}Vx!4DEpu{<_l9!tgfI|^<!T>m|WDlb7k?TDsh!m(M0>#Sl7L_Z|SUe7? zU!bCAprW9`BT(@5fYp7!sCc6J0b|FZ)&m_=RQkaQD;-wygA4){cLzIqRQkYDF)%4m z{ROH9ARQY}9f`Er9^@O)z8a9^6cv!guyYAIAnlNLuwhzghJlKy?iR56&K8w9AV;=< z%PvTP50wUqLj*u9&<Va!j~#DOnF3{k4h05j?*W^F>c=*)-K?<624o1#kF8*-Utnh) zZ&3jiV$i???du0I5j6&=XarXcAXSJO1LOj*Fh~dVXaJD$pc<nEY&@)be|cp;I8H$! z36A4skQxKVKMn~Auv9lh3RLNXTyeZb<p|WL*z5T278Q`%?jEo@RR1@F%7AL{Iq4un zK#l{&Zxcwk5M1bj0vc)!D0D!~Zb(w<?g6(bz#astg2q;N54c$X76$16^=vu8$1i}7 zv}gnwZ3rs(U;08k0;?5YPlUQ3<jdob#s!G^vg|*&YyjB-x^|wEfdO>wJSa+`38M$n zf`X(jlw6PG0H|}jr+}S@q3UJse{c+dq7rN?2gG$SJ~TmrrQZGpI|vln+$}1g$nAtA z5NI6ZDXc(>5vo9jcTWMQR1^n+RK0xh7hG8N_JC_g*r6$(_yw9e@)#IC@e8)7WN?5O z0x*Ukq#E?-76nBUtVHoZoUZ~Z-{1vW1*jMU7ia|_)*;Yc#2}VOXOBt-8|dJagBWET zNDrtOLn-5mpamdAQ-}(<j8h=3jQh0*9QyDwE@dwYAGwV4-24B(#}1GW85+tCfR126 z2?qY~lWF{V2l=NT<llC&a|*asPPiO|sO#PWDF?49erkTi*m1G-Qb&(UIyk3dEeF%U zQk0g136OFy9&Fgal!I|#yRnvov0y2hm4h*0<KgAt_ub%lhn0IT=~NCzgGwdLaxe-c zOq+5r5@hsfIS4And%#@_GRnaTuyQZ~Rt`cKq?LmKpwbUq4tjuC;BpYe0+oXfILbkg z9-QSML=$=CpzKa?`iGZ;?Wla@axiBnw!Vu1qAehTU`imEG6*K9r3NZTA?;yeMl<Ze zxz!ciD8kiU1m#_569LiLumfx4focR*{~&xE(li0N0jU|&)uICO1*pTp-}(~V!-TeB zVC@rIuxa;@r4ThRs38M7B@2|aAk{LYg$Syyp&db}g-BgZkR-&yO<*@3hm2D|6@vDL zgP4dGAE?6$ZsLGCrHB?E$V9L(NC))T9+0C!Ej}Btqm#kLzh-!8u>%~_AfJL86(1n& z4Ny}J%;y9hSB5c0z<`K776g+6!Q??OK`n2vtHB)%wCDx3x}Z%WL?_f5>_l9BNyuOo zs2K)rQX`_*3RIe*cj`f{8<73brW1(S)uICO0Vt%QoqABfgJh0F8d4x8G_sW;Lk`f0 zed!1ZACPuX_<;M8ARE9OP+t-hB_PgA2gt<}pqSx?3>82J2A~mwr+J1HZJ;qaP!gJ= z0*X|W<{3!UOY48&<{2dKAlh2E`jRt{`;rErf(BgVXn<IleMyioM)?BkOM-NRB*E=0 z4bs|KFSdeH9lU%A+J?eME?>+cQdH?ns)G|2);5$HSc=j%lmes;B@Z@iVA@b}V7sxl zp=7~QG;2f2fQ^T@p*SIN3@V4g`GHPtC}~g`fZ2wU0twTm4J8ROdbAA%Dy7J7L#=?d zp-?(e;NB&uKqay-DF7<Qzy%ryhy`v#fmon66a$Vn6i5%K7{l6^glHnK4W+*crA&J{ z6P1r##??Wjs8YuLX9cZ(!&=7u14~g_#{FOguZQ{$Hf&(ZxNl&)v6gXP!BR9U<Gz56 zhnH~y8^Li*?=tQ)D+5C*W*PShButw!?jy+P(K3#rGHwG^%D5Mxt31JF+yf8`T*iS| zpfc_TEB5g+kRF_697Gd&Wn9@haQcV0;~t>$k;}NF5GksZao54Q5NjEC4J<`z8Fv9v z#+?TnHZWz}Ik4SW%eb>(DVmjWXTZk8%ed)l!EsFQGVU~}#KA1%PJx7JQ^uVH89iFY zQB=n5ph_8c093Mp%eWmN7PyQ9u|Q?q1{`G^NDt034x)*?GH&lGaQcUraq6p4_{e1( z4@8P8W!!pjF2q{KtpiI@TE?w_lyS?!h7C*^w+w7I)-rA>Sc+z4+!C<y@G|b+N^qRg zyNp{5DseE&xJ4jg+LUn%K}L_3aTJwt2dGlU%>b2b;4*Fkhy^a=KrB!h*MXyq1L?t8 z#z8cZSH`g{2d95{8JCC3M=s-nAyQN+<J!Tw5NjFN29~0<jB9|DarI!s2BwUw1KW+Y zjH?Ap(X5QC0UHl5<5ZV{<CxxMTs5e~!7SsdK*F>s<0?T$kCt&1m2oGiQpOd4N;YsA zmjPmd%Qz4VRK_LXDC0nSaF%fpP2`nv-iyKMA6~|7Mdc%xakC&&R4L=)!MPA?85akZ zqO^>QfRu6JV8aHcj0*$XjkSym1xwMaj0*u94=>|#7J=iK-ep`csKmi6<AOlKv?=2P zK}L_3aTJwt7pPLkd4Nhba2e+SVu8yz5DQesS>Pz+KzeYNaS%=9m2s2igVR5}jQfMi zM=s+Y&ZqDCHFI!I#aa%Ufu$%d2Mr+Qpg!2Jfhh;|z;<IT2X(<xG%E*nz{bPN!Tx#R zbU^QNP#aV#VU~khAYs~+gPI_tN6SHq%E243auBj?O`t^u!XRz^ngXcw1DAsmAQreB z1hGKnAm|oUpH9qmydXU|%Rz`H^2))jv%%>fUJlC7LE$5pgDi9CzkZD$oLk9Qzs3jF zNUim2ykOIY*!nddu%qGY*DlNg$Lye5zs3!AA{pz~xIm@Z==wF}t64#N1(3E5JV0K* z#sDg4z(vjv76yh+%=K#^VT|$xwtfwy8zf22`n84`;8X`MUtXc|k;|9!5GgEu$!1&7 zGDAlGNe5IIK{qNx_UvNcA=T9a-a!CbvB=;07q;RQRKF9m;|a9(6SSe`I}2!S$T>9a z(4~~1JyE#!IAPz?)CJiJ1#uK7ctt4<wkUlAJ9-z`(XSa^azVlq<aO|}vagT@nV<!$ zpx{JWz}pB8-v$N-2L9>rAO@*}Zt#IB2kjmPF}onEfI+?~H6pO-h#Rsy8M*=J<z0vy zK#N&HZhCzf8eSmI%a@RKpr93|G~A~1gtT>_ACU9W3sCL>=c5N87G^#I34^i<${HzH zJ_6|mNrLkciTiDmr=jMfv#5OJe6$H7g(V+h&oTJ+6y66XDXe{wdtfO_`yw}3z-y$g zgAE&)zQ{GO-B|k~SHV&=>x*0g8xQY`v`huZF+9W4X&vZg7OZQeE`fw;(-*l2GJ3Qx zLQ!Aj2dpnb(K^r*pkfSMpdA3Qz<m)A3)C0c!Ge8_6i5%K7(*%JV0#K7n#k*mteON) z|M0eg$Yc~gavAq?5`D*Hwu5sj)^czgSc=kea08?qTn{#EV9LRDV7sxFgKNQ3G%E+! zfQ^TjgUcs^<DK5+;A&8*ggGX&3M5RMa&RTc=+SbJqH>TSAGD=f5H=J;=9tU^Q0WIQ z2WNm-;BpYe0+oXkaFl}}Jvhrjh$iyN!Lxnf^baow&HGXK$mO6+KmEsK`oX!Cj4_!$ zutsW)$@GFv8)9QJJzz(}$7Ejgf@5}2jmdO_ok+%*Oc$s$8y%CuGbY24k90mw1E`<@ z7daIm7G_@(B#cqM!1|IP-5^PDUy{T<g)_RrsSaMgF!iADk;|9o5T#i9lJp;wDF-La zAvPvc26i-jOhyS3p75s4=$H)n=oAviWF(ODQ3fdYfb&rTh=rMtK*FG`g3@1s<s*=8 zkR&)CkvJw(-HDQKUOq+TBj=-25GgGAi1aa;cyN-!+82ofOHtYviGcJ)!oh|OOkX4n zY&X`vNGMo}W_^(mu<`J|$ea#v9K$m#oyKH>L3Jr+UnB@5Oq;$)Ajs&^z6eEq5d~OZ zgrYGS4^S}%F3=o6EO1{0!~*q2EO7KiKzcyM7)luj8<T-(BCjuUs1=<4;cW$-HWWT` z87J6A-!U0;a8AWq4w`|bC@lvKAmyMw*sy^q2lc>qV=V`D!BR9U2X(;4!^^?_E#P>k zcR8pHDwQzHK`oFlZOTDSkkO;%AVuY%2CN)}ERP{`Ohy4z`hm+q2@nfh4uV*qa!>$A zISA5&vmAtIBCi~L+6Yen@N&?<35Abb4w^L4e@uoSoLk8lli>qvq}G@WFW9spHYURZ zb~JoUh5-`OgKA8M8|*|f#$>oarP=7144yF=1LVFW1E`<@7dbzev9FV12F;1#I5QZe z8&vp!`;sJ%$!w@YDNkNX)uZr{%a{KUrC9os^dFP?&O8*?$$%XVACs|ygeSadGdd<i z&X|k?az1(i%01wG^Z>-d9FqYFgR%-re+4!s1JVtW1m`0X$7CkgpyZpEe^L3!`REZu z3QImBeN5&)I7wmci`)ZCQQ8-|!3<d^12$}6`XblBc4O^}Tm?(ftS@o}Y&^U#vZWdv z$M6hGr!kq!%-Gk-fP`t&7r6*BdbBS>QD4LZ))%2@Oy&fr7y}n*2S6-vUj)Pg^+iDU z3Se8a57GlF#!$*Q*q97N6M21+TNU8+5ATb(RHE>a%Q($S`i{wL2j^6*<={536s6_h z21q%$9&Fgal!NQQc4I9E*Mg;JRt~NK8xJoBua|@4o!;f(YEY?!IVQ6TButxfa3#p- z(Q=TYaxef^4pKBGvj9~3fy==eAQreB1hGKn-~@2r2y^ceNDt0(5Tc2^a`0~nIQ_%R z!SqrTK5{weQ%e6anSO9?C1Xsc53G?|V=}#9(}viXOb^)6@G%()NK6l^F_~_#6Ui8p z0bOc_aiJFIWNgshiqW&TJsOWiAonF3Km`rB$f*FaF#D1qVT|$x)|Uk721$bZk|d7F zoG3&oPhJ`oq41H*7x5zcmoMeu1V~2tQU=yYt@5Q5Y}ybjUrNA^hL<mo3&1fusLGdO zuoKBBUy4AjrO`28JY&8Y$mL50s8j)$F9{$PX88gV1{EPF{Ww_p0@4kVB&U3toCi*I z@b=4JR6cU~@(3b@Wvq(+W4`g=ggM04;l+U+4IlHBg@h-(>ohv%OU{^Y1#&(L0OcNV zKJoyuF!K>e7?f48<|B}9kR&)CkvQgCmV=s)9-#7(^U+a=6qbBM`k1dfI7wk0>u>{0 zQ99P)02%AB2OBmpV;y#2yRnXS*n*{KHr8PSHXc6KF+Cd`$M6hGr!im94Z&E>kGBF< zv9uZMuml-BI@UqaSVseFtb?L4UjtAv1}@MvKrHZB2Z#k4>rlWk)&bH3D#lRCIM|pk zL=$;q9eXpt=^x%!P|rl+BbRYJne-j=l?UfktmU8_Sc=kePy$j8ih~Usm~v1IY&X_& zP!udhvvN=bY&^Ui+?5WFcY2qD!k|*A8spwsA&@X_%0WSp(WB)cMde@ztQ@3h%$EaH z`hm+q1`rEe4uV*qa_|QeXgv((m@h~V&T<f<iM(>~K?*qi!^=UhR1`jPIjEmX|1p{G zOrZ5JWQ@st18bz#n9NtOX+vyG<_p--@G+U+$>5kBRAVxq!A>M&Oy(041H<T;F9V)2 znF+{!$rnrv44vR2=K+X?*_Q+fW0WtjF&U6<kR-S-N#dCA>O^p=gO@L&Nho~e^5qvq zDVDw@{l{ePgA?Wu8<V*Qb~JoU#uO5s@TSe^m<%~%G7FIN(FIWM0q3I=AQomm0tth% z3QB(kmXAQXL6YEnMB<oCUpz{_dHDmCkDQP0LZq<dBhtrYj)RjF*1pIwuoR_zkpoQN zb$I*1h7C+#WFOdWtbLKaU@4mQMfQM=hxbL+$ARM*o?+=UCbJt<mtyusc7cRx(-+wZ zGJ3QxLQ!921*|VZ(U{BzP%#EB&{lw0;Jyfm1?r0|z|j{0=>ZjEC}kXMOa`KfyuQfQ zXmI+6w-xMTQ25AYoJtIR$7JS%b1K$ya2{BS(sFPHq#T?MHf&(Z!D(Q-v6h2V!BR9U z2d99IhnItwqQLP^?{aW5s8qr%2Pc7qX;ThP1Q|VA4pLMOZh)186phJrfJ#4bIoJSV zfy+S<3seqP;3x+{dT^G55KZKjgFnK-=^tJWCPkp|k;_5%2>Or7l!J3C8DlbKV2#uo zlPLw8HpIqcO2CeWkI4u_VtP=G$rOW~NXD2<5vVj9T_=NQOlAjiUorz!(144a1P}|e zF9{OHC|_WGNsw-kB)Bh0;+V|g5R~%drEVw+AGv%Hf=FTMOVWQ#CLWwHhuD}*9N5wD zF&SS-(8HTHqhm7UjLDop&PM^D+yl->9v~KGJ^~4YvI<In1(uIMx<QiQd_>}y%(5Vq zeDhKu7=@3VkG?{bV#!COkIA@$lN8pzh#Odn(!PiTq%UF*Hf&(}B6eWAvGzr5!BRBq zi`amThxbK}2ZG}io?+=UCSwh%OELQ*Rv=;8^hGQ|MvwMIDC&z`fb~Tv8j~>q6=UE6 zO#{RN_eDS~P+vp=M_&Y_2ULuqlyR^z8HgtG`XX=r!08{}7YX-A;UkxEHvaS-laUAK zRIKHo99W9da!>+N4vK>f8<=uX3~V>na!?d3MYD2H1Z+IK9DL;qj(2*OgTkOv39}p& z0twTm925i@Jz5S@R1V&Nm4g(G$#8&5KX5t70Ahj5K@ba64*p=ou}%hDKBAo`11kq1 zn#d~$1-!xOA6^btq4JT-!FX@_kI8&zBzK(*SR=K@WWIt;8)9QJU%-xrkI86zfn$15 zjmdllJCTernNN(P>tud#;#(*40J$&uf)Tz>2E@YbOFjUXJ80z#tS<@D4Qhme`;sJ% z$=r4ar#g7~;_89IM=oEqAW~H6OWp@3EUay)dtfO_+fX+c!Snmq!G;Y?8|oU^Zmeyn zt6(XbwV|$njfc0P{<wkTl-_Nq%b+p<vki3#Butw&)J2fdqiraP+E5>0Z77QRk|#jL z7`P2}0K@{fp+GE98)^r*EW=!D4AKKC#<2D!A)3f*Ln*m{(?7h7>p|rsmvMy<DXNrl z+rhaIYZ(X1n*6PlmT?;(W!!qOJp)t5tpnSQwTxQ}mZDi1w+3uHC?H=myk>am><o@$ zdY5slK_w1m8Mg`~Oq()pCCKQ}GLE7$?gv%MxCNk+4P3^}0I|Sj9Eb%f<0jxJ<3M_F zmT?eG<dt!m4&d|;FXJww@{!B99S-#GC-#GLt2z?{11Pt4vx1ID@#yYRY2aXB=nmvC zywKUA0?NDH5UTZn1OL=x4jo%m`oJ3Bfv?&HX@%h9keh2krXXE^+ts21>OFz(v*m9+ z4c5{PQ3$&ZxEE|1s3HPyfdXBP+u5Q5x~R6hMdb)514Czv3TR@e8zR{JfDtNvyhWvf z6J#9&!|@iC7AUg|q6}goXfYAkRFFcLg*{*kJHh_$hM3XWqT&Hcy%1wMTU2}?Jdhy7 zLJ;eCi;4r(mg6ldE>LC{L@&g`SY}XYc0&}xEbInb7=$d<*`lHVu>xdFXN!soga;CY zSO{VrZ&A6y0r%k@D6<Qq7h<6r3&_IbEh-nFvd3Fgu0WaHEh?b2*WCj)h4VxwL{)c- z$`_DrJt`m*!NMRN-4Kl+M<42#qS6I+^mVYKUo*U1WCu>mptuCzC(H-AY#0<<V7~f4 zYzdeF8b-%kR9Fy94g`}2!K{F}niu3_4^Yg25~6_N#m*iT(51%R5UTYgEFE@&ok&Jc zxC2yP({^=2{9kZ*fdT*&KCkB?UB>)+8#L*HWM0Po#TIfOprHXOBoNFW2<9IIvjocQ z#ujoFkf;Vxh>&Y1BIF7{8e3FA6e8=lVGFqcL?nhlnO!X^ARmCzXsJE;8f#Dn0!8d` zNag}DK~c=fz@Xfs0x|)b2VYM60}eTmc2M|$Lk=Vl=72&Dlm|hams9^>3%Li-&^X?r z@&v(rfndHtFk_%htRZIs3V<FJ5QPZ2Rw6>q0Hm=+1w<i2t_54jX+VSPc#Db-l-bpy z0`dV;$bm`%P;ecGloB8&w181=Q306%ElggX{S6K|kake`fI|)>59WYE4pf+cI4{rq z{{J6zH8lqV12-hwBgzJFS<nM1Ii`S1isn!M6)!e_{I3WqLBP@wJ<x)sdkVN9!BF+` z`0xM!4G(xg0@tUzM+N`r3qt|Y4Q>^n>Kj~<7l2rpqc0#~j9MEu`U27ok_3;wI503U zY+!f+3OB<`9=+g90&|UL<3Uil<I{QGqw^eC$;*3|;7|mWoZztXutMR3G9)Jh16WEI zB88=ALjM&p`QU^}n-wu^;ClNwq-F($<8erp3}PZG8PG9n;MxRQcS9?gDVz)pU}0z_ z4x(U{Odi<L+ZjN0*lUKD8IYg{H9ElIi+e>3Bz&{@ryo#Z<llCpvquF~6CG~>R}>&; z9dA+DgUC@JNpOxb0+&TIpfbl>ROUdL&_)h7#DvZk6_A>j4<NN1sP+Ll==EWwQvBtc zf8b06@+~(cJfIDeZV0a%cP0Xb0YVih;JT-%fC3676M<B{eEyH5OeBDui4s6r2b_r_ zKrGBm1QG`26O`^fEE9orgCxP3h{WYEN6b+&%}YHC6h3k$5{5`&$wZ_thY1I#C#>E3 zFt8M*-TMGY_ue0D*uZq}{lIo(?cV!>rD)c@_W>IZ@7_N(1IH;mx6)}jj5nwv#q8dD zfrM$(z4rteJ=(nowQ71)@D^4t{(=ju-X3tz%%gh>xXS;;FVN&r0KH0@q9IHNP%#EB z&@4bKaQ7a>0(I{VaCGlMdO*b(N*M>628L)NuY1pJ0#5(%hC(SSAGwT+f=E%NjME3_ zLab$+9$1RfGEM_h#;Jo18<;Xq4Qw~oGENmNMYA$a1#CRLjMFg&$1%OjIAu_YgIUHY zfrM#O#wmh~9xdZ2D&st;QpQPuN;YsACjerB%Qz4VRK{`ODC0nSaF%fpP2`nvK?dOT z4=>~Ppz@K+xP=DTdgGu0BWQbE1lrU--l8IbV9FqvHTZhtpt7^OM+HP7dgJWi+)Bpw zBT$cqzm-}`U0A`+7-CCZSip{kFLlY)2gfuhzCc}_mmeTqA5hN;%;)@%EqX!YThQpG z!2lmK*okBe@G*f(GujUDIYPn*6ab*`0S~r-+D2dwXs`t|<P74xbb#~|K_hV7Eh;sT z5p58!8^XiWPXsAOr~;YTJq0|{jnYp9sd{NmT0b!Wxu5uh0k+iT1Biv$PXq~LlrOM; zB1kt#65LNDajA=}E;!Y}%a?XkK63ezql+y8-ar%|cM!}62<8(6^96$W2Ein~)OpVU zT3`p-k_DRiAYtO<9atl-85aTYj0-5k!DmQdBi(Po8nMi{fJP>u`44Hh0~9Er;SQu3 z7mz~GsLR2Q9+fv>)8I2MAgRt46=;eC8Pf?(m=M8c@Qe#c`Z#1b7;0e&Gz_~S${-d# zhE1zL#*O)>f-HOuw(thBR3~J7w7W$GWK3s^iV3Ldg$P0{1Pz}ahYWB+Ekqh31xZ3I z{0*L==!PhS`S2Ck!Vkz&oh>RWK=TQZk=D)@l{F9^NDyKnh;_V0WdXDnI^LqP1j_7! z=!IA)%nBN<I^Lo(11bv|MS?OBgJB?3z@uIuRfriEkcnX7IS|`H6v)w_8JCw}M;`%C z=)7il$*v8~8lboY7bDOa7f@`0`PgS%7C<8f<az{i1%kN-!Q6mgZb2|X<DsAchYZ`I z&BK63#kzaIgJXzV7&LCm-+CT8iibTvfQrWM7I5zoF(&>T>|<QzJ0w4VhS#7|e+Z4w z7_cm51Qm}Uhoepgf&v5-anNZ9P%?%p0u`GeW;bNaPZ>TH2vYM>05X9C(hdr4aQP0h z0n7oF@1O(@;=JU?I)M{`2+<e>GXcR&K`=8A%p3$0@3anRMx?t3JaK}Eh^OH2#2*o$ zd6;g<lqDiOpMW*uj|kAT3v}KAq46=chycx)fCBb-i;4}@J6$a*pa4ON2v81&DgtGF z5VIRnyePMT=UkvA#LFL$Sq+eOP;i4I0%QZ21BwXP6vxZ&zp>R2JD_<JG$M>(9zZaU zAebi*%rgik-dQ5h>`ZqLcs3Ui5s$#(i9aGhlQG?p30_2aJ_KvT9}%FLUg+cvLgNE$ z5wQW`hb>TMSBnZLK#(E=RP2F5|2U*b1Tmqdsd9@7$OLG~`SQUpaDfEU4i0<JlqN_X z%mGCNsLTU#Uf%x&9vKG}ecUZ7pfV0LppGc<@U(b9in}3tx_iK7arYE(IgHZc0jYX< z>lb*i2~tkMCUjt9P9BYi0&+oXeYb#zpgbCnB_OwWZh+c3;7-;B5DT-#0}=)`YEVXv zU@abyZjdCn#S=l=^ir5AI27S6o&%_S<QC6Th!m*H1Bw;U%sw<bHQIs%7VtAL@K1uz zfPmCtA2RQ10r#6gk<Q=x7hFz5=Q}_(JtSU0&7jr;{8J9{Z#xKT4M0Rel>w-J0@dQ6 z<jmhnn;9lfTFo$loXg(|-*bIe1swYD@f_SUOpqXUn8Uz8>q(}&ke&prVn>~1dI{+~ zfNDV+PBJ|qt@BWUoRtoMG7vZ`?EtYbvl2)clv}W7C6I2ABseRPILTzD4312AR$73{ zN6t#E%Gjy~0cdtQ-l9T-!3of`CVwj#gA?1pl>oH{C$@r38)AbKTfmNn4^9LsfnypJ zU*HO6Fbqy?20IZ~RSj7_2W~Dy=8_Rr^(JgpHK=_ID$_^rDD!AM)_`2TtN@iN;PPbw zh=p0cfP_Is2-fliq#Gm&E?-C-oM2P{r#g7~l8wqoE?)v5QlKgr8jDvDWecdbfr_4i zih|m2pbl@33P|1ei;5?jA24<tYCX^~MP)uXVPT!%nFp4lbb@CF19%_Kbg*FqGr<GO zHvFwvCwQiUokg<=o+)7C;S)Sc^58fIMG?4Uq0=PxWKbD^Il(gtButwLo{1o%M<;k7 znGRIi;#_fqdlGvBRVH{kK*boiKx+W8z!N+mmPe<LN(Hvdu0a|=Wf)2U2bsYR0qG!b zYR69oobutN+YVGda_Ke~B1MJLEkvc9zcm!x*axjQ=44=i^e%i<%J^GB%U8gwwL!U& zn4U@Z6ctc`%6Wl*>cLJIm4Xf*m3;nI&{QU}G0;jFq_VRGyx^-FQYAx%{h-pIg<uc? z5DU7_3tDP}R%U^iP<`D!U{jDw?GTkb{?>0We}PPZ`74*d6*6v1_2qLRDmnbEpdCG+ zQRtT~(%|R>1s%8`+Y0Gb!1%{oz(EC;ngx*pjWmONg|u29`vN-XaCCPMcsV|*x3f!2 z!C?(rs1NgUR%srAWp>b|+~AQ=X!wJor@IHdXb&t53PaF905pjl>IhNEEQOR`@eudJ zJ4{oc&IWl7G|B^8o>}=HG7P~9UgZih5Ht({DgmI$pa-(Q0g{SP2N+OPfo$rY0(KjQ zs+YO{!2u5{Qa}!PDFSgFj1O(lfu(-_1v`kwow<)V7vapHLitsqk^s6rAVeji^N>%s zi%JBj*b2Zbwn9`AaF$sCAQ^CrjKm(_QHlTmKk*BIx2k}4A2M_vL>lnXC_)+V0dXOU zj(_48fGqp%Wi@4BVDRXyQStETy#9$_&_%_8U*n)hcL+$JM8(3R^O{e$4~X_rG4Sa; z2^!mGc=_b+zyF4p_JbnPW8VrE1_pKp2FQxymqil)|4#q|kH$A3>pVL5sDO3>dUS44 zS-}W$(H=0pj|Ha3qZ=aP(Y^l$$jP01R5G9n!F20?{wW7KT2$;A85l}<UNeBk;6W1q zA3)e()4LrsJRljaN5u|2Pnrdum;fmTS$nL(pqPPy5ytiC<>d$ayaQ}y;}MWk4;vmZ zJn7hZ5)_7DJxw4z;6n>RT#v>>8hi{49?ge1JUXYSfYyV;RC+WXdXNh8+ZL#>nMddK z&O@CC9e04NInJU28gB##9-18<jfWDD3<dcM$<Tl_h@lW+QVaz<2kKIY?kV74_h>vM zLAIe_=U_LqgGfW+5y1Ka6kwe#;J60OGl5bQ19<P!5-1a-7UFh>ZjSB%mhYDoFE&49 zbm%zOdJ?qp$`%~Yhr!cY$Z9=UT2Jy%1<fJZfK_Y+tLSb~0c~yT?ok1m&v}A>>Y>gS z70`0IjwveUV1<j36?V3$fOflew}91mwx~>DWMBZz*)TFNKx#&)G)NpG0AfK^boYSO zf4|rYvZ!N<iW%63|Iq0ykaNLliZucha1;fDDcIysV3R@S!h#GD3?^U|55Ow02Lou~ zU&j;`$YQ!n$O@?(43IT>dTgLcLrC_81j7MPFf<?F@PGydvPU>Nd%#hLEat(|35m$# zEh?Z6ASlT|y$0H}2QsvKqCg=719%o0wC52TkqB84nCu)##DWz~Q33HdFMy_+J6lvh zi~m4L4YK-g1s}xOpcY0q#NOr?jQmqTBg6`jWrFgcpl+EBE_?7fO#)^)*j7jyLpV(a zCJS;5sF?+FA)3=bi=04C69?JYdI*oxK+B~%dQ|v93R_&kP6PP>WiJXSz;=NGvGaQ8 zvCb(fpjA?xhg`Z_z%2NF5onqJgcZ5WFa7oZKPYbC1#!271H3Q>uSseFDS<>cvZCV- zpmW3+UWP-aQb5@g<b;=jkUdW@K4|im5xU3347ACqy9H8~u_}O4O=k<Z&;?CFgLFg6 z5KzE@T5}+GK}u@uWe8;DQxkX+09h>}^RR*z&p<k%pbQT(6x4`;S_MsWph;jx1_tn! zAdo6(Vgoge8KL_<poIg70##I?;t90o2-=7{3Ypder3R46uO~vi1LA;Y)M5TFgEZnm zc5p+ABt!-U84a2x0u@jn|AQuqKrH0lN?;WbZBQ3CgB5~83#BOsRS2>MzlmTK(2}x8 z1>}4jCV~{c6#b7jM}?m3KpTl5$qvLqPj=f-lHCrpRHFn5LTssq9W)gRPc;)LPBs6* zqbB%L%|Foi0&P+aXvPYfYNkPg02J(?gfdc74QR;~B-Mae=&5EmN~-Dn2`Q{VDbIt| z0Mzz^79hykAJW`ty$GrXK!xmgaD;>AdO;=UOHP#Z1TzFw@q&8Fps0Y9LZEB}DlkAs zgJeCr8M--|A2N1}f<&7iF=~Qs=<HDeEhz(81X@g0>HsM!VLCimuxbG{CqY_3%SK9h z!Cg#HCIi_H>Rf|ph$}!lyg~M%H%*X7SwTxk_*;88Kowj!q=W#KQlN=W$TEsXm=tKY zaCeUi$WT}#3N+i^F-7G*IFO2w6?V3$fOaN=Hg|zm@3yFvfNC;`Af(L%l?I7J1VAj% z(g%>j9<X{uBMLNa!{6$|19BxKj3CjN0gXmbh(QZZkcXiKCx}Tn20*jepy0d?%DKeF z0BBYmqy;n;42=O;R>YnTU{!e!xRrrCbTCB)GBvxM8{{`oPoI$iIvCWWauyu0YhY5K zScbMQVKHz5G=@Dz<v3X3JY<ES$!kzhLgExOj0E9<_Jl$L9K-^xssvRQ;I(Zv2o>Eu zDj*&r29AMkh~Wjf5)lL49eY6cb@r%)fIDum>Uje*sB;Nv;v+=>sEr4zle;16J5L?_ zC4cahG~>mCKUohxWbW)yabW~^1VDiaiafM<gz5z;>O9xYW6(VXY;WiFga73ZzL$3F zyx_?A!?E!JC|SB_9&+peZJ7tnth#iZ?4F_mVqJdKIR#u>ft&?4ZwlDb=0hx<*SbXv zy7z#MbnN`$c<_h3<G~lwj-9_;84o!&K7yEf-J#<r*bq?FiQNIs4<0yvzv0q(pz~U9 z2;0NXDd2``H-u`v#6KUDx%Pv?vp*P|qM@k+5-T9TLz4kS0Avx~RMq@|5wiHB`5~hw zsMpciq5_(1?wFzintLrZ2aimFjKQXI10)5l2c>?D!UW_Pw1~i0n5+YNs?`PNDNrd0 zD@@jcr7U4mAcNtJBuI}8G)2`hMP)fyp*FHYL{bKMg5spS3~a;8p_i0FGklPw3}S&( zAt)RNIw^xE>iR9f;Yov}44$T&q5_(xBaoCQK$3DlHIi~4IFV?=JcXQ;d%;q2Fey+J zpe1F{Ohv~Om3FW~(2yHA2Z9t*IVrb+Z8!(*1HR1q1RjG0^@~Bd_GQ3Fq&83YjuW8v zZ!fqBV)zYo;T&Y_uCql2v;n#sLbV=n<ezfDrK3fqm4Shw#Q8OY;U&WZj-3agM+SIw zL&x(PkAeH{o!>n=&+P;?Ixxq)UNgKr`3>0#4InMu9x1IS`KN$7LM<RGZoZxi?J<KL zZT1oD2~cwbWa`Vt?<joGo_koQDD6AKZ~|2ksH}%&6pwBOP*vmss_qC?MGYV~K$=sa zqbooz1Q`R>OIlR~n%#orUl0qNe?i8>%_Fxe0y&J>st6p@Q@|9YD)LdO=kIrhP1%6l z1F3dEtnL;Skl7Fc5bJo03aHfp3Weh>;1&Xi2|B<8(uhE-hg?)DIzm*+L7f(aQ5b3p zIzm+Pq0K0e>7Wn?oeTq_QA55PVm-WZf@sKsC!XNG05x486_1ZfE`O^v*ms~+`v@aJ ztxc%gK`9M%-V>}J4{e!(8oJ#*-~a&6#DH{w*6BmrXP}vw9RAi{;1Pt^3@;tOf+saV z4WXGkLF4(~e>NXr>^#tU%;VrQ=FShDEh?ZbxX`cy<sxtz+5;Bt{O{QL!-w%$x19^D zapa<s;nI0x=J%hDohKbNFLwH<q_}jRy!^a7L?wgsSLYNJa0E>OyARE@=Ho1w2D)^f z=nPRwnCa5(qmttI{imbmMVNUBVDmun`w}!Y0}XpnSiL+BnZ*Iw1>%F&l*2OL8px77 zP-t;O+V_Y?KRB#<R6rpM85RHqCdxnqSOr8IH0&V?L9W225M)g^UK7D8AUzEHCV~{c z^g&<h2kKBD2EsyAVn7{B7nK<FUgZUpUS;8DaNz`MnS;XTW!M)KK6JncEM@%#QCOjN zK(Y7QK*a*2)WY6(^ihd$=qOPMFSUZS$6;!*_8NUu0ziuWq1g-+F(5}lqZ*VEpiv8A zg7z6e%0^JMA@^27RQ#Y5ogf)l7W0Kpbb>N3NIz=P016vugn)<1K&qfUP2}ta%Cpek z2*_Me_VNK09H8@1Ab|`^gs-PSoelK?D9M1BFQF5iAPYb{%pe_45D#i@cMo`EACk~O zH9AT{LvjGrxe$dQ<FP3O8;sXPunKsQ1#&(P6F~|Ijgf_@ctBF52YQOUhLR!^KM`9F zf!ZUWk^_`*y781lE@<VD1FYO|0hb#fW4a+@)M%#RDTi#p<&XnvIb;Ji57fqg`4ZBS z0htYs+LMqZ0OLbDhG406pRwgDP~nO@Ux8$Cjmh|^Sb(yMIV@kn)Z)lj1|Y@y6z3~F zEcr?oZ@vPB1vH@|=POX~5y)3M(0p|p63C#02?|L{@)gK2ki-qLA6s?-XRjV`tp!m? zAYb7z5tLmJO#zVeahM2F2+miavJ_Ondyv=&c2Uu$xCJ~Lr4f$W0uE8pD!KD|L3ayy z)PX>|0Td!=ISjL!?{ra7LCdcSu>7h5&R-y7NY1Y^;QXq9nqOtW=7A#oB?}}efy@Ra zrI$}o`N;Y8<R@z9R|!xS6elvj3V;;zQ=DJ<u;f=>8s%3WXny?$=^23%JSdPy^DB5> z9@L|Oby{6ixGB!B+dd$r&u;Ym$_2`=;B_uA!lUsGXov!|-UT!O0b1_@>i>gQw}9w< zpjA4cA$taxh)4JSgksPDX^l#SM>mLotaNcv$uHpqwdGt?D*ivfvc3mooJZp!3y|jS zEh-T1F%TEDiiM#Qd3}!sc$G_yN&;+sPXu&*Pl88hiAn%;eNO;r)shEneUC@u5s*&~ z!>op^3;M(_;G^OI_5oO+;1j=qi%J21_{mTFhfXl0dGObr=sei`kg>Bz1=OzvH6%f; zKn4Z|Q1t|&rl^2A`rj|X=2c2m>`UcA6Dpu`8SD*KkS{zskAtmwS&Tki2v*ZQ1?pw+ zmNxK=G-L^uLFXaI9ZaCEB8v)SZ(0aw(Gt>?6!0b!(kYA}Gxve=QRny05*3}!YcAa` zDmqY=upof+2rh!Q)q&J|HXZ~y)CcMmPe^2d6*+cZMp|B_!T`FA=R7#T6!<j``gGp& z>AVFBZ3&;wix6M-g1R8E)l?r)8gZbFNU-!3>KN`A<{0W25)4Xd@}PvXM`Z$--lEb0 zqPzEi>3wX-E2|(f`yYT3OXnUH13A!&A}9?>Z!IeEGKiH`AO-)SE33e!Bd@HAlVM=s zZ@mQW>w@D<o`K<5gGC8+Wfj=2US3IXn(F{t*?44zJOe|tV~k_0W1M4rEId&`k}7z; z8c5r*CXgxMwN@amN8_O#AQyv@H^^&^$3R?&9^~W=iVRSW3y=YY2WSObi;4%xk)TCv zQ&b?rTT~pt($_%I2VwT8Sjd242D+dv6m*&gXha)i7s$iND;Uf{=C$zt|NkG9DO(_6 z4pjtO!SEi^KhBV6U@!nBz!sGpc}U{#Zczb=f{t^Q2bWVI3DA+s^57|s0I0I#Eh-^U zCRB$i0|P@tXN!uC90NmFk4m;Y1H*xr>@6qxTY11sIJzNrz?5k~l;tCoae|e3^zKpd zkY`}n2O5h2r%-UJ10DUjMa4lLbPSmQcroB7e!&(M1v#()j1hMbEDBNvF10|x(|9~W zfPrBr$gyCPjzc_1dvC|WmL-8g5VyCZz{+Ug?FlH}h9!Rw))@kzwATX7S}>a76Tbih zIP}oM6cXBL{CX$&r-NpI%s|Pj{RDXF8Rdo^gcxcHHgq#MDBuMwDE3$rD08g|*x*@^ zqy-uvpvX|DYmLE%wn7($fkv7=dbfbH4I)55q3;1*AO<R<Kr#>-XGjY|QYJ_xxJ+R^ z5eAB><A}7oBV(I5L+5eB1CWKYU{#HWPJ99>yS587Q3y`rkjw(g#-Q<Hh^;N)vi1|d zKto3!D9>PK9t`UpK7!0evL0eCBzGaqn*cLU0+tye48ay~enohv0=sz@peTVy%?c;b zl2ypUIstIu-=YGc5#h!Gvk1ZvY*9fqV*|QHkZ?Qb0a?cY>Wn~w4qQruN(xXI`9R&^ z+4<1%xFcu*EQ8~5P({n&0~z}To8s7c$fsBKBr7Or_NZup3+nGapk>EXpu8z63gEK( z66nY;2{7}dZ|6;L%)Ffa6FkfTD&Y`CET~XzKJmY^MFqrqc?q)r-bWsMcs_^%b+qIe z7$9mu<?hR?5H)zsX@ndT4Ke^)fMc4|0y*Tlw+9key;Gpc7*a)l;umOxCl^Sp3bd#| z81Uo*QR>ln43gxJae$hVkSN;%jXJYW`~qy?!UYmz0xc>K20V>`)^#(0>N{}6KwAbL zjmJT!062o{QBbuhAj81m(s>+Y0f!9i&?5#A>kz1I0}=xT`VVPPU3t-Q2WZXqaaiMO zjtWS-PiKq@mYq0rR6xdnB;gC&kGrTCfVSy)bk9)%nds3OqhfK~Ma2PBGeO&1FN23v z_<fLuhu;fnSol2vvB2R65(9<b4IJSI(hdqDtl<YT1|*3!{6HpR4L?E1DG+$l-wE8s z3%G<sG`T?ayhk%F)87R|_?-ZS8h9Jn0T2ruejqVW`0c<Eejx3jAi^4cAY(w1Si=uw zBG&M0{0Uy6I7Gs410wuZfI<x%ehWY>aQK15K;bt7NBDuXgMtWa_<@W8Nn#B@kcn8s z&;AFb*9<Clx;tv#F)(!YfSa(0YOCNKsH|^MVSv^~5E?uc`$HDoSp+qCv8)OLHF1x( zs2G5no{&bi36u#k9I6+j2(~H+Y!2R4K~q2h2woKgVu7b(LB_+)o1y|T4{22p$Vehq z1%VvaJq7G>v}H-CtAZYPPEq*+@-&2kOvU!7OqOO~;O{pU1Ff=xG!j6r>W28e`2joV zFd#^80%Q?H0K@{VAP0rKN8>>bKTs&2M@;X7`~;Hg?$`o}l{d1Wt{|k{;la8B8Y>T= zq7WJ!D>pz6>`?)!#}X@7WWgO74QNz=?rwlGK{i75f)v4G1#Ax9Sm}Ys3Wx=c6_D|8 z^QNeP%tMM5kdZ{hiVi5ura(M`>HySO0j<@#0P^${6%YlBm2PmXl!_u^1>`Ew=|G^i z1bFEjQ~+cVWVRf{LW-3P7jUeA+b$sQKqBM~BtlL=gB~R4!TJCiAqSwM5E>jIJ3wyh zQ30vP5+Pe45uyN%1<*YiP$tMms9umFScHJhK@AVI#d9t22m!Ic5dtzEZr&6Xka<WE z0y2__2vLDV2uL--Y_b95=_x883Kk*F;0W0xf`|~1tGZiMKolfbfLPrvDj<s>0w5Mr zgh)7pBLrJEQF#w8yjDQN9}+7P??LKYR2D!*Av8EvW`G>nqXJToC03?DVnqTP6~|jt zWS~q?C_?pu6v1KzY!2R7se#7|hy{)nknwQyrl^3-Ly8rUkwnA_$YI@6ARa+=0A@Cs z0P^${6%YlBm1=OT{1ZmR3dmL6Eh-=ik}E*0Zb%3~1VC0I#mWIlte|C+7)XS4K!Y9< zApy_`X@H7CXmEs7fZW!j0#c79LP{VJA^?pA(4jX_CMW=*dO?a{5dt;`H9XL=NeMhc zKrC>CfQ*NmH$??x9#VvWj3gpLL?GD&q#AEF0hL<?AWu(G0a36BDF#P~D^i4jT-Dv8 z0-_+{4`Ow<sDLbj2!L2f5hCCUO7`c`B4i3ALNcI14~dWtXoMs{MIkgeLLxwJ>rnxz z#}XkSkO<*`#=`Ly6&@%P6o62@AVshU0h@z2LUP~{0%Cz91Y|tiyeTRm^N=D0WF!$0 z0&-aQ6o^Mq9e|oc9(7Jp2>^K-Lct;=8yq1$LWmr~0E*!j6_DeaA24@9Sly5ifCzxC zgot;xs6f?&1Up+)SRlGVf)K?ZRyRZ(A^=i>6rDRD(TT0pI|GSR4`}Q`;`9JCP9314 z5E>k(79j8SsDRXCiBl6uoc@r542B+WQTYR9g5m(G7o-Rlr(knXgA^^3rNHA9!~(}D z$auJUQ&d3aA;l@kNFw4C<go535Rafb05wiQnalv>=_x883Kply;5eNih=@~=tGZiM zKolfTfmq#;5P%4PtVD{H7w*_H8OsN7HKYLzdPs!)fJTS{R1`vkBSZq^wjLFbdMptl z0*R0h&{zN+YXN0~0uZVfqzD!vU~^Ez11&;g;1L31fg=QDJlwn~Dj@TaA_Qb45fSo5 zj)9?j3dAF*4#12M0g$JssDLO~ghYcQ<hlSNLO`wp9Z4$(+rSGI09gcy5D*I~LL|Jf zMTiX~LO7s74~Y<i4<My2DhyCj2n~*qA2Q%h4M;tf2>BudZa%+&#=`Lyl{Zi(C;*{) zL5g4z0yYOVJkTN}1RfzE7C1sc#>35<q5?7xDMCO-5)mOFhjmX;0jb7Y4L$3eqVfUc zX$S?2kYI3x$RR}t$W`4fDj=%)fqf^0)eQ*&hych+qzDo40fqAUok(-3kp4?|M+qcW zUVsJ=p#zT|tQpW)c>ooK(BN3P0dioE3P?ScSh)g;l?TwM09~F3Wr9Kxsu!dP7As(L z@WzS{JXSy~aIAoghnqJ=1!NvltbmLpB37P2vI$5v-dF*3H7|fXJw*jX!D7W594iU@ zh-?CKRd<UDh=MekL9Ff;6_7;`0T2r*Rw{6JHP=95<pebRA$es1G*%8kMIkgeR(60K z*rNhck0n;NKw{+vG%Aj_sN8`vL7@oM3sMA&6|gyYW5op?D<Bp)RzSwX&6}bEG7l+M zKt>V~D<Fq;Pl0#@)d84=*9MTMr>KA^SgbgMV`UL|K|f>w0OTsr`MB`H3n~Dz2vT@~ zSV*z5A^@CM(3)&dAQ7?x8uX9|xdDxk1yE544UUi*Ah-3XfYf7&kSUM|xd4p?(2=ZA zCMW=*dO?a{5dt;`H9XJ?FB^D-fLP!N0T~ZBZ;A@YJfsK#8A(KhT!Ca0kZQcy1XOrU z0C{?f3W$P5h&4DuAe)6C5dv}*=-fJRgn$Y!r~t?!NZ|!yAw|f7AaI0WE4*Yrg6sGW zX!t{7MF2eO(xTD;6@}2?Sg8Oxutx=?9!sp0Kw{+tG%7%69YL9(P=x9QDT2ic*c`mE zVgip95DOeDAmicYO;G`vhZHLyBZ-KWGmuyTsU{dJ1t3pPQ2|k~STP32iZ*x)4kT6% zfDCL=0XYs52_RN?iwejhhyaKMI__5vJR1a64-)KbQ8@z94HASX2C+c*F2f@kqyi~U zIYJ?EinQbcQXzSC@8Bqb9*VPb#a3~K&hv&RLBR!yTMO{u&M}lJ#!lG89Ml9>@Yn=& z$`dk33X{=z4H~-ZfexV>dUPH~H043ZI`n`iCp{Vuf;-a92RT5az@PzAB&R2U&6uJB z5jOJZJP$gs23%aA7y)jTLXCh73c^jF0W|_5jB3OsglEACAL<X#cpyUN0@#2a6^JO< zAMmQ(5Xl5^Fhfm%jDx{Kg5wP&Bp{+-6X0<HNfD4q4VZfH6fo2z&`=o2Ef5+p9f~$# z51*KWnc)DkvlD3^cLz9v@l1z;X7313hk{IjOotkBgOb%A6%A<+4WAAL^QNdMfQR!T z)1hD{Vmh?v4tP2gG)j$Y73l|1QyDUn44SwFMSu4m6_6<EDpHUcoh>RNpq!7q0i{Pp z04xOBgE9py4PO2U9-yA00vUzg0!~#>V=<P0gG>f(Lz$w&BhA1t6SUO@WTYd~W)z4N z*kF)}FTcM4`wKMAi_2eUApQc4z=He*N>bf>R6wGr{sNf+>R^LCfwZxvM}-9}1o0P# zGy?<JdXRabk$mu^`WA3Xg&K?DFObO)e=)%P1u_!VUmz*4!Jv`hms?+g{RJ8T#pSOx z5PyLNGC}^@0pjja0g0me3uH!Ti^?A<(3B*KzkYy)ApQbLgRKXd*F6P1Fp6d@hQB~2 zL;Uqc3L0M^BOOuV3nT?L7&O58GVc}GU!Va;T>k0-c?2>j2yy^;1ayxINEFpyATv5! zR6c+`f#R<>U?GUVK+<6A!9$Bvz=Nx3#$xyjWHQ8GFJS%x8HwsIkQCTp&=BQIo!4N0 zfkxtR`6~zFFVKh@$N}Ji#62n?QB;3{%;;=Uc>?wXioYI!g&_U{NrSBinb$o9JVJ+N zEQY^8CPVyn2j(x3k*NLxNr4Rpjr6^I3h@_c_zRc6d?5Y;4N-v{03MIqqXH5|^%uyD z&K8v$U{9d<>k3#1;xCXi*m{t8-BZ9reQ3sF_zPq*#9tR+{sI|^>MxKK*kI7u*volu z!0`nd0>b4l9f-d`gEJrpfXAfvsDMOK{RJ|kvqj|$*b^xJIsq1f_zNTrwjN|&_Z0AO z7n-pc{sNf{@z)WUzd%N!`U@llHW)N$^m5i)u)jbfDY*Q_1MwGVj05BV@X*d46_6;Z zzd&Ymwx}EcdjiE@d%!{ve}SaI)`QIJo}vO7DcPceWGsfiKqf={wFBlakddhV0!e`l z2ATLW_#N0^pdkia{(1vy2114kK>h-cknB+biK6-oWJYI;$`-IEQ2ez4EClfvNE&QC zXb_@%3V84Z%~%Y7flP+@YYog_AR|%z1(E_A3>w0C$@3oUFHk2Pm%q+H`~~W4gB$=J zW7wkt5=HeF$PCaOBw$aV_-hGR2;#35QqcMfWM20aaOWM(SPXxGOosSt0nA?@BT@YY zk^=h+GzjqW6vSVkPBAWjt%3Lp)LjKR0NhL8qXH5|^%uwt(1EmIPoVf~23QE<uQ@P( zfz0ck0v-TBGZw>NAd?~fnga6|$VgOwfuz9x0(GNbc6<QG7pS+0%U?YZe}Q^^AP0au zyL(hXqNx4?nE^VZ3+xFLfAxTcApV*F^B2gx?kOsezT*}ZBx5oB1u_}puMU{MKt`ha z3nT^h7s$kyjvvAP0`<3W`6~zFFHpY;<S%e{agPc}6xClKGdf#TTELz_@mB*_2;wi0 zG}wBOd7uM|!HYh&s2~}O;V+QM5P#Lc`~@-+)n6bfu)(0N+spsZ%~hb@Rd+|v8*q0T z)XoI8??HkdtPS8+JHDA)ka{fLY0wIg<B+wNpjnLLEh;WZ^HSh;OAmON2&_8|HV1Ea zx&jnJ;AJNu7PvbNTLlU>ZwlBvr0z7xNFut^Acuh(tDwFussm6*ot|J{c2X_{T6V$* zKEMgmc>=i#bjuZZfdfBi?>c0v31m_81CRiSh19ELum<&5&hKPK>_LEZo4PxWKw<^d zN=C%W4rr`ErfpkPAT(r<15|<aKvrvD9uWju0dgF&P!$?0Hb}7oZgus5mx;h)1!OPU z7z5hSQvp0yKrC>qz}CTn&6@%?4=GkaMiLP#Acujf7Fh-cGzVbD3TVAa_Y{<6C;8x5 z31CNz(1Kjm4e>i95}*Pgiy#6Z7E-LNz#S`pAh7~!<0E3_12k43bGI!j5E>jS;C3IO zwI`s?AT(A$-8T>uWH?kWXsrk=RzUWm1qxcMWWZwu!~(|($auJU<gPsdISe#HODI;J zcS07ObVDfE&{H}%RwjYhtV8k&$W`zqTJ`8lv_JwNE0JO)zy^|6-~$#QKY<FX?hcc; z;1UefLxBl;uxh*osc%t%%)Y{CaIAn^t%TN|fV#4vs6bny1=R~$D*}rZkiGb0B>^5Q zAQm`QK*oa{3pS73wI`rnF)?dTK(PW^bkdEw_9Px0D_6kV6Ckkyaus}u7AO*+0w9Z; zAAkfvETmZZfh$%#SR=p@a2&jq1~O1~3A7;qGWiNA<~&#vqCq24TU5ZJ$fHD{umQ!c z2kQjv=78GL2t^UG5Octy*v&bC-5ki=Bg{Po;Sh7cqFBvA8mfeZ8S0Q3WEn7I2LWst z5<(L{WX1q;1hVDewg05<ynxsW@fc|51$-$p!4W&~P&(3x9mJoY+6@-qAoFhEUK)+8 z)Pt3XofjbUF2Kx#EY3$>r4K5xVOD#vlD@$L6cmI<6hYftAR~%1!AJ4!Q3;R&l_9VZ zMF?+-iiZ?vfj@Xe(E-dvj3`>20Jj)H4G>%{M$p(Hw2=U6F@jq#ct#X$Kt%`Yh@u5p z2-0E%NrM}9pdjy_0&Z-f8H>?k1i22<Vl;uZ7(qs&wirQDV1q$TmX|W$!TthO;kf(- z8asqmvmgh6+W>e*6b-<hKxql<fQ2Cb0!f3d2St7N6ctDny+sAdSPXxGTnF)&2Fza| zBT@YYk^&nHGV$dth`&JfDlUJ4#txws706%US{cuXq6*j(DE?9a3qkw^k_KB3uKy4t zz(~eo_zUDZh`(fD{sI|^>MxKK*kDk#`Ete&aD0JkCS3jkjU7UZdXT@s^$?yBMG3Gc zQ2ZqV7J~Q-Bn`G6TwzR6fm9P)RFI6t@E6E+5Pu24`~@-+)n6bfu)!b`Uxq=?qXpFr z1QyJLhU!6O280IJ`=FVZ?jFcGbIdj}wC4hf7-+o@3Q7<YWDHa<ND-{w2jwID^*#?M zgup!)5DQ%IgN%opM{dsr<S=4-E}&HmSQgB4gX?{Y7R)=~Ud4cS!8~Z90pxuM4UQGi zWK=hyo(m{hfuaJfH3roS>fXR&1yl;)j};bptbkbHSOFOiau(P;a(gbI6iQ6b1+*p( zOV5QF94izpm|sBpg83cLC0Gy|93h}p+TDaYEua|@XoP^~FhERD07CVW)@k`8$-n?P z;t#|EM+nGxxOwDuT0jmXrqcq-CZLt_Xq}edlAsPgMGNKwAWO3m^UWYXK?*Ot3+6$s z0+3P&4UQF1U%#7Brv)^h1Bwc?1@ln7q;*=pz+(l(0>=u-c#yNe=8@ZJ0Zk1N(`f-^ z6D*yU&)`_0Xu<psNUVT6B_QuWA_VV(c~H9pq!dDfBLvjV2OX{l>JnqtCZM(J$03Wx zp%DU_Is!34#z6Ig6v4_Z&^#3Wa_bE|LO?8Vgn;I`;N}g`g8A3r2%%`fya!~3HClw= zT`&)7FoApyp}`RX>gjirxnLfu7qq|~79pSsH2e|r1RfzE7C1sc6LcVFfz2DB1@n)= z5i)=a=08B96I-c=cfmZUu?Px02n~)?(6~T1nG5EjdO-`^VQ~tYJj5TTci?dfVu9ln zG&u=!7TCN2S}=bb9H$g5m{;%sb!O3brQlsK4<1eFZUN6*LeKvN4UBY?xnLfu7qq|~ z79pTnPy7*b1s)+F7C1scv#Ov70h>2K3+69_BZQ&_^8utUm<P?@f_x64!8ru9O1rxU zvd$c{8Un3dKMtAy1=T%h3+ADEL5g4z0-CPHA0cPp5dva?BLp-Z400COya8G;e;OPi z6fKzdAalVyX!aT8eFzPX70@c}ZZa3lL-m3dxWi%vG}(+lR*t}91;hf!3TQGL<Sejx z1GHfNFgR8yS}?x>cUKedf_c!~Imr7E8XPO2rP`pL4d~1c%)A0xyMDYy1vFO<%`2eU zZ4eV=3{)>j5iC|fQ|0($We+@7KrC>qfQ*NmH$V&KcY|Yvq6PC0NMA4ynw<yv972O5 z1hh&U)TzN9A)vME$6HiDll-7qKwB^m)eBMtixAKpKmG{W0*??73mhRJ<3Y{>n>RoU z<~M^QgrWuW0>R+wo#X}cptS`c??Y&CtR#RIHITVr9;z3#z#SGVpcM-EV`U9IRzNIp ztbkTPfSd(3Z-5reuLj4;04|t!pzeZs$WSO`M#zH|JT?Jc+zlGt1cf%JC+xurTQCn1 z1uqi^9TW-fo}sLE2X~~QtKA_ZdOfhU^soi<5Mk8S?gx-25W%fds1cyiHzX<8f_aEA zsu4)b-N6YT>JP{$7R-mR1@jP5us^Uam<I<l)CAA~79u2I3+5rBU=!f;&yZO$)Y(7q z%pKGu$k<Jb3WO$p_K)-h^PqSoJiUdvV19ulsK|g#Z$WrdRAxxRr?)16nTYAF=(XT! z1<=4Zu4x76^cG~8dy5Jv2|-u3qb`U7nbFyz(gVu*sMA{=U?Iq~0!SJ>`VTU%8!=si zWGu$C0?1^@v_gv{bOAQVNJo?f*dQse!JwgZG8W8((j;_cJF36H!_O@$4PZ~8OqbMv zg&_U{NrSBi4LpNJ@}Udnk&MOg7szCYzbat<0vU<wFOU@2V9;PR84Kn?3B4Q7^i~Pj z6Da;F01H9<1(F6^4;sHkS}>1fEQY@j(_1+(e}Rld^%qDAY%pj{my8AT;1Nqa(_0x} zPoVfK1uO*d7f2dxJ!k~98?j&>$yf}3A*QzyVEzIbiRv$q6xd+Us3;i==D`DrcoxjZ zfIWfYuL!UZ#9tt3u=SvUM5G1tNXBCL3p7v(NrxdYe}Rld^%qDAY%plllZ*xP;PEp& z3+4mBo<Q-J4_FA|FOW3YdeC?r(t>#;V=?>%8t;Sn%LC>wkddhV0!e`lCUe0&cnk^8 zf_WFPCs6$502YGy3nUG;9yBI}v|t{|SPXxG#=Ic@vVr*vWF)G;KvH0X$y_iG9*V)U zVBP}k2^4>sfQ2Cb0!f3d2Mz5YEtp3#7Q<hlp(BXD3}F5O8HwsIkQCTpG8fE)M>Oy( znAZV&0>xh%U?GUVK+<6AK_eufxk2cHUL<2N`~@0;f%r=W<}Z+usQv;;fej{e!8~|O z0MCMX1+XVj{3Qbxg7^z04YnRM#(=b79?4h?e}Tp@ApVko`3qzus=q)|V1vnAFc0pf z<5@5-0`>%ozXZTS5PyNB!PbL%=|~Iak&MOg7ibg!;x8VUzd%N!`U@llHW)OdK*oZ3 zaOV`yf_V<GCs6#w0v3Y!3nUG;9@N=IS}>1fEQY^8ooR@_7-0Sa8HwsIkQCTpG8fE) zyLWgN%>R+V+@J>*g7^z04YnTCT|`<ik7O){zd+qlh`+u_K--5uBp4V_{RNT&8%*kg zc~FZ0)PI1`;O;bN&XC};6VUoC=pYAZ#TAGNG6t%bv}GqBKp_NPb^>C7yVD@!;pUOM z>;&X6P-B(M1@rGEK=bJrkd|hHTm@YV2U&>?6#!WT5dg7}x`Y<EmuBN#Fb`_afV>Z( z!Lb6GI3&321ho1L6cxu?z$?%|Opq~9y`(KWc>#|V5DOeDAmicYk-O{!w2%!{wSeZK z32kG*vh3tJI97y^Vg=+X=t@3FBtQi~7C{6+ETmX@fIC+3E|>?k#z5YO(BN1BP5psJ zXhELAGC~VEj|tQn1VzR17Vr*g5EEn!R4-|3PaeQy1;hf!3dnf4dE~A=0re}1S$hIn znvG@c$$fCFgix|zz600NYyu1BL2XHp_aQVmRzUNBpkZ3<u>x9Fa=b+a)RhHA1=<oV zs9umF*nl9YXM}%1@CH0qKrC>qfQ$z@3v3>_YfnJEVq(^wfMNy9+LP<xSeZu2f_Vd5 z(3s2lo$z5Kke?vKZa5aqgWA9dMKhoa=E0)KYs3*sa4eXI%o)PQ#wI`)%!5U-n}cJ) zJgDu8uxtfrt$Ozs6|g8)bI=ydgGS&WxB7s_JQ|N5hyVr1v7PL&Jy1k0b_eYx01X2n zobn+LWD?{y9K_%p_~3UcEqQ0ihhAO)IfNehG<s0Yg>VXyOWuFbZpk|+Xb6u9VlH_< zApr_R*q9)MH$~-u1bj?z2bhT%6Lg<R?3f@Vh~R6uK_i8zV`rd|JJ2R%Q07M+6Wjn6 zg0%UzNI*C1g3Lo&@(yk)K~~aZwD~|LL)v_6U~N8-k*IAxkQBJh2WoV@jDjo;2aUST z#Bnt(+LCv0s{qfE_Z47IptRAKfQ2Cb0!f3d2UoX<CGSYaV)zT>I*7j(!2AU=64hTI zDX_tyYWt-GWNA2P)D4%v(3ZS|>s35sf^)#0K=IcMun@#wAZf7mpn4T)3>V2*41a-K z2l3Ywn7=?qqWTLY1vVH|*S@?4@fWCW!R0TsCGX&x1kae@1h6Mi{M7>%g7^z04YnRs zGl81Lpz^F6zR4eC8HT?=u7mii1LiM~k*NLxNr4Rp)mAU35WnOdGS|`qK6VAVTn9X$ zOy-hzs9w_g9xb2{0{1;YEO5;Yig!@a2sV%0z6U6dL4i!D?*UrNi)G1sGq~nvr{s+I z3%;O|k@Pd-ci>!M4a&V(worq*6}a0|;GuL<H|y5GV+F(l#|kLbf?@@19=UxFPzoic z?*UrNi>2>T4UQF0O3sKkAbrU@WI_%;F$Zc$fO_i$yB(l84QK-wGz$S@f&vh#m$Yt2 z2|PkTEO3N?jE9>?Znp#EFk-qLplpJr+ffXTkO`EW5&r;mg;FQhY=U>mJE-~wc^^W9 zyB(n3I>BxSXhsGU6=+M|p?XQ{cI3cg1;hf!3dnenv%uz&+wA~N?hw=M0A&*_-HvQ< ztXx7mk{skJ(7H_UNdt_Xkb|M{oe|$b`jU50y94BN2n~)9P)D8ICGSwZq%C<*fkz04 z1&$EVOclskVDkoO$$K(5LL`wQ1mr4`&WOK(wcNtH<Q>#t0{I+5gChjgM<;j5J5(=e zOWtGP5dva?BLp<X267hIya8JB9u1C=gn>IFUc(J%;{flHcTgJ?6nGFC9H*e3J-JKX zp?XPM@*V<@QxFRrr=aOYkh8$%4bYPJU~rtKP;y3m1nEoO!Q&yIgXqEM$busTG_*nP zl6R<H(w4mYz#|020!IjFUKA7|VDkoO$-6f=LRKRkNe*%qNoT}&kiO&{vZ%U61ww-( z1U$<~=8|`)UecDlyTBs^!~#bMXhIhhAz<?cXvw=XI6^)lokk9F6-j5rH;}pH9kQsp zMFm2GV+A}%O6HPxs9w^RyxYKI1;hf!3TQeS6f0o!258B<H8@sGkYWYoDw58KSMbMK zo8VpY4p~{<0zL-~IsgEk;U)8oc&J{|mb{z5V+F(l#|mgN9TY2I^9E?iyD>Oc$|yM_ zULp`2E8u0YAn$-i?Yleho)HgORNVqTzzrH9;Hhac&xnWWC2h&O4m?6YEO3N?Rsn!~ z05)%cmb`0&BV;eqY2+YRk#t792boLWcYs&nw5UL6aN)H9be1`pOWvV+Nn7%+0*@6C z3mhw;H4LCw0h>2KOWu{ivGSh>aeNx|P<N2yAg8>8ShPDMzJiKN-h07UwS&fYATbS_ z`vR|T2VLZQ4zWem@PG$YdG{3P8umlrHSC?&Jvz@}KkOacyo9b@2SpmvlsIhdIz$-f z+I4UWhOQTfjoyNa6%SU}+I5I1c;z{0<_ui;ARqP)4lk$)paE7;0)m(TTe}Vs1)G3n z(h)rU2Gx%`QfaXdbPM$^#LE9muzSXdn^FUxiidQH6v1=fL6Jmw$_jHCy9COV6@)iM zMF2cc1wN&T1I$EBSxu=UcFGDebiG9d6o&Ygv9o}3GwPHT16T+$RREF(kLZKULz)6X zG8SX105N6tM;y9L31p-r$})D46xd+U@c2t*$oc4?VP#xXAkZl*$l&i5@WqVyma+d3 z$5?*#1uO*d7f2dxJ;*%J=sa`^1U#k;nF+)27sz#xDUc5^e}Rld^%qDAY%pkm`Q<~1 zzd(~hxcmj3vVx4EZUG;RiEkPE8?Yx(rgmO{g&_U{NrSBinTNED9X!+vnF+)27sz!G ze?5Wu3uGj!zd%x8gF)l8FK3Z_?mKuC63>*?1F$Dh{B;K`1o0P08f-my{1CB>9m!Y> ze<7x<ZovEnG7{BaAStlHppnj(5s>rIK?}uk#TV#=cj$N-==3S@U>=@j>{q~^K=IcF zun@#wAZf7m;9)q#x$j8EV)zT>I!Jt-f%yw$B&xqaQecC@%h&}W=c9ubisSMZ=!Exf z$i;&oe}Tuu@GN6L0rmunzm9-~ApQbLgRKXRu^}yEM=}<}Um({({B;24FOZR_{sKvX z4F)e`KLzm@XrVYRe}PVT2aSq=TTWZR7q@leS;oEx><JWq?Eniw`~{K*TMrs%LR!WS z9&Umdi{USj>mdHx0`nKhNK}7;q`(G)m$4rs`P_H#@Cu$~>>I$IK=Icaun@#wAZf7m z;DHgux$j8EV)zTOjC}>nUmzn<{RNT&8w_5?UQhD5@8D4iJj>XZfIWfYuLWQsh`&J6 zVC%u-3sd0dz9Sin;V;B8_Bk+rfs91;7f1?hFnAfe1>}5m&_Z!s=@4|nJG3he%3t7q zKAvUlGr*oe@z)fv5X4^~X|VO+9y;ROcO+vm`~`9yBpptG`3qzus=q)|V1vQS*uO#i z1zISM%U_@q-k}$kf&2yTDdSnj-UIdoioZI*LJ)s}q`}sMdfrIO*pZCI@E6E+5P!A6 z`~@-+)n6bfu)*ME>|01a_Z{5f#IuaO0qhABf7O76ApQbLgRKX56%psYBN>a~FT^tT z3Yfn@Mxy!)Bn37Yyo^1U<a6Ia&HQdW%h*f6o<Q+e0ays)FOW3YdT`%r3i$plP%=O= z7Q<hNW$ZaHe}Rld^%qDAY%q8kyY{F5|6%=uA#m<{1}KEUOG!X1a2FZ0<O}2j&=fxC zwo}keV~1EeuXT$UfT|-o1_mNd7zZuy0yS0%EhWKm?t8j8#mm@FSb;~9hUB^L3Gi3} zvB0qcTCfI+70}c@Xmg1y0|VX9eUAsn3PsD<3&>o?4r)Dvybqzl!%3hybAszhK%GJ8 z$^}sO4a5W)1Jz5~dXflutbkbHSOFOiH;>%)Bp`<ovz`RBU>eJMl5lXWP_&F)g3M*? zpte8A`w$u&E1-#Ug6l~@U0G06pe<vE>LqPGNdP=nKrC>qfQ$z@3v3>_>q$VpVq(^l zfMNy9dJ=zdtWdO!{Q$05@gVNp_Y2Tv?4X_u=t4%&Z4}_KEl}7%#;<TJV~5O?!-n!s zK$o$DMX@eFXT`CM9n{xASY`oT#ts(6Y7T*A>;{pbBAvWt?4W8M;S`B{kV&*$#;!r- zW$X$t^QeDvJ1A%fj|pNfV|Ne-1tM%2JA^ky#X=mujNJgtM2rbGWDz?i2x)_C0iWxE zZ%j}Jl=)G|1U0}ykTxGk8r(DknTNED9o)1bxQtx|*5(5liQ47^Nr4RpwR6Z=#tyE< zA%l0Q%kx1acbzRN3Sdv5v}I+$LJ)s}q`}sMS_LGZ+%5t07syCde}SaH29vpr9bB(M z2JcY)1sb^n-Q5ZH1d6`|z(NpziHJkD9f0apq%mA1V=>z3Ad?||Djt}>Kt`ha3nT^h z7pSf!V;MWRCc!f%$N}~QioaOELJ)s}q`}sMY9>&?ZFWGsfi5MzQ2Fn@uJMD-U) z3T!Z_wi;^7*#C&ZkE9l3U;x+Lpm+zB(%?b+0b0iXTMX3q7_rOPzrbS!!~(|(DAj^u z1w7?2K+D)agJWeRE@OWKj}Q<G93h}76HtVJ=Ss-!c7Ucwi0O9VSjPSu93dli8T%7> ztbkbHSOHD=fMNyIN9`uJ+X0%~A*S1bV;TEnaIB2PW$bt05dva?BLp;41&R<*_q2O} zma*RkN61KA#(o7JAs`kwLO@e&pa=o=NV^AU8T(~$gbd&^_Jkq4jQtEePC+bioPwqo zL2(M|)q|Ssr~{Lr8AT$7i$PO~-BVORs?iQDK)sL>RO%UkZsDAw0-|77AD#xsDMicJ zCk)MH>_^}c0%Cz91T-%SiV*OG=m0HaKMal#ik7h-7@Eu2_rN0r!~#bMXhIhhA>f(Z z0b0hs8yq1NEo0v?gqN{zfyWAn1&$TabTlYd!1K@pw2XZ-I94cH#vU<*m$9#b#|nrA zjup^kIw)4av*-h~jD0mYRw!D=9xybQu`hu~2#5ua5YQ?BP=tV|{|9Ip`(ki}P_&G_ zfy`y>E5M7fT2vr3c!p~M1<Tl>dP!TxJ_jBvAQm`QKx-I4u>v-4fR?e(2FJ<(E@R(7 zlV$9Xn1;=LQEM4HxOoX(#tw=!EX&v-!Z??)gHtec5jkYRI?Rp4E@KCW7t{pM04pc~ zL8bwTTgDEaeuL`YfpXx61-+NCgCdFWlojSO_6cIhQ&te(6qOD!_>@%xn2DIOI_ppD zloe#?n(#9A8c=RVowBL`3qhs|K+@n5eUN#e{uFeo02E=+nJ|p00>m=*5;5p9c94;d zD9hMEQecC@Qy|n|#$EvS1j-ah4p<1{FOW3YdeC4p(i8}IOc^p0hT$*JWEf;>Cj;g$ zkddhV0!e`l22X)de;IoU*b^xJN&pK%`~{K*TMrtOC3zWp49s63BT@YYk^&nH8mFbf zGWH0tCs6zq0v3Y!3nUG;9yF?nbQ(K&a1$~Uh7n(gDXRdOzd%N!`U@llHW)P0NrPqV zK44Fv_{#$<1o0P08f-mia1iM<cJLS>WOY1-zYxpVU10tK8HwsIkQCTp8ZBdY0DA(( zUp8PNh`&J6VCz9+Y$PvZw}ANzWF)G;KvH0XX|#;p1ndbEe;I&<ApQbLgRKXRGm*TE zT?ghbkddhV0!e`l28}(vr2aB?4X`Ir{G|dGg7^z04YnRMT!VBPJ9yLvvN|3k9U_*o zE5Q5(G7{BaAStlHG+M?k1NH=pza+py5PyNB!PbLDJwV4+fg8|URKSBDkk#=R{z5Ec z7lHW;WF)G;KvH0XX|#-80PG1AfAN5YApQbLgRKXR8z7y=4jw*$td7U<7h)MZ2h3j} zBT@YYk^&n{qh;(YU{9d<ivcVI@fS!MY(1#wP4Y7KKcdj~2FOTMe}SaH2GeL6`wvmf zZF68Dh`&J6VCz90SkQu5XgUP<V<D^KG2#ocjQs=5UtdJgPb~*Yfej{e89S(%k8c_K z8?Yx(;_C%i2;wi0G}wAj7ZB+*b|hml{DoM?{siVPkddhI1(E_AJQSC)KLCXgco{p0 z1@0n)mVAMFIN-Hj<Sr!vE$;#~R>@q(eqR){l!T(w*oX2m_8ah60kOcb0$Q*JiWTqz zIC7VgfEK5Lsus{u*<_r?ejOYuBX$}41$eB0Sm0Oz^)*4U0$yiF?s^hXzmk~sB%lS; zSeCJ$2gk~YUB-R_9xEUgI95PCWl*euR|%54o&?k@CT2YeC|0m6V?Pd#l>uGG&Jn}F z0NSVpS~Nh(GIoYIh&cnkjC}zG%h*BHJSa^-#_b$vzKlHpw(Oirr?Gp$%%lD?c2Lj| z9uve|#=b)oc}x((o1(Ho6h0=n0?b5=3GTEec1#e`1|htReF-S@qmBtK01H9dd?0CX z(+p%D$;;U1z}kEuBT?IYAStlHpmq)oma)$Odjh2`I|VER@fS!MY(1z|K=Ly72{3<w zj70SpND6E)sHH&0Y3$&75YIC99<V1+{M7*#g7^z04YnRsuOf}%A{mR(Mn^1TZ-My> zWF)G;KvH0XL3J$+ma#X0J%Qq{8n6(=Um$6)^`M#w)GUUMO@k{af@6XeFn@uJMD-U) z3T!Z_wi;^7*h@em1nzr)Sm2r)6z`x?8a!xEZr=kG$Dlwa)b{|b&&6^Ydoj4?9<j^V zbKtQ8Vu51?lxjh-0-kapx9<T;p~Un(Kr7X-oW`CFj+K$Pj6DS&As`kwLO@d{pa>br zW$ek|2pO@<*kj<a0%Cz<1vKFUiWTro54qhA(Buv=-40MT!P4!B2FJ=sT*e*(j}Q<G z93h~YDo})gr>Lf=fKGQu+WHTgoFZa{EofE>bm|_VF%wXP6hKzkf+*Mu+hA~njKpQ^ zKJW+uvA_`mnqmV*2&hLoP|Mi8!4Wcm%h+cO;brVD@Hhprz;OziUIfMIKrUl<2FEEy z%h*p0&1LL1@CX61z!3tP7X?KKctUi5ma$udBZQ)5>_3L)GIkSqgn(G!2mwv#f+7Sw zlRH4m*p0yvLeVnz4?}nvyAC{7KrC>qfTp8Cu>zil9-w9H+Td8BXc_y2A-s%T1s*FP z7C2Twlj)#X8OUYq%HUX`Xc>FQ&|JnY1CJ083mhS!RREv}0Z;!A&@y&uaD-5_jC}{0 z%h+dtS3k6<KxptX_6Za$V~6S`Z5g`=JXSy~aIApVFo0qOY~BDZV;2U;$^b57e?gOF z?2wp-EfJ^IGIntD61t2X6lqwNu|tG$E@KC$VCW)p@|LlK!wYHxXn+-zfFRRh#4Te7 zPrpI+?-<x+?4U>@JY|KsjGY5z$_m1pqQU^4rvjhG{zC*bdxe;?VpAk`$_g@cO?Vmm z7ZHr*S0BJakf{QYG<ZZGWFFEK2q?k`FJpfr0$o-HGSU%c89PV{Y%pkeoCc?{zW{pz zWeVg8SP0@TkTlqO&|orXbRIed0v=N)xQzV)%wHfQQT+vy0vik(V5Y%o?03MPK=IcN zun@#wAZf7mpfOpJm$6@g`3qzus=q)|V1sG2jQs-G6Da;V0~Uh#3nUG;9yF>+@-p@l zFn@uJMD-U)3T!ZFq>~2A*pGlcf#R<NU?GUVK+<6AL4$)NFJs>W^B2fSRDXe_zy^Z` z3|~_JH1-`}PoVf~3s?x^FOW3Yde9gf$;;R`!2AU=64hTIDX_sbTE@Nx><JWqtpE!_ z`~{K*TMrs%B6%765}3b0Mxy!)Bn38@M$6b2fIWfYuQ^~Lh`&J6VCzA{H6$-%p8@k1 z$VgOwfuz6&(`Xs{6tE{y`~{i>a_NNl3nUG;9yIC!n(qTQpov|^-UIU&$VgOwfuz6& z(`Xrc2d2MTz(NpzfuzCKgT@U=UdG-4^B2fSRDXe_zy{N38G8-b6DaXj0TzPz3nUG; z9@O(Dc^P{N%wHfQQT+vy0vk-DW$XoDPoVfK2P_2f7f2dxJ*Wdq@-p@en7=?qqWTLY z1vZ$>W$d74KE7q_DPT{a_$vV{1o0P08f-nN3y8Fg9m!aXB{GO*>@hHZfs91;7f1?h z@K9XF9svp=@KO>G3*1EpE%^fVa0YT2d$`DmUdA2(j};IL94nv&YoJ&e$Yt#Q;8+>4 z%h)~Ou>xX&V+GXL1jPz?o!tN}V|NF~%7|UY?f{P!5DOeDpq?@)R=}$S$z4wZ>J<~S zo&?7-c6)HF4Cpd;2P!RNw-_wT*af0M>!!&&jU80YgVF?K+^&M=%h)?$%g(8^jJ*M7 z9`%>8gMx<em>}jdb^{Smbihtyhw!GTXn+R-!DE67U?yTr@DmTQV}g)22;pVyGN8<l zx{O@{ECgxufuzArGmv>CFJl*hwfR6sqPF=!QecB=w2WN<><N^%EDu-+;xCXi*m_W_ zfaGQD958=@j70SpND6E)jh3;qfIWfYF9xs>#9tt3u=SvN6=@6?T)je8$78h75zE;B z2t&tkK}Mqb3nT?L7(9l1?FTp=f)0<sb#qq_XhB+w3Zy34q5`f-AnVOhPnidq(b=N% zLl|Rh`U_YH;xCXi*m{t8NMpE2#$xyjWHRI^k`FL{fs91;7f1?hFsQZ~YRlN)fI<k| z_W-fLH8&{UL8UZ!(0+iHvA-4u^*u)HGWI9%SOKxXu>wl9pjZJ<ISkM;_Q&8@8Hvl- z@4zDj!~#bMXvzc>Ap^OL{Wds4K*No%84SUfNP}F}-J$}bnjf%(?i7({VCZg90nNie z1VAjL3ET%xpa#Tw#C6>uKY<!(-5o0L85lZyR6vCwOwfZ>;yp-xiwdX@3=)OV;I#$d zrQ;Cwou>}|l0W!Ln(^YnpR5NTGI#c<fbMrX-l77Uk%3+U1)4(vF+s*a^@0?2p6li@ zz~1U_eDHvQfx$)dkYmTi&K{L3@K^z{z_9`{9&R4F-42k$usZ<rTw*NC*e`=)Wh5?R zKLd{t5DOe3pqVOAgbd^|_S4`98Hvl-kH8}Y!~#bMXo?LKAp^OL{V+H}25=esg(19* zeGfcNK`d~bf~FTiaXOI8*mr~Dl%i$q44$C6<vhx5b=@5-AHdZR=ol=JA3=4K2kQ@L zHS|LUw7tJY1ww<XA@I`i9u<&!EY*;RECa*w78TIMC8+K>-l77UY6LMshC}s&6v3(? zusNvbji6OSTi_7_Vu2$BWIWuw0b0hs85|)LEn_$E#ugzqkO%>t-v#m`Bti^6fRwhV z+<-<1ga$_lc<FeL3P?Sc2>Budju6m{D<~F@w}9`!1~EZ~L-m3b!6F1~4&De^1CJ08 z3mhRJ<KgBF&@%Sb;0U2;8M}rrD3s6dWMyDrK+7g2kXQkoJ_hnABvvw@v9bdiD-aqS zE8wN$Jt`peSYqW0BvwH4#h|D--l77U;RP{4hC}s&6v1KzY!2R7Sptt05DOeDAmicY z4bU?7#o$<>Xc_y7A-s%z4m?&sEO4xVCeuN&GLXyIXM<yfqGjv{hUPN%DewpZvA_`m zS_J@#kbzvrJ{cS#6fI-_K;|;`4)7|R78M8$F1#8jSjG<3OWHE_9(b&PSm0OztziJg z3fQ~>TE^ZDj+Fsi#;y<ssgT&vD<lu3TYYze)-rdVH#`XnE=a{>@eZW#*scRGeW2kB zUU2==35#iJFJlKcFQLoWL6L?u3Nqt0s2Mp$1tN@d89O)yLl=>gw~QSeUQiQ21FVP; zA#NEvc=`>hf5*TsV+UnN!c$hDsCVo<<kKr_$PJ3}Jt_^tAR0Dh1>sFmsSpOOT?bED z6@ZzDDJ%O=|NeKksDREp$2C>(0Wwtp8M-FCj6DaGn^C8%GQdKRsREERctjs$9?}#D zD8dLYV^4ui6@ZL%L|MiTk^&nHo&ur%Y3vDLPoPZg#DIk${sKvZtp^PzBTa#T$CL>! zV~>FO3uGj!zd%x8gTYfE)IW_q1ndbEe+7VrApQbLgRKV*dy>42-3R6`kddhV0!e`l z1`V3h;52p*uqROb<pLIh_zNTrwjMO9N%Asw2bjM=Mxy!)Bn37YG}1|fW$ZR!PoVhA z0xSga7f2dxJ!o){<Ynw8Fn@uJMD-U)3T!Zqma!XvJ%Qpc9k39@Um$6)^`J2}l9#b- z!2AU=64hTIDX_sbTE?yd_5_N*6u?3de}SaI)`P~GNM6P+1M?TiNK}7;q`(H#Xc@Z% z*b^xJ5&;WA`~{K*TMrtpA$b|Q0L)(?BT@YYk^&nH8e4i<{|-FW4H~z=Re!ya0ngGv zMk!F%o1;#3gUsk`QQ-l50>xh(U?GUVK+<6ALFSRXjGYDMFOZR_{sKvX4W`jDb_TE~ zQ2g~r2sEn?@fS!MY&~e)faGQDKZKx56F^3y`U@llHW)O>@$%byaD1JRVPL=&Uuz)o z1?eeoQ33aq_o#qGQR53_MrVu47qBN#{Ph7W1o0P08f-ntJd&5Ozk&G+WF)G;KvH0X zX|#;}1=tfP{(1ryg7^z04YnTCfhBnv`vaK2Kt`ha3nT?Ln9ODDpk_Y4W$bsro<Q-} z4X_ZzUm$6)^`I^w(lT}=V=<PJAeOOTf%yw$B&xqaQecCJ;xhINpb!EtB>}O(U1ZRb zFHjF>AeXV97XmFM8L_9apMb{-hy{)n(1JBktbnHOLAet3?q1OKOGKP323nj3s#*x0 zEcT>xiVBt!5s!moWyCIHKLC#v5DOeDpuQ$3R>14*251@kesHXe*k$ZH;IRT?fnx>K zQwGHfc$FZz>q$VpVq(^l;8@1K9ULnIx{SSoO3T;_2Fo(`1`3w3gQ|H@nt+UCE};1` z_6@LQ=Tut8z5-?*^_Q`Of`;&zAm%dm6+*~kf)L&ml?6iZF~J#NCSpub`yR1lf{-={ z;brVoK$#zPOmG5N2-4;QNrRhaAoECG#@+*K^MQ;+ZS#Sozy{N38G8rV6DV!j7O)V+ zUm$6)^`KS($;;RqVEzIbiRv$q6xd);OMwQbvDbh-f#R<Uun@#wAZf7mpn4T)3>RFz z5?sb!0`nKhNK}7;q`(G)$8f2?jJ*Kt2^4?jfQ2Cb0!f3d2h~hSW4Pc73bHyLqwfJ) z!3kN$o&ob0$VgOwfuz6&gKDdxwv0Um6hh#>2Z#l(xk2#`Dy6}L_ES_e<QN!`&d&u+ zCJ@oe1;sHakjXraJsDhckJx4GG4NOcvB0qcO0}R^0Z%y$&@%RDaIB2PW$YpF2m!Ic z5dxYr0Y%6_E@KY{N63g>#_j`;6%Y#?E1(G<P^^HL#gp6Z08Q=?)9nBq{*7fByEiyi zM&dGd7kGq#Sl|c&%~XLRWFVKZJA)%+BrapOfkz041&$EV6dNc)267p@H8?^Ba2Y#? zJE+@)b@R>{$mSgn=o%47U-bZV)in4rwC)xa2n}9Q1ztMdqXJToWjUw`WYsii{tvW9 z=Xi?>XvPl21jPYVFGvw=IVjj1yvspN;Bg9Kf#VcpJlwn~Dj@Tanrt8=iD<Hc9M(Mr z;t^B_U~b+q04*Dtq5`5|R~{IH<CLOh>=r|F8M_WVLO?8Vgn;HnK@kF87C%7C*tNkC zLeVnzjG?)VT?HN?AQm`6Koh#42mvpPAE0II%HRm0Xc>FL5MIVE1CJFD3mhw;>1a@_ zfS1J&&@y&uaI8?YjGZ9>XKk_uvbg{>oelCRq&8Uq-CQsOy14*CgKHD;gm{k%NIjO? zWD8_-0cf@xRD~RGQ31_QgP0)0p?X1zV6_R@9Mr-bZ4ZtJJXSy~aIAoghnqJ=1!Nvl zZ2~fqh}s0?Fwph3G7Jozs1CraO*ViQ1x!%^QLx%X7#u4UEo1*NG?%gSz#|020!IjF z6#ytg267oYH#kBlTE?ys0?8&=_Tb2T1aDOX&Gmyk3dtq{A3^F{R4Sm$*da7Hn-oy6 zj2)_%v}Nop@K^z{z_9{a!vJzD*t`K+#?B0ml>uDF9zm03?2wqI_A+*G^Afs@9TaI; zma#*GaV}#Ar(ozJa`Kk3gTo7I0%(90lz<?qow#M};ORH0{vFUR3WT=U$HBnBu!{+K zU%(cX40#5IPyB){DhhHSh5(Eq*aDV9Snu!#l-Z8&l!xix0a}3GdC;R<6jHxzQ31QN z@z{=H(31Z>;A}~F$_iu(>N55pf*_k<%h(~jDJmZX;Zs&Gz)ZxHRmEXqr>r1D*Myg` zKM@42jYF9#cmNiHOcj8n!6W)0^N^-MKoLfG8T%bU=yExbk&Y<K*g;ZYgF(aNG&qg@ z2G|oQ{<;Ddg7^z04YnRMm<$@7C+Rfy3ow6yj70SpND6E)cnXC2%h=C=J%Qq{6JQ~T zzd+Jp>p^3(Brjt>0`nKhNK}7;q`(H#Xc_wfuqRObwFfK&@fS!MY&~dHljLRWJ7E3- z8HwsIkQCTp&`2i@ma%UEdjiE@8^A&ke}SaI)`JEINnXaj2Ieo2k*NLxNr4Tf(K7ZG zU{9d<YYA8g;xCXi*m}?y8_CPq7r^`lG7{BaAStlHG+M?!2kZ$Hf6V|3LHq@h23rpr zXCiqS`xKbJKt`ha3nT?L7&P|u^4MGO@?p@q0l1bA^MJ;kAcqimbZ=1s53lS|0g0k6 z9|oDx*`hK5><JWq^?-#S{sKvZtp^R)ki3k&1LiM~k*NLxNr4Tf(K7ZHuqROb)c_WP z_zNTrwjMO<0a|MaZa|}~j>nkl1}%_>Om)}5`~@-+)n6bfu)#E1#$EyT1d6{(z(Npz zfuzCKgT@U=UdCPk^B2fSRDXe_zy{N38G8=c6Da=501H9<1(F6^59)c7yo?<*<T}%( zyGI3NB&xqaQecBYz44b@K7jMr3Ovj6dq6$$78OW`bBhYN!?{NVB#N59KxTBds3d?r zf#R<iun@#wAZf7mAoD;AW})d2$ykhZ2r?Ox4kKXk1u_!VUmz*4!DKFD2Q~BYEn^P> zdjiE@0bn7Bzd+Jp>p@*Wq-E?##$xyj)U}29%LnE!kddhV0!e`l9*WD@JwPD@UP=OD zfxF0{C10Q}#y~D(cNZMd%h(;@u>xX&V+FKe4HPQ_xs2T&94jMs8M_5MRzNIptbqEO zpjZK~vm2mg?B?KD8L`XQ4dAf?Vu51?)Kdn<3V4+ux$8+ly<%e4li*m!t`Clt0bRzv zflABRR}7YA>>nst#ty3HL1_X!9>#it=F8Y`z?Pj;X&L(kn0eG+#tsS^!efG%%h(lA z#snd}DJl}+fk5!l76M=<VoWe)J+WhgkTwY6W$Zkl%#XT^odYZcY4d@k!A&!ec_c4m zXMwf(Kt`gr`9M-&gK4ykodN6#l(y_20nqR##9tt3u=Su;0m;kQe+WRwIzdLF`U@ll zHkd}s*uQ{1f#R<ZU?GUVK+<6ALG>!q7%sSaCAf_J4a{F4BT@YYk^&nH9>b;nGWHi> zPoVhg30Mf?FOW3YdQi=TG=>YVpa?Ewe*p6r$VgOwfuz6&gKDdxwv7D_D1^X$4-gAn zbA#d?R7!&f?FVQX`)vVG-($osW4{8A6%Y#?E1*;hij{#}#(o(bD<g3k`x$tIfLP!N z0Zo~JB4i+!v7ZJ<$cSCWegqyXAQm`QKodToSQ*G=?1#azG7^`u?}0}Mhy{)i&`cF5 zLI!df`)+WAjKpQ^Ti_7_Vu2$BG{pvrkbzvrz8M@L1GtRcVF)i{UjvU*5DOfqpy@?W zoPw9d5707p(0Xb9e$d1T>}C*<tGZiMKveSsM$mmppv7m9h5Qf!(Cj7BVxoefxr}`Y zJVHP$aD;&7ML`h)o@X7PW$cT=nG6&yum}OUsv9y13<-az0BEumA^>6`MaY7oxr}`d zJVHP$aD;#+bU_g^kjvO-gCm5ZW$ZJC@G|x(@K^z{z_9|Fjt0fbKrUmS42~6wma$t5 z;brVS@K^z{z_9|FOb5jZcv<`aEo1Kn#|lNu*bRa}%O%n8_ILu>R{)wV2l){)6nz7_ zub=|DuK+@W7fgev+<R0&>apxAm;%{X0Gg%;E%rR#q5_&&2Qfj0L-m3b!S)q^%|Tt( zkG8L%1s)+F7C1sc#>35<q5?7xX<q@zNFw$XfE)&zOChwc;92Jsl?kBL3=j&oub>$m zArviRPaty{dj@#*LyHQ8hQtb}CDEe-S{r~RRzNG%kGH6R78!t|;&_V+XjuS=2@XYw zUXUVKtbonI8!I*NSOKxXu>vw4Zr%VbW3L9s$^b57pFopk?2wqI_A+*G^Afs@Jpr7i zdZ0_Q55i7khX~_b#tu%w&_(3rEn^3V7t{pM04pc~LCPTFma&7U-=O+;4D2#?P$Uta zvcg=(ULXJ}mto7;A-pLn83OQS><M5dV#;dc6k?~WAVb%Lm$Aoyax?0bRRmZFGF1SQ z29M~2%tM+20Yw<$W$YoasREFZjws96K~i9Y!BZgAKaD*A><N@95FfA*#9tt3u=Sw9 zWTYt&@R%~e)7U*={sI|^>MxKK*kJG!2=$k-yMR4`;x7lV5X4^~X|VO6F<FwAvD?7> z1u_!VUmz*4!8BUNZUOcLioZ<2LJ)s}q`}sMMm0%Z#%=)f7syCde}SaH2GeL6yAIeB zDE`s_3qkw^k_KB38XP2f8M_M1Umzn<{RNT&8%(2R><VB{p!iD$EClfvNE&QCXpD{I zW$Y3#e}Rld^%qDAY%q<Mv5SB`f#NR#un@#wAZf7mprIU+m$CD}`~@-+)n6bfu)&~# zAQ~)V=Ky;G#a}F7A&9>~(qQYs;~-PO_wu2wj>lMz3R)l!S&qs8^B2fSRDXe_zy^cH zQ)qA+`yYPLIs_Dd{QwI=`~{K*TMrubAbA=47k=o{1dx%a{sKvX4W`jD_77lBp!n+z zSP0@TkTlqO(6|A~%h+GQ`~@-+)n6bfu)#E1#{LBC2^4=l01H9<1(F6^59)c7yo~)0 z%wHfQQT+vy0vk-DW$ZV=o<Q-}6|fM*Um$6)^`H(c$;;R;!2AU=64hTIDX_tyPVLLw zkKpC`piUgF?Jqf?4lSfd2+H7~W<I`U>}SB9K=IcJun@#wAZf7mpe`WNGIk_mG0F{) z>mcRE5tzR~Mxy!)Bn37Y)L(t6{ptUISpT5Aqvs8Hr#I*rL71QiYXf-mX^RS|1qTv^ z(BLjIc<Fc#_zoj1U1ZSVgvVP{Kx?u<{o>;-Dxg(VASTEds9umFSQi;&FWPwoXag4q zKp_NPN&;emyT~Bp;pUOMlmz53P-B(QiHM+czrTRCIZaUkQLurF{rsS%B-7a$7+}NT zAXjxm{NDV4zZ1ghhJ*k_0AwZ7F!%{8P><#OPG;B^Uyz?by|(U-Bam1D9bpa=^kCfq zjTO++0FWqz2FD6`>3EL{NIjNVxdJ)#2(+RM6cxu?R6uLMKunM^P`w~Uuvh_`gLmL! z2Rv3lEO4xVjE9>yMFnIY(r^;UNFs)lKn?>{Eo7d?z8xGZH`x%e0&-P%iwcN>L;{G_ z4G95=0LV(DSShf^87qGvv2p_1K?X&Y2kQrDtbmpVfV>Z(!Lb5f+t#B3QjaB8wm@P9 z)ENXt#qkyuQ1=bQ1Q`R>3sMA&6|gyYV`T$8RzNIptbmM%n>Rqq*w=$&MH(qqK(6X; zQ2|lS59&K1tZqmMKm<TxffOqeHjr4sxSp@O!{jZv1OuH73-Tx=Ry5v%)VHXB)(3z@ zAv8Evz$^25R6y#n#L5&%tbn?*pr|<Bq5|rCf|wv<pn5@yV6g%=2XCybfX51m1&$Sv z@o@77Xc_x*aID0#BJv8zRoyKrAPN!*AQtGtI(Y^LhyW-okYeQku2}J4jQ~f$@qG%g z!p-oKM`w!)Xn6q0<B(W-L8WEv4+hIJc8PdUvOBhu9p>vDptaSV#|;mFPHvb29Tz-w z;uA>OHN>Tnpr8R&^N64kC;%xr4!#>1bfqLIM@N9qX+b(V0-_&OKk<hj0G~bqVk7LT zz^(yQQhwqW038nlIkN&JfY7x8T^Gc!cuuGQ`H=9?9w@{hCsfR228F>Ml^OgX8aA{C z;Z0GQzz-kV>i{zmLwna6h#lI41O(yL=q;c;j5@T}02YEY-9Xad78b}nl2@bGz?yC# zBT<`fAStlHpti%y$=|_EQczurt4VqW)Kr1gcc3OIxN?V#!J+OL1)0&=qEZ3&1WJ>% z1S|yc7f2dxJt*o)UX5M=^B2fSRDXe_zy^bA-<N?u!2SYNaJc-n2I4PJjREo(xO#*v z8%OmQ$c)Ywl^n1qQ2dnv7J~Q-Bn`G6WFFE`ERwMpO>L0LkfwGD%wHfQQT+vy0vimf zzh3hH1p5nAMd0#R55!-fk{0AIa0LTdHje5qkQtyIzF<$F_$vl11o2k_KLZ2UdXRab z)-Ciz5hP<V`~@-@;;#spzd%N!`U@llHW*X`ygd68xeHPA4qO3)n(~O!p#Xd@Zi@<R zJ_AC7D`4<=H=!;BC}KdhE?Tn)s+Y7bL<lH^z+DIs3tRz%j0ZUuY#zB?2v8h@0+~=3 z;&CUW?*KZ|K$d|4)`bWLSHP}fhzc0wDtNPq9lcou5&&6=Q~_UrF5G~hG6eDysBZ1< z*aC?aP(FtVda$m5#>x(86Ba^)V+GX5>n7BN03|DEtbh^%hzT+rsu$Gchc$~p_Tul@ z`M_fZ!~(|($auJU<aQxI4kM-u0Xi)j%Y{zf;8<xFMZ^loRq$pJXu%m&0AvwleG`a< z6e|WUp!y1ZiNG63gn)_>kRL&@<iYv?8X=&rF-R0bgChjgz3V2_djL&MfMVfziwbBm z0mK9u1Jz4f@4*EgAs`kwLO{mD%_Fz>0CE`U=s`k#I#4#*04ffrsDLO~HgN_=$T<;2 zgn(St-J$}bnje621&GxR2?2-z$V#LLdEf+&keyhvi3;R+VNf9m@+c%$B%sF&gKAHZ zD1-*b3aES6O{n((npgov#qkyu&{PPB2{Hz%m$cr44LnvrEO4xVjE9>?Ztnr)Fwnvc zLa_qMCRh$tw+6?G08*@gTm?Fc8QfU_WfQ0X$RbEK0kM!`rNbE<E8r$B$UC60@9v0! zL`Vm;gaQeAum(UQ1XMqRltO55gn)W>-90KG^;o)dC6MkMXhsMW3&&ejKyx@CCde45 zUXUVKxdk={Z+8x~rppCfn}As02mu)nH*bmx$ULN>50H^W41Itc);$H{5mX0Yp3qqU z$tEBQmQ9So5fUzp$R;3Hb+@R1C`kB&Sly5ifCzxBM2e6bSj(*`kO;{@iI5Ixgn$|r zAfH2MaD;%mc%aiyKnd&A!C&$RUr94wJouCK;6vul9+ePCgn*{9K(TPVMFlkZ1Y&}W zf$9Y*f<*|(Ui=k_4m^i|Sl|c&84ova3fNMl2mu*MM1+7G);&c9q#Dfus1fq0bBam; zBtk$GEJC!w5i(B*5g`on;LCAAj%$9v+}WZ6Vs%4803rak5+dH&q5@S966|bIVS(rd z2|^TuSltkDhyX|hQgk-Bg7X))Qg6^7s;&Z$QxFRrr=VFvP@E3rYIJ3AoGubX#3{&C zB&|lTfb0=KEA?0)>jy#8fFM6YO1&S@O!fo1eh@-~GZ}aVc#jH5J(f~W1d_=>^N*mM zb-V>U2MJ<=42S9kDS~A(usNuO4%#rh3_L<WEO3N?jE9>yK&#QE!4dLO01+V|SCO<D zeFfI-0XC2b0Ug5y@*^Zd44?}-Z$KjiLW3g&yaK#O1*9HJgnWT4=mbrif@0x#iwbC} z6T}1=4%G`%1d9-`Id~&P1RfzE7C1sc#>34UphMM#!4U#l2?CoJ0lBKXMFm7PKd|qF zu(}~301*I%1yZ?n1F}6}CuraaEt`};V&w%i{2{TD0gaU%P*DgCjur3<@E#SAdMvSW z1rjTuX<txO9B)wpP1J&zpiqSB1u25X3fLUHvBCq76%Y#?D<I?H<_*xH>fGR1DdI<D z6OgOATU0<4q{$3obwfe`A^-{tq*!_3hqE>r^oOdmz+(l(0>=tyP8<{~1GyTV85}EH z_z<xIa#eSW3W$QV&_Jwi$g%Pe0nn5?Qmhm}_6VS5lR>{4{SO}l1EdWNVu2$BG?@>I z5b)~u0a}gzn-4Up`<oXLA>gTa$N_wivI^wPZpeCkhyZA<KsQ81CuAuARJyYTygs}e zA_!3oH4Zcn4-o*dkfQSjWOD&x{s0srpi-{81MiXS5#V*lEh-QiT=WG%)}w<K2w*At zK+DvRx2PPD1C@3R498nkjzF0p8=-nZieN<_*c`k?-xqkCf>_`<1sM-FkKDEFAcuka zMa1kdC;%<Un4$urV9oH);5bEI%iaKKNP`>)i3AX<8xjH#0kD;j(CKVZfvN`ycDAUr zKy-ryA&Nn)ZiqNU0HgvbPA@?BC&1@~Kp_H(Q;+T)93>14;JmvNw4k~3yx~c>B&!8@ zXy_PXk_a@7!wZTp&}<PTrm4M_9o#sDu4M-$0wiz1*0Mu{ajs<tr(ozxa>$r3%#9ab zgU%`MfsP0pdUPH~)bNIv5dH>-7t{pM@GL@xxV7xyIXI|($oOW93WUafBs(bnz>{K_ zN3w&Y5Z04^Bs(aQ2v2x{q8>8gWylSxWA~`M-~-XH2`>n5ipm2%_=MLDFcUH1^)!{( z2`|tPGiag#6td7o=cp46pke&Z7L_ZY+>AQmbpb2{nP>n>gXaT4<{?d>AQ_7>(Eu_T zGSP5`54si*WTYd?T6T~W*kI5|_sgd*z!NB-!DL($D9{Nn(3mX9U*Mr=JQH3gz@9*v zKsf>yg7^z04YnR+9%yVII)Q>@EQY^8u7mjN0L)(?BT@YYk^&nH8Y_Oe_$An1ps`?F z{(??;frd6g{sNEa;+gQ;1NH=pzjlCyApQbLgRKXdNAg;BP!k1wq%FuuRDXe_zy^bc zTwlh#0{aUznu^O`&<QWl;2_9f;4x7=6J8s@o<Q-}8n6(=Um$6)^&s;|Udz4$7GEGE zQT+vy0vik(w0tS`8tgC7NFFYK<$y+jAfs&{e}RV<_o#qGQS%qbjLsI7C16jW_-g@J z2;wi0G}wCZnB5fcs3n@Q80ipXG9(?&f%yw$B&xqaQecBYV}CC%L;M9A;=<)GABev| zLscLLfJf-|sDMOK{RJ|kvqfbF*b^xJngSMr_zNTrwjN|2$!pms!2AU=64hTIDX_ty z(Xy94Z@}>dx@ixWzjPq}0*&8*`~@CW+M@yzMfDfRjLsI79<V1+{M7*#g7^z04YnR+ z9?5IjTVVbI8HwsIkQCTp@LKi`svpVT0QLlmziPlj5PyNB!PbL^RY+aSUIFtL$VgOw zfuz6&gV(Y<z5~Y>Xw(B&e7ylR?I42(p!fohne0&kiK50A$c)Ywl@hQgQ2bQ@7J~Q- zBn`G6G%Nv{Ed)29i9M1%2j(x3k*NLxNr4Rp4Qjmn1@RYX+yIxq&OrPH>VAX#1s-+S zqXH5|^%uyD&K8vnuqRObl>!!m_zNTrwjN|2$!pmYVEzIbiRv$q6xd+Uu)xb5@4@i} zx_b<lzt%wf1?s?p`~~i3?@<AXqWTMDMrVsk4A>JW{)zw#LHq@h23rp@kL0!NAuxY| zj70SpND6E)sAK)IjOs_S2Y@|+;x8Yt5X4^~X|VO+e&rPKK5tNPfqTJ_lio4PdC<;m zNICBT^B2fSRDXe_zy^c*r!V!1Uds;Z3xON}YUblx%kBd91d6{Lz(NpzfuzCKgUsum z0`6U+8H?dBP!|*8FB_P@Kt`ha3nT?L7}Oo3$69vKjZL6V*YOsW9MEM<44__2iwbC> zzPm?-w1p@Zpb!F29fDZkE;7h?P!|Ji-W0HTNHbNSCNmK;RUn6f8mojBqTpD|ZVv7u ze_eza_5iu68*(KTWRWyf0AvwF0K`J-9nyO(J7}F9G*&<><3LPMD1s*HyL-TE&tU@> zpw>Cs^c~vNh5<ZQKrC>qfQ*NmHwA1SQmlZCBqCNo4g*y!povh_krUMULr|<>S%{(! zjumsHSOK|;q#XwIUds+zB?yWNv>gVZ+4}At71CCtXux9y!~(|($aqk!fXyR!H413K zAu+2_K!<;WmZ5Z`u0~M@$4ccwL|y^83ckYtw3Zzz0I~?OY8u2s$}99<%MR+ILt_Qh zsRl7Yp$M9?@9t3{Z8eGlJXSy~aIAoghnq+4Y7~&eh*^ySiWMxYQRKm~vU>p{RzR+T zFQo&;3RD1O5hPYXETmYWbS=9^G^k?O0$JhSiM09}6gJ@DKGq4?*Th3EFNY12Da1m| z0gGZc=LB|hKwTJwWftKObHJil%|RM|1Pv{MrrQ`iy7xdAsUJTe1R8`ohPZ(cX^^hF z;RIN=MFrGOKv?=i5@IP>6xmWF3*e_?7)XIEIPcMkWQRvD#2K9slaIHkL_jOu<1H#N z2xbC;nSx+~s!LGv?uHDLc0&y57BIZn*#jBIg;1?09r&jnbLiNjA_q!1tx@wq3FkFK zH^lPp9<aB%133&YfSTNp5noUh*m?k_Q5LLG5vuVu0|-K0%gDgM0P^n^u-lOW2OMOO zCH?gFrwrJ+<?~4Pr!-ij9aJO8m#;yy1)x~L<xc})P`nO|Kc&FVoidkXe@cQiMng3c z<<E=(^rr;axf|z@>`!s9#tNuLqWrmF0R1TjcJ8^^B>PhotZ^z-BT@dmF@XLQ0Xz5g zERy{x4A!^_s*xyvf);IhbPi1Z6aqV!1L|B7%3DFO#`7~F0YsEPJwyhs91;LKR~719 z68y;z*7#-y3I1$A_GdS&9l-!j&5#=KIAjtNR5c!l%v6GypqdF>QFk+Rb94u=e7~f4 zvH2mRL&v$+lc2hh5A2jQuv3uLda$&f<ez$|V~PqdSVag}MK{Eb&KB_AaL}$nIR=K- z1N>7C@^3rX*#hp_f>zOkrlqHVXHhvX@J|KFaPToObo8jOgAG$cGYs120nMp)LiSR_ zdqAKy#!zWcR|~Q}0>nBF+5ZIcBT`QUs;|2TyeIwpMa2`%4;VWRwI1l0qQVBYn+F_S z&@J1o2l%HR?C4Qp1xqo)q(F`P&K8vqkkMlhh2%$2#}Kr#2_!iMyvZ8oM+S%=|MN01 z@VB0a`4MUuc&-VuB^eZakmd$R5aJ~e3lyI4h1H-bq~k5%_3uzWf+k-;Oi%!WwD*8_ zt)lwzAK31lFpq!?f%)+-SZW<i3N%LqngRrQqXpdgMe-wbz70%G0dG2h`SAxYI3B-) z4XZ&j3_OnkS)$(wS%OS>Y4UN%N?4G`kk$!9^>z1vCq+>G_zi4#8n}3Yu5^a^@hey= z8YTsre*mr5fcOzK5r^bQP*1hH1>9kU&QZYp_yOX__h7>`(F_BR{X>@Xc0$f0CcHBA zIOO15s2@Rt;UFedUw4lRXxJaskMF>C3xjL6ZiqE7KfVP^aloWNWA>dbD$tok5QXGN z&>UTN3wRqeXkU^X0|U&DFCcz=4mRu>%#TpRz~jo0^`xDU)nf2fWT5;Al?DwGLlQ5D zbsVyy3FNWkkhM%8CRAT{4|vrbsvn<$?LGkW2*?naAD@Dyw!)-9<H4OR;9hJughKKo zbgmIhO;G`j@WTA~0OH5{V8fcx41=!H0jWn{!~^mpR2sB&2jWK%3;SXk=;EL59`I5i zR6pJW+noz;iFLPt6~O#>7c7+olLC#QcD8_b27rop&=xX8xeD5B(A@&wa{wJ@h57LY z#E;j(h8dt4Rsbp{AZuPgvn3E7ND$&B5DR1~yv!+)hjnT|QlRPp(x=^lRQZ59J@6hB zSi14x5Acp?a3>GcUjQ$5f$Q#Wr~vg+p`AUDFsMH2=79Fr-~t{joju?x1GJJ^mVp6e z((x9R3PjJZ2FgU#LLf=#vYgHq6_EV#78Q`&L22)Jiwej?ASR@4?u5v}IUw0?hz;F6 zU~Psc5M4o#Y27_wBf!F-!BFUMX?G8Jn3(ef|I|aBEh-m4aWF;YJU9-5Ho|K4?iTQ2 zBF!%t`KN%Yz!M;4Ju1gR%35B7ljD%{>H&}+rl{-(`JuIZ11_)b04eKH*$z_H5;`=! zx&h>eDJttherTPu9+y{FfRy#9EC(rT*$*yAhg_^K0Qq5x%6yO?S`V(n<<%J=Wj!j> zLCRVn_2Q88>I9G<rl|CT{LuPrEiSKifRy#9w1bqjOa?b|hMZR$Kz^8_QV;S&D>qKB zR)CcCsFZ`0wfqIQT8Erh3qXFDqLL5tL#x3WT(Oz~Qr4rA4pP>VJ~X|W0P@2Wm3WXJ zT0>Xk@@fP~S&vFMNLkCp=^$l8E>;6Tewd=-5As86)hdu5Kw|>v^{NL*S&xc4NLh>Z z(DbSU$PZIg>_L8Loxc*7S1mxwdQ{9o%379A11TGFv1$PF!xR;LkRMu)tN{4|TdZn; zl=Y~ngOs)K4^6Ksfc!8;MIPjb)|bn1c~t_WtVcy0q^zZKDoELoi&X)TAEv1AgZ$9S zhtsPZAZ0x&>>y<=kEaYtuQGuAFhvEl+kn5-cp1nK*b?v$9>_=u4+8^#i|^3%>IaY? zrl`CJ`JpvpDK4+R04eKHc@9$6vVAg0*^o=X4?upHqH-VPht}F9AU|M>)f*sXJu25h z%32hMrdKb3{4ho3Jjf5Nix%VZ>Ismt9+l%DWi8#4K+1+(tR4XQVT#IrkRMu)gO}N0 zi`5+<Wj!j}LCRXbP8^b6-2n2#6qWTLKeWC9uU*0B)fFIRJu1sV%39)wrdJn${4hmj zKFANPf;hc81Ej1+WjaV%%gG5tGFB&m{4hnOALNHtGw|9MY_Zw_Qr4r=4pP=)JT$%9 z0P@2Wm3ojLTBG5MF3_9%6(D6jD&-($Epz*aWULl|{4hl&ALNJD2Kc%QbgyQBl=Y~j zgOs(f4^6Kofc!8;B_8C5)}`>J7wBG%04eKH2?r@_DeN1Pu^IsK!xR;NkRMu4fyd&p z<y8-mvK|$8kg}HBy+hKg4j?~FQLzX4q4hm@fESxrEkMe8RLnujT3m;wR}DaZn4+Q& z@<Xc#POoZ!l=Y~ngOs(b?-`P@ssQrC6cu@pA6hNJW7*hZRRW}}M@1Z@tVMEYdQ|}A zhbb!jAV0Lmfd_c8d6fgCtVe|%q^za6dq~DA1IQ0kRQ_{=mL)WUM?JB5^#?bkzY0>; z^0sS8di4Xy4^vd$gZ$9C0z4Fm&8sg!%6e3ugOs&|4^6K=0Qq5x%6*U@TF-*V$FO<z z21r?t%5{*kmV=!`GFC5u{4ho3Jjf5NpTOf|*t~iIq^w8fI7nHG_R#d|0gxZ2sO$&% zp;a8GS9gGv^{8wIDQlV9F(hMk1IQ0kRMvz1&}su7AHx=_D?rM6RF;F3wft`%l3rZ^ z^1~FB`5-^ECV~fzuz7U`NLi1{bda)^%%SPk2_QdAQRxTyp|uS>XoStH9Ux^rD(xU; zEtlJdWUMxT{4hnO9^{AC)!>m7Y+kJZDeF-w2Ptc@9hzP(0Qq5xN<PRBtrx(<3)sAx z0aDhZk`7YVvb=Rj#%cn{4^vd)L4Iic3hr}b^J)Z0S&vFMNLh>E(DZ5m$PZIg{6T(b zmBQ&&50J7R6?c%bmg<%v8LJK;KTJ`v2l=7Z9z48&Emkc+%6e4HLCRX5HV;X!8i4#T zMMWRvht?EupBtN3H9*RGRMbJrTKtEmR~0~hn4%&N@<VGUxFd?qs}dk(Ju2cLWi30K zhGeV?fc!8;g&*XH)^*^HC^oNhfRy#9u!EGfC=X4qGJyOrMdd#isK0s{+&jbO)gN4t z{whdWOK;<ljMWbyKTJ`15As9n4{(<Sn^#|el=Y}Q2Ptd$-Y_J+`T*pIDJu6terT1& z>D3z`Wj!j_LCRVZho)CAfc!8;<vhp_txn+H8MatG0aDhZavY?r<#hd!jMW1mKTJ{C z5As86I=IV%&8s^=%6e3`gOs(H4o$Ca0Qq5x%6gC=T6@6lcx+x>0aDhZvK*wWWq#d| zjMW7oKTJ`X5As9nMsVX6n^$Lml=Y}g2Ptde9GYI80P@2Wm41*PTCaf{x7fVe0aDhZ z(hgGAQd~PEW3>U~hbb!cAV0MJ2DkXId9?zhtVg9Bq^#v`&5-nJ0mu(iRPsT7XjQ=J z)eMlb9+h;EvKIHD>D2^~AEv0pgZ$9y3U2XXi`58_vL2Oikg}GI)k88?13-S5qT&zo zLu(ee#fQzS9w22sD()a<Ez(2Ns}3MPOi{51`JuHR+zi6zRSS@^9u;$tvX<7WAsMR% zAU{k|(FggVbqly{fX%BKAZ0x&>L6t;?<<F-R~0~hn4%&N@<Z!QaD|D@s}dk(Ju2cL zWi63I)2jj?KTJ{K2l=7(AGpHA=2Z@mvK|$7kg}G;6+<#s89;uRqVk^;)L&J`>D3>c zkp3!2S&Qz_^y&wYAEv0h2l=7Z16*NZi`5q(Wj!j-LCRXDmk-HUeE{;q6qWlRKeXn8 zYY}W-y#Z3zqjDXjtc4Nm)z=K5J+0uav3T~rg0`-L)PXm{g7?0HHk_g^u*J5M6|!Fy zwv`pK=@q)U_c-J*KhTC(q=O9M+g(9t8^Jly-K-!RK=*ioHvWS4zCw>$0Pl>2Y;pzf zeFYu3fO@n7eD5pB|5H@XgZ$q*4d*7a6Ch<hD#t;}TJ*70R3L{y9S*V_#Ds3m9W-7& z0P@2WmHi+;wC={a$!rHmS&zzgkg^uRq3P8PAU{k|Sr76<>jRvd%vOMu^{6ZdDQo$R zrJ@=FvAO`{hbb!aL4Igu#p%@<AZ0x&(?QBwt`1GFP5}8~ib_Ao53O1__tSNNl=Y~z zgOs&wADUim0Qq5xN<GLAt^PRo(^Y_!^{AACl(ozpnqDmc`C*DmKFANPB{=ufWq_3R zsHB6GwNwvHuO@)}FhwOE<cHRoI5(L^fRy#9goBi|#12ib27vr9Ma3WFht_>KH<@{W zl=Y~%gOs({4^6K+fc!8;#UA8`*2g$EnOT68^{AMGl(i@hO|Ke&{4hmDALNHt4xC=q z04eKHQ3ok&VIG=ZRRH;6ii$kQ53Ra5_tQy$l=Y~HgOs&A#nLMp0!;`3kRPU~@Pqu& z8iaE{9S2BRj|w|TS<CUE=~V`hAEv1M=K%F}%W>|f`@sR}>w=WEtQ?wN{Q&aA6qWZN zKeW!lxykGWNLi1{bC9x@?xE?`2OvL8QMnKDL+e4Do6K&2l=Y}w2PtdG9hzRf0P@2W zmGdA!v_8YR$?OD3S&zzbkg^v4q3P8FAU{k|*$?tVD>qKB?f@z4QP~bs)?zp`y}AM9 zhbb!SL4Ig8z`37p1xQ(s%5sph7U7}k)de6wOi`H+@<VGV&i!;VK+1Ylrh}BVd<Bn7 z4Y{$U2_QdAQRxTyp|uL<e!32jvL2Ookg}HRL({7bAU{k|sR#L?bw18bW)&c1Ju2lO zWi300rdJC<ewd<?5As9n5uBUMGC<0DRMJ7pT4oPTuO@)}FhwOE<cHRmI5(L^fRy#9 zgoBi|)DBIr27vr9Ma3WFhgLqEUiAPe>rrtBDQk%znqGAP`C*ERJ;)EO#yI!WS%8%F zsF;J4wKxt<uNr{-FhxZl<cHP>ocrlCK+1Yl)IrKxl!vBQ6+nKNq9PCSLu)P0{d5u_ zWj!k5AZ0DAL({7QAU{k|;RpGlbrH@@W*i`8Ju2)VWi8LkFlX_GK-ZiB<cBFL|JgzP z)#Erfnf+jg^jAU3T22m4uYLgeVT#IokRMv#;M`>P0;H@*<vB=M%j%)&)dwIyOi{TH z@<Xd2POshoDeF<W4pP?AJ2bs|0py1%D(69dXf?yRpY8-mS&zzbkg}Hiq3P8FAU{k| z*$?tVYc$UNbUQ%GdQ`T9l(hs7O|NbM`C*F6dXOJl8*uKYTLDtmqp}>Nti^a}dUXNF z4^vd;gZ$9C6z3+h86agnD$_yAT11DYS0{k{Fh!*w<cHQ%I5(MffRy#9w1bqjd@mi6 z^=bpi4^vd?L4Ig`-wW~sw$(8eAZ0x&<sfA(H;1NI3qXFDqLL5tL#qf*uV#Rh^{AwS zl(p<0nqEx+`C*DmJjf5NmN@s*MSzs`sDy)*waguwUJU^GVTy`B$PcY?IQP?efRy#9 zxPz3n)DKOsI)MBzMa3TEht_7C`{^t|%6e4HLCRVZho)BzKz^8_q7U*z>k6Ek%rrpC zdQ{Xw%37R<rdJg}ewd;n5As9nS)7~9BtXh~RK!8bT2zOoR|P<Rn4-cD@<Zz<oSV!z zK+1Yl*g?u#*oUT989;uRqVk^&)L#|H>D3=>kp3!2S<A~3Q1v_HM)yB}{4ho3J;)EO zHaPdwy#OieQF#th)^d7idi4Rw4^vd`gZ$8%h;u*P4Un=PmFpm7Eo+CSS1*A4Fh%7& z$PcY;IQP??04eKHISx|R(myo4dI02yDJuIxerR2dbCcN)kg^_??I2|>g+tS;8$f=T zqOu<3ht>-?H<_&fDeF;L4pP<<JT$$!0OW@$D)T{pX#I+Fli3WAvL2P`AZ0D4L({7h zKz^8_(hu@Os}xSJc7T-isI-HWwTKT*uQq`EFh!*v<cC&!ocrl2K+1Yl%0bFneijeO zdbI%Lhbb!gAV0LG;M`A_0aDhZk`7YVa(ifcH38&@DJt<GKeTq@+)oz)Qr4pq4pP># zcW8Pw0OW@$D*hlpw64Rs$;<<!tVhKiq^xEB(DbSU$PZIg>_L8Ly^M2{nFUB$kBT`+ zSxe*4^r`{K4^ve1L4Iicfpe3Y21r?tiaJPHOY+e4sshLlQ&i+ZerT1&=~W4kvK|$2 zkg^unq3KltkRPU~@Pqu&>IB{ahHY?^1Ej1+g&m}<MSW;`l>y|3DJuV2LH*Tq@Je-T zUj4xe>92y6wQvqiuYLgeVT#IokRMumz>5d5dG!TIS&zzdkg}H7MMJU)@c`t9DJu6t zerVkYUgUtyt2aQ(dQ`51l(n1%d-XK~w)<f<WI&g+gYSo3z`(!&z8`jn#BOnh&f^~4 zJu2X>vW*7~m>C&7I?wG|0aIdl$ph)uSg<Nquu;tiI6yKtWEl`!ZCL}D7#WaE6DR=L zdEBG(+)mK7v0yt5FM)R4LJaDFDu)<i=+Sw6C){nw>JC8Ffps+=JV3zMAE?StU{US? z*@WwAc+#gAaw9F0vv(AO{Io>{v@O`9dkQ3MI?sD_PEk3*3i8n*kYW(?IExBsmn_(0 zu7)Q)diSV+cK9NEC;@T`G^h^9GB9A@9t=_slJ)2oaOphf(s|Nx2k7Qqu(2N9d%z9> zb3hTh1<eW$OOTU}!{yO01_s9#$o0n%fxF`Xdc;?-U`BlJ7Vw3<n9)2zfPujS$yW&X zGkoF~VEDu@*rK8!2MWX%NZ_F)nH@+;<`cg_!-@h1h!zPXEg*>vFbNJhkO~2ap<vTN z%U(dXf>Q+R3~;JFjy;iG07-WDs6ed6NMyZ`@chIt(4qoz0N8lcNaO&A4XW|ITU0>% zw87dS&J%0_iy&O(fUXTS(Sh!y2c;vh7a9-35*;Kjpd`8jh(rfsVo7uc^2mXm0P-<3 zdKN$u9Y_Hvr5<llF#%;o28eo)EUrWcatJ(8ZBYT4fbbhxiLPK5T50ow5i`+swx~d| z0wn2x(!qs%Q1la>raV}8{0DgtUZ|bO2j>v<VhEbN!BGfGt>BnPO;{g526dvwJm_X{ zP;j$qFhB}BYzYfBsv*e<?jBfFL;Q&n)jJST4Ps)6YEY^}#6ttffv8apQUHx=(2)Tk zCP+QLs0KL%DXKvxfV6;9CM$AOBSaZoKw;Vf&Q;j&`v$3n1Pw#C2TSuq#!kpZ-pJw{ z-!C>lWbB>-zTunmq67cbV-Eb=u60fU7d+iiY7bcY`}NjeAO)R0DqC0?7#upbsB8w+ zdaX+eKovX*wH~qQ5E5jFbhv_WI-G%2wqc~h1+-0v5W7(eIHJ-a#GfeXa0MbAf|w+w z!v;u^14>1p#CN<!1vwppWN{TaAcqi@4ng%GQS}_LQ4I+@L{$ICBUt1>JOa^z5!D~^ zK-mMM<wLC^2VyrFQ4R4YN>ndEL^X&>QdC#qjcSlAuBZk%WMHBi5_XVy1Jy1HgmX2- zBM>bZQ7u8+Tn(|CjHrhA6D6u=Afg(?Bq^#B@J2OA7FSe*95OIb4GB9)yn&+HgK$(s zJOa^z5!DW~jcSP9WJEQ@pD0m10TI<8CP`5pfj6o_vbdre<dA`hYDm~Y;tdql4TPf_ z;t_}zjHs@lZB#?-CL^jL{zQrD4n$Ogm?TBD1Ky|x$>NG?kVA-$YDh=&6Tbks(#F!z zzMu@QFHw4My<o*iU8D{LkRtF92B;4Mw;f&G0W9i3txiaL_JlLYlol086u@YPZjSB% zmhYEZA&t<EEh_Ec#$`(esBsC`jW|pUq#bv|7bM&5!O|@XY5_qSww*mH4bXOLJ=kd7 zJebj7)f}BYDmrou4BfmSJ)J!&8XyitjDJ0Z+t~wd7$0u|w}?UNKrJCi=)&E|dchFn zL+rg4R1YBZa2SEQOj{tKg52W)jcI^7MX<<2Xh9wY0QmqU1EE2q034tA1$)4w0H63H zAwBnf3P__$(54V*cmo_SjmImD7#P3_05srGzzQ9sLK+9^ZV&*uvJ)0(u&fK|Nn>+6 ztgqJHa047BXga$aCV-PliwY>E6O2ue2SEzE16bfungfl}Y;cs8=3qqW7if<FtPvE) z7i1Y2x_eYW;><lNARckC`T*4FM2Q)KzIy@ILV@p6puS56`)*S<b$o|?5Ud-Ls3FN8 zcC=j#Xn1pqN;KGhU$Fh%kny_C7L^U4kOXJ`&K8vkAPz)~8N%y?9L0xp3?C%3Kzie_ z#3KPt0Z5qz6wBZg+1;Q3mV+c3f~f;7uZKW`E*R|ewOLq$4&vEPNHQQNQ3QZph~#?g z-QXXlpb`PK<lM1hD`+^z@IdE5_#kKFA&Yk)mDhG1K+38Z8BPLJghEFhK<OGDWULym zLB&W9I6rxGgX=dYxJd_)%JKtXBMx$SboQuNfU^jEcq9RA#uTWqkw@qG&O@CCA*DUC z5ii_9qmU3I6i|(r0W|_5jA{hZ`167wkO`21YzeRluvBpYY(S3+L=@}~P(p(^0?7o9 zP=pB#C?;^cfrJD^6l?+;JR~l8z>7bajVHnoCj9`7)pxh3Kxj~D3K<}Uj8fqq2Xz41 ziCjcL;`pEkByD<hZ-ETQHXefvLG1w-_dd{a#Iy6E<8en0P_5#495nvS-~&mYU{f4B z5Bc=UGGu^~#~u|AaGT@1Pv<qC?kP~-6cq<n1_qzbOW-&KGf(<<-UNr)%Qf5n{_k!9 zS8y|Tg6e_qKbsFQb{^<F=5g>DbLWT77L^Z>91O{xTU0<j#O^&{(a!&loj-gSk9FI* zbVDk{&K4CDRt5%_&J#1g|8(p;>8N?Jvq!}MEOhen^X@5NY0h7rQ^2F;-BVORhi!ra z0Lj?q<1CmayL6uDoT8$`%D^xaWEsdv$L~KKH7~k!LJS5;fei+k_|i1yKgeHiWEmK6 z`RfeCU!d_2kOM$%<nBExAW>A?L1uKesAzyaf#NR}un@$0kTlqOka^uxz+)?D#$xyj zWHQ8G3NU|xj70SpND6E)Xtd_#XNbQ};PKZQh`*3)-|jssAW>9*fz0S^QIP?A0>xhv zU?GUVK+<6ALFRQ&QLzElUEPpM9cnCwzd$BK{3QbO7syCde}SaH27^p|xiJ<TUn}tV zs|VsQ$bk12a52=qM+GE`>MxKPoh>S$HZ58@<U#TmNE&QC$UIQGg!&6q=s}Ie@E6Er zh`%^s{sI|^>MxKK*kI6r{>%Kh|NmdUPx$};<)y^`|6guR`v3psjO73SUsk95|Nk;B z_5c5uj%ok@zf?*8|NnT4N`pKD11O8XT!+d(-lEb1lbW3V|39K+w-5&9{1z3^v;g)B z9HbT`>(LEsOe=y$cO5#;wVnix*0O_Z!X^e-O$bx#!P0t?f9j!*DJpEN3=E}(uR*h9 zpwta2iI7GQyCKf*?g3|MPNbu9K~C<59F+?e2BqL`$gpGU0f^D8AfpXIGpH}!AYKNQ zGoT=NJrU|15C_^=05M;d{Rbx%kW08BhIK-wc%Vji_ke@3dkQ$nnm_$lyx9Elzaq$8 zNDhEH7g_>gsCt?E9~>c|R1db55#l-+|9A^HCxE4%r6EU10W?BDgZIZ<!1FWE00Sim z5EB$3AX!`y!h#kdFtvyXVFra7BtkSmT`mUj45kj0+1;W7a%OiA*m7{lgH&}xoZQ_5 zo@xRMgLHsOe|ZK5ScEWvjD|$W%rtNifHDZkpWq0Aai9}cAaPiPfb8IIQ306=DlX+2 z7@$UX_dwhODLhbu8l)JZ3S>AmD`KdEMTi0{8-zey2jd@aQBi?OS)xS93TTAvfb^*) zprLfUMMVb61Vso)7FUEYqD2TyEh0h~K%oYS5CN#6$6HiHpv>+T6_7K#dsIM{gF_yq zsvF|u?jEp1z``IM-4Kni2>H*#z)%W_kT<E|2mu)a3V3jYz&KFvfW%=D0<wb}Vi>4A zghU9~h8~D}rhtPCC8)vD5Is=mc25C24?`6!LO5Wdz8vB@82@;S3J*-GClxt|Jb*?B zXj<WTiwXlYl#aKkut1rh2m#6BijaRSpfxp!A`7M#5g~s;p$3VNA9A2f2hJgXpv>+T z6_7K#d%%{1Lms568{*{d9<W2e!XO>pEh-=i79oE?MnfXR9^z$?4?qDAju03J>K%|c zEJ8qba6=61Y*7L6phkE1K-@D09Aqd#4YC=b3S@Zq6tMF!RKX(TgB-LD`IQ1rE-*f5 z7Yt15ehPAgNQgk{5KtTJc#FylXeb?TQF#Mpf+7SYiz`BYqeTczEh0jGfkF)uArGL2 z9&b^30%dl$sDPZ=-2=899P%Jl-7P9#AfqiHhk%7aI=UemVG;5ZWHcl~CPBOm@&PE| z!4U%EK)nMJheZg;4sM8Hoh>RL9@OaW9*BFUfbB;KY9t3ho!dPH98MUjU=eZy7V5qb z*TMM5TU74Aq>NA^Bmf#ApdtF>Eh-nFp>(`O<qDJuiV%=2t_b;o79lXThzR)(3N=WC zoPZj7yhY^<l-b>)0&-?|57=^W$b(dML!8{*19k{l7^I^cq7fD$-#|t~BIIc@I1Pe) z019|;gupmZ?|{T%5dyM<8)8^ziwcMbHM+Y8;+`qsAVUdiBnLp9+dT#BJPcK^2sr=? z^#u^u!T85pRF1%;T9c7;NCz}RK%Io+kZT4&h05_370}HDASNh6K(e?Z<SSZ)z|<ll z<O?X&AQ1u@*aI1gG@#hsq5^VecaI9_b_8(9gH&}xoZQ_5b_iG)bVmSm&;}MEpFu`L zBE$mXWso7DfConij05!!NE{X+AUn7rBS47D2EaD-K-@D09Aqd#4VH%JfjYN)3fOrV zs=yHf8vOxv;*nRVb;N^8B=EopGji`7UEKjJ>R!&A`~N@H4RxV~q3`F=z@@WjVW=xD z4E->h2Hu}d3q###Vd%$MH1Pf$S{Uk13qwE6q=EP6(!x*=S{V9y1`WJFj~0e{(!$U$ z(`n%S`Lr<9ix!4{okj!iFQA2?-n20E+f*8Oe<3Xl^`V8K->1;P`-^B{s4p!H{V|ya z-d{`$L;YxB=+8+s@ct5780t?8Lw`-Af%liv!q5O(82Wnx4ZOdM7KR4W!q7kcH1Pg% zS{NEc3q$|*(ZKsFXklnDEe!qFO9St(q=lg&v@rC44-LG(iWY{3(!x*%S{S;zn+9PT zMhin3X<_J^E*f}0oEC;M(ZbNRoiy-%1T73@riG#FI>5v0phb(I@#2?D{80Jz{;2$@ z093wdASz!V2$laDjejf{ReoUzD!(Qal^-64$~Ou}<?}|M@?W6w4@aWP&x=CkS4N}q zLt;?*`mv~dt~gZwQ#Ag8cvSh>38?(CL{xrY5-MK@GP(|r4|d3m51ju9jlUN%fe4qM z0h!c>^NS&?4&Z#h3{?9xGg0|0S*ZN`X#8E-sPa>DQ27P9sC@4{RK8k1Dxa|cm463~ zzr7Gueo_%CKerf_?@@xvS1v{6|3l;7EJKywQjW^+uR!HzRig4;t5Eq0)u{a6X#8t6 zsPY?YQTaV}sQmPLRK8OKDqpq{mHz{cf4K=&eqA#vzq18<@t2VRpFd&2H-EB#NOd<5 z>NxS4=aC4v7q2=2Eb3m~-8YOpBQTsi<Ggnm#Z1|rVdR;WyN8iy?(7;yp5Y%(o^jea zjAEvA$1w8DitWS5Gq<-5BhT;+C(k%;9Y!%zvSk=~X8Gn}<e6KWhLLA@hm&U<HV&hh zDc&%QJhN>5F!IdJb;HOrJj2N|_G^bx%oME|MxI%^dKh`;#;RfD8Sdfa8M~FkC}s** z3?t7hSw4(BbA8z`@(kB-@{H}$VH7h3ONNnW7B3z~p1HPY7<q<sIC;iq;V_Ds`~}0v zGmGXABhOr&H;g>PF`PVOjbjt+kX&w(hht0Yko3$#92-=Jq-U<+*ls!`J;OenJY$7p zC+v`nnOq$ET8E@(7U0;WIwU=F8ONT}A?X>m;p7=h9NS@sWX$B?*xWiKJu@H2R@EWt znM*h}o(@URuns5BSm4+bJ0xQ!8^;dUA?cZUIQFX!NzYuwvHNsLdWK~<dBz;a#@Hbl zGg&>T`)Lx;_Pbf5?ROJH+wb-fjeiDh|J!o3{clZZ``=>G_Pbf2?ROJK+wb-cjeint z|JxF@{crVX``@C__Pd#)?ROJE+wb-ojeiVn|Jy>e{ckmB``^OR_PZIO?RVov+wb-Q zjei(z|JyvY{cn|M``<#)_Pgn$?RVos+wb-ijeh`b|J!V|{cmMx``-f5_Pgnz?RR5G z+wb-WjlUOd|Jw|-{cpu+``!G|_Pc4K?RR5A+wXQCjlT<R|Jziw{ci<m``x_J_PeQ} z?RR5D+wXP<jlUgj|Jx+A{cpKw``tXy_PZ&g?RWc!#=qHunt!*nqVoIOQ2ANysC?HB z>_g31FJ~ouX&vlR7SK&8kVArD*9b%)UCjzPt+qu4LgTnb0Q;pZJ>ZK1KJg1QUVz<% z2f7>;=>i9^%bOv$FF;OVh3N5UJP5viv-3RsE|(W9h`U^fxNeoGOE)1_g0EG8Um1*g z*&*oMZY1|YZamlmzOWL0-v#Je+$ky#SU{Tz!I%1im{=}QvH&024hv)COE*F1ogRmr zgALjk4Lz<K!~`AG36jNiff6X*z;`2nj*#2}KDipCr2}LY%B7nxm3xtn2t{1r1G;$w z_pKx#S<D-V?yx{^&b$piC6tpDb{0EWHRyJl(Hn?BM?-`1)dg6pg=IF}VGWM6?uG`G z+le4o7wiHZate!P(E0HwmxMsl)fUKgDaaRhz*7RMskog0x_AjQy3atP`!qPZO_^cQ z4OR_`ZqSBr$R!^{UP6Q9FxcHmAj6<X=!4Qd^ay<rvm0^aD@YOYy-7!)E;|f%*)}GS z%TRCj0p(q=E=CG&_Zf&wllDNpwj1oVZ;T+Xfr1U}8Cal$1mKwtbO{up+kbF`F!cCa z@SS0>OOv*+FfepXQP~W(e<Ij^)S!i2eojHK((2NrHPE124R-oR_*D@oK}S-0g%qx! z(}h7H4$i2o7?&o!JTVPiU4xYUhup^iI$IdTd@1`Ir__f(U@6c=5+J3}gb!lAEcgqS z!e*fHKd=-wT@xWv*mRjdoP|y5=R~l9*rc{h#wk@k1uTWl8CM}v*bJ<gil~aZJ9^$Q zFhFmuLxgSv_!6QPl?TvEj36`vs7Spb1HSqURL69lI`~We;45jyiwA$Q9(>3Qz3&ct zDhC-3)eE{5uk&0tk3sho@MS`s*AM=eKlonSvGal>;}6Hi2M-t+7+f?DId*_91X{wv zz~ItxvU`dOh;{iD^g1B8c~iib>@^=^>Aco0V$i)u1>`ix&L55kf5<x?d?D@F`OB5@ zkYnQ`h^f~dI(~u;0bTur-2u%H9yor#;nI1a^IC5R+mp^I;EUe6Ayn%n{`m(xdQ=vJ zl6QYP2dHF#Bv4Q^bVKedYkt5Fy}7KrMFnILL;%DB6^Y;^+<1_|8q{VvzY~6HHONmO zx$cf5kXQj-`U4a6VBG<Yl>^XNfzaSs*#U_aka{e!as_gm1*kOzD$<eeV*wcs)eBMt zixsdrcw=P_JXSy~aDal0hnqJ=1!NvltbmLpB38h+u1rw@sYXi{n6Uyba;Jc=--E@< zY;dfsWJkmb$W`5tJ6|A?02KgP1Q7tSkYZ&8?pXN)i51Y5MIeuYqRNBy12k3^Kw||$ zgJWd|BvwG`vBb(2NUVU`571Zv-EIV8f((c11u25X3fLUHu`&f7D<Bp)RzSwX&6}bE zG7l+MKt>V~D<Fq;Pf-D>#v3coJEuTy^n*~aSeXotmDg;DSOK{Tdi6~6gZfTL;~RSE z3`78AB~q*e*g*0M{QfnNpFk1N-C^<;T!MivT!IODuxh*osc%tffW``h2FFSTBvwG` zvBb(0NUVUamjOiu^zIrE6J$74FGvwAR>0=qjg=mFtbkbHSOFOiH*bmx$ULN20U1d| ztbpza>YkzkQcW;cz*j3y0pG9)i<NG0tmq=e3dmL6kZXt_kpL9{Sp*RPv5;cr2d-H0 zV2uDrz;TqWX=jT{0yI8AQRl&$5DmIuZwo{eYulN10(Nse<Ul%My}5{35O0eLSQNWC zC$O92fMQNTIK&*VC{}a2cW{(Id%`=xmoJ_-JPC?Oh<_kAFCIg`c@gX^=nZ`ytO4L_ z6CpPif-Qo}z;9jzI||$}#(wkS53muCjytFYiWDWVn-?L%sMjVU-@K^c0lKRYVg%?O zGbAHmH!nhjQH?;lH&GxMWCFw=kV_h2(E+=85h4oq2k0^eSQiTJUk9X{7a_Ma!c2hO zya*8mn*h)GklGg1vOxqq11RF4w^>4NYiv=0&^U%nu-sov`pt`=cqQfL#hJ{YLTQgm z19-3kcJm^HH$|m_1%C5l0ho!ndC|y~)SDN<O)We(FXn*CB-EQ1Gr&S8!8b30q`?={ zfg3f5n-`Ic#klbf)b4`Zc$dNgy?GI2q$A4Biy$em!JxL#%fsKm*Rz3Ydm?XM1UFXp z;J$e=0qhBs8wz8<LJ)s}q`}sMTLFlh7m<v`@E6E+5PwC$`~@-+)n6bfu)(0##LLF- zV1I$?OI-c}-LVL*0YO(kf~$KxH!p^OJ%Qq{0I(3mUm$6)_27yXa(Nn>u^9dWxenql zADF*DMxy!)Bn37YR3E>z{{i+FsK&wNFVG!}&^iR<0C0tg=jKHZuqROb<pLIh_zNTr zwjNv)O#$CVhh{8>zd){o_{#z2FOZR_{sKvX4F=U{FaQ1^x=RGP&lP^JE2v8Z>K(!E zG$pl51l3Dgm&gVbLf|eDhy`v?fbuD*I0TzFKwTnhaDzhL4$;g5xr(GNkp=F?H{LE0 zs9XYhA3}qhd7$1=cMqf|ff*~H-pO%Dw*b_(L+cVj^@0?^nt7m70IijU)=@Qq#|nrA zjulWT19BGFyaDPG8G~ab(H0RaAXkypC3=86R`7O-K&2|k`w$u&E1=#{cMqf|ff*~H z-U;rJc&J{GB3P_|$}s$~q63c=5DOeDpfVEVEU<Y4)Fsje$I4<GM67^Z1+5SuZEdIk zsNjVNfLKV)v<_U2ZvtH+P*nr+K7<Cx3aEF~-2>@KV8#llcY^zNQm9^#B3P_|N?`o4 zq5_W<5DOeDpb{SBEU<Y4)Fn~|$I4S{M67^Z1+C5?kpL9{RT~fi5DO_*3~WJdyz@KZ z%~g<}AiV<|T_R8wiBL2H+9d*uV(o(9>Jp)LttLRbL|{?u=HTcOS%7;Vu$K4=P|Lb| ziwam2t2szrB2d#D)Y510=-vaKee`HNE&;xF?buFs*jb<+y^ujNkKP^?P*LR53+evD z=4jwEdyR)8z!$0?;_&F4q5|qFVINxT-U7Z&xcS)ukXDd7k8UBCZZ5~>hxRU<=Q<(M z-CH0dacHW%SP;rUZBn>l_UQUR0w5J2OTZD@ct|4z)CGdL4-`PyYn1LSV1Gj02U3Ub zK2R`pZvo!}j;;#XeW11_+%S-HQ1pQWKq`>j_ahMDK1hdf3N%^{F$5r_Kpj2o#V#n~ z<rx^7pZx%t3Q`AmIy)q2!KKs|@CC={s=!W%Dg%{WaKqR;A#<hOFnu5akP46`5O)gg z6JTIq=-i?r11c#%*WQBrOjA?@K>W^Aj=Ml-)q-xR=Ku>_bOaBh`gG3$tMKWRQ916S z!U3AO1BrnY`E<sp2po4&kpN{)k4_gAh2t(N8X(RF25{HZqj!r6q+1D3ydR_)7<MHv zFfi=oX8`5v78M4>lp-t{gC}l0dZ&P6*`wDK)S!SRW_b6o@j!wv1A}Mt0S+I~6rvpX zj#*Iq2&5W1?EqqeF02O$J2pQ&0MZOf&c59YuH6ET&5!I|IWM|&_JET+NFgXWVkit? zK~f1)0a6Jy(jJR$uoy@k$U0EM_G~<m;f-)BD8V90S$HC(Kyig6wZH`-1xhPOQVxCy zDbTP0_M8H8DJV@lHb49U(hO1w_dGk)^B@Hvg`l*Kp%CnOm`acekV=pa$L5FZAkTx? zATf{}Obo;ZSqE~nZ#M%d!GL_`+b!+UJqJ?qbxS+$1f@ui&MhiGm>C#cI?sU$r4L}{ zDMxVW21<=Dzyc?Ix*^Iyas7aqfx)-)gX2!nSdLHk9u<%#pH3Ta0tJoz`*bf+0V(q7 zOo1d&NUB|;0x}FF2u`SA-JLNi2FG1gEI=weI%8BEj=QLMKsYWc0mofbB8W)O1<2|7 z1~YUxi-cksGHwGY_CV=#uocUYktvA#K&cmdVuP2Y;A#V;4qmoFs|`?Ep+&L$0IBQ+ zB{Wd62g-OJjfXb)4UJ;?3Mid}uJ#2FX-!c%0pcSU%Ll*$NX0Tp1$wazQbcyK+<=^n zcVH%CB8z1YA4JK7J28Wb3}_iTfW>lv7s9Qe1Pdy(KncgQ@c?K%7hb!_GB6-XdAK6! zLX`pyB*S!p3R@&ypkZp56lfS0dnN*f3n-hw3Sy8-qDpMILW)Xkkei_;Hl*+dm)MXz zB@HTsL80W^ZH6ekH-OV6xbR*9W}b4~$pNn0dsG&H1x|v>Xpk~c;XMOfc>i$R2`Xek z1vN;MPp1k};k`x$q{yc;2dVJxjDfT+AiCy&O$H@&aN!Lq$x#Y#pUx6U;SEv_YMCS) zcTve8q9mVyoCPLeW&wf?><aJ*Jfx(=o%rExVsJ?bQionrg0coJ8rUA-IR=RPKv9W3 z5e{5QE`hs&t>8a2O7b31qVMie0nwl)O#_IJT#{FS1&~T|kP7sY9Ha=8z{zT0gI0gS z8`uSy$rxIai-Ow6u!c2g6c|+Wc{Cnt@BxMNF%D4M43s#rH%DBc1Cfr+4-SAdgH(ES zo4IuJfjWS$oF`nMOEy3XLCs+dg?`|!B2*<v1xO_*<vTV%u*aesECx~svJUFj4ljgT zK?xd3Dgrzs2lWPci6L}RVYiu2_Y`n5)VEv6aR;m!ngNcNW1u)o05cCc?f`YZLCw$z zu)sl3aSoDm1P@1m+O;4FSlofjq3$^<AcH{V5jgHZMUYQt2%`7`l}F%Wi-_`Q19Ev3 zfEkel%cBj0ygX_c<mFKS?(!&Nh?GY@prqT~qXMEqY1;wBM=p;nzye6+5l96rO@os! zsME#_noK3L!+!ud85>|GV*=&Tjv-VY9l%{4O(3y6(g4Q`xI9t-Gm*+839taTJOarf z$|H~jEbd_C5y&9wlt&kkBT@h}A_<m9F9vyev}2H$M;*A!qX|Q#JmLW*-R>S05DhAi z7(jgF^5_Q>XgCO|JOZhJrD<4s1X4tH`v|mh72ZDjz=SbiL!dnRFoepZAGph-6C{>L zFTn8vE{`67nMmc)4X^;XJOarf$|H~jEbd_C5y&9wlt&+s%cBdJ5lOH-QW)eDA|D2M zd2|4Gd30iklt*VkNw>R41w@0&qXQs5a(T1^EPzxVfmFcKG^{)VDI&W(Vqip?5ZQp4 zj0u!S8or1=5U3Y6nEPu6-Uzp%_173Y5mJy5C;a`j72tRQmq!c0Or-K?23P=G9)aW# z<q=2%7I(1n2xJg-$|C{fh@5~Kkp#=534^>mN*Lr5v<5+-Gz1ynf}|n%1g!<qBmrn_ zbFfa(_JEQuX#9u?G(kE=r2)i8E{`g}0!ZZ%NChlS!^$I&BC^XP1>|I0fSHV;<q@F? z+8IM=f_4G!3EBeO6SNt)Culi_(FAP<IK_d-_!GcPqzT#xumE_17NiU`#vj0hHbD#0 zgg!wFQUscdMGTU4FHr#*1`?$51g!ybdiKCf&jgEQjzQjSU4T^Vfoj#kHmtt_sq957 zmMezH1g#4wop<-BfM`%N(E`LrE|v|z0!YO&NCkSa3{pgPvFw1Hj5RQmF_Fcxzz~|C zmB2kg`@$VD3kyn9ps{(-q=0AR0Rh|-v<I9Kx<HeiNV+7Dq(HN&*fY_Po1j$yr%Q0* zEdgdCP0$K}1;7)uAZ4J!n*&^UBTdkPG@(z>f)wGOpaq!>O6cI34sb&od36oh6SM)y zS%3jE3qVS8k6v6;TNl9XSLmD&o;72TrDzy4R&<@(x&bcKA#TN8sDtLrAPWW{({Lb_ z7}Ibd1t5i>g;_99!4;xR!+}(QRDyKCrr|(rkQhh~CI(_7&9$w-(su)8Mvum074D!W zIn*1_X*f{(+XFHU2g<rA({Mi+LBoIGZrukk6RBJG0xSUT)`8?;OTgx+fHa|$wVfeI zs|UKLsDO0Br{O>|Z=_7aB_OA|2aFiwg@jVwj3JcjGKNs9dw@IDZ6Gn#-2kU7aH_ii zW+J7!6JP;wssqU(QXNPW-c$$DNp`9$Ku&cB5UCC{C(VGEwuc`vbt3_k(I7ieLBl|x zMf4Dwa~EiiyYreOXq1WpG@rc#;w8_{1CXH+(0X~0m`5iIcqI-O0|NtrRlE(z&f7rP zc>;-;&Vv*Y2Nxi@98xUxfT!m@x;a5h`5>NvuV7vSa(j1+3Wx@^#1??~orfR`mO-AI z0Twt3_8h1`4DuXE4CXmd0D{Co8bQSXxC=srk0&7e7{r0Dat4`)EkZnS`xty+BlM&& z!anW+d8oTZ1w?~<3<`P|WFJ?66m+8c7$k=7V~|Etd<+U9_(TaPJ)-&;+TcX3Y&(y6 zcAoI;yy)3^%CqybN9XCipu`7SOOnCJz|i>)<N;8D+WBfPCv=@y1W4i~Xn7e(38)nU zs^@(>KkNlXpHF8GculTj=S$E^GLRIkGYM)~fEJN~mh6K1jo_FB3&K`hKseA<z90^0 zpbxyt7sLUr0|B`Olt;m<eIbH#R6s5QHDACB$3TLhP%Aj@q5=w4f;oc;X*d_uXorLv ze4DlfD7ixyt%K4bI2W)^0PpK)fhK$y&Ct!!{E!j4L>;6Ul3EzLJy=>#@=ra~F-66m zk%57~HG&hg$p&fRJ4i9A$)Kthd!rVl79{J@Z2&4*KovgtkS_2NYq0CPA$43c_*5E@ zJg6%K+Q|SGfV2i+TU_8i0oV2|Dv;Wqp_}92OJ;~?K${#ucEV&po^j-#dcdWlMa2&6 zon_#IV33cF>xE`B)I<l_ivn{rZ0n0pZx49q0XPkw1}!>=lp)d{oqJS3qX9mh=i$jx z1C;u}$r3c~g_JBMKoT#ZOBFjszy%{HEr3!D#1LpB11tzzq6p@6&rtyx3ra%Z7BDy+ zcW+Sv*#K(%f>R(waE}Ve9-qz{$dW~nc2F9{OnIQC?F7nX&{2Kx{0bV32FD#Jq#-GR z0WIZ0LIop@!GuB40!d^1;7Bn9p96z6jloQYBu}K&d+{YC%0X!c<PeAg<n+r2Hv1F! z+zhmRqYzi0faVNH3T=U$4A%{JH7qefq>)YL1)IDBW-@3;40y>KJSW0T2BkyXJMuuX z(3}C<t%jL1K%$VG0b+IcfVWjc1R%TFU^xS3AE@UE3JeI1oHH~)M;&&zsK8`EQ2@&s zJYesz!MvjbI+YJpg2HkJWFHH>^8?u>1<D$r5CUZlkn2EM17wL$?-Xbjx$FTdruV3T zW}$pKufy}j5734oaJ~Rdvm)gSP@?L52`Z*R6Y0L4Ctz6wvPTG%f<ajXBnc{5;e|3N zStCaFy5~S`gci=A+yKg{AZtM?k+R4Z6_5)+`39+w-lGC?2PofwvkF*yr;7@>kOu8# zB9K)+upkxEHxPU2u$D4=z(EDuLUjdnoK|;>3Wx^PW+y;=<mwo--pQr&Bxq~_B<Hvj zWGE;|KoUOCk_6TX0S6CA0Raa)up>Em2h71Q<(Wu5$^yKl9nVn~Tfp8yIYI!m4$-9( za)ba#8objVv{JJhag+s;u^2}PAda$F!vH<X0%RoW5dt77u)&}uo-er%f{#W3Z4<|J zG{PBK1_tQ9Yfx7dyeS;dQ5GvO{j~%v1o0P08f-nNr0PZ-Wr1WYhQC0rbLl+MIYkAu z<aQ=#YzSl|s=q)|V1q%m<ja!~e}Ohy<MJ2UQ5N8B)p(Awm;?3%N_@=#3qkw^k_KB3 zDh0X`M_C{li{USj>mdG`0*fz@k*NLxNr4Rpm8&n?4}s$gv{f0GztE1d0B=mjbCd;W zF}@4xkt#h%{sKvZtp}BA-H4+skc`Ff7sz!Ge|5n81u_!VUmz*4!JxAHrR!nHQ5J}L z3sRqf6X}d^P)Tr02UPXIn>gS=asLjHM^vatn)gEw$v~KV@FgQe9-LBsL*)_m8blIo zvc*q`$%uLaZZoJ}c=`P2|Nj#Zz@zz%hev0Pii1aIiHe0sca4h0KEwuM5Z9x7e?|#t z#<50410=8E(fXf%%7G3S74;I%*9;!rE-D)TA0TZ=_EE9$Xgt_q3et1lqw^T3G-kk% zZ&1Q7Zy|wSo`Hb;0|N2}iulc6KtNuAfctL{(C<M&KV&yIDEz=%9<c|afj$9G@Zgt+ z>{$m{g5CTHocQ%?h~k$A^*ua5=SJg51QW#Z>z7c6$%D_w20PLN+N$Y>q%(w)T?(K@ zU!b-R0d)>UssnFFCc-@M{y4ZgjFkRB4bu}Cie6|Fp=bj!ie7*ZRtCi>BFr$XQ!pmN zIt~@MB70b~0>dN+ViZ*nqi6#$ieBJQRH9<QAAaByzo3hX0jNl_@L;_G&K$?_ghBw8 z<b~nE9h&e^z#Yd4T0|(CL5!jc#3<q*CLweX<GTZTL^%8hF^X2`5@AvSF^VE|h%iY3 zhaxNq;RIMIT0+30ZUQ!SpawXD2eh!!P=>h-T*P3cl>`Fv42YrtcaE|kM$rrcHYtG4 z7Qt8Y5|9TU&j8ksC;yxvU`PZ3`2rLC!MTEfyaa(ZMg*di!yViK1PnO<m&X$lD?q(- zLc#TbfOmGln*=*?JNE-IiVO(U`xyk}Z@}fjgGSgByN5LX*xLb@#}jZFkW+j-I*)<- z!q{zEK#Zar#3&N5gSi=xbsoehY9L0@4q_BN03G}TNjspz273?$M8F*mZ=PcmKOMv< z`az7Mj7TD^yFrX1k0>Hc+ChvW31S@1zy-4odpMpT(4s9Mpx=Uk`~w2=D+tI3aN~Es z1OfRD0`dn4$p0W9e*tu?FgUSd4_^*YhZm1LxX+45zJh@M4Fu$05Rg|O5dSYY@P|L0 zyM>?l1wds21854Cq4S_ecL=C+eaHdSA@2rt*c*?5*bwRCpZEp9lOR65tR|rG-_9Bp z36IX};8w8!zXn*l1gN9W;n8``r`tz`!=sZ&#i#QmI4(V!k9Zu0ww*zSdUPHKi@$z` z7%rHAI$mIS0Omkg3uy;0Y%<qJ#Q<!vhR1Oi70@Cg1~69w#04z^f^a!Jj<cwM28KZf z7<hDZb_;@77M&9nE`yGU_5n5LIlBW{prR^JQP2=W185o*8VVejK__vzs3>@Jp6@)= zc@XS!u#b=&KViZIkH$Bk0V~jS4``eSH2Vk|)&Y&VgK1U)Z~|~qi8$V(0_xa-<^_(o zsI-7+P?&bRs6=>x<`}vISehR)c7qS0GW^*5h>`Q+_e-r89r&jncj%~5(dKW}0^cSA z3Q>@bE)SN5hm0*J`CG-o;!yKJ!_%PYLy-B$T~um7bT`E6?jEqU-!CejXnw%haj5k` zM~I3Rf9rejRUh3DN3|Z{pL(#vM@5sr^$A4WMWv$CMJ1%$MJ1&50RNPO{M!z8x~K$n zgK&sS4d(^^sUQKB4j&a|{?;SNni9HQRBAe1R8qQKR1%sWFm}4AfP_*Y%oM`|KD{6j zAC&~q^gAfPI=e1hW?<;{QAt6FTmi??6cv!XN8=#|K~O|)fpU-S0LgY<M?_NN5m1aA ze)$b@p$90?!GQwtABg$-F(`yUte0}2t39Bzt)Son3v&L4jCp}9?C$u&%)rp;qvC^z zQ_%5Fpm|ycP+T~8bTfcB7TrE7J{afH`>2>4cToWy+{AF)MWqB38z4;}#U_wb7eiEh z@Ey76qap*kL?c8+=JKn~5S0Rm?hq9d__6afDn10yoDWec>Bh7O^=5{Logpd)-61Ll zt>Bw7d{m_Q`$2=uuNk^sR0<$bR?zLDQUYO?fQ~u=`RBNcN)Ctysp|GoDM3wNQv9uq z;PeU72}@j({H;I1>EyVJ3TRXW6gDYPGb*}$R5CbEK$A*Mw~tB=m<LKjDIfz5b%dx$ z@VA}?8}ORp<+i{7|988n_+TFmc2Us*Im`o;ue)nhbUOb#cK+~TJl1XJ0*){j6%mxN zUmq0#$OJ@)iU{W~P>6SjsFc99qmA_XsQ7?}c|%lqW`c|pKpx-?QQ-j_Q1WsQC?&e6 zfYux^yaXjKP|$%=8Yt*M^vf^*z<x;Jc2P-z`aGrEN2Q`WM5U(r(|^T_%^&|Og2DtG z3ZM|`4pGTLm3jH;-~ay}&2K6|<F5svVmZU3+eIbAgOvl6V>(^HhmJ*ng88_MN(_hw z$%E2wHwS^zN1eYl92|=<9f;D$1uPCa!3^XRED;*f?V}QdnkdxxTjjypx?NOaKyiAo z!$(CGR+51V3s4CFxl+kRMWG`^MV`Oa2&N;U(?!Lm+eO6&sW`Iec2TkD4pB*g6-N>s zJ}Tn;txPaY;G_exMvTAJ5+;}cvs;wE6*N!un!%&jMFmtf1a$g<=@4+v?{rboFgySf z*XR~(e#8i3Wpqw#xB@DPd{i{L16dHFEl|-6kH&)=vOp?IR5Cmok7ZneR4E#0RZ7L- zm&YIp1hg0gloet?A^G|xC@ev&m#-nxpamo#=@hWwHBfAWT??+G!S02zd_V<~#!Jut z|Np-{4N?b52Pfe76GBI|48S!{r;kbiC|<!l50*|Jm5^>9l>pErfR9Q*w~tB)gc<U3 z%fJ8sK}B`|Y|yl~M#W<%Xq*&us@|baQ0D3OQ4xUDmc1b=9v+<`DjFcyaJ=08@Be>L zeV+kJp9!G!837vqkJtw~#R;OLy9QJl?tcMlj)2xFfaC+<^8@~{`GEkm`GE+J#)BNm zC=D%GJ7NbYtRM}Y2#>}?2S6rwm#9Q|G#&%7A=1bV9Z>xXa-ajOp<@AU=zwQ544@4i z1CLH06%C}8PR3!B-V-fcqyTe~1k^<epfD7Gx<~-zA`X~~US|GBx%1Ko<YV+O0>ycR z2P-HXU~RLPhW}Bfb0#>3JB9^&G`^X@3JTsmDji^Yi%J8C?%o5Y_kosIK_d_%0-EC~ zg=km+I{veJj|!NE%=EOVn6of2l<<Hids@H}{~tiuVAH!DG&~^rvPZ=XbgnP7s0Y=* zAZw2`94KXAV1#i!dU-`a4XMtK43L$LM?g-Ec8qh3Ka7zGrhwghtO;ZQ1E_%kGS8#& zkON3(H`G^+$3R@nlmTiDfZBN)ARmES!7VBZAoqb9!c$Zr!dp}%z|z-zx_h9^9_YcQ zkXG@_C|KhH9D)uS9^DQOaR2gy%xe+)|NlQ)fOI=J9CrY%kY@nb6>!h93V_o+Bp8pk zs8oQP_uw;1YoJV!T5#xhgXRrES-D3AB!?`{@%<vG@i_%7!3k<~9&_N|cCB*?*g(+D zhfu?gx2TjrncWb{?mb{l->)nFYJSA%&~dHxQfH3}=-gI^jx8$eAP==x@`Bckb+>?3 z!s3<<ER_e7DqscQPX;m_Qt(5LZ0(q$@}C*B?koXWA?V^Eka~z~I$Kn1AUq3J1_n^` zq(ue90^Lgl7XYaMExm!d9&|qtlnFY#8>GDlYzlHsHAUqg*lr5}sQW>Nz}){AETs#R zGJqIi!pgt^b3f?3(T*u9-@yvykrj5fs7Qd+x2S;Bcebd=KzJZQi2FgTZiqNU0Hor0 ziwdOw29976g!{p&d%&iky8j#4?n{DD_k#?9x&JFz>NrdaWN>$n3dm5H`$6ZOc1%%u z4_3GnSz%|3$_*Cqq6m=s&K4EW-KO0TL5Opq(jak&0Eh)u(cJ@9|NUa?3COCicVHW; z#Xzon4W8TG0#10a3=F>X1(dWwX%?JkSv8VC<qO&%JgP1<#n4-3AXx#DVLZA)x6E*S z;uq{u0bSkli9fOh%FsE{*#maNaY(9#G?!Yy1&9ah40PM!c6B!_0L#HFfRudT(h*cc zz+DKgs=@w(S`2f6AjDd@fvh_~-BRQOyiv>nrvb1zsNs_Ub_J2)16EAU@VS6)JKQ_n z4L87Y)C->v=-~smi}e6#^q>=B72(1Wv;ejnyvA4)Tmp9XfDiHo6=Tnt85l}!A!RZo z0YS@BH1(j<fI;f-gVgiBW`J4>YJ}h@L?K0XH>5~L&Aa!&xniXVC|97Bop-@f^I%d~ z%1+Snz#UUmu7ed$Kvqb%vhy0)?hJ9L`;p7et6-^Em=vh&>}*j1-LeK+ea^zb0B_p2 zsB}OI8Zb2lTwX%j_$?|Im>C#4dQ{GX4bw(5i~&-jLd#bc2oEF(DULv_?iLl0I79%% z>TXd1i}!%V5#`f4unnowAfHe%b%9RS1*MkbpwvP{>H?j*3sS!yq@L2$wGZs4@A4o& zp{1_9V5v7SDJ-cAbZT$M6qW5@h4+vZ(k*pu1KYh#3F?02)U_2XwHhWxX6gc+B-_!W zvL0+$C7NO2WCE|fk<tz%F+rt4;t&B43s>q|2ex668ptQF86brWq>`h!`~n?%3raD| zK`Dla6b3p-7o>hZNIhx_>qaZ7V0HIAu<ISPL9R!suR--1q^bfXpile)u&PzCMFk{+ z$Vdg?8ET}u3L#YimV%VvAW>-H`-xuwG~Np{5o$Dl_{mTFhfXl0dGObr=sXB%>Vm38 zq&mDCQoVLVn!3;t)?*+)&jov=Lkr{)<VYaN39upaG=9Ak{L?}A&CUTC+nxh977`pF z7omg{NC4zHNTgo?PsJmJ253|QT+M?vT7lIx9-IK~%0u>GLBga5+>`R?=KRDjzzA*- zfFb}%3pkXaTY+YPIw{>fDi9i>1$50CtS^Y-SVVILbYa>j{zzDJMW96mqzT+|f=I=k z0Jo=5J+%OR@eIPhE5K4jMln+O9^{{Xkbm1nL>~pzsR1?9aMZNW?#dQ$C*=Dz#ZS$T z7&|VuUh3#knGK4gRy$2l)T5P!v%pfuFey;NC9<Xh_hi6><1H#vAT`xwuwjg7hC#b3 zAoWD|WYGI8u-rHaY=bp8wY_F|x%310qG^z;L2ZziQ$C^ap}je<ROP4t|3Pc`6IdBQ zjbd<u11F;nX0Sky3Wx!2$b#-p1ErkfEh+(Eb>Qwu2!a`bV8%e1p!f#q>Gog&ZL<PZ z$KW*8*`wkE?g_Vm6JxgrPxllR50La0umtBR$d%)q=Quxs_9t{sQE34k<k!)n(hT-1 zXn!26)Pz{TfoPOXKi1i!Qp3!^0LtLiAocCl;K4tHbC6U|0;w*6sxAhpZt_P}jieZC zbPiN;Hb`;13QomflT)CIlR=7`AOqkamxG&$4$yD{ZA^hOyCK2b-J=2uTGX~-63C3! z?cg!+?iR2DSide2ECpKR4mKE+x}doNR7ZlCpbP0iA>5+^vQ_Z}YMl+*a0TwfA`&A= zBglBrE-=us#HBePcfVW<NnM~c08T=tpz%fn(2NSi<siZ15XXU-FGWG)8s}c$d41~j z4bc8DRtC^k5Qdjw5G^3bfYd>Q0K|N0{U5yA8{`RYNG~7ZSdgo_d%$%`^T+?)Q@|q= zNMqs<_0T~8(7rOzSO8d|3y6gnR);GDS%cq1unOqt0wnk#R%4h5Quy)~XyCR*1=Iim z`{wF<aQ1`op<@tWsa@|Ob57v$oizhIEe^>N$6Hi1pef>bi;51E2}{7>5nRyN4Qj_F z2AbBQK@~Z);s@mpka7?3L?f#D5UBcKka|eP53&^GwBs!*3Q$Xrx2UK<ncWaqfv!(v zWne%}oIxN%s$YZlOn{sVN;#mKFc2hM2u_rs@d{8%Jl+Bxvj8zcmvuoBCCD~#q6Dex zhBUIed%!kxg3>Bz5Cb|i0x}wuC_zV7ml}c-<&AgXFa{X{a{cRxQ1^p4Q1^qFFUue) z3uFg3#1)7^8L$mK;CO>XFQ^xRlCr=mAlkZnz~KW?2nrr-3PIL%<24biq6IQ6gx5rn zLU2}sjs}5!V-4{&Xb=a)Ki&c!$N@25D!fBZSsmcsa*N6Y7I2}>0gcY%Eh;=vCMacr zWI;&<OP3gQyfdgY^#;WzG-bh*V@p{s(3Is2QV&U4AWK0`I}Yh?fnxtSq$>tucDJa2 z6o5`{#YkCB;Ib_pT+VhwoD50=pw#6EmI6(kfD<051x{o|4QiXix|BAMirN}%7-Yg7 z+HCJ^QF#IBiGtL3wy3;;@IZo)Ha>{e4H1V3fK(iBQ2}k11bOUui^>zwhA{^4z!_L| z57-pc++zi{yOjyr7y%gq^P?qLstPQ195UJlH5fFy24Z$YMhLrmz_x;O5p*ODG*H*w z19ky87lCwiLk8|Z#)EPZ=zwniRx_~iuNhwYy#ePWP)LGe>*X>?X$Ip%qYNz74W49b zfsT&B(irH1<l`+WKcJpI-lFmc$^@k`kSvZgW&%w!#-KC<O=B?S*wUB|RJ}GxJtU2R zECo63c#8^X2OcPFj<=|Mf!f~<aaDH@*hQ$}uLUv$QhI@$3kn=i`qBgmLrO1D)Ikjd z#SVxGO(fktVB5d}3{nLhVd(Aw+YA;4>42sZkkO!U2c4S@ExpeE1&2Av$spH*OD`A) z>VA+otn>oe!3{}ri1Z1zp$9UOF$Fx5fl?xYr6GEt&h4H8b{>YRm${IN8I*v*wst^V z2jfE%G*~JZGz$+&lH8C~g%~3SC$%2%&^4r#1f@k3+rTOy+Mr1nq7al{uqgzmX}l(a zRY2T>-$anYmjZwP|A%$SK#kxNETA^8V2cXq(DP6H0zF^`xbbUv64d+!-TCd&4K6W3 zGd<v18#*24(Re%{7c}iV1$;C3K2Y#@bna0BotWPF9W+roMFn)EdFLsQ&V!DikramG zEGlITAT2FmRp9y3<1Q)!0SpYF3111ABuE=b61=$;wCx1Cl@sRlT_C$UFTz~p*?15% zl?B=m3UZ()WTFeK$g%S>tSjZy%c{iyI&}X$xVg^(Dq}Pb`gGp&>AVFx<pOdl_C>Jg zU(R^~npfNpvd3eeBPRm`eD&x{*B9WV3-4>Byhh<8_cc6U<LGOEPTK|tH;4hY5fs>< zhRXo;HNJojuIgw}`OE~GgCgA5c*6wgYrF=jC)n3`0#*GOq?%A);|^5uZIEI@eT^$n z#g{>fDeP-p0-1rmuW=D9MYFyJ=%j6EU!(RVI5my-HKwQ#*VkAI$&T3i8eK1tTZFJd zMrbYrHTyv|8u5J%(1F&V7U5}dV%Q39oPjEMkb$5I9$Srl1e!h%gY`6m^*|fyP|HCr zX%G`%N8=D!cQ9CYH>7U_s#8JL^+B){=)4wia}d-WfcGKzrylBTQQ5=9z|b*8Wj9!% z70e8f!cJ%-3ewx@gtVohEh|XN4%E)>hKNH1L|Ab(r=j|wQ>&;=ja^{7YrqXIsBtj& zgHA-@Z!H8%;cO>^BDcE-+*}5?EkI2(M288~pa%<s8m!Qk2*`L)lLK@>6Mw5N*!b5B zFPWc%a~CM?K}Gw^LP%2&6c}LsE>u2pKWaWo(+{>B0n{Tn4(ZK+A`z*d2TB<rSy0LV zEj#;uNfEU6z@g(@>q$^5+71qrCh*7xvRV)LIW*gt7#K<+Eiq6$K+Olm0Eh`X(*n|u z1a*|aEntwUZb)Q;E~JGGZh&+kg*qr$wt|d?^dt2kUIrNg3IcFT490<a2P6(_iGl3k zhNK8Y@&Vg`w=)M;0nr9pFajEv0FO|B`j;pTAE-i*HTXN6U=`2=+ym}TLYh(-CV~{g z`jMax7uYuwAuT)@AKEPhOO-)dc%U@K4e70QLi%seqy_EXf_BJ*yn#Htf|LNE$+de5 zxW9?wMUbkOg@2LCCd6bqr1if?1w@0}1>m*{XoMSSVga&R9Xj+585#z41CaV1IC=w9 zz<reNEs)*-%Fyr@aB{p1PLAD>4h$#{fr^;TU@6eS8{i@a)J*SeQOSXH3qcgpBxVLg z6ih){+pr$z8c;FRqp})o*ff|uP{Tlt({4z&h2ljPtH3s#2N!g&8D36%3eNH%i@?!q z1!?QU_{g0NdFYZQP$SX<F@X<h1MdO1auIO?nGJwX3&7(9F<cMov>b<YKtLH5M-O=l zq`SQZ(nCgxla-)c3hAMNIy12N03ELnEf+xH1~nVhwE!`@Ass<zX8>F-f>c36mq5>W zImkvxxiA+}F2MRg;Bo=RLGBPi$Cp5M;OY>8!WvuO3*=;I*Bt8H?kV7oJ4$u|sRH-V z3=e>AWZwXq(&?R|vI9(SQ8@sjp<R+s`~vMO@}ONB$SjROiwcAx*n*<eqwzR+K}GX% z4v)?~DgjVaAhi7_egSrH&hr3wkOW#(APm74h`mtzJQ|OKSFJz|aex{Ep%I2yzzl&f z1Y1<Vp6Ip(#TTp-13KIWl<+(o4}iK=j^OSK$Z4P(7F;^7gSK3NZb0Y+pQ+fv#K6#b z2y|f{NE+0AfgD<Y6MVxS=qMr3f%T4^2jE?<IVvC#&_O^P$X%`?aDQx$3dm@XB)H23 zTIqV+Ma2Ll2|7g%WE<$TAdBNJDh^Od4~XO*@Ok>6Q-i=q34tVu?5xcJkD_=s9tQQ@ zz`n)VR|6fH4_XY`dCvpVSA(34eiNJ<Uw*iT)K~LI>8t(w4bJ@VzM9wr6h3la?e~2g zeKpWw>)^f`hyk{7fct7O(7swUxS%84R||o*L4!f+3HH@|psKw=stNVgT%d}bL5d0W z)oh@OtwD+@?5kOU%)s7Pvjj`60oO2~J1M|}7vNSaXd(ra<tUmL0-Zt*?W^hE1E;3Z zz8bN8weY*(00TAWL3J_mypYXZr1lVewJ)e^MZ~;NcK{1|^V%Go3cSFr08sN9WD=+; zj%Z$k#(()+K{wTdn>C<50d$rJwk{NOxO~SH6@9Q#@Ii8rLPXOSH1R{jo|7Kf?z`ar z3sM^%)GPyaYWQ10gBIYaCQy47l(?b8)gUHfstMH21vdpis)*}3>40tS1Ka$X;idW= zaMXc34~n{%ry$J(7$4e;21~6+=_$bm$w7S~>^)yl^nheR(Sv0o26Qk#sKcZVYMVd@ z$zjT|b-6)%CPC`uLFyrc<gor7_MRG|Ck5(@qxM|oK!!k?U?53Y6HFE)jIV133KwWc z2f1qoN-YGsW-=h7Ax$voAUUiz25y4EI3#w>K<<I`k3dd9X<vzedXc1e&G48A>Tw~4 z13)f@SWR5l%m8=SOq4ENGteM8C{2P|V;&@R&G^GlQnyzo4bFsEdu38!DN1`~B9LC0 zFxW6!_R567Hk<;N$FCV){<{t?PCz{aaG61qUKu1hsMsMB1m#UgX@J}z18vKuQHP8l zWFw?BSOh6?VSO-gX#nF4<__70;npDoU6TRrkb$mAfON<}*C#+aWFTo!hYWH<0-{3( zy5|73Lk1E7^|`Qi$UsJeB(ZkLK(^uRkU=bl9)C=m4jJg6ev}Rw=p28aPH2ZL{v=X| zEEJ_f#{B31f5?GjkTtC@4_yJN_2}IKUf%?p-~;!)LA^G3Im`-P)``45<fY?Pm{!ml zOqf<Q9njq#kmes`p%L<K7|1A2By4e~U<-IJ48jbIMXE0&u7XQ0kmJB5*ZFHGeB>_W zmTSmuTG;weOs7DW_(Gh5IiLhuUke%J11%Rk-U41O2x{IzmzF`9pxF`-6Lnc3>iSR6 zpjauS`~odTgtcbQF~SF$LCd*7rhpC#hjyG$drRO}BuG^^WKSA_Rdi=TMnlRkLr6fu zR=<JEFBk`TDI9b_31kPprEtWpg9DvAi#|#V>IQ-bln~L3)<^|)P@tgzS`h_eg4DuV zomd*Fpo=L$jn3mB{}Rzi1zkS@QV*J8E`>BwL6(A26!!iOqAd?{5o#k9H1*Ek`k5KJ zk_Myz7PX)Q|M^=%2RY#C<bXDSg0?t-&%(Y1GXvDRqgy9u8`$nm;B&aqIys<OHvZP- zFey+!hRCsJ@T?GYSu*H04bT<`usx+{hJgkUp=+!<TU3rf2CzYbkQpx!s~aK?5kOMW z-2+yS*g&%mY=ba2C?-HD2Hb>Xg{3ag0(R(5DNvF^TCERK3zEgr1zN!fnQdQ=l)7Nb zv2}q!*Gzy?*L;wANa_L=k<ioyD!QQdgVth$nCP1x5GSWhQJDw!Qw$HtPiTwA=YpjI zVNxK2yL-UPQ(;|b&@~|)Q&gsd6*?m;M67QGIRdc;7nJWI0S#h7SG7U}KpB_xt*z6* zc1!a^-48MZmOG|`r37G7pd3i#S~^f3gUxJ!M&LSnRQkb&-Q$DW12qgZF$3*zcS5FB zplh-qUV=)47GOaHKrE;V_^K_~qW3<q4V}Uu8^CKoSuTR>9#Ccl#qCR}KPY_UPWk`e zIEFVtSD1i@H$e>e@Fr;fiPWViAU%*}@1XuRWI1~$WIZb=eM6*qx~G7bk#=u^EOf<O z_Fe<Jd!?gA1+;*jzZDd?Aip9^Kwq0)0?i!7pwK}Y-h|kPq#C?9JqM~f8>AX(coVD| zNilfYJLm)oP(DfqDMlLJL{|(p8MGu5q&OO+7=3tC0$Q0uyY0|C2O2rVy6im)WCr%( z%}B5me0USo*9MseT?q?fB32TBY$b8{0dzJ8ba>PF7dSP690^WcBrbagSq|0*UG@&+ zLxTV;Wer)J4Dtl7No7#th72DJx{(X$vUgCW1olnzPjG<2_{fvW4$$MpQ7Z&cI>Nr_ z0hZixR0tu^R18`Y0^6?(G7O{~TZP~QRqu_c5I`e=AXj5wxQbZk37V}&ZEk=DRry<; z!CiUioH(pN^#mtQ_?RlVbn5}nHp40e&@nn4Q&d2@VJCe-i@Q$93S#Kwb0=gGaW_N| zQZ|BmyWJ3RhyZBWB+m80VAYU?z^Lwb0y`2urV26y=6*-86nsn-RQH2&)Nx4t4`L!# zZG&b!!L4DCDrf@&y5k=#3~eWXD3I}>Wf!2ki}+jNW2)P}gVPHrlt3{78&iexq4W7* zDbz7l*y<C|%ry3?eo*=W$$}CDmgcGrG(A{@(gU=)3R8|P{g^=28-vtC8Wgaho8yr6 z;-IiWn(Ri*LW7nfqlQ1|fFo!x1SAOx9ME{SA;@gVm?|jhpaz0s2gHOXlI|YxbUC<C z1F3?hmTt%bb8xtWbU;%H$Y@ZwgDw|BU3d(dn+F9fxEBKBK-~`#hb?yj*})A-a)|T^ zwxI{SmI6{Tfhuj3F;%b%h&HH;AqqjGM%WaBtm(#UB3K0^GvGH7q!6~;1(Za=zJZRZ z!uZD_OOU}*&@oj|BIbr9^G?W2IkeG>XCVtHX(LpD4DX%-KJfy@iy&3tg~yQj`xT%` z^4=+sDe&Gc==15|4V2LNbjV~pY;qnkpAMPON1oXSF9v{4%7YdG%qV~^0)R~0BhTMM zrrsgv_kfg6fGLGc&I`1tKp288DqyD)IZF?^oeDZA1iF(7GAIPPhzc?&1d;{~3W4sB z^65kj3UM$pFrW?!fkZ&#K3E5ZKt_Wku?`A>Y{NMy1hJT=gF>K9ZYYC7pqq!_gF;^0 zL8CRG{w{;ZzEqS!A(OA*auC#g04K?fpHcY8Ln_lg<7mc$E;Ir+V?hjfGZr+~LTWP> zqzBTB1&z1Bo3Wq)6HuuNkp?wm!O}Q)Z@vLtt<uq=@|uCT71f|qC_&A~#~}4c%~*(i zNG(%vWAhFJq(^ugq#CIi3s#M!7~G5n-KzvL8Z?i<kJOAsR}41!4AkV)V3R4^y?F{` z2KHv`Nw5^W84K#`fr@#Y<4)ibAEb)7aVOBc2(%e{>LWNck=u-g4N;Ap-J72v+g(8& zSWtFEo~6J30eM6dHm(6mN7zTLU>OESGX!*qDkxzd1}6s4SP$et0+4|qE!dhOd!Xra zH&_q3E2?*ab%UDE;Bhff*8<d70JTGQf~D}Ss0Q8G)iFh7Ggu+|ifT~nAG!#)6S8v; z+GK<@S)tOP(J6=kh=sim0M&<egWx8x-EYCO^U$^@%>5g|Qjfq=I2-q%$nA#godP$S zKy6OwFhzF{crO)L7}T(VHnBj)gW6J{^CS6N=YfrX&G0e+veg&VO9Leqx~-_j+zJdT zOF)TfH3Q-dBiM*7wuA&a^A)6iF-Se6O^eh;1$9ZG1rlh29K`Hy0WUL#&flZ9X%~SE zfsCw!X1PIu1xiZ`LBfzWEhyqara*gzASPnr0mwFR2?tUIO+C<+^x${^B@(1)2E_yD z7Bpy^b}wYc9ApS64!~_%7za8o01}6_X+d^yLy~4EbPp<ohkwB}k{i15Zb^eG1X+XM zM6e2|3-Fo<QV46)!uqW*6(GKb@uBNpz#e6VtP=nwYHrA&TPJiQB!q`&2{%%ThvtuN zEPD$<s$Meu{r?}*rUkXRJ$fN4c|lWIpuUj@v^nb0cpSM+3+bir0Wa|O=ti_@(Uy1% zLRRs@3;{J%;cZ&9i6TKr2Mk#$yiJSQQU*Jf$TltLd}(N#7If%0q)iJt9um@~1xbV2 zw4jrx5p7x*l?vnrZH!6<sKJW0H48EnB#E^(3$h1iYZhW9)myVF@cn@<Dg{VQSs#@Q zcssUf4N^Nc6Qv!S^$LEds7Lb~l=ELfr=LTQX@i_k4BGMt+VdC!DhNO)l)}#^MqSBP z0y+{{3_R8XR|Q+c7NR1@-^vb_1D{gvqY?rcW^qxG=m-HF3#<*7>~v8v>2^^ufv?qf zQ8DNS;SiM&$UwG>ia>{t3O|1<=x$o@Vc4K^(7}hK^YOO|!%c#eZ9Xcz{H>re2y|+X zi;4&6s9+87IrN>N<8ZruK)2q34xsN0Q2`&*s{lDzSOIcSeMIL(gR7vOpgt-J&{OIo zIwzVyg+Ry3W|)DbAxGFsT!kDdjDAXe#^K{GDxmwT8D9Pdt&V_lj=QLU2FMs*J_D^p z1DzcVa-9W=>%hD~7P#wP#)23BgX75qbetY|;@CyS;^jV&A>iZdSsRcfG{C1`cz{j@ z21Sg8N4Efo*6`_O*a<3xI?r|bsBnNz%jSS|M_|VUD}XK$fB6n{N-*M#eXQqMd+>wK zesoa*9sQ4Td^pG=4^RUMV`#@m#l)ebM8z0XGr(10)_y)JIv{1*rChH;i4b<;GD@O^ z9Z!v1(Sgs=2VI*BP9N~IrZxFnS>WjdbdWME8LD)IfDX%tAA%jw>7wG&?V{p>lnfoZ zT~r*pk&>ZI2k1<8*m3=k<M_eJP>R3xK6qI#D7C|qp(KCnT(BVMNb3k_cg;ry!HNOx zB({L0J`3bytsg*Bn+3|T)=!|Kpku8oK!?tDLyomR0ZoGz7{^+})8IdBY49Z|`SiLV zISAcpFBAU%|NrvdzyJSV@_`Z|^rF6A@VP;t1Qr3lqObE>XNZc3N9U<-9~BYL&I6#N zB?3=c5ug*OEkIu7cqxE(xG^#JH#9)g8tCrd3Q(B@x+MTagHllfj<n_iN<_}Ev<6m% zEv?yrlvzX58u-F@P`?0Fz<aPZfP%OabZ-Qf>jOadKY;IRN1Svt^;jo*8V9SwW-m$_ zF92mq(1G+IPk|1k2hrUwDxh=t3&1DuXMk>T0G;d)=H+y|sN{eW8R#5-E&f)}To7m? z3VQzpNH@~`7NCR2K}YzbW)@BU)*@KG0GkCmm|cUvH5Vd?a$N;lmgzuNg7aRADd3wf zVC6S7;{?I9f-c_x`2b|A6n`t|UVCtF2UL5)#3lJ#f5Ed)1w8v8ST&$D<pIe)9?<O5 z1HP1^bK-<+pfVbCf;{wKc$mZ#umptX(ReT-1*8ssl|{uhNOA6gl9izMS%5;4+eIa( z(?taoAvsvD2LMM-w~tBz=+XkD(MA`QoR`s{TnGtTP?W!%ipqyZ8d$0dnl}-?MX(_L ze#s2Vo28(<xf-k(Jdfvr$eR^J<jo3D-t+*4Bgf0lkaFLn`HcnW_;mx&+2)Y@VfS&t zE{g;m2e0ALz5fH~xbDsx6$Oxh0{nb<aoG9r3Xt=}!H3+#4-@xM5#w(K^<hC>X%`iZ zV+|f<;A6yHR5Uz#d3hK>bxH@==;k99;EQXZY8W7e3Fu;457q=wly$nONPzOc1UUbL zSJ{JaYB>hV(4zdUUEq{sc);+aW9LcGB|91(jfcRI-F%1x<Y@(%Ao#}A?h=q!%se2s zm^kj>2Oaysq5?k`|24x)9Z&%XYL|F`jz>ov8SbMZ!ruatg!sw;CJj3*To7~)Jk%Y% z;3J<vS6OIyG#&%n-1*(3^BU;t6fBl@gKA-q#y6lVz&$$msDL`Spv_jG`>R3a6qtsd zR0S%hTEKTxL-RkV6A5C1LJ3?BVQ%#;QBmh_^#%8r;i?cVI5qxON3dKs#9TaC9b^|Q zt1EQ)fEtF1C|X-oKrQO-78Q`n&K4EWh0@&+LC9DGR2n1>5dg7{w}5jq$QQ?3R6y4^ zgP2f#-92DaP>VG={#MYr72vW2WCE-#k>zjw0CNzibjMlQPXSv4^9%F}3(z45U?ZVg zD?mr&GB7Z7x~SBE%4v{`LB+L;N)3crgMQ--NL9CwN)4)O#rRu6=N^JvEHyBvit@LD z4k-i+7T{?cm%tneZ5xA5%Y$o50NwRM^tC^rbky19a2;{&4@AV}I;e1*q5|T1G#;7( zIufmW3zU0I10)N{Fp%uocm$MC4<Cml7jQ9l5YiI{wZ=jG<B$XZV!m7k-DV6noYet* zxihFi*`fmKy@4Y7c#8_?MspAolsqBLN^n+3-mhMw!dF@e$$MZGhy=`Ang`y00!mvT zLykkz9f%1{(xCG<89=AScS6!4G=X;afGq}(Z-7!!H$)>ShCo#=52#u3n&D+E#B(6$ zf_(IP%5jL>K^&;tLClwxkfj75XK+JILRbejz6YFdyQhG22U48@Q4F;m>elWlV7FnY z0xuhcW*D%oLJ-%1k}QZ1%|IaL%kO_6W2KOw=YR&i1@`s>DCjK^r7UXDbC+g8f*z~_ z5%gT8@!;k=N_(vvlAXJIz}Ikrn=-JR1icswEC$L_;M@QTYtB+VP}An+YRLK_Q2PMn zh1ZLrjs<a$>dn{Ppq$1Hx$~+5+-m9pmm%GdjExj*ki1(1bxk*<y@D$Lx&xGtK~}uX z2ifnU0&A*)R`xKwj6w;=3E*o*T~svCn{uEK)W8*j9HnuP5Cp40gdlrq05}9udRL%( zlt5Pxfx`)$$3ZPSFfXOsMFrgJ0fib{sVX?sL?EsPUwia=6Ubd4&w!ekAo}$JP)7>w z!XwOf3)p0kt(X@cy`DP(be{}Hdx0Chy--mGs@utFFH8ql<lrDY)^Gt!djV`TQhNcU z26Bfzq6v?usQ}s?0XE%(RRh|vPyq225D|uGScrfY2Y^G?4`*vYn7;)i35isU)_^h2 z*1!gEcLQH*0M?p8ZAB2$_Y&k9P(uQWv9<3&O)p3p-dzJ49of%NPL=qID93EyfsICr zFOV8|d_lW<Lm|FikQrZu^kKwT;~U6^i#;l!y%nJLA7~o|XiybI?*sM4p>elI1tj9p zy}tt-eS1_u3nxJe!8GjZAJBoiu&aMS5|E~P3&eC#+ZI&Mfu;!jz)OxmTR}jHxdm+a zv4#hbIE8RMdU-)jWslAdu$7HRKu$djYMX*w0#VEW<HDNmEh?a%Hb@jgGk`BYLp})H z7i=?VO)<h|gl>?dkGH6RHg<sO^5ZQkS3t!XsQUoAXOR)qXn|f1(b)r*1K9=A1<up3 zi*`VoIKkIUKrh+>8F;)!1+-uuYVH}Rx!qI1wsr3Tn}&AL4ro3OG+W~ic61)BiwiOy z7LsmYDbQL?a5EBQLwAn~$aF~Gx<v)F{;Fe&3aBH@-x>-t1EjFCMFq4-yt@UgzOzMT z3nK&QTvw1FsI>`~28lxiK&)=4cn?@TVjZ|0*eRfMAVB5VYlfHqq3tkm;sQlKqHEKm zVhd8;0#X8r2xLXD4$ecgllwqBaKO7{Kx>=83m-rXa1u4V1UjGqREdIO1e8QPSiyH> zcW(h#tsb4nv0jwj15SG$jRzILMf7=(&SPNnUfMy9OaX-xD5_q9jzWS)A&7q*5@;ai z%N9^4xf_~nSr33O-G-z@&^kSk5>TJ7Mdc2H2}=6Vl<)*93UW6r)q>B_25pysj!pEa z908|2h^e5o20ls~tYQmT4*4i;(6yqSQ&e=o>(}<EfX2i5Tjzjd9un4YYtR=>fDX9^ zB{*eJ<g~YdwV=2QNdtJ{gbdh@Eh^F=4NZ{Lg{%QdJ$O}y2vj|2WSzfV9m4`7^<eWs z!>%9)af8kO39hjrsq1))3TPJxG!XYd0}*ry5hxM&fCC%3Pc%h^3uFdjVFoBRV7Z7B zECrfO1vl$K831Z9D8Yl6-H_bd-2=82JZ%Y51<gC%Jt`m<fSdIo9o>+;1Tr2pZ3$Z5 z1YMZ1AJlGYQ30i7kdt2`uP=rPf>x?ALXXczJ60RyWw1Wz`eGRWcnjD8U@2=zrvT(U zZiqV&86E7n9&kYfS)2zdDUh0`5cN>!Lp=*o2=X5`g&=FX@tO!$0WUK^F2-RZNFj8B zVUG%EI|pog8#vE{R$77cJct3#qnJ4!miIu-QcyAkl?RYzO`xGgkO)KuREBr<sPsTH z6+{_N_Y@V7vhFQl3C>d}>Uoe3ss96A=JXr9>c9cKf9QCN3TU(xlva+nsDLLI7(mrU z3%K~{=0Gw`o1?Qw1+>N=BnGw{S=O3^f6}3bM~wX2PIYeqE3@7LHX0`Usre@Z|I|ax z{}@0S-GSp5L~&;i*w$`{(b_#=Jy46fA#$A%K~QfMlv0r0=Yi}5Z4ZzOLEBj1E<};H z_CRqXNFk|i1nEJz5yZoABdEjz1t;XL3RrkSiuMoSLKdk=2RRfX^#d%`0&by@TK>Xn za&Y+zs<h$dFR0E1*#TF<1FN~WfNO3n<?k2pqMbb|pTVgFlp;VS7m6WB#T~eK1g)O~ z6?fqEbM2t&A5vh$ZAH=mE+0`A&^1ZI%Tt&JB=ukmP?pfOgRDWd07*UAe3VsmO?#2b zU-Xg|wqNHG*tb)mDnW4v89fFq;00wj%$oKRWJNeAg8xG*-6@O=3{WO0Wk8vr^Z;VM zeEs+T|CcoorLZ#dWezGIxeN`2TupHC^{LlqLBS76Cm+DAY)Fj?t=K`S4O+2-m>{*V zsuFXEbBoGFuvewP*&kUgtgAgm<pNj*=+s?YtuxTd!;UE`;Dv{+zhDE2pjJmGq=JLi zFrYInK@}TB5Yiai0TBR~%nuk*RX}SbMC%N)EHR7)w2unpSkyoOr5C~tUu=N@S<?vG z8ityZ5P<+%mdM|##0s*x8`8M$hBTgGO;pg5xQ;0*kQH$v$O;jG0Lp-<UL+$B4uRw2 z5O^~rxq$$lbfZV>A9c~6IXg7`Kmh@2Sb+LbkQIG8Fey;7L2Lb^EZdVqR)`2%P#b{a zpoJ{X%jE#M5>(EC+W(M)$Uv?FRW~nxLE1hrJ~XF+rS3z=yCA7@2Q+Jf>c-<ODxhXA zC_jRBq<~a2AnH|6Qy5#SgscZd95f0t38WU0DnT<Ipb;9J?MRR_yL-TvgPVKM+y)9P z&=y!m=-@6W_jN;BU!Yh4HTTwo7QjLFf9FBG4DtcU<=|CnFb>o^AaU3#HIN<L5W^7B z1GWKg3k$3Qq7CX|NDB+pX+UYSK^20m!QW;BtALa>_)P>U1g}zqwbei;tV7yvf{@XA zaGMP@Ob>6fLE2!j{t~z<2QNHnQ2}kl1LaEyZLtq@3_9o>UC1mQs4{`HBVoszgBymR zwJ_j=LLrQ}gJ4lmW6}dseS=OL1ShO+=r9K45O<&M7Vx$0pq=Cl9-Vu@vjd&qJFhwJ z0^KA4I!_QZu<qJ<0eYSw_~b$GYN;3%l(k);BiuofAmu)tF)HA-T_Cr^&JzSpgM!Zy z1PMZq3VeA7k~Toi3~(BF0NHv7<3k4@z*0wlL59`AzF>uA4^Z7ixaNYDSD=Io3R{pa zP*#9n3k;G#8La@NeC*k-dkVPg(7gvdVt_VU0h&bvHD0<w$se*T6l63gSAfbY(7Y+$ z3<vT!G_fIPI8b><Aj5TnY=mSuCP*N{@)#u<4&)w4J_0!bTRsA3CrA+iQAi-e;V}`E zkGjduaG+KYIMst@F~AuP#DHfwNa=?y!@;s5gr-A=133kn;c8SWkaL@hN&#|Ki&3cn zWhE^6EJmdOBtu*#D}`)N1tn>4PP+C3yfFgCN3Mf*|G<;UKnV&<9R$xzSSAniOKTua zBA6mr<rAWk2c16z#X8hfP+9^p5d#e%E0Hq-C^O;B2q7xD(D}n~h%Z4VfP4*}KZJ3R zs~zb4A;=lSpxS}v0vDBpPy7NtDhY!={YRjt{{YnV9|20`Skr$1NQSudU;GWeJQp;d z76Dp+698I&;{jS<<FStqc|Og<qkF$V1!R840wiw%Uw<PETYqB#8Ps=C2>>rgft2n( zDnk6NO3>b?i;BmwhKvg6{EP=;{SDaY<|7f{^*2y8;Q1L357r;jAZx*^dO!<nSszG2 z_!^)YGqedzLH<@vuw9^bCS0DQ(?><6+eJmC^I-GKdj9!`S`Rqz&p775@u}rfr;iE` zf9p4Jrxc{5^#K2T&;l`T{(jKbv)2rU2ed&tT~tIsYY!bjNB)8`<8c=i7Z44y#K5E5 z0JIA6;6s+qQ#%FV;nwS-;sC1OCBT=PcY|Gjg9p?^Em4sG4LgITF~CXj<zetZF)Zj1 zqv1X(T>LE{Nl<x#Bn=w}UjfnwvIpcYP!|~FE)d=AqvFu`@c(}XhE5+9hi)Gg7dX!a z?53CLpuX?n*9SqqZhiq;S0eIK61r5<qw&oNE>JM<Q8@snx2Ws@(cOE%^gg)5K@&X? znf)`s$zzX71XLlIhRy%%=U`xf&HsQTAW5YKVmfF70FqjIRQ7Rz_6LIQuLt?K1#I21 zh7XW51K}d(f528Y9sxNOJpTi72}Ch?{s+YMVBNt1@<@wH0N7nH8gu?<FW6?#CCCVy zJy;<NL0iDSI^LqP0kkR&GG@O8$^^BXKwbj1oM0^~kQ}l&yhR0)Kxt8d3_RYVvI1(@ z@fMXeP-gcOux;IYR6v^0T2vrUfm&4C!H)g}?z@A=X1Tz3Xio*Ts<wfpp2MU-HgxxZ zO^0=^H*kQj!dMShcoSJ+XN$@VF7S*G$TgiUDsvz_kRYUU4Ptde#32GmDnLtFxIni9 z!bX19fo(7acdn5G*MJWaHIU$g1TrWXA^kSk6!;dEwP5{y82SUiMFUJ9jK+-GHDLWh zZy-HTP{e?eMt8>^W(J1N9u)~_$^Z#^u!1gt28|!1ISM4?!O6e?I>wZXfuZx%!C&$R zUr94wJouCK;6vul9u*NT@UhCE7zLFK$6LS=2V#P3gz5z;>O9xYW6(VXY;WiFga73Z zzL$3Fyx_?A!?E$f1JDSv<{`(9i=90xD>xV!Tsls6PXV(ozv_gf3AlMvz?L>2V(Glr zEn?8U2W+Hc=MTq&Kja+`zL0k8{N>7c$g%Me#MJ8!9Y4W_fKn882Q)u;;Q0N9OXq>k zYrP?C4?Cx*_<*7wLbYB36^=bB%R%AU|LGklJVA4QTnr45L;&(TG#Nq!z!pKWO?MAC zJP_kf%Rr{I7QF|V0xGXxz62f0(~VRpAj-iWm8BrnEg&V36a+4pKqW`Fg9EHIJPkDf z9Fg4(;N;QG0PX8}7@qI|Z$$vLdpufC@J|8t$d`bPh3r~HU+VxZ^cs(OfYTYCWfF+u zrhCT$kYdPk3BzwYK?e?ki}=nKNJv7c)&q|GQx3Rvw5TiwJKzzx0y4a0c)+pqAZ$Ht zH{1a`KxTqgZJygH&%nTdW!WZ@3mRsCCM*f~dlA@L&`K~+6$e?d3URat!rBQSgFx2e zS}e-&(j8O*fx0K)Jk$URscw&y){~IXT?n@2E@-_lXqFU|ZlQ4l@)4541z?3{pw-3S zQB4H70ZHL}P({-I(i1YK3o6t=(fLvuGN1(GLyJ+c6a#XiU<HRwC!`iQ-lDPqTG)aX z2|}45M?&ffSV4lk{A`QLJdjgc5d%mtwXin)6qUIg@B;)v#U!W%04>dfn%@m6?Yn!x zmV=w|AXVLvlE1r0WeFE_00~;8f+$e+2uiMVKt@CQv;C0%EXW5Se}en7Fb>o^AaU3L z637m2NP&$g-9bio_ke33NH-N!+@tho!73oype}|e1UV9$LXb81O$4ie)-RASz+oat zA$R}@6eG}N1CBldNqaUZi8xV~tRtWY6hW;;ON6sPHr#wYZwJFBafZ(0pxf5L3L6gw z+y~WY=XQZsIfAo2Wc2|gjX;|(tS>+-j-caO4&ZzPlaT;v2i;i=7BvL55!v9)$!_p; ziL2pBpI%6biezsAsPWak1=`4&0&X^Wbe{L<oT4%T-2OQPQVe1qX8~>NgAALw8lD6V z%7JnXJd9Z%++$$yfV$2CQl)_uKvOX&fq<AG^&nY~ZUL9hb1t1H9YI}1h_RqXA;`zD z0O;KUPGKM|;9z1E02gP+!SV=EaB<xUtHoh7EE6JH1O4C(C=8!m0h>u&Y2OFZ+A;&I zl`5ru1Guz@IvRibu-k(LvTp~{{_E^f>EK`h-%#ETa#btn7E?&o25Nb9gXUSmRWE3* z6{wYB0;-35z?BGdj|zy_*#mANqBS%i!2zy@48MW4;esk<lzPYjWKxR?h(gpuZ6HUq zfbLHN^;V$u&=#--privfwecXR*!Ji=Pq-Fh{Qyej(13x*arX{z@2wjaxdMjYcEYcc z#8pGKf?XB>o&kf^ke~$!pghue@CK+m*u4eZK=<f2133<qWw2F|kkAGDgjE8Z1R?Ig zA8()rDS7RB^cH;UF9-gqpv&ePpb=jW@>whBSV~a)2OdhS8AP^=>%jW6!6EaS;pO8m zsMncxa6ym#Dc}Gx1bS3J3~;W4TxSX?e89yDXe1mQGSDs_(siaFRnURCJt`R-3=FXA zOcOwCtk;=>RDpV#7-JM5KZ3M@B;mspuwm~w)S4fyEfEj$Y)k#0|Nmb)LdM5I2^-Yc zg=PQ{6FidyvIOFn22grMYCXh(%?SPb|Nlz~=n^!jLQpb7QWy(XcoL+r6K$y(C{*D# zV3g(HvDN@sc!L;7;SDJ;i3x9yZXr-R45R^4u!3eGJV3pH&f}ofm=2(zKL;w_EkG<t zB!K27pc4`x2ZEG?!WnBMfV6=m!I1#Uf}jZr&};=rC&+Y=B*w%<ByylZH$}CmfD#B; z8z@J1V;0!vpulVe&9J^^K%NW&g(Nt<G+^NcVjzVVs31hTZWj_&kRb@9^a)Z0PoD~) z;DBDYD*<9df`%E?XGdJO3sMF0Al9G(X#+`ug9dq8W;S#x66_9m2?B~EknbThrtihU zzOUtlbaOx|QT2nIPq+kvbcs=0qGDi^*}+Gwg3JY(1SzdrPx4O%H5Wy}D!zj4hIZ6I z*WE+Pe^9p<zVWyP+>-<yHw==T0`96oHv6=w2!O^3dQ|wqh8;#T4AkU=cKJG6z-?F1 zJ{Ne85GoCBE`nDgfLKr!(6%n3N5}`Z;W*es$b~g>T|zjhz!he<Kz9L);VI5fpd-H> zI<7l>J6;c76xFdug%@niPp~ye;nwBB^6g+fKX~wj2dv~aSP9fdP-7Yzh8SI0Zm{wr zVC5haAu&v4XLErq$&dskB#<T0=tg!nCs>IWSP2o%<^U@<1}g`dNE2tXgDqL9fydcw zU?tsPB}6!z6|B4jtej@fW&v9wVT{Mw%wQ$Ij6h0=a5fWI`D3thnmL;hY{?-Pkefi3 zU`ZqlU?oeyN{Ddwe|FG_Y%f?j$V8eXl7C=J4E^yq`!86DFjxr@&i(^d{>KkyB2AqA z8*It!NSGy9Li-n3NiA3j5zhVzR-Oh{PP5Sd0k(uI1&_18gO$8V1}P!J+26p*uY#4+ z%-LVTmXzdy+yt@&OK5)qD+vQDA;Q_8!OHEy%0VX5B$0dqTk^O9kF!65l^g{tA;Q@o zz{=NwmD9}G@4=S%Hsf*jJFpUcuo5Di{T8fT0<4^7&VB>7WKS<1XTJt3nFm%vgtK3P zmA8YH)6Cf~!Ir4az~k%}U?m)2B}6#;Iav9}=`a&%lD40LEt$FqW(k%o`4p_A9IS*0 zXFmZePXH^YS!h27TXJn99%nxSE7<{7LWHv)f|V}>E2o*WAAl`!-Gj&3_rXfk!Agj5 z_C2t20kCqKIr}cyl9y-kIQtG*$!V|>BAk62tb7YtIcVqvKYr=-39^0%k_A8qZGdL; zG{D_e*w8Div916Wh0qM$9H1ow(_2*J(ZmG~K4R&F%;E8Gn}Q)-z{2?n)ZYLt2WDqr z=$xW*fgLp3w@2kXI|D;$0BCp`G}VI`$oc>pk?NkJ0&$iRbb1bS$|9&Ub%0;H1w6Y4 zIwa;G=IGYT#gKDdL1QAIp2|z!PvGTDFg|pQ1T6LGBXV$nhtxYEy?E?1(V&C@>EpwC zx2XNlbKuxO%!t6$B4$L+g8U3Q_z~101PvfVrzk*7M6U`o&<x%x1yTj=Rl+B&5#38r z9}+erat35HWJY8uBnUu;fcy!b5rJ`#XM~_vDuL|ahICmwAu~asqZmNlU&y#>H>4Mg zGRpz78KDYfc=r_WoH~Xo@Yx8UkOL>WZlWgTPO~#Glu$TxaSVDUE!0}HnTt~(8*aXy z_tFRwR#=k9>&H7@YynMc7#`?6=+O<GOK3dw;}vLX<JztRun7ss)CMH7VYz4nXw(;J z@&FzHtOr2ayL(h1!zAFT4frY&h=m6|AmihpfiJM@8;?PzHTHl<m_UniLBgJ$4;_y? zf=<a{a0J~i1v)$l+~o(G;@Ek}r&rbzv=F>=kIDgdkek2zbYAo6o&x1fQQ5)Hz~Iw) z$pbWg4rZS8?S$UdwhnslF36(pjxR5<tPgnc5@cozG@rs~22hCtDl-VK4*(?^P^yQf zNDvbgN>II|tq)kk4qG1pVu7djLB_+)BX@lOXqE?B0HG~VKwTg382kEw)t~~QKMuTI z1GF?7GXDde_-uZ_-U*!og-m%u1VC0IO*AkBfI|8FP9|7t1NjLOD>|>hvBCo_kU@eT ztO}sy)!CxL02PJM;8^(qS+xODk7d=y7f$f14NzeKiVCD+31lNwFGvw=)dtucysI{r zz+(l(0>=u-c({2}R6yn-t=a$?NyMrRaN#pW1*95ptbkT+faZs~r+}x4V5>G3gJT7B zQ5I;12Xrti$W_p>ILLAhr~t?!hyaL%6e~Xh!Lb4!q6K*e6!zU6DUb+x!wFj21}h07 zpb_!_Dhi>&5pn|(At3cwBIF7rLO`>>&<Fv|L4%ke8=-nZieM1}HV1En%z;M;hy{)i zknwQyrl^3-Ly8cPkwioY$YI@6R6wfnM#!_yDd5?*ZU_a7klEk}c@CM4yab96kgK{O zbK}hq>`~|GAp#&Pks?GR1RNndL30Xdu`&k|D`%kL4~i-e)(Oy9IRF)f(BN3v0f`lm zdMvTB1rjR_&_xWO<8Po$P$)w6f)v4G1#Ax9SeXKk6%Y#?D<I?H=1oxnnTHfBAR~#0 zl@?GHK1BtjnqaJK0C{?f3W$Qm%4Be?Xd=Z*1<1e_6_Dc~kpN<Kx2S+Df(U?Epkp9F z3&UDepz1+_oh>Rg5Zxd_h++^6baNc2SqF|}kP4(YjR=FpDQFrKB~CrMcO0l-fXuPK zRR8+_KeTZ;6Fj^7{b%z5#?Aws$2<-`WA6L_x~>pnGo(h?q5`gA_NWMe9Qog|^M?=P zv2HsTSbf!^(gTW*&J#1g|8(p;>8J@>f7SsOI(hjy=qMw01_sVwom0Sb`OsDmsH#RX zw)r?qCx*!`ohLe{sI;&%Fw6v51~Ss|`%g#Bi!PlIgF#YYgF#im%QHX0{sI+pxcv12 z6lsta8^{6RGJ1~+NEFp}kQtpVDh*&yp!ll>ECjJ0Bn`G6T=-1^Php@Li{USj$q;{4 z!2AU=64hTIDX_tyLiA<VFR;HrWd$yOU4ZxtR0x0^050nGsDMOK{RJ|kvqhx@><JWq z6@Y~x{sKvZtp}M0I>8HEGj35qG8V&MAd?~f%7OU{WF)G;KvH0XL1ocP=igv|fpQxz zf31P|3zTa>{sI>kdsIN8sQv<((b=Ms0rmunzf!<L5PyNB!PbM!10A6S^%s({82$p8 z4DnY2%wHfQQT+vy0vim<xGxz&6U~R8;p#-ae!OD^c<~QfE4ktsDDz^pk|C{LSlx)+ zN``dzU@{IM?cF`l#wA)S8Ehe*Rx-#E!mVVGDX6XF2$WVbgf~Sc0NgYNw~{@;OhhZW z;}W6++1<hN6x<#IO(%lOZcu!%{&)fkhZb<50}=(55+2>)iU!m)gOxfsSJ8qBEl{10 z)=GxzC9Re00!lsLRkR=$xS|0W4{{dRya8$@JA*44&{_~!dko|%l3K|QexOjs+Df*8 z#0sdS0(lft{Tn<5sc%sM6`3GW2n~)EQ2PvYw+5(oz>F18gBAC3NvK|sB3ShgvKOuP zMO)i!1CJFD3mhw;5*Xwxuz3U2O11{a$|3N6Xh`1$<SLR{$qQUSp?n^t?(Xg=fkX(X zhz0o(5+NDT2muw!AW;Ynju24O40MqjC)UO0pav`G=tpQH3~7BS$QY<zkRn)wfb7K| zAtvw$0kOal0;)RT=Fz&9{0Mt1*%%xloJbJ@F8E=sWM=eMGDrYaGeO(YpauY>9Rw2W zgtXeAZMEhHAjMGQK&3uJ0K`ISk8!wzqZ3<KbPXg<LDdT=m>_Yw02-&D3JEL<Zfk?% zbOER)?13~*F*6ycxeK}w84;(TmLaGV1Q`R>3sMA&Q;@y*;}moN0AvFJhy{*QQ1cUR z9=UC7ki)u(Xk&xAqM*h#Xek^g0|Ts$tqqP-UhswvNG1ch3Uuu<xKRu`u>vXpvIx=@ z1+kD~#ljODD`=VQ2_!=1fP)-XsosD_$ONb;ga$`Q2P8s3>alcsS|FXC0z`zAK$)Nb zgz5z;f<*|}9K4+#6?i5CvA_`mG9GT;6cvzpNSz*#kwkQQKn?>n@<62pssm6*Y(Npx z0P^${6%YlB5M^+LgdmOBfLsN->mD8<PyvudkO%>>kRl|*8(W0PJOeilDxg6R$sq!u zR!(P&N&!?9LW3hD1LU?I6_9!?5t0IlkPK)n9B)y{figh>2-OQx1d9-`IjG@*Rt?F( zBLu_(M+nGxxOr1lK;|Ju2*^kxA_U~H?kNzDpgI6GLO^2!2_R2TQ2|k~2$2Ry$ZYVY zEJzLkxe9bH0XRZHV*^kDkVTM=C5VL-Aql?V2*Dg1K<P)`eFkp7gIaI6+V7wqBeYop zYQKZqOnCZ{BA^gO?MDiLg&^&BkTkfN4Q}l~M$gfV#c02STnA~t^T68gAR|%R?;t6# z!Qg)6s&8O_f!ZOs`~~VULMwKV1Hi2gJpD)xuqROZB`jbeh`&J6VC%u{1w=m*$yf}3 zfm{di7X!>+AR|%z1(E_A4DLr}d<XjrRGs7U7pTVwt^Gj$0yhTm^dtYUF)+BG#@7$9 z5X4^~X|VO6#sH{@0k!YDx2PZ)i{USj>mdI6!Upa4gN#J=7f1?hFsNdFsrUozFHn_+ z%U_@#BeaeH`3qbj;^{|z0DA%@zTSX^ApQbLgRKYGG*iIiG-$?R_zUDZh`(OI`~@-+ z)n6bfu)&}j>!mDYJOfmW<MLMxDEqglfC^ZU1Hkpl9u<%%YX2ByMrVu46R;;x{Ph4V z1o0P08f-ntyzVI~kYaj^3X-uH{sNf{@z))gzd%N!`U@llHW*~$%WDvSfl5PM{)&P4 z3sg>l`~@za_o#qGQT+unqq9Zj2G|oQ{<;Ddg7^z04YnR+UiTDm$&Y3%hQB~2L;Q6C z<}Z+usQv;;fei+gpD#h%s$Mo-$J6g8Wp)g;caPlfhjfTxO%&Md7(^7U-w(DBPrn~z z3E_S}$Q0Cm{|Pow1p%8KgYc%P9AJabj_m+55&ixNhmiaIcxT5zl?12`h0x%t9n{$* zx8D!dOIp8w3n=w~`~4smxM~O0IUr|&%^RS8|7JD@27bzB$2Q>Z_v4)%1Jy+!??Y&C ztblr)<o5fadP(c|uYt!3hy{)nP>l(47TCN2>i4e(#|lNWV-Fy6mS|lPyt8AVN)+UC z2n~)9P`8oXem_(%Y5o2s@CX61z!3tf>Osx|n@8(@Kd1!^+KYxZJGK}cAr#Gy2?T=* zxbv7T;O-8*vtyu^1<3ml8XPO2J|pM=Jy8D&XU`opk^t(iqs@*%^^(?ep97B-5DOeD zAmc&K0-Hx}&mA<lK}^pb6f0PI?z6$MGJvyV4xx}Z#Xmd7dJEi|1oazmwNB7_HQ*Wn zPp@VQC_YeI$rHdrkk$!E8r+Bg)i6l2V@Sqgv`#>-gS1Y1V6797k*KW`kQCTpaIc2+ z*)edLil<l80rmt+tE&Yp1o0P08f-nNj0W8n18w~y8H?dBM6ad+<}Z+usQv;;fei-t zYDk|Q0~bwrdNnm*PoVg#0xSga7f2dxJ*cQd>eV0_i{URsucid%FOZR_{sKvX4F>mW zNS_@8XKp;bngXyVQ2dnx7J~Q-Bn`G6R9GO*jv*O~;V(q5CIjX#kddhV0!e`l1{ENX z*)id>cv{IBo3X4R%Xk6Gycn}%kXA3OEsWeshBO~xG6o>+pnHhHi4d)o47LzYD;Z=7 z;Z`!p6x3F70!k|x!keNJ0d5+DXU77-OhhX=Yddl)c@Ja&43yVFWjAC1Yy)%v3{*OR zL?JY|q5(C{$ZaJ<^^(>~_5r0Ha4Q+a0#`JkG7RJ_uz3U2O7;d<G=f~9MZ=H*Fp#UD z!%&bVV^9H5!3Yrmv5*G90-Qmq4eRXK7f7stN-B^?A+hoT8Y`f(4I~Po!Lb5rpOM>2 zhUz7)mFxnK6%Y#?E1(h><Sejx1Jp`(2FFS;Cn8oru7VDtL578)0-$0YA^>6`#mWyz zte~}$b)JLAi9tmy$d8Z+QFsoDz!nuyp$rxUj~9a@1k^Mmx0MXlOIj=01|A_G7C1sc zRR_pfVDo6*N(K$wV`(K@gChiVAPH;|8RRPH=pQ8fp#q@#2qFMtAw`IXD=3uFrz=w+ z5dx|kKz@WoNCY%OK(z@-6hea|1U$S%Xle^oDPd_KL-mr@KsJF#2#5ua5Rma8XMxS5 zbpshRU5TZEYz&SN&~^}5gn-8vVavyGPB=oRBs*L1Egu8vh8hPNT!c(lf>=n=`2iB0 z*rqGzK;jfM+6M|INSsc9#wlbH1~w@Jj#Kc6U5^S#J(kHB&_va7$gm|ePC)~MASTFg zs9umF*klaY9MriGw8<D9c$|V*;5Y@1V#3WEpxH5PaGX9xnyv)7ilo^w1rKbQ><T18 zK*N+EKSCnp1T;bdz@=S_3WNqn2=ov+ka{c;0-C5g-l75;9|gq%+UyuqFGvwALcr$W zjSv-hgn(G!2mu)naxB=qDKwrP1C@H9X|Zn9*)e5sgn-frER%s;1)m)Q%^yPrKx4X) zQV+yJ$|eC`*dm1I1-Ke=fd)M!LO?4nLCgCsprQ~O93cjvA?_X(ka{c;q63+(On}Z& z9&b@efigh>2-OQx1d9-`Id~&P1|A_G7C1sc#>35<q5?7xX}S_*BoWh<Acu8Nfp`Sf z0hrU38X!+kQ2|l#=}K^f6eG<agIv|!q5`5Ivy&iJHzWig0w61qBE-W793hy4H7L`S zTBk_u*n=CfcslkXpb$mv*b9J#ARQ`@G`QabZfzo_E0K)F=um+g`;d-353EB4G7_~z z1(E_A3~IH$l!Pqj1vN-<4OpN}SAv^?cslkRU{9cQ6j{JR5PyNB!PbM@Yl!JeBx5oB z1#%t4UkosRfs91;7f1?hFsR-4@;by{pmrTDf1yoRf?HE~I`)59F{dlRLJ)s}q`}sM zn>|y&CzpbziI9xN@E6E+5PyAPg-utoGBBX}3nT?L7}R`vIRP@g3~C<X@)vZv64EGv z&%c30QK!E^W^}fwd;og_CBEK(g&_U{NrSBinTOP|2e)+~;{_Q00+|f)*9(}xKt`ha z3nT?L7~HY<giJ4ks%l*R0!>Up>poDxf-8D_)0JRPp!n+nSP0^;C#=wA<=`q6F<psd zEQY^8u7mjN4$NO5BT@YYk^=h+)GB%D3YlI8)p)r41)7+IRv{pNf$KMX)0JRPp!n+w zSP0^;8!&%?D<Z^nC6cii{sOrU;;#!Ze}Rld^%qDA>@QG-_VORZU!YPRm%mg%#WkcP z2KftI?d(wjiK3=MkQtpVDrdl+K=IcJun@#wAZf7m;PQM5xJE-W7Q<g4lOg^(0`nKh zNK}7;q`(G)>V=ovfBygfGIKYc0VdFVX6JFV<<~eiDWVP|ArCM?MqXe8Ot5KKh$z|s z6WBsL156-G2oErUOhFxB+QAB{_+Znp5Z)A(4Xp5K*cD(VVt|QrDe?dl-f37+Ed^>D zKxlB21vIKb?f?^1FKGizOF*dyJir8EftxI#x)kIruz3SCz_gf^;%Qg~e^AU}9bm#c z4GXGcLEeYZ;8+0-agaN}1l3F00Mi_JtbkbHSOK*JK+Xc2H$VeSv%#@K(KM_C>6;Wm zjRTO+Av8EbKtmhk4lqIWk~Y9J1s)+F7C1scO&E}~z~<3<fC)6=hh>0iGB`pgnuhft za~c-Zv;lb^LW5%kG)O`2G%QpvX`@U%@K^z{z_9{qfPtI^Hjmb$OrTi7GRg!x3z)xu z0H<Lqh?<72+Cyq@4O}DO>8-VZ;sdp})&LfQv`#?M;6?<fhC!N!MKTtnb%N-v)xcUO zAR|#*Cm<=X!QkE+>C>>_G8Ip6tpe-`lvY;>SP0@TkTlqOP#KLh4U1$fhQAQKwE~#G zKt`ha3nT?L7~ESUeHs>AG~wy3<$yhb;;#&_5X4^~X|VO6q7G>q7Rgu)e<6BnDKLM5 zj70SpND6E)xVJ|7G%PrCL%LO{tz=N2s<TBU0qhABf5m`>ApQbLgRKV@7D&A{Bx5oB z1u_}ZN{)c}3uGj!zd%x8gTcKu@FvAy8}PJ}HLxA!u>pL91;#Wiq}2;+3nRCZA<aja zOa(}LcaI806s?sEwh&J%8Dt6JRx-#G)K+o;N-G({o1)?YZW@E9VI9CsL@W96OypMb z8pyyND6fOcZpgsi0?>RiXyqw%U=LJEKsPCZnr7s-lA(G@YbD!&QV+P53}S&R8c-Pq zaxB<9TDOux(;49N#!;{Oum)HEkw^o3;1Z|>ybByM{{V6(^!5UX0H}zBPShd})&U73 zPVfO40#OWNK~L0y2!IMAq+vk~H=J$kCy+P=m2;qAg2d?!Xq<vdMzAP2Zo!QQQ0tCh z8yi#*K}XL)MF)rpG6t%bv^F;A?pw(2D-a7Dry%3u=8@aR205&o{DVM@!EqXkw6_H0 zDw5_5Bd|_q$Ux4^0Ts|7KSClz090CZwy1!LbdV^721f{}VMnly4JtuFv4FOy4yu>5 zHnt8tlYv;^2mu)nau(P;a@*LT!kCzYKtR(OSlZay;0RfQbmRocRnQqh$m|7F0AvwF z0K`JdCLLI(GeRH{0;*X+euPAb2Q)%JbrDDuLW3g&Jm^Mf5*IW935^iY)FX%qG6t%b zwC1%6JVHP$aD;%2hnq)k^BUwZ3RXEPgCpcM_+Wj=;uDaopnFdsvlmbSkVOyy5DO_n z8i<<C2;WF*0~uTy;AtSsfI<|tfh++Qf;3D((%|+3xYR~WXCN7i(J%p3nvjNx2&`cO zG7`060+Iq73@YefUWDup0Ts=-h9#lX8K9yEG=2y!VDU7N1;Cy_X>jp?g&_U{NrSBi znTOPnL^2k`Um({({KWzD7syCde}SaH27?OSmuHDS#RHUKLH+`lD|i~nEMQNd_=^E7 z1o0P08f-ntJfwyslCc>60+qiIfBj*BPG^9OMD-U)3T!Z_AbHtF^eG;obPw_uIJ@I% zApc;&n5Ovx7J~Q-Bn`G6WL`I7_Z5<{82$nkV-SCRfcXn#B&xqaQecBY`SGPa=oF6$ z%kVUiLF2K+4kJSvys&04aswICa)imihLItnXbohrg?JjsAWH~0kU^%PHjrPifEr4$ zVPptzipm2P_%QMfFcZ;0KGcWYK*l?a3@RN!Wio^YR~4Z46}b&$s9w?<$X7tA2i!mg zvA|UYs0;%+3v3>(8^}1$y1UFm@i1}(nZwASvJK>Y2n~)EP~(bV6BpFv2G!bVXWc>d zlGemM1CJFD3mhvT<3Y{>n@4UF7t{-&pox1L94izJBL|Q^j0`H2K|Y7j;0OUVt_U`9 zL3I{1LO`_)hzT+Vs+Y7T?h$x|fLP!N0T~ZBkK86M$YI1ZaX|x?Sem$p!4X2yFmeN# z!^og21>}7Q4UQGik}7hCk)e7?Yv=BP#|nrAjulXa4ssUQJaXH)pvs$qcJ6L)tPJ2V z@&=-Yk@c66+DZo32zXk_TR`!F+DhI47J{@+K+@nw1gM5V8b(Gk7Nd27XeF<KwN5}r zqP9*zQecC@tz^=Nk-=puo>uY-uqRMjlS{xt5PyNB!PbMyXry6eBx5oBg=i&%ic|0z zejp=J{RNT&8w@J9$vEo{T-4!dCC>qS0>xi5z(NpzfuzCKgNiz&)<2T582&=ElBdAp z3uGj!zd%x8gF(d~8E4&rGdG@A@&vFaQ2f;c7J~Q-Bn`G6R9GOj{*jEu@E4+$+yV0! z$VgOwfuz6&g9;GHZXU%scv{Jz@mP#jGLB(nNUImt7DjF*Lz<5;8Q3r~L=>%+47LzY zD;Z=7;Z`!p6x3F714=6y!keN}0d5+Dhmi}wOhhYrPBU^V8SgMMsB{38=nxuQ(SVv} z<hGKbdP!>~=YUcVxRnfIfh!tN83u9|*gRUdl5q?pXM-!60USn7AafWQR5F4B4?=_E z6x6yS*v1AGM4)yY+QDp4y`;6VQ{Zt5Vu9lnWIV`OVDrdrV}r^x3fkDo;5emd7<mHe z!^of_9prNe4UP~{!;WAZ8&rZqBLr0DftVm;pn6GbW5>WF1jGVI2*`N2dE~aSK@KCP zjg4a%IT{=x6b&OEAbl7aR2PAK4xzyj0$$@t<}fl;FKNx|5O{=uSl|c&jVpni1vZb| z<~3*xiGt>JFgQXe8b;nh)G#v7Y*HJ@;K~3`1K9@@qNojI53mrVVFHo{w;w>23sM6a z$ykhr38I1Q0&AFnj6`jifTX|%gB!@C4<myML_7^-2e2nl8eBGDA&9>~(qQXB1uRlS z63JK$e<2#k7BGK-j70SpND6E)sL&;27#Unn;b|b7fIWfYF9Wa;#9tt3u=SvF3aKH9 zWGsfi5DjD<n7=?qqWTLY1vVH|c9AiR49=c-8ps-8PoVfq1uO*d7f2dxJt(^)4I?8N zi{URs16cv)FOZR_{sKvX4F(kfkYVKQ6Y(^VLF2I)4P+dvks%FUSTh*8fedLm!en5> z$PiJq1~S+}JPl-!C4?KuAX88q$Py?GWC(AHiU7D(3~nHEfSHH}a&-lA0~zlyGN^O_ zmB|nqTvdSDRpd61p?XPcAhUo{54eF0Vu7m)P#Fet7T7#mH;{1*BQt}m3W}~wnLy?+ zGN^0=c^^W9V+GW>BG|+QjUj-VQE0=+P`#uzasM#GF0ui!z_9`{9^@>rdE_>6LBkOg zG;x13GcfS?g9bNX`wT&@B5AvO2kFDeph6ksa|jKN5K!ZaU=tTqXF($bRLg*vAY-6< zNo(SMfkz041&$Dq@o@9VZQ_C)MobeI$1w6|aD-4ajJ$))VPsI10`fkD2FD6$BPhAU z$WXncwR7LVV+F(l#|o%I2RRFD9=YvYP~}ZQJNGp>Rt9hw`2|tK$WQu7Z6$+i1U#+e zC!qL1Z6!Yd3qe{ZAZc(T0#w5wwUUvH#b})%TFG~qp_BI@BT-u?AStlH;8rr}!^q$= z6;CVq2G|oQt*$FzA&9>~(qQYsr6FSPD3Y-l{z9~pFTnf-G7{BaAStlHpmLjxVPtSo zho_Z%2J8tGf1LmeLHq@h23rp*>X2IhNXBCL3(-nG0`nKhNK}7;q`(G)ia#=jk-?c8 zPb>KV*b^xJ+5;AX_zNTrwjNYiAhrIHjK%O5qLsV@<}Z+usQv;;fei)~Adq2Xk9It* zWYBmlMk^V|Ffydo3u_A_w~`^vN0<z37#Sjp)=CCjh^LhdvV?Fe8Dt7-D|rJm@?r}J zZ;HwaX82-@1z;wkl^mLj+)Bnfj0`FrKqWea23ItorWv`dWT;-!TFG-jsR!Ij2C={u z4X6wQISXtaty{@BhLLB3E1CfuMxH_DFfyoQ1O*<12FEF=bw{v`4JwF0?KreyWT;-! z+SpUzaSCFA;}m2($XQ_X$ZcbT$}|ev*ptC=O3^U#3DSp=K}9;q=MWkkA)tmG!8SIi z1cgQjsLTT~LB>G!lGeuVfkz041&$Dq@o@9VZDWHRMob$U$1rj?I6^2IM*cziFfynv z0{I+5gChjIQ=QCVWT;-!n%6Dx2m!Ic5ds=l0yzt89=XkH&=?X0&Ff}xgith${DG)p z<by4wHju%U0iFhO4JbrW8^{%4AxOgnBn@sqfGQWH1~QVd7!4Cd1Gxm&Faa5f+Asl0 zfei*XkVzj#1{a8U8ps7;PoOloa==0me}SaI)`JRIq=qDtu^9eBG>|i3{sI|^>MxKK z*kDkhOU5uVxSYb%Ku!UB0>xhmU?GUVK+<6ALFE)uLlVhY41XaS$T2W~fs91;7f1?h zFsSSzV;C8nJ@GV<Bfy?O@mB~~2;wi0G}wAjc1IdUMlu$|Ux)^B0L)(?BT@YYk^&nH zDgq$G$oFdSG>}2#u^0_x9K*<v1~04`jNCwmv>ahFuwi6~C|Uy<Y$2WoGRP9b4P=lh zs10Nflm;?{H$}w(+$shSBU^x(hz7Dr5^@6>?=Ui`bO4pf5E@)nfZA2$HjtruNoydR zfKm^*fed1Ss|rvV267hIJX$x9aSS6HgR2UPhLKN@IgAV{+d$rj(BN1BHLeIYaY17U zpk@@>FfvpxX-!-mc&va};8+0}4{{dRJaU`3py3D#nz-8FSfOYb`2gv|$e=<Q<Z}oO zju24eieM8LRA)gW1XRm_m>^@IdP!^Is=y-z!~#bM$auJU<Ti0Z4kM<Ci(?pB85|)L z4I_Uba~K&^rGUH-p~0~t0Xm?GP&*e?<AS0BZ5t$1FKO*u8F;LKSm0Oz84q$6*gSIE zxuD9Mf_APnI93L57+Ha+o403HlG;iJ*9dr8$s(ZmKy4)pfQ2Bf6Oc5x5do@UkXp$| z#$vQi5Upe$SnC92Bx>sfBn37Y+)5^W7#Uoq;%OywfIWfI>S6&4LHq@h23rp*qmfo4 zBN>a~FGMSu0p>4|k*NLxNr4RpmD^+tBZG@NJgwwEOc;CHet?A_{sKvZtp^o#NUeV) zV=?@NXeEDPf)4wGj70SpND6E)sQ4pe7#W<o@wAdZfIWc{UvI!d5PyNB!PbKc3#8UR zlCc>6LbQ@!!2AU=64hTIDX_ty0t7OQoL_{el?)n>#b_nt7)FM)dSPv0<W@4I`3RGN z4I@KD(OSu13-Pp)L6#71C4)>sZ6!ZoLLNqj@TRETV1f@LUjQ=^tz^D%<W@4?VPsJ0 z04mWTG`OMxHO<IvB}4U+)=EADN<H9KGKdAPXh3Bc$XQ_XXx&Q2F^qhg2{f%gfWyca z$Q(unm5iXkgV5kO1-0%7wy{A45w!6DDmp++kTFobq_we+z~dCe0>>%Hc({4wwy{AD zqo9p_7#yb*4I?vPy;2zOp%$Pb9prNe4UP~{!;WAZ8&raVVgao+2h~ej8+#8tLO?8V zgn*0(ISXtaxovDvVN6UL8^<v6Zg7N9G>mM3^-5v9hgyK@B9PA^G&n*8h-qGf27aIs z0vf6TF+s*a^^(@S-U5#h5DOe3AmicYk=wimIgEnl^=5E{P&ACJLDZGPWqG7FkinG! zo(A$7P>7;7kXL|(APp0cG`Rf$s$7s7$VkRwG)xc;<R!3%3CKv)h6zXtY%sWiO!_b~ zxPZmeKwbd$1WJQz4p<1{FOW3YdQbt2)R06n7Q<hN2J#G;zd%N!`U@llHW*ask}-@7 zE?4k0kf(q>f#R<TU?GUVK+<6ALFE)uLlVhY41XaS$UQKBfs91;7f1?hFsSSzV;C8n z-SIS#JHVbm@mC922;wi0G}wAjc1IdUMlu$|Ux)^B1I%9_BT@YYk^&nHDgq$G$gCN7 z8pxpWSd0cTj$vd-gBR8eMs6TOT8=Oo*f26g6s>^_wh&JP8Dt6J1~SML)CO_|N&^|f zo1#(xZWV)vku$(dL<9M)H*y0R?=Ui`bO4pf5E@)nfZA2$HjtruNoyddfKm^*fed1S zs|rvV267hIJX$x9aSS6TgR2UPhLJ5Gm!V?2h6eB1$e^+f<b4PYjulYjieM8LG=>1G zwb6!=p?XPc;>N&Z1;hf!3dnenv%uz&+r$M8M^MnjjRwaGMZ?GjL7)yN#$8XZz_*@& zidc{zA;*M8yaFk0Q2`aoAW;Ynju24eieM8LRA)gW1XRm_m>^@IdP!^IhQK2P!~#bM z$auJU<Ti0Z4kM<Ci(?o$7#txK4I?LnfI^w{D{4Vi3ds8q8XPMe#I$okH7+PB&^jJa zy`;5sec-VIVu51?WIV`OVDrdr=YlG43fj5e;8+>JVdM!!4I}#{liErK*9dr8$u6My zKy4*EfQ2Bf6Oc5x5do@UkXp$|#$vQi5UpezSnC92Bx>sfBn37Y+)5^W7#Uoq;%OyY zfIWfI>M{WfLHq@h23rp*qmhP@k&MOg7owGH0P`2fNK}7;q`(G)%55@+k-<eBo>sCB z*b^xJ(f|uV`~{K*TMsJgkXrvp#$xyjRD?s0NK=9N3uGj!zd%x8gF(d~8N<ln%#Ej& ztN``|ioayQLJ)s}q`}sM3JavxKa#N+{z9~pC1Cyn8HwsIkQCTpPyqrNMxGXnr<J^7 z6P7D#E1rQ0WQ?<sA+26mTNt^O3~4^XWE?=+yL(h1qG+vTu!VS9$skJzw~|4opth0) zP+G|l-V_xMaMKvvN@f5v5v}Co4#=%!mZ#wAAC%WYWjCby|M3JA4zSzjU^KX*0X5CY zZ6!nXlGaN8!wBCU#>l_`u4q7I7|5|;^9HDu{F@OpjNHJ1xC|BKD(K0_kQ-^C0-%Bs zA^>6`of6972MT4ZH;C9kVg*!EfjkO{6@#ZB^(`u(vJE5(p~0~NYM+tYN`~qst(E)* z9xEUgI95O<FvwY8^9HDu{23f8hu9IZ0&*2ed-gJ1K%tD@N-lv!2&jk!`4JK!8PEs; z70Mt{2n~)9P}7XuRx(sCX|3cp@CX61z!3tfIzY|>n@8(bGHA91%h7MI!4blV6d~Y( zzeNS)ILMjbAXaw^_^vjH0H|hy9!T8@xjGdp-Pxi7D&65{BZF>4g&GGEhv){ekTRKr zJ2*PAbw$@e;uKW9fPx7UrwgEQ3aXGmq7WJ!rwqiju|cCh&^9(`yavPs83WZzS{wTb zJWfF@aGZjShnq)k8yn;>V%pfC!F??Ek30s)DKFBEs32Ft4@d@GzzG!qSp+$;8pJ}1 zl>)4@El(g30=nT1<VQ$^+<->N4-N(fkSK%(N5}^b28M1juc(FUCGCpZJMahrvA_`m zy15SIEU<Y4bVcoLaD;>)ozo9;74(`uNcckqK-b|x1VAjL2w8x2wnYYVQ#$BsI*=bB z5h4I;<#e{Fynsdsga${*14x8`)ML336?FS7?kj4cdO?a{H==^gLA{U^?atsU@CX61 zz!3tvau4KKuz6Exd_^s2Yyis@wU@yWG8^fTeUPi5_X|Q!42KGU?(u^NfLKToGJ~ku z7M4&_`;p)_6P|wL8BmC#_9IV#g&^&BkTkfN4Q|{_QGqmRwx}Q(i_v}uwJjn2k|T`J z<t-p1QQPkzDX_sH6JM@^+^`91{}4Dr98|x9j-&>+I`H%(4}d*^(l6Nq7J~Q-Bn`G6 zWFAsK63JK$e}P;F@z)NRzd%N!`U@llHW=KG%z)gm32H{*@)z2TsNl*QPd{=C*b^xJ z+5i@U_zNTrwjNx|B5p)QG8V&MAlE_swFc%dkddhV0!e`l2G!Ot6(KiKf~rzn{sP^7 z3aukR@dd6B@$@5CfIWfYuO(n1h`&J6VC%s(4dMuKBx5oB1#%t4UkhOV0vU<wFOU@2 zU{H<qQWkQQJ*Y;)<u9}wQNi^9o*Pl;fIWfYuNhz=h`&J6VC%sJHsVH9Bx5oB1#%t4 zUsGWI0vU<wFOU@2U{JyT@*2cnpaK?`ztC<(1sA7yZbY2`_5_N*dcZ;ue}SaI)`QDE z#Eqy(#$xyj<T{AII$-_+8HwsIkQCTpQ2F_?|JVQjFGKzC^!q{6OvKKPLHhBq-aT@^ zA2e(Rs$?KFB5ZaHB8t}U2V01z-w(2caK9g93TnT<ff3ZOg&p}0;Z0GgV1zFpD*!VQ z{r>X?$o+o2vtyvr9OOL+4X)ZjolSE4{ZPH6_4{)`sRuke24aD$c2J!Iau(RU0qXZ> zgR6FmX2&XU_xthAj)CeTkoO@pI95PCPICMGP`#w}`%~bt0%Cz<1yo~#oCP*-fcpK( z;8>w(c5DS?&hiDU#|FyU-5n|TX2(F4D9Gm!8XO^@?jpJUeyCp3`u#ER2m!Ic5dx~} zLCyl3N9%q+s0ECr-yaQ*5Q=8U7C@#ecd{}tFrdZC97wEyDs+%XK~d$wIsrOo32Fj> zL?JXdRzQ75a?k34>Lsn`9s-XQ5DOeDpn(dIv%uz&+j9pEZV=OR2gM4Oo_jDjRt9i( zjDx7NdUQNU?bU#51U$VOA5eUt_G&!9LXg%8NE+OT0M#%^vtvlcVzf>Wy&4x->jY#Z zYU>0f1vVJmt08@M3|yw->D4%ZJ%Q5dvH=T0`~{K*TMsIuk$N>q#$xyj(W|k5`3qzu zs=q)|V1vQE8q#kL1s6?tdNn3sPoVhA04xOY7f2dxJ*cQdnjJ$j7Q<hNUX2dSUmzn< z{RNT&8w~E%kbZM0ICJCa)o6e{f#NR}un@#wAZf7mpuz%ab_~f_41a+NK}aiE0p>4| zk*NLxNr4Rp6(Eq=v1iVBTFDukvCNKTfNxjCm>q+(da<>VA<ajai~&e{cMo`|z@r<j zl?=8JPb(Q@3E@^U$Q0C8vII&i8N!>QA^>h0gJ;J$z)VCdd8I0HD|ruO01TAZL1j0j zqS*i)00Wf{AW;Ynu4q6_GjdzWP`#wJl375h2i!^qvA`7#s0;%+3vAv1wUU{^6^$Sl zVjc$MDw1Z$B%DG08?3WqUm&ppDycvog~ZAWXsm$BHjpTU2FD7heMW988LF4GR`MSP z_?#sJbj}h~0)w0dHgAAh$-fyubC$uJh*$x+ilo`G1CUrjYmezX2Tvk{idc{zArYeR z929{qDxg9cBnqLy5dvzOk=sg!>Lsm}`~@B%AQm{AfT|9Vv%u!jx|Ix?Nx{-e{tS+g zSxA$}AXh=>z98fDPytYV1Q7tSkj9AxTtT6XK3$mti4aiT0P-UwLL#6M0;)|wq7WJ! zA>iR9GIt?E^^(>=eglsX5DOe3pgIraEU<aBZXkoEE3q_?UxOp$A<}duczh8u3kjKD z1Ua)CG7$+809C2bDap<j6{vcUU}uX8Xb=%P=m}8_l?I7J1VAjL=-dH`PHfYab0BdF z8tnrG6C_S2K;slL2?Lvy0mmtLXpPL-F{obBX2+hu;}paK$0=wO6XaO1c~ewC<~1K; z>Aco0V$i)u1>_aS&L55kf5<x?d?D@F`OB5@kYnSc2OxtquRC=71RDYxu>>{pI2jl^ zQD=jiA3SjUe#52nK<BmI5H?UIYXHsBPEi3-t(W-cAMEH+c?^!zr%2P4AXkwzJN5!H ziHuh2U4cXhXqXb@M@WR6fJVp-=<FDT21m#R3TDTkdP$ody8{YGaN_{P0*57N_!Z<> zuz9qe9Ro!Omf5k};0Vz{iV%>i;Im_(`D3U6XiOJU>Va5D*+c^C8Dl(<JHcJRw|>K# zouIJ;kIojA6VM2O(BKF;0ErNgdMwkGI-Cp)$6HhqxIiO9U}g%G2?{`{UXUW#bS2mv z)C7t?T?vnnE3kF}$auJUQ&d3aA>|N|kwoMWki)vCsDM=C%^{#+0S!nF0a36Vav2;U z#YpqVAXjxm{0^C&gbIKxf(U?END(4H)EQ%e7NmCU!Hrlv9s4t&5Jm0Sp8yL%I#eKO zaK8uK{6tJwA{mR(p#nAbAswnC46x}+1_lPy4i!iWY%sWEFZmVhFHnON*K`W>#$!-} z3pD)&ZU*A%*dG9U0;Qw42P_2f7f2dxJ;*$yjy;mG82$pe4&tvJFn@uJMD-U)3T!aA zV}BjuFHpM<m%q@aE5WTPJRSQjU{9d<YXeva;xCXi*m`iY2QgiVWGsfiK(2%MYYog_ zAR|%z1(E_A3~D~ToB)|#1~rdx`3pK-399Wu@da*_;OW?}0DA((UrWG35PyNB!PbM! zL+aQg8H?dBkn14+S^)DG$VgOwfuz6&gBlz!Jt5P}pn4UTztE;D!4)&U=}NFCQ2aFm zEClh_90mpku=U_76)|0jWGsfiK(2%MYYNO?AR|%z1(E_A466KJx<aOxK{YKdf1yoR zg6lVY)0JRPp!ll?EClh_1em|T6%k^(63JK$e}P;F@mB}TUmzn<{RNT&`wLW|z5EC9 z7pUCE<u4UbaSbVnLFo`&?d(wjiFW>X?EK-wc&yvbrMm}G_P40CfIWfYuLiIX#9tt3 zu=U{be2NOB6yKtPWGsfiK&}J%D@3IRd;uiLJY-LWsMLUs1ex`6@6Z4LUpg7#9$Es` zb&!_Q4t`M2r3E@31fv<cIS#($ZGOnu*`op~v_a}2<46qM9xSaV`KKQ02vMo#Zv`!D zehsRiK_xJ#iZ;CD(Ypn%2VzGBxZ!pjrQznm3bQ$a3$mmPLW6DQf!MqOO$K3e6@Tk8 zMo<U(c#FywXnO!+GfWS}<`v)-Zcv+h_o$%CBF`m*Y(L%tRsiw_lDQzigJeCr4R*3I zFfeqU0(%5uU3U*yTk}KvP6(^J2ka<_0LXbDBOngC0iI4dzLOm`oq{k1p@=wl!L2<2 z(g|@PL$^iqb9>I8=ng?qQo#aK19BVO7$RJM0lZZT$@MRpq0`xj!~st?pcL`)?O(`1 z9?W?jEC*jgV;5xkOZR^ed2r}-Hyn^gO^s0D4yZ6_j1PAtf!xsT!2${mkizZ&7S4+f z{8Nv0`lysRbd;zR^S9mrCmN6nuwstR9u*&GA_Hpy37Bw!*E52|yQiptc$ya-_~(F( z$pIOY&EErBcJrFyHA6Qf!9e5t`^DB1kc^SV-#Qa+6Vwj@AU||7cy#lE(wB$f36GtO z3=9k)?9qCHe+no=W%9SIWdbE@!vlsV9Xn5Y^ooKNcW+Svo6>m917u3)caP3%orgLP zI)d^L!*LcB4p?$}4PKoIR@~iS!2`-!_^r&~Z%M#!r2)tkBCXs3jzEa34ZrPFfH|wP z1su-Z5UTZnBma~GE*&l^>HICAJrba+T?`L6b{_QTg@g{m!#iLeKDSdI)c(Wb<JSx? zR}11Q>t06uLy>yvfjh+=Zvhuvpddfq0$x-HV!~642TM0NI^d})0hAo#`CIohfZ`0U z4wQ(%!%SemfU+W}_UKUoi81%6fCfCE#VVRDkZ1#kq2V`=-YH<$padeQM-3X~;ACJx z1Y%rC3{n8X3f~@Rk~s(o!SgtS@8v#72!YD^|Np^(1<JZ0=F7DIDA^NaRJTV;>q$s* zjV(F&x*g<gh{GE|i4#dWhQB5E@BjZV^`T_|R23*aA*qVyZ`lP>RfDPu&8jH=7U6&Y z|G&KQ7u?1N^$u{=)dHaW3(1(Ex*D9(arRwYR6<;kJ1#yd0WO`OS~^4}1YDnjYK-nF z;PM>JG>j@5<S0lL?K9J*+eak;wSM*in+GoSyaZ5!m6&|$UE=hb0cWuQ3R4ffdGw_m zs+}MmNU`8ma_9BJ2}r=B@y!hI0kV5kCV=TJDjguYdk>i2CjiUZ9#9dF?)?gtpwfGf z$_CJ8sBS3T`k#NwfsPiHa3%(Z5*|qT1XA$-0fY@Uz1u;<qxAs)RM1FK7-;iotGh5P zPl2pG)=*K&z`zJfYap&iFE2j>$gU2sm5oP0PCX2hg(wCWgkZ(288RTXEh?bXMUJ<q zG%$m*HFzumbjUb}1JVkT_2_2k=I9P!`F=_9V)H{rhmLctCmlMrsDy&u^hF5fCYV}S zi)4xlXje3U>m#rVP!45gVCZa70i9mb4WU{O@J~6&zwKaWiwfva5zu%)69WUN7sAZI zz<GgxDo7@PiGiV`N5vm(-3BznKu21G#ylZLgU;6m4WBVHFhB-ppwb|5hyaKMRngs} z0^-4Hs3|IbU>ml81Njrb0BCgwgGcWk70@xvpZEn^R6u)7Kk*CnfEmyR52Q}&Y=K5_ z3pgcsurjEDs+lbi(az&L?UBoAq;vvP&jC^oHRX5<*h)|w9B)wpok0y{f)1#LGC@aP zLz$rP1TjJJ1xiGa_yU*8h8H_~z)_1V2P?9{lAy@$hNyuB`$c5M0W967Aj3I7eZSNS zYB?Nt=-8uT4-P4FX;{?5tc4j3tqpoqK$~DeX~i6@K@3v^2c-G{y9IP!I<nm!JV=tD zGugX)R6uG#N0@-p2dYDPusPHWY;T_whC`u7!yO9RR10#bK3GF7SOc^*0}9{f7mWN< zK#38wZMCCEMIEH9B@L?Vc#8@sp@G8bcndgDftcNpXzcC*N8$I2iYJ;MFm@bjJ<u^l zMGd6C)e)?}8xqH`tgZ@{GJ{Eh42GsuPEbp#vqc58Q?+A?iac1M2C~A=78TH$*4+?C zfEpOg44?)XNDz|#K`c;<1D->Cn8BGIbObiY(Z^d<T%bikH`K5m6_6TK_sfCp-UE(L zsBtj&%Yvmg!lXb3gF1nr2!pyGv>~=*ii$W`;bLTkoh>RF5Ep|S(b=K`Ixo2!A_#Fm zR2n1>5dg8ED!O~X>Jb@Q3~WQ7EGYIN1uLZN<1m4geV}BEyX*tWg7T6F3#8`-$|}go zljA$6IE3dKP^;R3f7`XrDc~G;yak+Ap<xP2y&z^cM6!F23dpqY*A;&?KVo#~xYl~9 zvquHALl%?<`N7V<uLN>7v}}U~E+1Ix3QP)|8+uegro$2ksOi`-MTH%#@EEc}MB)Ic zr(xn?1KSNM0l~ciQ0jrXpA{_iRTb(rEQtfudG45^@*gx!-})R`A(a!yKd=o`)j>`% zyoBD;1}BupV+Tw@DdoFIC+?OuC`o`-v+e+m<0Hy&991galhqGK1_n^F`VRK6nl{M8 zXvyjuSV|fug(X>iU}RwEn4<C?tdJL3VP}g9=sJP!7H~4`Y*7JSH_#0cgk({uG)NpG z0Ad}7lrEr5al8dw!ho1iece4^Q&7vCcVN54b)oJD83IdIZ^2SLFez{$2Pw8-+2RGn z{m;P)|LH)@04eNjQ2`zL4=u$yTU2ZyB@jpu;#?4`8zK%70I4|MqGA9oD37<OfKJ<o zx*x2%2W$$e`=5dBHr9jM4Kf7g{-<Cm4VV<j;O-u<p)mJ9fVlrYSfLcM!p;_z1E59| z#1Wk>DxmW(yCH%Q=R&1H;t&B43#y{K2do}ZX5Itapl$?mB_e4%kW^w~Yc1Xdo4Xlq zE+QL1M$V?FfHp4jw=M*$fVLL9d%)!+ED%5=6&+Jlu7i!5jI6K|QUgPibtk0$gSPV^ zeg@a05OIhAsO<+;0j*yVfp86M!y{u*Ab?r{@PO!U*dPxo5zv}@-3=2IAi|(VF#ak9 zIvN8~h!~COQMmxkrRTv`?=%Hj4YC##PTip1GwAp=kN{XCNC0%k7$|^1kv~NR!~>1V z%stlGqXOE*2FmisL5B6VgAD_ZNP==UD4iaM<W>+9HK<XO>@kr3)^M<XXxPG%>`}0k z4@?SVa5rjA1lqXPF-2uRSfL%VLb??h`@nV|GXn)?H>6~OxqmNMYBx*@S`vWTdW8BW zp!y8fH`xKomOU!l!G?9B83sBf8BwfU0mV~`3P=!=070zo78Q^<L;%F<hN$T70gEG2 z<TkJkYStj1AT?!hrpFUV=@FD_K*<O+DGE+K0^J2H-Igxhjx2`1I={OZ9_Re!!g=ib zwboCL{8JCQbo8if1zX1gwhpEpN5TbdzyqbV^<XV;tUxY=<^a$*9_U0TXgP_V(jX&) zQ&iT0b)E(5B*t$qpji;)7pP63gp6bp0VjjD=z*NP9BlC<u*GmKpsYnoUIT5*0~t0S zWLR$k*f4VP+B}f{Rs*npw7fPKETsyQ!jjiOyXrcos7wbd6h~Ir*`flvoe5DrgKlpE zt!RMv0HD$!aY#A@v7jotdsIL?L^_-Xwjm9iFo=mO1*CLHR$PI$=YfK^A8fHU*kZEd z3bZ8;WLP`Mu->2Ga3UwJ+CchSPlENM#Z@a<Y9CArOI(3A#C1$jsRt|EfUJ<paa9Ml z;XgRYkm8Cp0^F#DRAKnz1l0Y(R+-m=O}4NB<#kwOfYc&}ermuf)W9lG^F6FG2ki&! zn4(e+Rw#k25D^TZPEuzJI0-@%BqW8Blw`}mHkjFg91E(<U(R>~8EJ%%vUNK+z(?9j zL8@CoN+3aotmwD{sO!q`vg!?ZL>Sb-2NiKI72cxop#>3GiuEnTE=Ui-BN@_403CFT zy@Ln}O^_@oG_i$z3CMY^P4K~Wm|8^07c(+2loo<hHK@%4Eg3<r9S{={7oe2Mc>=ZJ z1h2snEhvyiP@Q?GV~R=<$Y?`w818@s0mu-LKVMITdI!XTHZDNSmu3IKW78m)a6?*O z2pd60qmDf_fBLU@vH9bFMUcC|(hxnU13wt5UgkoF<RPJ40Ub*N9mER?PYBKMiC=&L zJd6iA5BC$lK#K~L0iKfh#2<OkqZd+Gf~OZeI*)gr>+Deh?ePSy(n?^2Z}x%=_ksp@ z)fpHJzj<^|Q31(<3QO>!{uY%8m}1buFp!ELRHwk^Ct6g(LGjqK7P`_5+WXuBE~-J* zG}t4J2PM=&=>_*R1;}?`rK~VNL+W`*-uCDw($AoTjN<12s5d~{hQQ;T$OGEy3=G{{ zRKQBQr>KCGboW5Goh>S$eLY|uxIAtT_V``MiZ00X))w%938uGqfu_oEPSMcH@1PAt zp!f!D!2$aO&F}jhK%?y4Q&d0-x_eY$>OnhX!0K`NTpjFl9-@2>vV-nE2W`ax`5d$t z1?&@apC>?l4pPwFqXJV8+Oq;ykIU!$V4qt<4jmy7=O8=i?sL#q8<5XIGtXe3p!*!O zvILaPK?=HiRAB0Vz$Scf`TRR*{bEZNGpO!?XL3+>!;;!TcHs0m`6E;~b*=z6qw$Pw z!E;!5g950@fOn#Pipn>zUzg*UI0qL~jmIQF2H~BQ!<^59YJC76gTOt_kL276kWvE9 z{R(!jB7Wx<fD9tfxhLd6Wj9gI1vkhDI2W`#gui7rcm)N{APOKHy0}I<LBqA++0t`3 z=Bi#E`G(Y#>23hkP4LOmDJtL%BQ2SrMOHdL@hSWS4tE>SaTtH_D*Omm$ou>MfACcR zNYRUC^#`!Rdmx4Le&e(HJy_xTKmY%~%>RR`(3F7z$usZ33Jd=J|NqhmIt33-gxw9G z1c9XREm+|<kis3P3PDkUq!6^1gukT*G+l28Sy}*^RRlMY_B{o+9zjD7VE&yasQglh zJWM@wN)W8H86pL0U4i*$Q2EfwD6rJVr$`=VH4p_QPWbFEs67p89Uh0YwLwf!aDzJ7 zpoS=H1_aa<L>7n7fPf?*Q)$o{5Rie#TfhUuAj6JB#$G_o?kV6#PWK*gs~&9z1XT8d z`e)A>7#K>c!LtV-qe1OY&@A{fkT9fa3mUHh8HYM{3<?NPV}zN30o;THse(?1L5GyV zEnd(F4s>7!WHhL0`xIm}q-pyO68@l3Yf#96o3=0x)FU8qSko3{2RCE{8!^KIwxI_+ zE7<(;fA<vdq+m00>lUm6q7CX|h(ge?Ha3MIYr6582vz|ZRmX24Na0IS$f_353=G&e zfe>HA_|SPku$0*o<fMbvhXD<DLn8t-q77n#k_e(-0+~Dm$svowlMYA%CFy_+#4)%& z1u{~<2Qs*hI(Y`#nFC5X_dyW}Nje~-LGcSpI`=@rkfZ~eLIgSUIAnSe#O!VX-vQj+ z10K@{CmoQgZpdUPd?+3<Aqkpw1Q`uVI(I=vLy}JMV{q05jo*Vp4xDsg9Ox_oNF0`Q zKz49LT!EO}0vX-i19lH2MS!M4QBnk01w<Rv#Sn#{NeFBTLDt|m5v&3-&5GYdkV0_M z0mUV_NMuz2_fc9@AiXT;dWP;DVBv0<FoQ?)0f~L!77nOU@6mY(l+{72K|tLi&=3j} zV)a&w3TRUhf6INO24i;*WMKugPt-jHYM+ot^C1b3&hs9f*LH!8$F(31)4{N=64)CE z2SbEG4i?x4Zj2J+VDQGFmT<fdh8PTTumIG-VB@LiU=DEK1lp6sAHui571w#BhACzU zBOJ^Dbuf|L5^&r@Cqm)jjvm4SV0U(&!<!Ipf}I&igfopiI?og6Fv6GeK$iA^#{w=e zFn~JAJt`mu*eRfX0L14lkR-<nTbl!^4k3N(ZVwiur8N*4&@%8&$cz=p*C0c>J$PVK z{#zhZS*Ji!aP>T#=Qu%w?gu)jsDO3^xpcIsoCf*1wGG@b1zj7$1S+Axi!?xMq(B^y z5n$6fKrE<X+Mt1J&}FJ1F$`I24*p4p8Xht7Z#&h!MFphHdJA|Z7EJh4^G^o;sfU{X zF*xu~J?_Bq3!=EQ2eLA&2dqcC2dt+P!s~{}bwUJ>x2S+_ghg?m2Z{qdKrWO3FPMV` zBC@=-2Z|d(3Q2V%NDsn|ARdMrL01dI+=!I(A!|!P;Q~&U;FN%?Q+Em!K`mmCrZ}uU z4GC1tZXM1Z-OHT2NYyGvd-^2U5*JXT_SY|b3PGF7_*>3^6gJ^){T>IK7y@eja-p?; zK|?yQC9G3aj)4`P1}W_L1Fq0O;R0Iw3XNkZ6BNEsCMZ-v%$G}{D~TaNi{42%3O1SH z|Ns9l4?|kPumQxEdUwG#!T88yh{DJLg_0X7SSbh1HQmSy&_K;cQ0{@r!IrauSEqr8 zBOwhiXnT$myZ{ZR82d^&&~7~bR?t)^WH=e78fG-4>C@Sx0@^nRYQKQiP4l;cCX>)K zAUhP)yhd>-bU7Q?p`aEs=%|0tGKMMORyLYLv96Tc2a1|jad4u>W;EQPpnZ5Chi(UJ zU;}Fa4GBZ1P2nr$Ks((!dQ{efl(m3*ULZv{`UH4Z%B=(GZv|yJaCZQ-8WPm-0=4PZ zf~7zK1|IDOHETgR8?{RSN>I?|Ft}X@QU#q1BhV!PZOkjJ2f6y?k6Ylx3G0HrG=(&t z;a#?1NMeTb-$3{<^~hbeUl1u+hvKC(#3&daxr3nr89N8L7*_`a<U2^?545HWrSV5* z2Lq3ZAcr6p1%g}*u^OYx0#f+$IK-o{4#i7eNEpKS$Q_JNH<1cBc;6J%g*XmboCA$k zP=g-C1f@@qEOOrzB!?_c^$rGTqa-NZF9$XEF*_K`K*F@?U@Qe04e4O$-vlQ_Sf64z zcQAVQfSVk!h1lTM8fZ5rcmXzu0j{G#tu>UM2fTg&-^vH=fIwPn3ectpL<ZVgQ-KPC z41u)PK+3wesDLCm!L2p8dgRs`Xd5V~wKf@C2<3rWsK+78*g;7YH1q;(xPek7*mQ7X z2Wl9&wFbHn9;+;BYYn8#dJDK6M!2<B0-9~=Q32`EhPTf^JkTO1Ch%c6pl0Op78TG1 z^&qFhT>xpV!5j!~t#P1)4zdT(T5BMMq`DEL2jNB#55tW-(4`|FH-eiKDBVr|@B{ps zEh?Z3;6VyNfeb1VK+Q~aF>rGobWweG57^_KJ>dJyyL(hXf}K4ocOc;gvaov!L~aXM zkn>b`kIEk=1_n@59n?1NoT38SJqua~-wlrZMc_IRv<Ms;<Dg+QD6_i-5)}|vcDAU1 zZc~Q}T0;c+Cmn2f$jHC#VD}WTto0P|O_DI-i_Je6_@^Fh{sn57A9LXN2vH2076&;Q zVxo2nSP#Pd98gq41dq3<JVCey6wKN!DnB6dpeydXTU0=T)(}CMwIJ7kWJz@mNDsm_ zAYONi3aV?~KwSf$nb-pMH&WXfvg{Vuyywf#E8tQPl&V3EPH0+&GC_WUGC`3EV!qt_ z7pwsk_8>kqM4(KN8=*{)%RtPRiI7?uR2hN?Y@S1Ggz=GEr)RDpRbGhoSdfMuv<unY z5CL5W1FE(_DFvFYLB#}=2};2rP0(dlAce^513~+vLDf$)ILZBmF8}~*0i}4*KnlnV zuttyoXhk6A`atlW%^J`qy=wlRi(q5GttU{E5>y(1(k|3R=&K_U8yG@Vs`y($TmHdq zGEmDH(kAm!spM}3?YsvY32GrgjRZ9jKupkyL!fqP4|ot5+#my~LNv%gjaTp(El3B_ zl0DE)(2CM{aBJ+~WpHeQTI-<5frcT7`O+8?Z!oEs$`C%tb6|PU-aXJo56qBFrclp< zrMw}nEs%4#TfobY5z7?8j)81Gfi!tAT3cWh5N*)5H$)-GKPb&Is6vo6&{Yi(g%~D+ zRX~Q&@tX)z`0_cVwFPQ<fqnDs5;%-td}x~(EOq-5Qi5eQP)3;v05#7*5e03Yfta8~ z1xjV$q7`EwScyt`X$@Le1-==+tTYdt5<p|RAft{$R#AhPh=wG{N^nX5se%s6!*`4z z)?<Sjc%W1UN(rT<kmgW1#FrowKwf)2<v3&@7sP@30K|M*35i>f1>6uPAXa^Y%mtnO z2wD#Z9;yd5oKd0-tOBA9|1c_4A;=p1CW2K!nzr~&1StfMw?aq6!M?e55gfO$Mj-OQ z^8Sm+aSL0u4Js0jLxy)jL60=>4T@WkEGTYavpk^TWn^)9OBy5r=>|e)c|fDV$6HiD z4JN3$phgpj**yiak!KHNM-K8(y^l%(sPxI_Zw&?4o86F+Y*5r5?C?>^<8SqaiGvI# za>F3VV#uOg7nO_-AC+|eRz);ppzCcxDmx*|ZlQ~9A#2K@(x64S5CIVDc#FyjXl-@8 zMdb{X3DpN*q>EfIrt!Bjf`{&)OM7AdO670;33kwN$kKdJC>@6^)(0`WA=?SMd%*UA zvjcS5K4?@QbV4+!1p-<Y1zo%kqCjSYvO@}g>khEluNhvdTmUByP|$%B%T`Fi0OKET z0XJ;HQnQf9YT&B^L9M9cE#MXq$YIA@z^xz<6O<@GvY^C*xi+mtB^g!_z!bq2rG=;@ zK??#<AcKrL4v7E|vm26tx_iJ@g3Er8s&0rwyCE$zurMe>kU|yY^F(Mt5CZY#4JJsr z4lW2_9H<Y#UWOI~APcx5PUwU#xQ6gRt6D(y(-d$hp_BqhwnN?8Jp~*z7^=X_(V!^@ zY%3?kb)b|4;zLssi23pzWbZa8uDKzp0kNM06vg<bW56mP+Mp>1Qkj4fDvGn93PIN3 zHxaA?l0xyD2vYd64|UEPwl@OQg*e`#vICl3j<=}nfigi!2qfE$HvZ+K5(6p}qDyNb zRS8%Ps1XCYe;jf;L}!l*=un349u<%nGlbXK1GWXp2BbzM$bO_oC1kw|&PJugIdH}S zX#zC?p+<t3uUA7?$%11n@hn&!Xb}&HkG$B^?JRQX3toiM37=yJwH-m}9olvTF+rh$ zuV#&cWhj^;M23olW++fg2V@kq5d>nQF7X5xB_LIxNj6Z@hHcWow!||;B?6kE9-RUE z669!*FToiK#)0|(Bo3=tK^EX!-w8>Upyj+M$r99ZM9j~F%!eq%XbeFWf~<kA7=<Xr zFcGYx1w8SNzj*~x2(DQ{JG?>dLuj)Q6oSxZA&3czB5=nOwFwV8_5f6G?gAZt10IMl zyae9~4k`YiO@CH!vg_UgnXl|Tj$=Lm*&fg$9=xl8LR7-}TR`g#v7LSZc5UM^(E1bb zdLF!oqJR|>v%D)rC5*oXG|P#{O2TWqkbDWw9Rz$C%HPrl?xEmZ@B~_pgWs1g?M@+O z%I*eGxWL!tgs6n@w|oLE%GrWk7j`#*yn>`En7_pyG-<(zyv`VHF;Iv~P|1XsL68my zw$h>Y6gW%4q+aGi_@Gn|&Pv-*`N+9!HnJaB(Y7yxQZgv1A{7Cka0X2Qf@*45vksJn zkj3H6I*<fPvkqh+_T11t1(LJ&fY-C2EZ*@^@d1_K-le4&eL^p2Sq18-KphI|1%a3p z^#?tnW!00D;D7~XVo*SW%PJTLnw3G~u(Ar|j3L;=gD%*C_2^!@LwpV6BlqxhP9i0F zc*71<i9q{DprD8Lk3dXNvH;0~k_)zmod<s_Xq7XhYKAF9)J5+6t(xHGCt?{5Xw3>_ zqlb%%Lq~{;JyLTV)LR3cVgRa)TEM3bbVA#Dkd|Qc1JF6Epav_n4G0kcwcD|`OA#$d zkQ(G2j3Fv^{H>r<Gr(yQBnxUXgSr{E{H>tb8F23u)EWc@18Sob6tLZxjZ#qWkU*o< zhQC!DJRba-;ib+AaM}d<8Jq@hLYjgwJ~R@*QlKM;KrsO=lVOc1P&I>l8U(5sHoOF> zdZ3j}?;h}asa>G97r2fB4VHsiR?yBQ)X$&}28ao=8)R8`0Qk5tkiu>c7S2<S{8JBf zhNxJ8&ZaWwZ$%6}ffd6VX&~KTEg%7;h8k#Z1QP=TXlv?x&~ae~pi)AgzaMGn3Dk`Q zIRL3&is%l3T!$K^dPqZ0AX!+H>LLw2fl?3DNKmYUn25v)vJc!W0;xi*@d9^mK|L&x z4rtN?nGNb^=s<^_sv!f3*t*`(p(mIWZ0HGO88~{OLr*Y1)U#kI=+G0$Ioyz}fXE+U z$KYKK237&l*4+c{c0<}mApfAWwx9|@*5Ds?0IPsxM*Jp%6vBp{VBM{ks~~FzV0`56 zSN|XIZXi&G!`1x)XS*rj0|8JRPeS*r{m=jZh6iBBrh(Q7K)Z#Y75v}~(|8C}!TWUg zs6>E{W$L`{(RmL0*>Nu?9sy@yP^}G0MbPFxi1||IC|n8@jZi5N^QGWXkP{#+LdeN) zpcW&<?qh@&vcLR^uoGk&vcXGG?R+@}!iP5^?xOOM8xe<&BG(Gw75&gPTDS+KVT}lE zwSqRRcLGy{s1dZFH9n{o1_c$g76vg9H9n}B0nUaXRfrK_aB~Ed4M9Vvpt%EP(8@r_ z8G@S78b1!=OOOem00P(eFb=dC1QLhU_#g|oAxoPPdkewF;~moltAJ?3zw#EU5M&Mh zP6t>;3wZ1szlk7);NA(&mM@|01uqkDCn9c0@PX1aIGBDzl)@8{3q&5qM@~eVD2Ye| zyvG5un2Wqbq=7pTsnaMCsX-IbQHZZ$>1G%wB2e87E_=Egi0O8zVn0?7Y(nEPBD!1? z4<nT{7~Lcl(6M^RBPw?e<19xHqM8J26G7Ub&>l8w&m6iB6;#wf(=CVz3IUKNtdna# zDhi-Ri9B-K2CNv=lp%6jZ7!&7BLOl-oWB>TZ39YOAO}E8B@h!)0)mD)P}??QNNpRC zEU5hfYOabRwQWFU1IQfILKT#mp=Ankp$Zxx0nMs|kKY2#8ADp#pb`sYHmGeQ0&Uyu zIRuUdY`GrVwt-2(+BP81feR35+XlvmdKN4NZQFnxjjIR-IUUku0l5~X)(5#0S_eQq z2T=&BB(Nz2S%ZIo2&{tWA{aES21+~NwBOx8c%xQ`iZI^X0~&rHFklgN5GD73bRZ2y z2!V1B^h`>R#y6m?m>!*b!1soEbZ&v{OzhqRruPZLwkLwNGlKUlcJE&SJ&*DR1L%Ol zJt|-tb{-{YO&{z$N{|HXJW9|GPY>ktD7S%Up+P-qNT(2F_^}3tD(HEXATHuOO0boU zM?g*mpGOIjg(wD}M+xG3upZ!r%tM0KVIOZ%0d2H|CUVgAVIU?b9ze3-UTX70M#$lS zppL~<(3v-&^@gAca?paqQd@|#V9LQ`AZY4Q)^qWKJAxofL5UW$K7$c_;4#Q<#Nij9 zHD3I!pl;7=(4ukp0g)hm`#{?Sz`ZQU>D3@Hc(6Afw-97t01y3v`e5+YOR&XFpfO00 z1_Fi@AR7WY2p()7C|tl{*xm3!8xn}1xI+?l5QGSWf&+Khf;2(PN036~#Rrh}E?ZQf z>s`RR)<NcjwSY=V(Ass709YeP0JJI@G;75GKF$-w14Yz)P(-1uWauvd8wL)3&~92# zL?3Ta0bNrDVxq?cVy1113g{37{#FOD{_YkPP*j16G|<Y>MsV^pg-L-71|5tAa!iW~ zXh|;8!K0vsx1htYK$26y7Q^NdYdU;D$GLN&83WpB)D3ZBXNwBxLZR*!6_8*vc;EuW z>V}9z1dvp8_kh*IQWSU@#U=2SPT++NpzaYU6yezoaWDmFWkt)RKmY$j5;n35*r^mH zphexidsINa<qtoAo|Ztu*b}6SN&zGx_3i=ZJ1m+(i4#dPQbKf50bRZbDoa|xg^mZU zQlXDZ3aENb=5O@|4>o}Uij4FJn`z?jmj@d|dddq?iQ;bs?P3KFDj|}ck4hwe>nreh zb$1Im7RX3*Ass#{!ThbD)!1O;L9qr})dfnOR7!I}{H^Wa8S2*zFFPUQ8KCF|6?~wT zRE&@*)R#{oBULb|mscTtkb^)<UrInmx?y~1rUgs=g$}0UFO@1#OC``QUQm`l-l789 z>kMMTqZo34J-Bc|I=~(>;EddAgQo}3^)VpbU@f5X2()UwyGI2i-aSPH!~>N_;Kfib zpk(EYvKR`S9T*rucQ}AH?t<LX-J$|=A!xxM0|NtUtIY|i)dq4qq!{v1aYSmhfr<r? zIiR&?AQ}>(pwR2?Q32Tpp5g|nf|f0yTL?jSeSo^c&>{pxfy@TA+8m&*HZe%FfZPTO zduZr^n6SlAFezB84P+Tu9@=Vy@sGEtfIJJ9g0|W~&f$iXWr#!waz%F!IDvOV3QUxi z7+4yj2kNu#DPV&!RJ{bvsTdxBp8gLSje#VXDUgDs@lZf60|V&zIEKz+9-tA(&O?r% z=~>X>{$&gd3_jf<Di%JSAu1flT~q`D7#J8ffUeYHV0fv5J6TWp32x=WlXVM(4~ht| z&(EUrk(2c%=yr98D{)n}6envFP<k|`I9cm}jM1hfS!>}))|xa-)*8@cefkGDnqX;n zI45fbEXi5{YqGxi{r`Vx(;rNEG`<0qWT5sxsPzkK|ASh!po$tq?-N39|ARz4y7wDY zf!cz5R6xx~kU}sGY5%*Zq{ABjAW>N3A8a(D@$aLO#@~7kT+M+>Sdg*D8aiMte-IbZ z@&{Yicm(7~aLXSg3sDSi`GdF~@RR647Z8C~&_hc{(AGyN6J!ra7S!?wH|0TF4#EAG z78RH{d^!`fEEQ!s6Lb+(_Y@T{wMPXc{r!6DFVJz#ojxj%>ncj!!R;>|==3h!$H?uk zIQ|xpJf!`FtOC~ldIzobAig>QzK*s<1+*#oc#8_C$q(|)@fH=(`dAPX<SURYvadjL z$l`Ecfh16T1v0RE3fNrGeU+d(3E`^<P~H#cZ=DF9h6432K)%NvxL_xDH#8W5(nbq3 zZEOM4yFjHPsES}PyaejIK*GC41+0bD0(6E<_ZCPjKo>WF6@xqhR)T6OZYO|J9OkN^ z0FX!g`CFyHZE%pGV09dz>uaFPf_zj8x_wkin0-_VK$}$|wKgc0VPOn)2JD1ZkO9~u zq`L>4I^eb-H8ngy?r`UCT?;<F33N{Z=+Yw>l^oFeB9MvQjGZnj8Qm@_Im|998J&;_ zM~ZAnrw|lrAmhPKVtoJ#JRE^`!w@+tpuyeU06Y2;6bl5y5@ZudA)+Veqv8Pah&_Mn zfACgykP5Kt!2t^5fz^X}cmfqi=(T`D4-zY|xnGNp5EXO&)-_<;kb<on+;=8D$Rxna z=pl<}(9;?0s7a8A2!;)0FaVOHI(<~2vy!cHV26SN0%S@zC?HB8KI+7q&LGhX%48tD zkmwCSck640motC=|8IB+uBN*|0G#DqR4U+N&^%S5QsF^b+JGf+P!tmMKgc?eLgcie z0ZJR{{H>1Q-T_DjA`n14uzFCC;7J?U0%9Q2Ic!81bbKhJ$R^z{B&2hRjt~`b{#ILX zmvRuNa{*8k^YgcY2FcKag=*>ir6{EN4ayFn^^?$=9K?Ki2e(u&q$dL^BSA``g(ryl z61r*vn}J!7ZYVaX|B$9UHUo2ift#1ubjke&OJUQM1(Cw0>-X>f|0j4fzp?P>tWh!W z=qypu@aV2l(by-9XoJ;&#P;t1H^6FC6hQI{9<Zhte+efjLR?f7Anh&}6$7LZS05EV z{?^^lMwg3<#<2#0YVcUAi;9LvFE0<MG1S=sHoEzU#bJ+bxEcm9+k^E2c&g4tMZyEr zSprq+5Q**v4p21&T8ZbQBH_`^2|`#eV&g3-1ug#b==M?3@Mt{90M-@)(qrV)?V|!Z z)CBt+`fG-lTHuxy%umS8Djxn8kR-(K5HEK-IKbLe{7`)w9^DNf3y}uGxl5M6%=?eh zAogf{0~%=rwTD5YdZ6|&sObr6=7Q*bB8a$X0gnNAbT>FuqgJ$_Vghs+>=e+nOL&PG z$YGEc5jb8NkAQ4A3=sueg4{9=<8Pe`FFZk39&4BZi6^Liy}X=|r~+C0dOfIAMJNNe zi9uXgY(ZMLpv(P0Z5c?*26PWChzHUNDv*&|k03c@ad_(yBmt@GpgT7}?bq%pDqw1l z3P>8MO$=*vfvysPcogC&Sd$hUM4+9uAg{nLhXUUYbPQC!`0=-X0vCiJw}7>FH&lR9 zOD7~Ck%S$f!VsUpq<g^a$!<c&l>4ao^0!_Ax5z+7f}8~%zXlnHT(iNNZ->B&p#ciY z1E4D{L7@o=TTs0X;(@N91JRH%8gL->KmrNr5}yziH~!Whuz}qzU|G=63@FvO^0&6Y z#6d=O_o#r*5`eXdpzGmkkd;6ijNL6@m7S1ILN}xp2^mEOl}FtWafkq@M*vmP-2?7a zAcmFg_*>6`Tg;$t&1;62zR-30@C1vT18w<RK=P27MpgmKgrK|XK=nGf%?4`lg5$LD z-~@1ya^9o!7`Q3+dIl&Kz;cNA2E_!DFf6`7{T^sEfC3sR8bFFcnxHWWQivRr7N7)X z&fh8xPheohpjJr@NGn)9h*#0=qf*lyqEZ1G=R;X}_8VM`g2#P9u>-Xq6hj~;==w@f zykm=SJ^t2nV9jU|uFKyFIx_`4U<)!5Ey6WALR8d|#&khSs2t&H{H>tdgFw;!k_S?c zf|4y#5(hC~azZLgnAFRk5Tzgof#q!=@-RL$AAqG4!6h-Y7-I$38J+O*2~;D30uNf# zgP5Sm07VU`e8RSRNENp51EvVEdPoJj@B@_OK}JDa0w88Lq$dPA)rSFk#ve!(G~Ylw zaNv<tX!Zb6pnM4$GF65y{MZihHOSE*uYnhSz&KDJfW%>|hd>r^LvkJ>)<EWV_kerB zkQr%E`av1t1gn5(gE|+Y5Y#orrVwNeeiOkeAY}r66F~~0tA~)XD<sZ(z#|fH;qJ~G zTA&KhN2LJN9x3Q_QIP@X=1=^BE-D$H_yr&&_>63RjSHXnV=jL3;MY0j0XlaD6eSHF zhCe|fKhpU1PI&OUpZ5R_tH3RQ78Bijp!S-B+6oCCo#(qlRAjn+RAeykYkg@6X(WP@ zDaZz-(&1$oq~QjV0>u$j3dDSA4UvK+-j{W#eB{KO3_Vc^Tv)*qGpOvq-EjiR;!4cY zu*3{ggh<R%G)l~p(8T-`(#VCS-;tG=!Kt<f+LVK*<Oonoj_7nz5ur&+t^s8g<YX+; z?V}=sB^fvVKxv&$0QC<dK>dRNQ2)RK<VufypnDp@&IEHky7!*|H%Mz#96$mN@cw~3 ztbgDDZijZes05(&59Ih;UxVu=P_y3SSc5_hw141%=pTTMZaxxm7&PhPqvCO_5u}O% z)S~zBXgoLrT%Y@>Sil54R3Jrz0Z72WquUaEz94u#d8dnt1lZZ&azX=KPJl=f%LxUL z$soHUKz4W6fb0ebtpcQkkm&YNk-!qPpi`7U9)*Mfa%)DMzXc=-YR$lc2&q4D{~vOc zcr?G!0L6y_C_W@W;VrRG4ADmbb(Xpt0%|~k;G!Y`vQGfsHDE6hfY>1bj*sRe8sJWW z3#eZQzqr*$g^j;;Dm+>wjy0@+MT>+7ye|N<;q`8i@lXZeKAVIG>jLl{tu87YAUO_1 z;e%*uvz8Wtt~mzDOL(w?1F`u4hes#Wxe^|%3gCbRb<esDJvt$`zQ1O8833-%VXj3E zKo<TMkR-%&3g9$`6o8<UuaMe;pe|$ML9iX5GdQ6QLD;3a9?fquK;fGJ3SUUB+$WA4 zz7ZbX4L6|S>jCnF2RwY$Vd3im4c`oC_$Gjz1gg_PZEZFF);MTW&_yNUSc5?=G}%WW z!WU!%Bz&O?z~LL=!3tgs+3BL<aNI=&G=0c$+(jh>RO5i0;s6S7$ZUg;N(fv8+NT0t zg$%k5^%%HA6`~T*4Z<}lAxQlw*ccS(q;Xi!Il`myAjDc96%SD22MHt?gOZpJD9eKh zocYWEoX<cciTO+eX4MMNf#%&cAiKf&OapH|d(H5&9XzYyq5=ta<b)#5-vW|^Bow$b ztkaP54>Ho}q7vc33SKh`O_&y-pp5Wfg}4M<+8`1pcv=ficr?GM0HvP-Q2NOL<<E?L z5{UFuqmtp#y+5HA6nJ1Cfe6@mia4yigruKt7f`8<lrhElTb1AmC*xSd4p_p;K$MqY zqnnRZfX7pyYQSkH12$^~Njso(TtR6k1tslZYxRoqw}R%!Az=nhVUYXpLR3WfTd#tP zif$K`giaR~n{F2s8~8#c7Zr<c5DrmEfvryx=<reD=WpGLtSO+|MJ1)vMI{7OL4Z1n zE-E0Q5C{`2+U=tfg6Jyp@wXNr!T}mB&~gHNNFyk^3cz^|Ir4e=TR<l`LOcSOhDH7g zPz&1yd?^aUOI=W>0?Ik=qLKn`tbtaKfK1NtfL@#FqGE8|MI{0hg2!D{Vn8%V-T;&f zv4td0X&l6IunG_Okt5ut0k1)Efs&s=A=>Su62W<*6A~UN-99QYU>+z)LO`VnsE5E+ zstWExf!DgYfNybOc)bbaE|8-^?gG)T7k~nn+eIY=YFbFQk4i#!h)N1lyB-n+Aeo5n z5S18Inb&hce#<}&ZBVJL0TS2n=ym{?;R+z00=!7%EUANdS>d=t0XTq;yQqMc9YR(; z7@qWiZZ!c_&LFK4pd&v)OS4>5B#t|<fVFhjs7Q34a|E3i0n=vqt@DFNcZf=cN8=$- zuJq{!)qI`TJI{5V^5{GWuI68U`1}9=>*?^U31)aSzwrR&PX|!`v;gH#i+z&F`P0Iq zd;be){xkr|8^GH$@bOLqaQWWt0xJEG@+TjED`>SL#KRWH8XW4N1-k_ze}au}KH>q+ zpHMa6{Aq#6pRf>x-C>TXJ9$e}p{9GVLWVLRwIe9xETEMsEF(lgt4jxrjKIU+0+NK7 z1eb<o1kef>sCg(>bb@PMFae2b36LrYcvN%qw-~{5r36xRgNi>`ydgz5q;Z0XZULm| z7QhkR79Nd<K#l@Mw}1y~bc0-j76zc?X#f%j4bp;>Cp2imMO2563KxG1=x{1XNN6Cr zSp$^tz^Mo&i9%90!p#auZdSnKW>6~!<YomlH@^(~_y7OP2mk*6e?1ADJSTWGz5(r$ z2aN|q?yA|M0$PL&8V?51`=k(Qbq`c#e?c9nI@tpr8U-l?^)%s$wj8!T0wfAaw=Ixs zaF9oV%lKPu;q!<fi;gv%fTZ3Qh%LRm{Gg%J&JM6;jYmL^1h0=kC<c!OgSfDi3>o-1 z-U43f4GK`uS-haq2xJm;SOiyDS<2t~3bGOaNg<-hEa7jx4VLbPnBLu^BErbP02{9? z=m=5C=Wo4?tfUjNz_Ggptg^EOylxh{>Je1cz@<S8c_9KI7E}f3Kt0fcg^Q3IAVWZh z{%iv;g9VKmL3|D0p9UG46@Ypb&DWrKz~$>){?-+6LtzRLzRuxqoe7r4?(2+>5YQn) z6Oom4Le`}td<|Os30<NL@g!(j8FVc&L;$4XI3zTnkp>C}5EH5obRHoi`hvnV{??Nm zpgV)0W`XJ_P-{1pzx5zY9JFE$8j!G<O6UktiRW+KiL3;%ZVzNJ(F>MAJFP*kgoHlm zJbn-ly3iTEj2W>UJ47Xpztx2c>Sj<I2j=Ej{#F~9ICy<#5BPY0n42RyK&M%>njk9y z9f1QHgN0lK&<R<x4PB!R30BZBbvHyDA^=*{4LWiL<VuK}K}$VBJcK^T1ZVR@M$Hra zQxAda?v4-@f6zDz!YB+i9vvYn?xm3Z0ifX`P&({}41+?~lOozuZv3qU+@K)9+_eWz z)Q!h7ctE8K?n{Bdt&gS9W4ys-7N}rBZg{!!w}9k9r7Tj>gVaN7hfGp}G7QMAFV!L2 zabbLD1_Dd*fL4rmLkgwtjxWsM(?LPwlZb5i0(1Z<bl4Ll3L0AU=w<+|1Om^u^ne$A zbe=l+Oa9<1X~v5Of3hBY$lTcjJ|gpY3wR|bC>D;lsPKU7gqBuNy`X&so#(oF47#U4 z%(;H>zx=`X(vF=M92tK&Ha-9?Ky}eP<k)es(?`X@rQ>9Gh>FAISDnx$qR>gGZpew8 z&4*YzuXT$UbVF8oId=YVJorQ2@!$(-$If4_jE5W>A3@B!?$GfQYzW9n*sX4U@WAo= z4VTUXo!5Fp*dBIHflNt3sMbsT^AC3TsMz!OgYLTnkNblbg+h`dh}8}8E<^xi3-)an zprbb#85o)$Fk+-T=*pR8;8p;_I1F_L9U&_E{H=9hb=U(OUrD3K-x>_oidNF-!j{?N zEWAJo3VIb9c*7aEJc85*-96yQ29LvoN*(BmW>AR$YGv#2w{n5)e9iFk)lcw_a8RO~ z2|juM`_JYBjGYHMk9iz?#@zV<bOt}9C;$a3XcIL!>FiMf?IP*?@7Vdnhw)gqoeOm6 zztcrU!=>}Y%<n%PJ5M@lf>Mo&OXtbU&$~lZG&p}j(@pmju=~(VYd+3`X`oBziOvue zg_$nhJ}N4X-+wx4UWA#a05%U4xi9}f2CPA)KPZvEECKa@Ac-H$ht4>Fya3`uGXseE za?U?+0DwY|8&ZuT>Q->r^?+-9$lL*_T1M(gK-5D+7aA83g&<d9QwXxA8?T9A6_5%G zzlk7)FKzyT_PN8i+PkP!fD4T7DUgOv;~`Li2O9CO@W8jpo)fLi%7m=`1tl?1AR(7o zem@|)<G=+f>juzp2I!0}NSO}`U@WB#Xi5NAD?uJMbPrR6Xd%c!hweeq3N;l}or9Q& zHUr2?aQX+Sg0>8h(?3WDQr-fke_2qK^P1u1lkeb_CLj|)z61~5!#K!E1bS#4$O7&b z@IEI*Lj-I*-UtP&fM~-Xp-_b&Yw#x#unK5Xs0Uoa<4ANMh2VK8Xdwdf<x5A1uR+NN z#7ACWul5}|Zehn9fvTM2klh!cpocC62QiT<q;AMaT@QHg2(mbIylx6u0%Z*ZXl?oN z7VzE+kYUj64<Kgu6cvzU_a3k&v}2ASn|z_i93k)a5Q8QcP#ps@1?Nr=aB=~uLgZY~ z#tU$932He(caOn}OHpWY$@~URE})JSDB!@!1;&9o9wZK%wgg##Z|?>q&Ok*BN<o3- z0O<A&h(eGHu_**u1KkY*QHWt8SVaqXha7$rK?-5X1=Lam`{vkJu&-f!&}kwJ&>c#v zzal3W@ZMbL3X|h4;QM@_v2X=MBQ-ogMFA|ifaE~Q1yrkm#No*WB!QA#KwF7GhdM$H z10CWBWp+;i+t$5D1*8crxj<IjL6Zwe9u%#h#seQTxqzCoAcH`Mfr4mAZ3pr%=m198 zEH_A1H>5ob-Bt-sE}&*FwDAfu7gW0NLX*q8FW`U!Emr~sBsjUiI8euf#9_$=WC7>| zO3=FI7VvE(P;<L`z<Y!tkqhbwprisM2SA+*Q3%=)icKNN8vG`LRX|<PqXKd<4iiBN z!O4Z}*7nQ(FUZqDpz(qe;G2*4sDN&40k0ne@w@k^fX2)ANh6OJfJ8jH_y2&77l8Wk zpz#7Q4H<-PQR!!3V1Nx7fFxi;24K@cEqhR;fi|pyj=AG+b%c)^fDAv@5Ks>u^J)Rx zj@VTJwzBaE$f@8_1B7Dmr~!!U0Y4`n(usf?0T%_;p2u5MR)A6fr0QG)Wr8vTL=WhK z1P~9T7L+vtcp&+qvj^N32JN+O2k)~z0Uj9uDTQeS?YINARX}1e?H(XOP>l{9Ug(|z zZrDJV=k$P=2Y?p$)bsaG0viZ!R)bs!T22G*BEyCY>iAph!Ad~pqk0z<%9x@ccj5Lf zL=Qu^2M<UmNG;gAEKu+Is8oR5RnFha29F=O7Lcc4K85K7i6MDZ8&W-Y_JD&Mv<v|n z)S!8^Enq>Ay%2vq0N-7Xw7?zG(Su0B?o|WX4q810H3zf^0?Gs#1d;`L0ON>N*tyG5 z@UVj^1-TgH3rOz*biEg3`#V?+C7yg#GC+Zm&fl*OHU+Yb3Tiu&-$2W#AZBa<M;-D= zK^lK66Ie5785JYsbRSTOn9AS!3%q&~G)e{03`&)-kpk%G#wTPYpz<E1vPA`?va>~H z3WNt*_T3ELjtydUL&PBhAQi`3R5}oD?twBvy-ASv9<V8>TRh|VTmOJ#A8HmP<NBz? z^0$70iGz&n?ok052XixYbmI-O640^oAZuE{Dmz<LLLfZQjp`65f>@xl?;%}7R2860 zi@+fY%M4-st!FtwgAT75Uc#=wg_keLBL|`UEen6cHjpE$fDKkRLt5dWEC&h^Xl#O* zFN+|92r#LaNzjo4)N~1Iyn<37swk)x2wF-HG6+)E%z-jNY9V@HWerFzDDA?_8XpzN zYE)1KimV)zVnHnpkQhu8xP$?<E1|<;+K^4Eojocu7#To&d13ZKG9E|}q#fc~jEwiP z1>$FrGSDJ)&?+=W2GHGQ|6lKe7A8<}P}&1AUur?LY=G{ehGtBVZ(m+Tm3ny;!iR?_ z2c!!L<0A+DTdaW%DQ%zyJ7Uv4q_}N?j!H9t)?tB!h*(VP$KU!3Je3Fv6o~1tXaSpm zWjhq;)?9E2umI#oNaQX-FhM~FYSKW14#WiQjQ}P09u<%})I8<}+m`^kN(`x7aOH0W zUAhFe2$Y>c<{XD)X%G`pT7c{WH?BddKx+aaHi4oY+*$%<eWd&gYAv~xW`W1tUP016 z$R8m0gO&k;Xa)ub*fwf3DUd8|4?M_TFh3eJ)!710ZXiC?-yr5o2gnr!AeV7N+>R)_ z!0v)n50JJFC_$mLp1>*~+PWdh8KMy6HEaq&)_@ihf=UqZr3M%#f>pGrfGNBtf>gY` z0~yc&m2hC+@IitL#y<`z%fM2fVQcF^Ehl(`1Cq@lLn0pC7NC9$1NcfOP)7@t;J~>M zk&GdE8gd>5LNSQ-iC+NH83bPh1r-6UqGI^OA9)J22$6sKLH=zQJEy2LK(c)c$X$@c zS%F~IK$)QXIYH@Uiwa2H_iKuunjbNCTx`A6;iKY=bV&h77FOyzAze}cG7>SY4(dLE zC&c)-9YhShgL*dIQ&d1!!Y1!+I($^DkxoU08j}EWN()$JXA5|)yt@TFj}ECBpwgf@ zbcg_m1v=pn>KV{Yb5JH!ALzVvaD9PX16%R8f)4Eix3EAa!2D&&-wHbT3Y^nGl@KU< zfo`Nj7zv7T(6Nb((ABpfRo#%-@9t5F0Z$ttDlAaV1~MCz(=7N~)4^5uYlfEwkVT6i zKZA^Z3B9BM#s?kT1ua5f!Y(O*rjHlk_E8JCO9DD^4x}9twIxs{C^3P1PZ;eV*v9&w z@KO$}4px=G8$U=@NcR-*IR~Id>O9a1U(ikUeMl#KWkBr(9mfS_f-cSh#bb{O$Wf?; z9P*}mkSr*8K#d>dP4%Eyh6X(-c0f$fp<xgsLH2=5Gmt9Kv564j99YnUbaX?K4#;d! z(8D&>Uxw5%p!FUgmx7Mzf(0}5gfEyB?5qfoWgw+5p=U+F_)yP+rJ!d;fSkh(v9}YF zWTCDA9f=5XBP9K!lvYS?1>JE2Q3dh|hAQw`5zvGVwlxakmk6i_pa~x=We>Si0hBaB z=R7kqfbN+F@t|!g&`rnif)UhOL$M8%W)TWO=0l2FP};_(5NvP{xIGC`h+!gFMT-iU z>H#k*fhfc<5v1bfeXO-TD5Zi@HaHu=OI7HYga^pmkX+ycWrEZ~^uX$HkXp2+l?tdS zugu@N9GowawSe*js0$AggJ}deuR#5A=l}#Wq<7xgqXM!YbpAAw-Kg#ab=5&fPeT=e zPCbS)yL(hXP6pWnQUrFO2)yGZ19G4=f2$(cfuJjcAdv~0+XJ<1AX+%P9R)g}Q*tff zBVL#xyv`OC(EJRNbs&3Cod%j11|6>qbr0x<A}AAd2N9?a19fG<qel$g0Wxr>iGZ9Y z%-^~YJd*)BTo~ds&^`c=(?I5WbaQq)N<fFFLG2L+1_oxxL_X;LAxQ0H1GNs*X`nUT zpre|h3PAV#K$+b=Dj;njEg(hUP*Z_BjR)j3Zlt;eba*#(PZ7vz5G|bDjtbC;J?Oq7 zX2{-x&K4B|h|^4<*5L@B78Q^JNU;g)@UV1*s4$mGzGi^z@CKDYpwf+rzZG<mE4X3- zxdzl)h6Xi=32BgnBtTw*M9>THS!PH*;g_<X!6RCr)CST7O>rP5>>MLdY=ijF7zZ(5 zLN8wd84ltj+4`~*(y9UZ7Aghu5QquhDFZr$8WO3X%N`*f0yWwoBXl6KncpD?X<)|Y zOHI&<AuRhrWe{UXpuL;j4Gs06DPizZAP@m=JaqV|h?hXu7en@gf_8O+h5}$)C}9CB z#@`CcpAadHV+}VTLq;H@;p>Y*HbB<DLKT45NNK=UmVg#}fmeKC*~%x%-?|c7TY`*) z-pvYfXE&I@Tva8)-#QanM}{bh_psO@%-^~QtOL{#f6V|M(u1ut0xgAe0IiCe3Q9#n z{4JnU@FAWBEkgoJ!@94aW#6EL1l^_v*`<0w5@bDMkJ>`?!9vhR-Ns`OWw__%U#9#A zFK6w=XZTB7)a|IC_5K+9fn^c#57`gQ(ExHGIs1XXf<p}yR2s(`G8({Rg`il1?*|4O z4N5t%OL0J3caJrKl!6!iYj|{bLXHdst>XqsdNdy75Cg3kEK!m0=)`qb4$|S;F5p2t z2GH_B4Ufh{6=I-cnLw+}@l5w2#~&;m^TG-UsCN>Oz2gEZemgrr-g&75%2(a6Y=Atz z!NcG30krrFoVqbm2WUwr!rh?h;A2f7OBj&$Lx+GiHWTNEml@D?(Qu34sg#?)#r!{H zoCV35uv998ltw%n-+(5!K<m@M^ADZSgH*crfJa#O$sy7TM8u<e{|snyfh?zouS~Ot zEq9K9jWL5wM2s=}fUZISwcbIY581ePtl<MBlp$Qi05jN*#v>rNfd`mDTl64`!2`@7 zE~4-V0L54Us0xBC(?u+>w1xGaK!rL)Qv)b*b%NG}cY_Jc^23I|71W(ZxYC1l0kjB- z038|(Y79e~I-nH*ARfs62!#EL7n>h4I&_?CJqfA?t@&H0gKKEGD#ZM*6@P0tSPpb! z5~xt`0k0~6Rb?g}Au7iFt<A_vz$ccpfR%N+sN{6JsAPcpVbG&rAWX1mw~tB=VquUG zf9q**69!tuMS&9!Y`e1qxEO<{A4C2YkUS&=;IW9b!UsI*e#!8FW9LEG%scD|p2lMi z;1K@q(RpsCJOcv*=H40f0uY+!8jo#&>BMnk&`Vcv83?f#)P@G-%I;19ur?pib|lEe zfJfs&up_!lR0439Auqo|Dqql!IZ!j>WjQJzbm%>7qAvy-T#z&bt5E`uyQoxv62Ng6 zl^PHYiehj=giP-FsMNqkpwoIGDm9Q+5_H!_#c>xE@Jj0AE-ED;BS0I5yK7Wx&?fO9 z-M-S;*9_e*DmAb&ORF>tywp4cr1Q9oN)CvIr6nJg0!7ej^9<0vTcE_{qf!E1a1Kfy zIUplIJu^+{g7cH0-i(V1sQbn6dJV``pfCZs3Piu21xje#E-E?T^ao0KkogiwKLV5y zka{K{X%O~NDFDfbsFYwxW`NE}W?*0d$xi{NW)vl$bBr>&ap=!@=?5-?p@l|wLxei0 z0&`IT6{HwDAv`e8bb|FdKvN{3MDC*E0X}HQ1=5lPE%fU4QE>sS^aAy}!F#u%9fAIj z;3^Ls4GG;oDk+F8CdJ?S5G)BVY`Pmfz)90Z1z*8|={{J?{w&lu7nKNX?ql{*ap;6Z z12nCIq90b%_HF@BXn~sB;8Y9E6F95X*9<QofyUHaz=J)Y4Gf^ZF~dt?(1;>b@Fg24 z10KM*RRWX`31{Ee^Pt5isC^4{F^Kun6J8?`S}!e+TpxkPggv_VD>Q;)e-C&W1!(>g zG$049m0DD$Bh7z;Bw%$DWONz6+P_C-8h9d+8(h?aA`oQwv4)C9aGM6QKoL<rfvv<? zH4Rb>uAV@8kxronjlJPsHVu+RPXayQgU>+oo1pny*ajPrv%soBePhr(I5fHTfXAXh zR|0`@`4lh@nr<QGA;=)`L}@=Lqk?Jx#Ofi?1RE?3Pf=-OU|;}k=zGoZ@(Q%bgNGEN zrPHI*3JR?jkP=Y-fsOS;su5T_V;iJ61!Xc&5rVXY>U9G&I6&er3!s@99BQl*@eB+e z;Eh@>-~}5nPkVG*?BifyU;yv^1jQr+=ukP(pe}e27t{p-DTNqvA`D>&XuJR<1EE2u zW<!R3K|OcyNG?e0C;mvtgg^S3#UKkni3)6P<M9iI@I$mgE6qUp4de`vA3=72Rk0%5 z4st0-20|ljhgd2AStWvQDa1JFhJeQ797af%LJvxYu9@{9+A@$MAkHH`gh73oE#T%g zIE>LkEDjQ4pZIl7K<8_rQ49_hxC2*!57|ZPMI&57Y(OD&5bt)li{YBP8#aKQ(gI%6 z0g6;mk^n^v=wQKKB#(ktStBJ4xJP3kgF0ZNA#nsP7+4>GO~&fU7hoyKDld>*Ko)v* z<46ho;V0Ah^$zk+2X!{{`P+HG^QxeDfOh+OpvxK$b_jt=Jy5q2+{yx($^glW;8;e? zV}N8p_JW036+qbwIxPUrgrMj`i#d=U=<z3@0>`79fqxs)<QsGXzI8TunLo&Ium(`E z1UkqEBmmXc&C&SqKWITu4|qFEcMtfO8vgYVE@rX;`4kcnAVVNd-he&~1DEP<*a4P< z1umox0J#MvMg_oIRl%_a%64$=D0v6sU|5-hh#p9ykL&?2u=>Ow*8^Ue^od{RB&O>T zCg0Ei#SXUgFpzE*>;Y$Hgfk7$orws4_{9M5j0{!`Etx@K3^E2ngF=hr6TcuVkOW|i zxD#Me)Vz{`ZYit;#ho6(2?0GxPXX8X;7S3!ZX4tlP`pD^H^_NleXI*W7ItreR43>s z8bXwu01wi@(h-ct>>H->w;lwanglsQ5v;kp;Q`2kPM9uOnGgVKm3D6dyAtIfMU-p` zX}d!7;tl|i-_RQg@S|ff#yaEpTe)~Z3o@Vvf*M)S91db4A{h}z9U#YI4I4ZO78G%y z*;9(L7PJmT)W8m~HXg*CAPYc+H8`vrk4G3YFzmDkH8?@rXF%bC+~lGpG$Dt%V~!kq z@wYO7_tX#{nmaUcXMakZ2stPo(}_;}tqZ~1mxy;_f)<(+p<1!j2H=o~^|Bx-6?Bgs zDC|Kg0F=A;fvP7&E(C4P0Trm&cY}aZ9Y_|GpiM#caef5f@aUsr1G?eS8q<GP{H?4o z|AAU$pi~T9pAKR|5(-EHWHi{htTU+VKV}972Fm?s0`i|RrvHriTQk8mJv6{To+9AC z3)J-=J5~Ir1M;6XrvJ3~ThD^q3dH-516;Es)u1o4KBEkP<82AO{0v#D4oaKQzyx)4 zK+KmjP}cZE#&TfwK8(gJ`8D}lbHD>=pd=3|lb{7Xtd|eV8Bk#?JsC)i1xll!<nPnX z06xtIoU9x{TP7jfszI3t)G`GXdLSB<&%m7>kY@-KwIH=1^C2{-UdGzlfwpJ~^gBQm z7`Sl>+IX!1G7{_z`g<Odzp3tha9Dy&1%()-)Bv|}kgGvts|7%X9HO>i1uLdSgXlHG z%Uh5=Dj?T^N=Rt!4PwF_i)eR%QVFO;2GhNetGM<l_@S3rko`r_<cZJ?nv8|!OOW}{ zatFkOj4yyJ1gYsRV1XCZGN6nu&ENVMoF(8Blb}Hf(0~(IIp_{$&;kJHrU7lpl9^7( z3M?dBuy0HR*$Q$nsFIih-Yf?hH|_LMfeeq8N`V&-fl7VQSSF~$B*fpE3w99HNKkf% zUMK@%LgEs#t_vCpte`ZG(l{en4Wc&opjjGspo4Nc$S>gZg$Q&|R1pevkQ(Gb=K%#e zH-GCn@E{dNpo5iD9_SzkV+nK?&|C&HmOy9XZv`z;0Z*)h!WI<LNP!M2E<wWJ;sX)` zpeO_9Jy359sh=$fNgAMX4;)&J$3Zy^Tmm8K24x94c1~UvLYB#b91ZmvXlo;g`O*n` zs3|y|f_lH;6Bi*H(m-o|LBny7^A|zW^q|Qs=(ag%_<-`*%QVoyJH+Q8L!lW1#C#bJ z9fAW#3AyH1Lk$51VK>MSP$q@s?9LwWSRping4_toubq%H7?6sbm#02M_Bl~v#+5IW zn_&#yZv_t!BK!i1IB1}P!}~lH%*g%*@e3vHU<X~L;usbT8uI1<wZ-?SD1hlLDiR>N zdk>gK)Y0I40hZan0y^ZqfE_ebzDEU2!?yhBvmv(pfF$5U-eA2*L*9C93=I6OpiAta zJyH$^hGPv3O|T(vkosO;&=oEoogH8+8;@vkFfc?r#(^hgLC%Dzhg6Cn^{g{eKz?aa znE`ehj7D!yZBfx>V_+!t0*yt#jD-#WgS`)$FGe0|)&V)P1*8PxNMuE@k!H{tH=v>g zQa^(h$UrRzxzK}EK>!p=TT~{1L_3e~oDb_@?r_*B&d_<>@IdE5Q1uB`-FWE06Oa$C z?E;PbfD$}(OaT;>;DQ|NO|Y5Jx*z6MuzuEt`yktTpaB3nSPWqybkqQB0*4=Bj2i4R z&_D}VW(U*+h$z?uCYX~!=O*@m6NX3Q!3-CW5eGRuI(t+)K%VP{OML*FF$F4Y<k5M) z^APkPC1giPfbNKadZPhsLJP!jR*eS`Z$L!xIN|`<2#6ypz(&9wkpMPhiV8#+)e#3k z?F4X$H69djMfjrt)rc8TBOt=4Mu2az02{%&18f55+`$%=46q3>e_Q|?&;u0(`vWxV z0Et*+N4#)HI3fXT1l%7S4?$ruMFk>^>Ih^bB)kwtM4%es05t+4jA{guKLmV0CP4fV z05$>Uj|#8>Jt`1Uus=Y_9pVop6Dp9Bl?RFm8=xjYM8PItPgW}eK#qXKr~}vtcu2ee zn=wTNB8<};3xYr<K)hiAHUZ`hg-4*E>`{S;f*pa?8yuks6AVyHh=7^^5k)ltlA#ZJ zK<-unovr{*9*xHuKm}$uq@eVHW;D;vhmOY`L32tBj>kc1g24w;ErLyP>^$VtD{BcJ zXxgKqzy>N4zWa1u^XZ-f<xNqMU}IqL>AVEWega_TN#D+!;23#%5xNHfY(MJ+kefS? zBg%46X|+W~0F>Q3TU0cl9t6>!_yr(cHU)MDQ2(t(1;P+)fhdPM9y;-Z+*LsoPA9Ni z#{soY0%{$IhFd29vkt-lwZ%Zn;no#6fkFVOKaQ}D;W1bW-FFNi{h;PP)H)7`4G`Zk zKsYTb5Qboj3RpSZx)nIB>)vspkpbFMhAY1Sn(zayC574rDUw0q2eA$kh61p_gv<y) zXIdaTH9&CzYVv^70|RKU7AUwtEe25I0V)dGG0MTf(7i=PgoA+rd8bB?3O}f#YE=Xu zdJj5<fdjU!ut$XtEF}h$0vQZCu!@a=0kr>~4Xkj=LCAiM9}rP6HARJogMk6EU!z5Z zgN=cqqeq1uY}ga<C^YEw77p;@@(=7_!$9ggTU5S4cpyR0il7!15UU#^4iNyU03AI5 z8ux)LW_kl<g07haY3~7>g1mKLiV7RpZWh>yR3JkjeXkxBR<P7hm>*w2i~t!5S<Td< z!T@sr6qWz1pjO9gWQCnADi=WNTU0>mJ6lw)KzJZQh;u=#ZiqNU07*r64_G~768j(6 zhMC|2C-B*{&^41t=>w82!IgOT7D!c1cEbRY*5CyksIdX9Q6UvLOa|64fQW(%E_h1> zxlVRKD#0POI?M!E!vG=*HUWDHz5uCV0I9&?B{-~M01?Jnf(L+Wbw~*gslH*3fHe#t zqIewf18fAO8i7>ba7Vxz1`uIXM<7?I9^h&nVg#gGhZ_NF7(j$kjX<gnKY&eu_ybaX z!~6kj7(hh9{s2`1;Nlylm8IYTs)`_vfK=abN5C2e5MfkDAp0W#sbK)Az~M%~8U_$y zR3ni5;epgJfK=cxf4~|B5K*u{u-2#>kdhUo`i7YRYZyR8!6sl&Ru7OG29OFI?hRPO z03wXj8v?<gu!KYhqymRI0@g5qh=Lt~)f)~-4FgbpiKvEP4FiZMstMpK9n{JPZ_~rl zFaTv@QW^$=;0D1Sl@F|-x)0VcfbgcMykLbl3?6`)h=#$APv9*CpgI7Q++MzcY(#_c zp_L6->LT>ES4d$6tKuNVM+>AkgS3tSQf~{is6ZLuNpF<Rh@cu4H1Ytg%s@xtK+Am4 z5jaq0_Y`nV+`UDG1*3|)&&t41S`8j{14+V){(B(dLht|(sGfn=nV`x6#DvySpc7iy zK}R}v!fPW?Wd+(O4HE|GfL2l<qYrgVQMn5;+7R4J^n(P{4|d4eE3YR)-46;XsQW?8 zmt~M`t{|6iLux#PjbIykR6v0ZI)RIWfdOgNAw)6M0Z`|Ht`}y<qUvQXXjNQ`3aFX` z+sX-X9gGh;4F~Gbm+xTbMS<EwtO}r_0CaB&JGhbY02=I|qk5oBP>P0>@Su%T%?}wn zdsLo4+chw8=xWj_U<t^;D0o5X6cvzxpraF^hJjA2fik-xlHGg2no!o4_Nd%oWncg; z6T1!ya7Yw`>;%OF=yZ^4AYn)pgQ{XsydH;C#~@}mBp|wbRBo_CcV&W9bwlcN(84rW z6ho_R5Ct+C6vbCTMnj@l8*=Ct$PiGVf}<G5fqDca4vS)t9o!ICAX*S0qd|xKfMN~2 z?-<miK#66r3WzqSiy@0p?|`&?`mcDg`Qv}^9&V^YkTv*C1gn6yVIX0E!$gom@a_`$ z0A}wV70?l(pg4zQbL2HqkUF|W1ww;bvyfF5kT$bG53KRrqjCb8K0s!C;uq*xQ2^>Z z2==IaU;{A(U<|<?l>=x>H^7v>fGLGA1bb9=fE($8Eh;Bip{9TskQ(wNXkZtlz@v8x z#LV6;5NC9@Ks)@P@|yv4sW!+}@WQq60O(R}P&W$Hf^ggoic!%1g9EG#3@)Ab!AIV( zGB9+W^XQzSvH`>b9RcmQn+<f|HfSdsD+7aT=Z)hmDxe#<K>@b{Tn4)!F5y1zq9RcQ z>bZ5dsO*4BDjauF(EuG)ecVOG03taDY`jNjjEco^7ZnH4x`X2`Djpz7pYAOxAP4z$ zmZ*SMc}GAc6F_!?Zbr@kt&j!@!Y=%t3LRDi`PG9^hY!^A0=XPS!+T(8eH4riA452( zs=?X=BhcXk^}ImVfoQmO&}sr!Uto0jKG@;3jzEX+0jO>S9n=a*P>>!NG|l4d@M+lN zvJPv9j{_VSuv!pCgR%(3I<&x~MTc)Wq?-Zjh=F>9pfU|qTrLAk^}(b-^$i&vz6Gq{ z4&QvRVKHcif$BHVp`4KJAGl(JRIJV5Lua7UAaRHQh(&sbZywn08dzryWC+ZUbHP%D zFey;Y*WCl|z`;6vGeGX2qB0$<Fd11PqPPZ?&J=g}rh#n`#Ma@1wU!{+64v1Zi;~^p z1M7wlJ%G)GcK9IGGptDq>+nHD!3|R64j;5viWsv6ckjTLnCwJufA@l0a!3Qz;4U0| zcN?TQzT|;n9Jr%KgmK``7@Bbh;M;npK*oa`4}m*eXv&Z}C>m~vmOZ4tf&~MtqXiKK z2Lq_ghqxQLmlT22(E`;CNF&6sjuu21wR?eVL<gvK)ePyYK{}W49ty0Z1rbIy0?8i@ zNF6Om-xB5zSVs#Y3ib!6hJ*M6$pi&|L`Xn7moO7x9W96`*aYN=Mx+FZK#&m-Z$SE% zaBsjmS`cBJ-ta)`XhFJ`Fh{^TS`bmNBd~g-0;!_~>081~fOWJWqNpYi>}Y{954<fv z#0cz3aQ(eUr2|aEI$99k6qN>W?+QEuTLESwI$HiuQ9D{CkjvOWWicrEA$PPQQ3h*a zRSP8Bwm_#)5LF9W#R;!kPQXunp}3<}4r&uaTH(kYtul}>q!kV--$6}p&{?Fg_I(Sa zW7DGo>LY;<=m4pLRuTj{TBRVPA+7Lhkgg2K5KusYTj4Oyz<0DJLR<%`Za}U>?r4>v z^p_(*ML{Q|@qD}m+%JL#7^q_dVuG4PkU|NwqXiSEdPl1O+R@4f1vn&%LGFRos(Bz` zNECxQ3m|7wtD}_*G8z)an@2lZtl;J}wBCkPE3keGD68Y^Xo1(RB*3~vAO@rk0C$PN zJ+aOf6-YG$Dp}!OAfzfB)CEEsg}P7x+O!TSUp*TS+yHZ@fXzU3kRlKrqySL22;4#P z0I^UzNDdesB#<J|8a@ut#oM53iI6);AY(z2C>^A7aPMi33dkaO2MOAH@_<Q#ECNY_ zJ4jGT+#RG;=$Z*gOAKR14Ah+j&B1_HKSBl~Tfno7@cs%)iypk{9}?=9JV0A_Kw~S= z6%!tf#}7m>Fzf^siy+f1STUxH2=tdAgP1MgNlLi=XvIE8e<=ZH2ZohEe+e?=*`flX z;norAFU`Pd9a4V@G|dQ3f}n7K)n||h05@Afo3=s20c1CYv6u<XQjh`^lB7N0iy|PR z;3mWHZ3-tKHHBd%3nb8CO<{;AI2b^c7$hMhw__(DHH9H13A_OaYYIbzQ5%5BMjQau zf6%5dq{#|50@f6U2%{Q-)R5hQ)D(s^Sz*x$YYIa|!TtaxGl)NsOo%{g3PT#KFcV-+ zVTdT$1nf=W0Hmfcq{#~R2COLz5yt6_2BfAiq{#|%1gt3x5d}K}t2Z_vHHBei6C^@m zO<{;AstE*}!l2AUN>doN7{LIgDGcFFQPBXmf5A;*1uzrQ6n41<S*`|gGv1;XYtcta z(Q6QiRP;)aT=as<Kv263M8nHMLPhTdoMj=Qq8Bn(1X+Orw~kQJ%i%!8P!&qi3n}*C z$qqC{+`R=dtV?#$i^WW6(F-YEV79?VAt0jQBszSH-Wf<mFQkBk1p}<;g@}TK0ejJV z0;%YQ6p-)&2Uhe#gi#9|<f8WnQqc=3BH>2Bie88?su4&9=Le*s7g9vR`~fR^A);V^ zU@fyIAQiok0up8dtmuV^f=$3)^mZT>y^taj?hRPc3lYZYjU7luFQkZsIRaMnLPWui z!0L?`NJTHKY=RVHu%Z_tifRJEq8F5T$SHb3TR=QIVWSWb-V_xMaCr+ZdKth>MA3Wl z3eiO`sK~=8`ba5y7a$kCKUhd@^<pgx2^GDdSre4~6CfWzB8Wh%7c}<)Rt%nGf-ZU^ zRP<JmT=YVUJ$SN%7QJ9mvWs4@Zma{qkkSQa8?5Msh=P;o@GW{TAQiok0umMsu%Z_t z3JwPBMK6OVV%QT>K*9?gSkVg+MlEoVi(Uh7gb|P;5^e;n=!FQQ8i7>wYWRXofGkmg z6p=80z=~doDA*rZ%d8VfMK7d)gqZ*<dLg1<6R;P(2at+hND&G52CV3X2;=m|2c)7G zQbfWW0V{eTqF_g0^@ajyO(nER0V|szIU82=LPSwbAXxN*G7mXL?*|r8s|!~2LU>bD zUa%lm+JKpeqSx;{(M2!TqK}lKS0D<h)q8{Fq8DpfNT}%DfU_(l)anJz1A~fQ5KVNe z_XSSta1A&>iamI;gBHDDQL>9(ux_kHFQjyV*#;|mA)??UI(&;>4)E3mNRb68AYs7( zD|#WK;9$UB^jaVly^sPDUf{rrUWhPifrDK1W*`;4kRlRp1gz+V2%{Q-RP-hw6}^xm z66OzB(F+j;`vYs4#Q<KR2nh*D0SPk!R`fzd!6slYdVe4ly^taj?hRPc3lYZY4UG^) z4FV}5VUB<my%15bBd~fS0;%YQl})HcFGLj81cF5`DD#k0^j<(IdLg_iDks3@Ex6Tt z0L(-by_ZiCUG!ot`ba5y8<30M9V8dMpfV7nEF@I)Dma4bBCJbs2()_1S{oCA(>h#5 zFQnLmCp&1-3l=52=mqP>TJ%Cn7np6Zq8B0xPNKuN=ygCUdLacQEEr%#FGLg^4A_g_ z0;HlBQb58B99Yo{5k@U=kc-|0NJTHCh=dyfD|#Wqs74?ay)%%CUPuuM^9QWxg@}Uv zfwj!CKq`761tiP_SkVg+1)G4q=rsUu8-px+fE1B%Z@`LPh%ioXBp?;NkRlT12w2ez z5d}K}t2ZVf6}|AX2{gOJ3TyR3L{Uv3SoDH24>?8e29%-~!keP90$kpLi{1rbCZgz# zI6`#Mi?!$@rRe>DT=dQ$x#-1O77{9YC*Uj#3AK7}K$j(gXrf!aCvaMatLTLkd+=lj zEqcMCWEZ_)-B^oWNa+Hz4Oa9*M8QdP*cH7P>)gNvEo7Y=_5!v7Tt-8R6-Y@6FII>x zU{@fO(U6i9ZUp`U7Q?mR;uPXq<njkY9k|ehsKZ`Z7J$oJh_4`JGu%nA!V)5kv#`7Y zE^Z->Wk}f!OGmK65+VvtN63zar^E|L#Vw>{hM52>EFq$(CJ-zvLCKw*!g2yiVF}?) zQRx7ekl@0y0n9`cmfHJ?E-WGS2HH#!DTO8I=u-HagbI=iOGvHLq5`7fr5B;Xk^$!~ zT0(^-*1Cbv=&S`!>yQddP-7d?mw}x{3M#EY$L=8ZC4tsbgO)yl)PiI|XY0dG&7Y!D z&H~y&8Uw!35=lj~MHc_`gAETEQ8x5~*872sg0A-iF+qnPgLd)uKz8w7MBGa@MWqaE zwlvsmv|YTVU?~BZ6v$vQ7Ihb}fERV=gAKa}yMz>K7}9z@8t&rF1KVv1TTqU?s5=)d zr3I4$t+GQ~)SUrx{}h#UutFJRg;ZYDod&ky6nNSAYla;wwqiM|!{QyNABJ&M2PDbB ziX!AQE+EA}Oh)51<S+t=D7cWqUe+R?ae-F+CxFeEq5=^{Eo+gR=*Y)QK+0u!aXkZS z1Vk9s2&5)D?n4zWfDNGJPz8=RkdQzsu0i{4U<*3nE39B4hrCM(xnx8>*chd71h1I` zukV6a9u6Qokq<C{#4(=J8$j_&xLyFI6v*ifU%(3`_NYXl)C&;a6qNvQWdN=hJitsu zy&$|3ymlDmUQm+)dM*Hn`En)bjC{ySTQL6<Dj!<RfTgbgMy_38r5z}-fzBsGly;yc zzMygmRF;8cQA;~_a6Y&Vy$YZOdH@JRvjrpnBt&TkU2+UsrwcL)x=t6w?1mhz(A@*s z(1}voxq;1|1vb081*`zJ5Y-hd1v-A7CL23lSQr>e{lObMtsx-@@&PE=pgUAS%$Hh_ zU6vqUf%(O#e5fzMQW137_UR9C0H|C9+j;}Cs2s*eUX8pLWl{MCPy@2F1zb$vUX2VX zL@-w)!^Ek+8rgvbvhC9z6djnWk?lajv{{X83o;tA8u=GwNjGe#CwRd*j5AWUeS%tt zuxk%M^#HiMG+=>ly96;HW${VSN=`_#9MmF5T3ZY`F3h9xIAm=x?2H~Eq-Dkj!0U=3 zWw>YKffHcv6!0=v#4=+I7Wh6)1s2#oObHMRb(t}!A%waQ6QmQgk{D%~F=)dis9*z` z0FneRGX|A?pnaH-mBcM7Ad5hf;C-0TmBi3x#w{u!i$IdZEi=9hJKYu3!t3aH!@$tl zqw)vZ^@p@O8^9G0q$v${8fXb@Hv=eSLCs#!P6;*!hR#z5f5{(wCCzy8;7`_r51BiA zR6vKafDUa0IUjOp3TO*EsE`F21Jw&s)OoI(#{jf<9AwV*ga73ZzL$3Fyx_?A!?E!J z=+HP9%|nhI7dv}YctD}uaT2r}orQtn@~h4%;7uHG^QM3;Z9c@(d97Q-pnDJ4D~_E% z91s4GcRct)+OhMOE8`)@#zzoSuRC=71RDZ!7<LCVKX~By{f0~DfzE5aA#6`Nr>I;3 z9XSJ`S}*a>2W?>F29^H(>EIo8pp|S}z^;OB<!^q#4?5Nd6u>Ru6KWs=AS;o!Y%y4a z+Ggi>f=*5br(KYrKoQX0aRd@8XHa5g2Q*fYM}<5fu>u;g0bThC3Qf#dIl%_rNe`+& zKv4m$KR`^7jZnQHMX*=_+1rUXR#@P%0%Cy!6l6TyyeTRm^N?Z%WF!%>0;=h|r>KBb zqd5RGR*pbo1w_GOg&7<xE5XO_Kw<^tDrf}{i3F$s$RdaUh=mj@D{#lkA4sh1L5Y<Q z&{)|36@}2?ys`r1z#bKldMtTm2_#lPRW~Rqpj9=92?|B1UXUVKtbonI8!La985mr^ zc?HA*#|p@JxOr1lK;|LE3dl$zV&xC$w4o^wkDxjLHCCQ?PElC^@-&2k#maAH1_u6q z$bm+XSOK}Jy9K-rtNB5FCxit$>Irnh09XKIB~q*e*g*0Myv+^r6C|&gyaktFGoaxQ z67*ozcnebBqA~$03ZcQV(gAW{j|xaVmRM<l#0sdN35p7&-D4mdp?X1zV6g%=2Q^U8 zO0X~RSOKxXu>vw4Zr&6Xka<Y40y2__SOIlQyQe@rg6aUwSZM%xdWs5&g2l>baIAn% znS>kxwFPzt7RYgsSOKx1$9+HqKvp8f$`4$z;=vjLjsVmH-9Vdupz#5TrG#iur)rBz z0Z0_NqXP;Xh>{7|&B*}ifOnK4Vj<>$MX{T60=qd0DCQJ^_RM#0Q2~o$H3w;!W+$i{ z)p_3VB*L+f-u5x{gE1jp7D%hYgBAJUC`hvvCIjnjLqzfPwvi8x0=0#Zq6F64h6tl} zm63bf$Y(Y|T1yzcZHO?c5lFpl+$R;mdfP~SWl&QLT*slD<At<z71l?F#5%0E4G{&0 z1U%<MN)S-b6A|#pTh&10e_$C%qXj+`Nd4Y6C|*hFZO>!|6-s+lUVv%XLM;eyipm3K z_(H84U?!rsy>#XO|De*5je%h%_%QtMKbsFQb{^<F=5g>DbLR)pz!E5fLweny_AI!m zwMXRws6p`GvGa!y<FRf#7g)osMdb>pOzJ!_^ZQT7&XbOspoZ23u+YiN&%399r8$2= zn_8fz7ifO3dy5K^vCYR>Ix$Rk={(UnMdb`L1H(*^WgsIRzyEa9yy(&iF&HETHW<_v zdU^O8*k7R99+$rkK>P)&wL$&@H&*tjfJ9Mk2bs~?qH+T42^4=F0SiH_2T6mi2btGB z1>B!UGZw>NAd?~fIso$*$VgOwfuz6&gIW_W8^4471*%<f`D+fuU!aN*<N$DWzefcm zis~<r8J#UEd%&JR@z)Np5X4^~X|VMm^FXayaN6IZf@Ca)zd$BK{Ivz<FOZR_{sKvX z4F=W6FYSMT{ROI@aQO>#5(e~uM3BG073LllkSMCZKxTBdsB8dx0>xizz(NpzfuzCK zgKMHG-~$)YjK%O5$YhAWR>1rPG7{BaAStlHpc?JvU!()5y7703Ksf+Zx<F`fg96kZ zhvh8H#y6<9gS$%v)eBMtYf$_F<yqAF9IZjI1QbHxW*&$IZcu=R$3e~ln>RpRqQ&3_ zg*7EzA`9G&Z@gV1P_Y2=K7<Cx3aA}UZkGtE7u1D;#R{ktK<km9#mXFbtbkbHSOJwX zAZLNi8=x-HY;dg9Qqm=QfIC+3c8Nfx56Jrv8XPO2c6c|LT_UJnP!|RkE1)tAf2>S_ z#|nrAjulWD333+LyaDPGO$Nuxe(>B4q(K966-iy94qT1z?hd?NB2bYG@;-zH#|o(Z z-A!hf2&xy<g@MHis079zD?RX70kOcb0xID_&H|e^KwYA4aI7#Q#R|w((CQ4*1A+>G zstt$$h=tVtHn0V?@y_prH&;P^f;4Jzbcw*77I;@?2DD2A7RA~HVa3rU0(V;A=1hQg ziNK=R&B4(nia_ZSt$=okz@k{qA-zikJ+BEm*pCPxaQ~<CIOtw<<XJ|j@^1J+OW-~Z z+CfXmeH-Lsk|6ycjJ^#-7-!!G`EVdeHwe~8gY|77qTrqh*1iq$;Xsgn5X=Nv-v%NI zHUUdw0Ugi)8}5emf*>m^FmL&V6d$1e5O_$O`rRB*ca)rNP6J9e2f~}8QUUJUfF~6T zz)VCpXWtxf^Agkm!qvP4b!ec2te|!vxVeL;o09`7XHdI28DJqu^AaQtZUusxPe|Py zBx5m}mmt?cnwKfC<|W8T)aE5f3T!aAoAaLJZVtG?fTx?20QLk*vpEJV1o0P08f-nN z0fN-cK{6J@U!VpK#9t9Ge}Rld^%qDAY%sW+vzFv;4!Fw2)6EG1djiE@0bn7Bzd+Jp z>%o=f6ctdX1e6SrjK%O5sEGjamk-QeAR|%z1(E_A3^MU$Hp$%_aHWN(o8tlY1d6|0 zz(NpzfuzCKgKHN=HwVdB41a+tS%|+JVEzIbiRv$q6xd);E%j0p>G&rC-5j(*lmVIf zu>pk;xSIoFfg1^+d<v>BK)tW-0qW*hgBuAHb#qQwK^h4|apuPa9xEUgI95QV3@BDW zy|3;8>gE`OV}+t_P63(S98jqW@;-zHH>g299&)=mP`#veb9CUb0%Cz<1yn|YoCP*- zfVw%_;8>xkn<GJHHwRQFfV>Z(!Lb7B@sQiif$Al#o1+4c6%Y#?E1(h{<Sejx1Jun? z2FD6T-JAosVui?=p9|1#4ycC1aXJEyZVtF(1n=gYfOd1hqFB2ptT?(k;Eoa891CbS z2P}%!97?)5klqHY13;~A4)PILknRXZHwPk&vzvo_@Drpv0&^p*n*$LAcT%u+bC3^y zf^}0My<J#02O<hK0ZTUrbQlt>n}gcsumGQ6w+qn`gdbx^qizl;lE~@iNT76cAiOCm z0^q(4xSPWPW+J*d*ZWEB=75_!c)B?(pmGMao5KJWf;2Bd(%@DgsQHA{%|S92qj`zw z=KNuT&gOuOL~UMzq`(G~+06kr81Qs+elUSnTA?(Xzkr1x{sKvZtp_zgkh(cY#$xyj z(are)^B2fSRDXe_zy_1q%>h@rc)B@nz@9+y*9))^#9tt3u=SuSoaEV@Coq43j70Sp zND6E)ncW<4rG=-P^8oA#6o1_T3qkw^k_KB3ssy_c-5exiG2#o+&A9>d7syCde}SaH z29w&&0p$QtZ49BojRbJtzX!aE49oHm&_oTDXLGKALI~W=0kOc11W-N&IScHT0qW*l zW&$nR8IW!cDF1@I523-a0%}{+bvEY=JXSy~aIAnz8IZHUZW*9%&S`M03`jQzRH%Wx z523-a0%}{6+s%RMC9Rut1Rg6O7C2TwWhBU1VDkp3n{yZ(D+AKa0hOH~??Y&Ctbp3o z<aTqQdP(c%?19G$hy{)nPzeum7TCN2>gMbQ#|oXhIpB^6yj#H$LvUdlj&2UPBLX*v zAr4{=SQKmb1Xni)JZ}Rx2iDC2i()m0l5P&9w*l)yP^+7ReB30YJA%>8fe7R5<{%%k z2<eW%+z9LDKt#cv6s+AG<U<zW-4xK8C{|cE2O<hK0ZTUrbnqaon*(WUw1C%A4Zv&; zD3ZwO=4@aBjakALtwDHGR8}y-7p*M-GZEdK4^5<YbHL3VJl&i*pmGMan==C}1ZiG^ zq`|E~Q1c0?n}cL5M)MNU&6xsgUV@B7ZC-+;zy_1q%>g$U@N{z~fIWfIZ0-RILHq@h z23rqmfFN~qkc`Ff7owZf0rMBgNK}7;q`(G~+06l0xp=xcEnrWe_^Sad1o0P08f-nN z3P<YZAQ_9{FT`w44a{F4BT@YYk^&n{W;X|1Y2oSSRDeB!;;$005X4^~X|VO6N)V}= zgJdj*zYyJ=0+_!*Mxy!)Bn38@)NT$a2Y_m02n}u|fZEpNE?R@?1@(nsOFlsP2>+6g z98d^>yEz~hxRC(Lryys6%^RR@PByraFd*F=Q2qsZA3}p;1=O}Cx0?giOWLBf6nLzF zSm0Ozl`<e_fz2DBZcZ{dRtBV-11fz$-iOfOSOK-I$?fJq^^(@jiGjxohy{)nP#Fnw z7TCN2>gGg)V`V_PIiRu=<b4PYjulW#o7`>=R4-}WoDg`dfLP#I0hRC|XMxQdpl(hu zI9BM~%>j2r;N1!bXg3EeinUvTYtb6GBLX+a0@}?1i()qi$81gj%Az$`HwP?=)f`H? zIgs84tP4S{ZVvL%&XDd1MmGl{jI*1Ae4sI;I|6efteXQ71$R=gc5{#qG=_CkP-k-> zqF@uSbaOz5{KC39khVsP3WTQnYz`=r$m!;IpmcK}yeTRU;JyubHpc?YM09gF%Si3! zfSWsbx;ZAGat5`VV*nO{G%rEY;8q~0`GnNXK{6Jjc?oKsK^6<?z?zpJBT<`|AStlH zWOj4F4F){j91XB1P@2suU?GUVK+<6AK@AY3ZVr;M82&<Za};3y0vU<wFOU@2U^2To z;3^kSH%A8S2^4=xfQ2Cb0!f3d2UX!n-5exiG5m$-=7_-j1u_!VUmz*4!DM!Gz?BxB zZjJ!h6Da=T0SiI=1(F6^52^%_x;aS3V)zTu&EbIg3uGj!zd%x8gGue?fN}t+HippP zMgpj9O>Q>_s+Y8G4htxRz}*}W3*1Nm<x`Nez~&85H-{PANEncA4k-VEybqzlu>xva zliSUK>Lsn4^M?_>F`f~6hy<vV0XYk7-T-xTelvpR*aoDV11fz$-iOfOSOK-I$?fJq z^^(@j`2vp>5DT1FKxHJzSzz-9sGIW{94iCT%>k92An!wHaIApZ)Z}(^pn6H`=DdN& z3Wx=c6;KHeau(RU0qW+w2FD7WyE)*F2)uhz0qy31MX`1(aCLLQ9TB)W1<-B|SQNWC zIJ!9=Si3o3QLN@r(#?VNHeg){YISpvuTg+>M=-iM5Mi9%9OTObAl(s|8)4lXh$y&| zg0-82e0cz*djc~7Hk$(x1)G4Sn*%yfAJ)x*v^82(AT-^(IiN@)r<?PD5qUNT!keOU zgAqQPa{<gmbaRw4N$uu<n>%>AIcGrS3~D#$1Xu{tyaY*uTY;eF6H+$^$yki$C8C>i zgb})E4P+#0^AaQlHkizA4!FU9r<-#C><N@+^B%Ae#9tt3u=St@2vRo($yf}3A-Xv` zVEzIbiRv$q6xd)gyE))07f&~53)mAV{@MT*g7^z04YnRsg(G!ykc`Ff7owZ92Ieo2 zk*NLxNr4R}vzr61wD5FuR)9T$;;$uOA&9>~(qQXBl^{|#2gz6re<8X#3t;{N8HwsI zkQCTpQoA{z9000~AvCy=0BT#4+s%RMC9Rt?2NXi!ZVreAZX|&6Dacu1^9HD!GaKAU z7?5rbDF1@I523-a0%}{6+s%RMC9Rt?1s*FP7C2Twr3}bfVDkp3n==_4D+AKa0hK-= z??Y&Ctbp3q<aTqQdP(c%^uS{U!~(|(sEh<T3vAv1b#uDGu`(dt98lQ_@;-zH#|o%T zO>Q>_s+Y8GP76F%KrC>qfJ%6fv%uyJP&cO;94mD0=72jQ@b1Y5Xg3EeinUvTtD6Jv zh``NR0qy31MX{TMqnqP^wVMML#cB>E-5f}71J(hcRyPOv$|6X21f!b+5ysigLB6O5 z(j9@h5!TIth=MyQSi3pM7xh58ComIW-5iK0*aR%y9MI)8ux<{dt<j<aq3Pbu0Ywry z-JA-PZVrSuMWq1Tw*k-QWPq87ZjN;fsoflKa|cg1Ck0f_pmuW-z(SDbB}f|F3IsKu zkh(cY#$q%t5#5{^So0EOBx>^#Bn38@%x(_2!GNcm69M)FO0zixEClfvNE&QCr~!i1 z%|S92!(WJQP5{hbAR|%z1(E_AOlCI+T;<~F=J<d;f#NR@un@#wAZf7mpeh`xn}cL5 zhQAQq92c0sKt`ha3nT?Ln9ObtxYEMY&2a#G0>xi8U?GUVK+<6AL6sm<HwVdB41XcI zITkQ~fs91;7f1?hFsa=fP!0gq#t<6ZNC361$?fJq^^(@jF#&}TxSIoFfg1^+d<t?F z*t`Mi<`{z;2?Ns20p(wi_aQVmRzPiQa=STDy`*(>bl|Z9Vu51?RLX#y1vYPhx;fh5 zSQ(IR4yg12c^^W9V+GW<Cbydd)k|78M+F`$AQm`QKxHJzSzz-9sGFk<j+FuF=77ph zkoO@pI95PyYI3_dP`#veb7bJL0%Cz<1ysU=oCP*-fVw%-;8>w^HwWAifp<?{K)X3$ zQLNnxT-_XSM+9!p186q~EQ;M69NioXtlb>2C{}YQ>E=Ls8?X)lwYoXT7Y9PRBN*Kr zh%nA>4)PUyknRY~jj(PGL=@af!P?D1zG4s3J%O13>*hd2!6sno<{)1z0%>csfX~ex zfW0}ONFt}3BY@J)f$*lNaDe+Z;BF2Bn2G4-g!q%%%>g%e@N{$jFko!e{{a?)G%rEY z;8q~0`GnNXK{6Jjd5P%ed|`mj=75YuZC-+;zy_1q%>g$U@N{!NfIWfIY<>e4g7^z0 z4YnTC072^JAQ_9{FGM#7bRs(VplpzlsQv;;fej|Jn**+L@pN;ZfIWfYFYpB^&~v&$ z(qQXBRX9>N2gz6re<8X#cVO`aG7{BaAStlHWOj4Fl@^|E&J9d|T>%S0;tM1VwjNXo zB6V|+jK%O5qMLI8<}Z+usQv;;fej|Ln*+)LpxPKhgBuB;wl%rk9H?H>x;bY+Aq4K` zfLP#00w|w?oCP*-fVw%S89>XU{(`Sigq(5-auxLWN66(ZPytZphX{aJNXM~~d(s*x z|AM>^p~0~NYFm@r&4KDAt($WM9xEUgI95QV49Hnv^9HD!a~K>e_DHb;aurE;?2voX z8mROEc^^W9V+GW<Cbydd)k|78XAeA9KrC>qfXYaav%uyJP&a2cI93|LcdtV73dmI? z9VkuiNo%086Xbme4UQF1o0{Bi4pc8`-JC7(SOKxXu>vaLLCyl3H$dH-&EQx$48Ft` z5-T8Ak#wLm=_jp$J0kGzi3aqfHLxhwZUwGx4!9!%H%9?_(i&J4yE!<zIR;p}Ibcz& z<{))*UNX9p+E4{oSa=$$Yd|Rlbv%9rSP0TU07--Ead6Et1$=uO=!jV)V=)>Ch=%GC zSOWoMBx(ZzBn37YR71Vg{R#FLs40l+sAJF_C(u$A<N$DykEfx!0PG2r2HhO65X4^~ zX|VO+!Wwb&36ilG{sOrUvTI}p%wHfQQT+vy0vil&sJ@2y3)B?E<uA}3C(v>U<S%fc ziKn4D1?&kFe@y@jLHq@h23rp<?hr=;BN>a~FOcgX{_27G3uGj!zd%x8gTW2emA}C8 z1!@Z7@)zii6KKu``3qd^;AyCKfIWfYuNJTn#9tt3u=U^q194UslCc>60=W+2uLhXE zKt`ha3nT?L7~D`z|NZ~}1Z3dR{Kmthvqr_iqq9WC!lS!J#bTc#0|Nu7Kf(a!dUWqM zXacPstWnVb321n<{^y@^pu<H)yoB>LgGaZEipKv35Qc+Cw}XZU<i=bd6*2x+Q2K#L zSsZKVXkuVs1gW?1=;h^M0GZhVHoEzU$6=4&8Wn?2`~sjpKiE*H1_;}O6>-6`i;4os z5CzE9${e5g1$|T`KJiDos7UMsU6l!TI;iw5Q855H*utanc!d!I!%lgyl`bkAU==St z|ATHLc2R*iy4%44?r?Vg7LX*w;c)5W4xl|b3@`QmBZZ+y^P37#_!WS{F9T#<#y%zF z@XPS%-oFDHei0z~2zdDM!@@5D7JdaN;m22+0&zmdu?B%=XxL>S!VYX~^O1_fpx}V2 z0S8xx2kQxEQ1H5_1c2lMKo=-;bO*3}ztnosfq&{ThmH~z-cl>jZNslyK`I~?BZmP` zNh`#1xD?F)S<rCH@L)C2U|@hu8M~->fXwlLL<++venD`+x~OD$u!1l1Yd*jMy0g>* zq{;#`*22!fz<@aJ5v;)gB#CCM21rZ;*;sJwXLzuJ@6&`DD*;j^0Wy{a#aKu(NchAr zzze$G4{VFTCw>7J6$fyN0j0PQ6@^dyks&GqpZMc0e&UZf1Xh&*GBLx0RYDIGkjKG^ z2b^x8;>cc)0EtB)yU_t8lHtJ$zA+E#Mp#r>>?6|am>~-DI{4B`sIiC;rMA}}=%RTY z8k$hQfkOuB2rMD+iC@P@#i7$jCE>V>N(w0Sq54+fHx_Iw8O9c%8~al6@BjbU@~1L- z{&Z*td9+4_g8VrHOa25Ki<Cb>YGC=3!3AXH;K-lgn`NLOjz~>JCQ{t_6MVT7)L7c( z&l5VJfFw76g0F9Zx{-?c6MTab)L2A_QagW6K=(Ro{se~%)DfiQ&j|d+f^8+kSOs)r zA^EfM4QPzcqjQf6Xh_YYbBoFeP&M7X2Tbo%LF844h)4JS6X5K+M+MYo^5}-rutte{ z2@j~=Y5~js2e(UFAVwoMP25UrAgVx?9cxf%0oSfAU^_i}dHF$3=<EPn)OZBsz{8-f zB|<R+jO)RAKm?QkTU0;|Es!XLX6Qz*Z(U2>LG|rRNcD;2CgfVzg}){3|Ns9Gw}Ar$ z<mzq*2Uz9n0j_+Z4()DWkOEomqEd0ZMdbjfjD_674H`fK@jxYRMYjh_w<w6m39kD) zeN-Gk^}juTE9fvBkX0@!72O=2J}M>M;99cNN2Q<}#0ya=(7fotKj&DdkBS9Ik2!x& z5EBCf$RFJh3%h$%K$d;K*m{D0>Y<Jh6*K-;d$1BvSbze|1LjIdlz@am5eKoVTcEpu z#qbp8r|;KVKRNJEJ?_v^qhiY6`Uq|WSgi+3>q&_5Cj6}r!E#U!GopI<c#8_CD+coI z@fH<O=MKa~cdO!w<_C-&hguJGgs2$vx2^^o*xds539RvI#NWCYCJr*Py9aC>C&-(f zE-E@5Au8JZt+SDpbhfB~`W4*}i#uCXK%JFth+y*rMuap-93lW>K~;43fYl>HQj5RU z0X)9r(Yr@w11Lpyqs2QSM4<s4p$<w8u(UV@oCiP?OrSKm&w+t~0eqWir;mz?OSg}T z3Zy;L>7r5rYM53)xV?M8DG8;?lmTusWqjg~JBZrE-U3z&%7kDSG#)>oht$xP0GkL( zEiYOB{{N2|WuVx5xd~P3<swkEjqobOPZ(|l1qisImH|ppVB28{%|#^vNerex!lOGx zCBdiLMI{0yC`3R(Ap&+OsDT;)3W@*-w|5UXHK7EB2P`NALR36pEk^V}0VNn*fdcJ) zy!r<Z5Kt054oQ6==1X(b0D($@!%7As1u`GZk4NQ0(>z$p1vwa51^5vu<9Le-Xm|i* z*YOq=&_D%<2?{b$Isx?+JXo3^GIsWW<&ecWzF%y9$k;svECDG_9Qe0g>zo2M@OX;~ zXmkK%*zpz>P}dK{?1o5o?*VK2eqHfb^CL!wj%%%#I(<}FK&1+EY3XZ*Ziq`@*^j9- z?={15NZtXNavYMIK+JAP9tVv#GB7YGo<QU)P=4?30o%+85{Bk35Ct+9R6H@38iLbf z`yX)dgWL-Wt=Chajt6m|jt4PcR{jSM1c352H^d1D>p<pq_kfc~^T+?)Q@{m8^QZrc z7n?u+2fGWb0-_D-T!=zY*kDr#vIf72U=@&H!EYi+;Y-o~|Nny;1<+1)52Su;JP69A z9-ZesI*%dxH7~>d{Qo}z+jxK~q7JSBjS=h*XaOar8c6rr0JA@90I7GuJwT-K06zX! z9!5~@0jicPjy0@+)VeMzNaF!uqru|=-7YFnHQ+Y0g$HYa8OT}}&@co@Pj|xyZHRyZ zD9<W@^DMYXkO1dV5DBi&_%$wk;*Yub$%9|#kjH*d5@KLrXz(!n0TTI<#;<q6gWvr) zDDw+|UFOl<U|<0{fE7FdqT$hP4?>1NJobZ<1PFVy{@|Z-u){}%x1{tngW&;>ZXXp3 zkH&)<U~M5F7aI9=`=|(bbe``#)OpZx2dG7HoJEBL7L>0UUf%qNYy5$SzXc=-shTmy zANE1@S$K3efGq6xNNGLEKLwP!xl5M6%tIcYz#5-wFh_ub&BCL5{|)NIr$H;Y6$OeL z`1lUkXr%ZAse#2OIFLK(5}z61h#@&X6TsT&7@r^uNsdo-^!Q9@1?3Bj{HYEbZ^Ds3 z)%aV5kn`sSEb$388Yw<OYGCo1Ap^1&8lRvc8;mxvDt{{|mqHRYDE)RQLGu|nqk0&g z@Yo5;Um)z!dIFNDRrp)%!Ok)~V0hB8^Q1>FxHaq14H~d)Jk|g*qVu~)=QUh84PqT6 zAA#xtP{vi}Z|wjZ3eNGz8crbl^)slk)!6~^>q~xcgBw<qA-C0)_**XjgS6F=q+t#9 z9Z0#97+)%uID$$Na((zx8_7OUkRYWZg_66kmxBH2(cOS1C(qw<```cnFBibHLxKW5 zO2H#@2B4+^dbdWdv>H^Qf{aHEVOjoGQ{)idfgHl%t_?JV*Mb`=NI?i6hn3-PiNzhl zPB15XbT<$a!qOCm@JuB8&_YoP9>T{OK>h>=A8K$&^0$Kek&v`#ajfA0a&WjHCAfKi za8(Nu{4E=B2gg*H^B`t}oj`0B6E7(zKk>bM1hpCBVdN|##^1sV?am^_FD#3Eg6adg z5-mVQOP0UP0aaukjc-7WUC{UdsLcu*9{{!4K#gk<y-x#C_U!?;K0UhkGqi!qp*_$Z zIh2Nt50t~k2S9CINIM1697Y*>FXM0J19$B}tzVEu#~Kpaz_lBsjf)r~09)301msBY z7y&{tc#HtV^<agSj1{14Qh_ZSmGZaVhUONi4c!ehI0+YyCHyUBV27d?j$0t1(RgeE z$P~QgBg~H;tQn%9kc0IfVKnAgMlpZuGgQ~I9^fTTYY~4d=t7s*4Dh+0UdRXzxa9`w zX!@vRfGP<F!;_$EC_&NW(G70E`aoN}u*mmlJRXn>3Zg0CsNM&zH9Bil5<0(kp7ZDo zQHkh0<pFAZf$m*A&Z1HV%4OZ4-eISU3deC56@dWI=z)uh1WYCZ)Cg2K?xLar>MU=7 z%z}Z6x0hGIg%nasK~Co3{4F4PP~JvW0ZZxIpt`}Sfw+hbD{;cik|abbbb1S(m_W$@ zsZIzjx$}A<JmR|>(4<57Tb}&=|NrH(zmN(J$y=}*G?>42GXtbG53%G}Lj+nDfzI)P zvdGID;9?C~B_e+i7a~FYEuh3eS*RF-%N!){Aje}Me~Uh}xI$F{i$_6lV*ug_4~#$y zD4F*%;s5{tSW`A=+`vc01D>+M0~+{~b%;s@JWV^m(zFHkH0=ONxmeS*1xN-xO}|wC z3z@|9Xntb>n!hvv&0j+1clT)`%2e>2@BRtk0<{J-?+PMdMJas#R{>Ivx_~-_Nc}xA z<o2Y-v4#(j<N<0R!utncqnnRdfafrwYQR;O2BOr2O&(yDL!!v-Nez$gh6GSy*UjJo z8C}HQo)p2|p49MYJQe{m0&jT<%ID~Q73Obkhn9#gDjLTcULgAw+MWdY72cjS0M|Fj zsZR*GJqeeFB|TVsl2~5~VsGsb>qB^Z5@a7JNRY}70a$AXiyS{%dlDKH&?qG?g7~nv zMu>CzODAw1g~bnY0Q2&<#KGH77y;}IE*U_Hv%3M!!#uFo$b<=m+9O(syaO*L6xu;m zBdFd1S3a!=AY%vSCBl%DlmN~?&^81}2&wRam9}R5t#6^}3u5lEhKhF71_>vqdh6@} z+4g!ptZ0WQLoRA7<RPw!z&^SL)9BIN-~d$_0P1Q5fJUJ~gG#$VwJEq50~IVD$038z zpi!0MEh?Z@G$1CZofFX=zyhK@x;<DpPdV~WJ<u7VV&Kx@qN2~=8VB`^i%JA|>cIt~ z5;P0p(Cwq*(jB7W0GfN43z~b-0F4r=^Y?=G%z|p;<B*mK$fDydDxftlAZ9njCEYz> zSA4&SJj$=e-zpBau^Zw>Sg@+{xAMcpL6u8)57;=^D8E8Sh>ARaD?751P8XGoZiua& zE-E?QE-D$Iv3!uIi%JfJ2^Q`4QOQA!=F9Q7u7fnxE_w8V%YD$YWpIO_@nC@jC{94* zao~V`&G7Oow8a2!^g`!=;Qb<5{uYotT2eupCAtn776#3ofEq>6hygKQ9)OHH!=zq< zdKA#`1j~Ph$b$j{#D|72i23pcIEO%zpGS8CJRxC8G9JfWR4PD`a@<9w21J9RH=^4E znjivLAcM!9J}MHRxE1Gb1&zyrJOoP+HXt1y;Iv`U?W1DT9in0ZN)X`5C;^Zre*T{M z;0DNRhT|?O1t43FyQq|a=x)%AmXAseY9in(t$)qX?V?fxi+$eG3b5V`ke=f%Dmfs! z8<M!XeN+k*Pas8hw~tB*CrAJkxj7&sKqGEErCy*E`H~wHY%VIGBL_gPsR0LA>i_@$ zK>-2gL_j#8!w48&cY$0C5(c>#M87Nr4K%x`WN^Ev<bZ7jrL7)t!xl1T3`*umW5yt9 z5cW|i0Lh1_lwe3^bmP^Z0ZO_cZ(`G*@lq3g>==7meHjRCNkCSWc{IKO&&6~?W|2Vs zwH=^xse6wKh~B4-C?6pr9^Ly_fXl}{;K?&k+a6TPzz3D{Vf{6bD7c`6&gOyZPf#>~ zhI8`xTTg>CDJU(1EIQU8&;czTL0m+C4QyHC5s)Ln{WXv*L@~IK1aV<44@h|eG7*$p zu=Uz<`CBKUSnI+10-Tj0BlO2x!1H#XRCT;X1+-Eb!~_`#@(jp8*hC9R4p|&N(E^fy z<Q3>d3uxX8$1LX*ux;IYz&4>xv}AyCOgevS8Q8hq5ZAy$FO9!74<-)k$fC`4CUk_T z#PheNAuH*G6a$F4PSBJ_H$)Ir20~cfkZB-@0FnymBn_f;jN@<J0WKZEnMoX4(12#N z!O@Idi^uY}faF1C1!M{urUKSd`Ux#M!BN@WzyXR70!_jg?42#J35~}XKqlaA5yCrL zU>)5JARR~zrf5)S3mR$Q%svHFT0o|;Kz!tByGp3v!6v~w<e&tId)f{p3rbCpu{lN1 z9GyeQxz>}QIFEv@bbu*B%%w*{=h#3Q8<a4i^Ijk(VvY?|0fFbTK&qh8-3^%;1kbTS zV--Y!k`t&*jDXIu@k4wGG6CdE@EjYA1D$vTiNogDKo)RA5)2}Bfy~7}BL-Fh(bnAq zo`(dLXOJmMq#_Zj0-7%I&f0+-0!_;JO#~?f&#|E;Mo>V2N~LiAR?yK65Lr-!9&2Dg zE0v&KWKgN}GWGZW|Aq%VdLd)s-BZ9N=CLM_76uO}*Q0w<h8C!?02%WD6_=o@x)ZdL ztP|G11P`TDfG5E~B-lKpNw8BMyFhUanFIq*i(P<Df}QdJt^EKsOhB&nXgml?$e?+1 z572B@0eH&rT6c&_K(~)d0JcdjP#Ff#wXk4t=WhjFJ%$_%9B9Gd1*#)DJHWvZ_X{)t ziki3KO&>S@mi5p!JgN#<?w|M%YaoIwL=MCS&_IL~#E`nD6EsE%TIvFBgi${bEl>jy z)XsIl3`C3+;L$zl26oq)fTBx-%C21jD($*MR5VasYtrqbVnUp21;Ep?Q&8&jP8Ssw zkZU1JLZ}zEFxN_;x>g0Wyam&>FX#WnleWb9TcY6OUGP+l)U38aY3hI*JlMuhbP#PE z$oPpv2PnsahEBRc1PS9Oi1~7jV+{)+nFiEFg^!<rjYb+j0jYs@w&A1Bc*jp3ft%2v z#x|%ouOSW^PbD~hVh(N!pbt5hsDQfY3Lqo!)|L><pmiJ4YJQCALSg<^(1vSJn*eFP z9Nu$>7Yahi^W|`9Scw3eFDKT2f+hBtV~oW5?PVx*_yJ@aC@7Gc0RkoWUoVGvzPkZU zj-S8fF=!rc1+;L3jbFgZP(J=vP}K=4L&4)qGjODZm+!%?AGjK5TIg;dCT4kY54?b! z>wz~`Uq*skE-;59H`#djTU?>zsz}nX_|d{0VxaX5;AtRmUIP)>@|!Mte!D<+egieP z;bE-N3GP9l<TtR<Ncjz<1{%i1<+m<yeuHHlP&w<-ct`^@@6vpT12k!&0IF{_JQ@$p z010-NfJR--JUXxAn%#bB2n|1Y!iVPz5&jmCB*a5-X;`?6fjW2?Q|h2B47M3xWOsw2 z0*tZ6haP%-M0Ao{{vp;QXdG+Ufh9h`MkB=sNDWEx0jfPA0R+mi;NBa7&H{n);|HMn z6JOvlK&*qr2P}W1j~{P9_A7M!801%~j2{!@OFZMp#P|?p{1~JGr5uE=M*xL5njDt# zV^B~)qqMt$7^mYIKL*)GpctdZ_%X;DBoCvGAA=4x0nKNFsy5L02q*=D+VLQIpFSe* zw1C&=dUQ9u0B0Y_SOTbl4H^Of<#F%|wCoZwP<n#Mg2zW1kAQ4A3=sue0vbPrCA2L5 z*0<pO$sm(JRvv3`=mM8>Q2Tm$p##YvYas*42xZ_g5)c<LMgkfz0GS1$G3RJ9`CCCl z#t`R#w03uZP8&g5T?!KPU<Iv?08RLUeb)`~k4HCndpXExXrHt5)WKi!2VY4uUOf1d z_25J1PDq#Uc#8_?>=976=6H(=$b1kJ<}7G04t9AhXvHhO%WHj9Qd~Mtc892>fH#(d z42GKoS<Kpeh^6ydw}=6BQLAI;566Q)<Q)&bkaq0+<;r--vGEZ|my70ghmN0MLqJY~ zuA4=(y7|Ea$L}{>IuCSS>kVOh*f|BfezhAywO-<%f3U+xC7Hi}7I=K58{$#OhziJ# zZisgw0w7zCx2S-E66F8mEh?b%S3pdtzV04y;Gm9+Ch@m2gOdc*ELc)W<Zt~AvlL`x zcMsS&*x-0fM~F%^f9q#tC7qB?SvSPuPROEW=ztx>iJ*2hbg4Z=0JMDossdv(K@@-M z49F7rZfNRc1+Vn!gd`8#u?|T@u*8TuA`;2pnhrkA0u-q*g@{p+2>#Xxurz9r!F(Ok z5uy^z-x`3dq!Y3(9~yj}Eh?a6RiG=_A)W*cH+DnBAp%G$K!=PlFfbqn!-Du*z1cxC z>xP#=PK0C+i&WTFONieQG8u}XHhT|v@Xw<gbnF#K2Y8bPXd>Y^q{jm<2#_0Qf&49B zf5T?@kyXIj2-iVF637!4Afr7R4}$FkFCYd9Fua}tUA~QLvovU=1R9>8&C=iu4Oy?# z`QNeghY#biZaWuP7I#tcaY5d(@1x@30zRiGM8yZZl^LAwr+`y3nrRq&q(ONdv`0Eb z#bqXFp}z<6?&uH|7qEGtZ1ZvvWJNeAp@T=I*dcQ$Fg`Rdfu-I+*D`?o?!kHk)J}u0 z3O^3n*8|FN$6HiD#|D9zptynzG%$3-MtnhX$l~x3UyuaKh%acZ+;K<>0~vN4l8ivi z?kV7%P2GFIHldC9I)Fz1?4e8RL59MzwH<WW50n!@ra<=>fSBEovZEWaP#iq$2T}#i z&d`Jk9`=LgS`Y;?7c}f=3mx{;hXf=j$%8@*JnRSKKphVfhYkCIEZ~L|6o}FTWNvp4 zxFCa!=7GvFl+iq}3WzqSb0G>r84H_2kTv*C1gn6SUyuO6VIoK&c-Rj#RI>#<N(UM; z1E+?@g9V`M*x3W#dvm-6EDH+S<1H$n17tu<kYdPaEN6EhOY<Yf&M9CyWO2domzp0j zcJBd8aQ*_#o;vbx`_T!TH0gmh4mu}*xBNgRdO)oR=xCq?Xg!BPXNZap$XSs6*r2gM z7ZnhRIU=|Z<S@vH;78E1_z%z#!E>NNn*xx_K;}SmK76dDyF|qRG%*KS{*Gm|rS>;? zlLaWdf@6HuFBCqsrUpy(LkIo9wsbc@d<7XH$6ld<@(#Ed!Pwmfoh@z!wVENV4zN0o zPRPy>$kLEbAC(;FEJSyRN)BjdaXx6OSpk%5<oWwAg1c$pEhwP;2MQge42{Sqpmd2^ zo67OGf(jk*juwzCD0_gaa9P-C2srXGq+;*x0cUA&)&pf4Xr0pC1J2^$tOrWr(7FU< zHYn@Kl*WSwNnWn{2@YUvWtK5$`Vo@7!2zxe;e$K}=7Y8!LQ5|YAL>~U^QAXvY7$Za zaYG6pM1==-Ob@v61<5U-vJ@r%fmJ}XK|Kdi2r81WDFpi(uZdt45cl9W5v1_tbLfCD zY^xeH=O8jFD0M)$ra_|_RJMSapkxn{_2@=qRFE99I6R|*Bv3LcsF(n$gd}NrMuk)a z@DXPTP(~H#3{l|$siR>=73dC8k?8hOk-(BsFMWrs27|0`0iQ0pM+J0Y5vYGM1H|v% zqXMG$86f&65D|~={RLg1?)@GW(Bb-^A_z=F202?)K+7v&Q@9`ra2KV!1!6j)W74C- z$H>3{nxF?|Y>-vQ8cx9aB_J+h1{Z8y;}OtBP2d?^kSs(oxOW2Ldax>(g51=i0y-!k zWFmxyH3(s+U~Ez01=$Lj!cPH@qae8q(NgMB0S(0Rw}4jnL5dV`;DDTuG&~IIIil>g z0z0MgAh_v<Xe@!0Y*Cp2IuSSgz$bpe7BCy+NU(a=6Dc64BkgDhX+W$P0mVk+K?YEB z5Nsx52N#kN;L|@^z%c?^<^#&cprx)YDxiykAW0h{i+$Q~iwZZ$d9CX3#y2SHKx$#_ z<S8m#U=^ZZ6`(Dwj0_B&Eh?aE2)ZE@c%2jfwu7B5Dxli|x}nq*up2lp@J|KFurM+( zbo8h&gAIEO>xF;<r?UmTnxY%x=FS!s(3*;Fh+s2#H!WzfMK?qoB7mf#y9cZu)~KGM z!UVRV4%}>q2UK^1fDtILAz^_(q|mof_o#plId$mRqVgMb>R0OyK2Vb#WHczOx<S<q z=<)z)b<?8)x&olPM+Gc41<Zq1Iz1|&Lrp;jfod%N{w}aV;Q9j;4xoL(jEL^R6qQe4 z>q@|?K-Pg%P4^D)avaFk7{hPieZJrVrLzTMC4_1{;K)DafJ;Y<%14kXEzd+jjyAkx zc)+pqAbjR=3plTPG#)DeuK@e*(RmJ>$}md~P^|;W5MWn8(k}RL07#I6+y@E{#Mvk< zDi)v=44PjB(X1KpBV;f#>nDBzP<hy*VgOPJ)6w0)0Z#iZDxj+&K;;dH2KyD1Q6M=B zoUJq%89=MdAvS*EkAN8Ti9e=A1tLXU)`OS`@(F2v{KT)*1NLlZ4>*T|wy;7oBEm38 zK1Ryya4Cd8Apr;}U%~za?~&>}fwV6f=Eq2gji2}hAq-IPfL+~q+@lLAG(gkI5b;AG zafpHEe0o`b3xo2*7I5nlwB8<MpGW5ua0Tzvc?wjYftqumff`UN10>DxG7r+O1Ep4w z2VcI0wEJLusK>!lm!REIu;twi6NEt4p~gHU$6z@bV2{dmP@-)GWdKN`1C{FCh$874 zSo$5f=>}St$jAUX2pP1E7<3aCsMrIobOX5qQW%16f&z(xRt_>UFo0GLf^!;T<=_;R zt6(#?g3Sai9%N)-0JXXfcJzQ-Wc;nGz*5Isz@-()wBwK>3B-h!i=cH_j0_Cm)*H0Y z0+qv{#fC6pXwe0tK*k^Hn4)r-fq|hk3zWlNszU-4v||lqENDF+)E6&7vnQB-1<8Vk zilH6`^T8|7T2vYk!2${Zu#_#Ni3f5VH^ljf;uqwmZb%aDh7{f?%{H(!L=V)T-BVOR z24kptX#%Zbp^@JJEqg)v_jn8VnjWa{N<fYREeYsu0q1hevKQ2<1QnU!Gh<tSf#U#_ zbwFm3RQ7_JZ6Jdn$DD$U1%-Gw#5vtPDj=sIYLP?W)UXY19mFT3mc0i-rnDq-P+s=F zY=mSz(5gaEm>q8cI~c@#X#r{B!=zqnfaZIU$8x}qZ#-B4E>1y<Pr-#eI7>sa7g#}e zLj_m?qCkfV!=ewA2?<u6ptu1k?1nG>>;ZReK|v2b%M`x#6k-oN4&fR>6)N^Rbk4EP z9`KqskYS)bDf~U4G71`xpi&5<4&4Nbf!0%K@rc8xkm&860&Y$bQ@3sencfo3h8(}3 zy-T2KtnnbYQtjRXHqE2+JUGy?)vPb&A?v3=9sorsaxtm`iCdV|OA*wZg+0db`2)1H zy1PXML?PM;puti87G`j(4Aw><-5;PB1Sgr%)&aCV18M;c$<~1)C<S+J0Uvn*Y8`+( z$I#P1psfSYDg{vM05swYE=pboe1eQXgVO+NX+p5rKsmSwazG9!lTQX^u-5zFnFmnv z0=1CfB?hGZ(23IGW`^)ETVjYNBgh=E6ImezB2t^|<$LJp6j;8y0hEK`(|c1?CV^bj zA`IFuckK__fg4~Q7+pYwUEt2%XoDHtP9Bn3!U)t%>D&Sy?geED@W5{86!5}*XqEsC z$%6LEKn_@X>F}PYH1hHUWE>q-#{Y*D_n<~2i22eDyvU~o(oI84k0C1M{4M)_{{R27 z5xUq7oCYyemGQUi`u_j_%j)moF+-3w=(SAMM--`-Ss$^xd<D3HfTsnq0jvO0v%}Lq zqVo-E7!WK9K>9!m5iJNGl>*RcZ9ac18+bh$NCiqs01^Oe!P|E5QGqUw@3{qT58&!~ zhp43Sw;l&80T~PpRqS5FSJi??08qQ*E-I<~Ehdz<7m7ciL>F><0oqG|Me<82)b;}D zo&Yrz(Ao<wDk=Oe@4#j9Xk!7=)<Hh+99vC8Z0SEFOGZv`W1&PP0yJF|0b1G?04@-H zR02SyA!IA`OQTmPtpntgNU%siX&v~exPVFnXa3d;;4}!zqbQ{T#q9z}F^AkPkVGBL zKxr3*s5tSrXoDIB??xL19=#)K6b*F37HD1vyyD*il=KatN#6jJ^dU?3Uz$J1UY(-N z7reZSyCD#Yw;`a;-|`gH5Lkh?A)v<JV*2X;|Cirh66G9Fz5>^MtQW!{gLj}@1`>tP zpdnYtuq~*Y0}_X*R)~kF235!)Q3#EGwKRC(7Bt@biC>@v+A0+20gnKKW~BM2ALQS5 zv2zOgfND2Hq<af^a^d^6)=&IXL6fekrJSH)fS2;mkV+YpF=x<#5y&|Z8sT`*2r)<; z?0DEwb1f<gphB&C510mbZy-abNMo@A;M1wV{*ZtTK!XhX#4j*M1tJo6>l1&>VXzX= z<!zt%BYRXJ3_%D3+psN20nTAtSb_s@gOC6vI00ya696SR=$Vtpp8Ws+^20yaTpwrw zuSesX7a%Wn?ooLFrnjiv0MXrh!1O*t<oP~`%>EzH`99EaB*>*;8aCet+8O|x?*mD| z=KH{=gC@*C!<(SdQ!~)aTRmi;31slGhJbG9Iz$i`G2aKauJH)Snc(?8kSs(oc)kzB z^<aHr0!dw<!A(%KL1@hRK2wmbsPlbrmm$yhf$slBp6^3e1X~;hn(qVI1#to7#QhfV zFfd3IG?ogQF@tQ%L(DjWc7*Y_@^XU)hhRIwd-tfE0Ec=HIMhA5J0V9K_Nah@5ESbm z8hmVN=N1*vEx6zb9?#ALphZ(4J~%BJUIO*JAzp8Rn8aG40$Lrm1w88O(RqBQJt9J( zO1c{$N2InuE!zX8!3KhpC?umo6|)NXAl9M5Y=Y1h`#`rXB98P29T))e71$8a3?L{} zAYpYwA7Kb2oM7RG$bg^>2`&Uc_J86R=mF0ne&Ubl0S^g(;*UHC4iK<IA&o1LZJ-1R zwxsd+3l#<iuzx|J0?N2xrHB;g!72a>oKBd>VP5<I6@_>UCJS1+)!CzR031({QFKuB zda!i%s2qU`f?^3Q$kROqtg?FxSdQ})$nOyI7`i=pIL~o{Pdo0Mq5`_6)uCgLiZpnB zGM@{SERI8Z2hhQA(4Z%X2{Hm^0SAZ!HIEt8yMcHe;Z|e?yFh)$&THBRmLDDXryqA{ zc+Tj+zwLbY7I30-?7ZNj4YAP0@)(kW@6A6MKu0n+|6_3EpL)=R<2+0!Vr&IuEi+_N zv$F?mTsM5A1=P<0t@i@;Dj7hD5aBuxWalw^fIM&kJqVGNbY9ag;J|P_NQ?Fq@bsqT zH6({$hdKNgZij>PA{-9lVL1E>)Zxf!3zkF_R6)fJQf>k%g3r-I(%-=eU`43iVo<z+ zrCArSgN%TL326BV)Hcv+JSY>S79Qc<0iZK^yG=nU!SG}ABSy}P(AzwYgOZOFIQc-P z6p-|Fd9XA*WNbOf-|7vXZGc(?vH-N^7BcAtO1+3F4oR>{CSc`|1*j1Bg1rnKse-r) z78n~q`K23HR)H3vf^!{uZtHHC0S*viyo@>FBf`kQ02=xe20O0{JZ}y2D0t`(+#>}q zZ0qb%0bOt3-2)!Z?w$hXfhOC*69u4^93X?hD;#=azy^W4{Ggl-T7e9n0)!lA4qN5m z237^K4iXlyvK|x;&>#iH3!b?9ehGOI@)i|P=ZL@66mBlaByh<AJ?R{BohyH<GFSy_ zTtSv1x2S;EPE3KWoe)J<2wIH_irW@&=>s~69Kr+j{~=2yKrHCe3Wxw`YzZ-43F0A= z1Z3@mn*^wALIlDNP_$zdo()h@P~ikx=>|$_klEHV2qq}MK?4ky%RuHL0)YkvEo8OE z9_VU~-Qpn6K<Waxwa7(IcK`<{$xH{$EupN>XrB$%isD`@8Yh7?g6??*rM1_f5#pv4 z42>xI1mO08*3f~pJqBrO*C#?7*f!8=Ly)%HAZ<;*#2`KdwSPft(LpJoM+FoKh=>IZ zc=5Mh0;>dh2$H&CQ3weaST2V}Hz;B;)xv6Vh^zoe0Z7QFn*r7g*a<pPuk&1I4|v`j z)NTQ-00b8jp!yj!O$pKku@tSc1xa?}s%f`?Yg&+jpn{C^9LN-i1|CPwlP;X+9Qmgn z>f8ceSLxC*Mddswcv{WCshP-{7G#_@sFs5C1|TIED7%6q5F~5O!9VFx!y`uiZKt{+ z%V(^&fNLh0@TcaV4E$3MHU9(GsSX^!Ac_%HDadGTcvTAGVbn--pg9QSLwGpBouCb_ zM<EN-5H5sNwO}WDptupFkW@E<^dQ^_;$gUP3Dk|C3K0?zAlE_?mIJtKK&mxCY4bJ1 z%fc`J{}XNsgZuz$3WI2H%MW^22y#;xwBi$-q##XUNRJejf?-Wzu;>VG3WFA=f&=O0 zr!U|UV^Av&)JBB1)}TyKAq{1M3TY7Y<%e(o|Gzv0nK1y>m!Qao)_Nf3>&NiOhF!)0 zX(@vi!h;k*YJxpbCP*=;z`(5SK}+R9MHysiz%=;qK3Fv<BNAKNgEsVn41z2R0L45g z&2_h^?0}SqAg3V8L&&m#Ah>mqWQ(ok1Id0C;B<(i%LD4SfP4rx1T{F2gkgOzP-zKD z-5?+OK$kg!O$R6M&K~gP0-!<;bjblY_7J7!9`M>3h+r>dSuV=jFi__UxhpjV+LeM_ zcLufvtQk4aLi+z6jmJT)J8*jrWFKgD7p(L(!%O9F;KTz8NKkx&HaI|67QLJUS-Aj{ zdf5ZvZvd%-B!MkZChRb8&=n_)3=BI!7x;A^>pTdy1QZ^S(3=Fg=w=GI8w)x~2dW)( zat@T)-J=3B6r=|fhTTOhoF75kCP2;xO-6S@rjJ2uA0gAn72rKqpq+-0rK>jJ9!L+^ zif+(tEuitM?iLl0IRARcU{q&|3TWLilC_X90|~+-mGuO;or^S3466g-O1c{^fYrdt zX=o9J=!%290P+eboq>`Ah!2h^SaJZJv<FHKAQ}=_&=p&t4lpP&K?JcS2T;O9N)B-t z{b*3-12P62_Kn9QRFV48pvg>#(V$Hbpde#Sz~&WJ4X7x{<%6gp7@|@Gx?`xCzjXz8 zEih3{Kp&M7(A+{Xe|sNT6DS@*F#~D>Kq^>}FF`y=t8o%&D@hJWOE!N~EQS_vl?~GY zYxeo5q<}Oe^SA2}p#f||3`j#Xf75^PB5Y8>2JXm!igVCjEu@Z2h)NWH>n*S{klVo# zOXYY&YD$5ufCUe#UkxuJwd`R^K&JvistIrivVawV+H&2H;g;?x;BE}(MPze9XG<b9 z6|iuALUe~~R3iCX7lNl#k+q=P1KDNQ>7x<?3ddmn)?!R8D9#Vy06Ps-tf8381LDEr zGk^!I2vo6j_du$dDJmcyn)^{S74V?CKZw8eAx0|jK+zJw19!g<$o<~@t%t!{K<S_x zGN9A^f{}m9!A=(ymku8lXa1H=U<J_J2r4(RcML#NSFH=dYC**tY2zBOd=H6TXu$?g zYmiWa6?<sSe264e5R?Ew;R-PaG-^iVm|BR64Je0O^S2s<y?~T3kGFsq-h;ZH$VMYa zGPs!!%0ajkptkfuDoJfcgY+O;(I6g1D;m^ILw6-gK?7;*gPLf_?nF^yjoRJ^sU_8= zAUz0|f_NA%1vL#pE(IkN$bcfqL68Cl)O0`@a|R{Nmxm$EM^KdsYEwe%QV{dy`XAsX z1t>^Bsq>}&@BjZ_YC#r1!vvv?RIofhX!G*9*LPl@dVK?_0|CmQ*jt>C+Vh-8=OJ(% z25~e<IZ|~88ny#3$^^}v7=YCwyhOOJ1F40lS<v7xsGSTFq*m(I0i|wj*vXTlsT<tm z2Bpn`PTk-OEun=gC^<t@DwGLwA(RQqGa%;c6)&qH>$gE;<=_-u581&9=j%h{u_b<o zUug5S;7r$ub=Vn{(9w%=NbUp=Ps1mLUdBM?nnCt~Tu9IhFIgZOK#3gW0i@30%N4J{ zxfv$)a>gsLgW>H)umiA;D1!$IaEvIwJo_Hi*!!r~AiLlQba4qdWLS|$u%OWdD!HJ3 zgfc<V1Z9Gv3CaY;2Z)KBk2xUAPr$W3qzFN@M_`+h!IGfLA6ruZRv-khK!){En*dt; zt*hZ3QJ7Mgx$vrA1yuDb^S8EQDn)i5sON?3b`KsT$Ah{}-90KGHQiIdohV3C1!idg z4>tEH@wbA8&>`(-m{ORzaQDf8+$YW7`W3ux4pjc5H%&x3d{l(_TR>x;;O*9+qz6q& zpeh0s>d-0z#6)kfAhzm+s0i`5?gU!{+8qtwr{kj{$lnTDkq<T<H0}*D2f8>8#6%3P zgX{zEyauTP9Z~`rBUl65qyriihYp&9X0bs#uLVj=K%RPe@WucC;8S3s<uS+yFLNQ? zUQprz^Z!ElNInGB^I$1H$O=!83&8w9R6aBWz*1(Ay*eN_g03WlbY4L`sMDaWX2>pU zP%8>$BMy=uK<BD5GB7|Cf;@>$A=qF@I~<}A!$hzO$R<|&CV~{cyad{gjl2s5oHrW} zg0nniLo1>*dhq)H|CjbJ!TB8)YA^Ls`OxeO4jPe{|Np-}_xj@NQ?Jj0k~`RJR@itp zs8@^-g_Q=N;Y5%qL>Aikgym<5BvcTTk5N?efDUB^rI9U=20nO}7p?^{%NwG?1FEyR z3D?;$M}S+TP?NwdQb?B^K1<$>tN^t|3Q|dGO$gG1Xy<`=80|ddv1gboA<a#gGf{@0 zu_{4pk%GpLNp&em55lD&9)?Rv8i9UU4M|NPKSL`#kWZmZkoiz1$W##X^$O5Up^OX+ zAP(rnWCRn7>X%<%Ag{Io@2>}qQG?F^0u94@bi&RLfgJ3y544;d+T?_Ycy#Y?=myOc zLypS<wNpW(S<v&*TU5Fk7#LvdZ9o#R^)_JBk=NUR4j$xhErX8%fKK>5)^G#1<_5$? zthoVOiE&CgNHKWL4OlU2g&$%S2jo-($g$_3UOZ@w7PNf`lwTln&`bmxkOl2uWPt3= z1P#A2Ffc&YW*UMnZ3XQqfu2u}Bnle!L>x*D;=yJ?LFeLkOi^hD&+04%_xM01GI%5e zG&lzD1J`u;s8sW}Yyxj*qM{RAR7yHRREqgqE$~>L)8V6%&EJwiealliLR6CZTTg={ z7Q4@5I($^3`CHVeZ#ncdtT;TD`*irIc=NYB0WZp>YOuR>gs3?4w|)eB3%k#4I($^D z`CArI-*OYk-Qg{GEZ6DqQPJjaNua*vDjgvz%KWY3cr2Ic@KKTGZ;_+E<suy+D#HA& ztH35>4|bjo9~Ex?mOJ1^>1&3Unb398@Inc()XqnRi@zlY)Q<<3EXXQg>+JlXhmdUn zpYF~NJv0L}6#*(;AY~DFMOi1(ko)T{P@e~)1$H49cqJMEeJ}l?+hobm3fhH9rmdj+ ze#z82589$4!@<eqYt<lM>s!dlYM{ay)c$@M4C&*-`3KPWx{v`Nxcn?MK0Blf3YRZI z<3B{>`yn0U?a}-u0(Adb0BE_k2k1g%kA237C6YBN9v<EMIeI`9aE*!sNZtWj^}49Y z!|u~{fK<AmGx!lTu8)cwe=BI=2dIbSqT+F^A)yDnzSTv=!vlVPH`wUrBN2xomq>dY zYXqrc09`2U;Q>3N2z0r0w~q=)zymym?gP4M4NO>qCxyT>kex0nNT;i7fRFzNk>E8^ zD98VU?%Dx`e1nJKPtajsusg8NgAV&rfZ1&TI>@XWeB-w{XbXpeN9TDwX9)d*9{(Hw zyN?5OL@9U?wm5$aND>kbaA{cXzK^~h8JwXz_dw1wgl;wkHG4n}gMB85xPhDw2D*k1 z96f~Z*8&*~Jr)(xG(ozn&Wyj+A6#02iWHDd#~NNhq6=yb{8-cpJq!$xV^I;xz(p5` z3p;qoMFn)d7O1EJg){WB3lI}@{C5Pnfz%zqg1RWIM8%Z9l>_Ps7ZtE7*x42#Dkl7` zpcPEuW(~+(=wXSFR*;K|K}QJaS}o8@CAbpM+AL7pszn8KAXz8m<}1)y7YqyxkVRjh z&5EEyE#Ly6JOot%It_yXaqdiriXMOK1aO%TDyLW>o`Kw>;n8^ThXN>zfCiJmt=HEK zFDHZ31MIL$2MrJ8GGCX!1tbqj5~wO*siG9pRR;MK+_PhY^oL=5Xo!NPUPCQ{g#QjP zkV9Nl0zl1lkRu`U&7fOCKs-?R2Y|vKb`B#*0xk$Ygb^eP8N+~Hy8~)kgZ3kV%z&6U z1<LH60={Xrdk^^BR<uJHA!pG+4`Bou3K};6ZA?&u9>NHU0gyq^h7pJfIvfiWZ9OWW zhBJ6P0;CFh!Y1e_0|w|>BGA|ZQ6O_c;}NQ*hM+3>r8y-0Kqi0!34916i~}0`fHuEj zhcJRH;D#g+M5+Oq3q3j)()9-=Ba|@$unLGa&<P=+Wc2Yr=#UT)>(hV5i_IVZgFOIM z2(kvhiC`6wi<j`52vP_>gb|iuJ)q-zE-Dz8kAV(B0fj6i;y@h+5bwB)iVKJa#l8n9 zNgxKyd{heHqOc)1!;76hDkY%%vbsZ5N<brIpn|-cp&R?~oeF=eCOj{LjfWWoNmrdd zD$uhATS13kfpP-WJP%}dWFXvu7_tMMUFf5d0Xn!4*&SGi>E!rZ7lH@HFbsmb19k-) zC`dtx2YgAI3%uVX(BY%PUs4UeDGk)E2VJ37(G9*`4YEo$M1`-k@HGQuu`nbTg6j_O z&@Q-GhL)kM;8LR7M<oH}oZ6QcK+V6Ep!fi#)t8`qq2ZidP{v2USgi`uMuT<;Jy^lV z(L$}WKqLs5br#6hO$2Rwfn$%xHy}TO`s3hnj85pe9Nm!PmiB?xTZ2n9Pz?lHKIGB8 zU!oUO#Owj@P67#o)`>tH1ufv!xUl{>NCMI{fE*_St{Fi&6}0~avZxkx><lRGA$y~b zH5BxM+XxUYqCXC{vhfJWso*^@AeTTCgR3487jYstX!{f>5Fj+>9vDW*5^89wfLg_R zKm_C~$TAm@Zjj?4xdK#CA@;9;j#T1rT@Fs$pw#kG2D+CR9Dbno52B#&QTY$*mbZYE zKzxa;2v*kr12-ihN04>*K+Z+!?mPiL8mb3;R2S&jDX<?K5B`t@Z6(+O5yp9X3Ty*1 z>@Fo}tTrAqkOKJ<&xvNRn;9S{sez6chFE;;1-N4Q?$LP-`%Mg>Fas;?Zm<AtZ^3(u zz!a5#pm7_lS3H9aX*^~CG6e5E0xuh(H6K_<cLPWV(y<hOK{nicJrC|M)*E~v&$obg z(}6^BB@j?UgTECtc#3%u3OpnUg$E?QK~C%L&|zU<=<ER>q6o4VB<R7azyfg%B%#3) z9{9j_ki)tm>aiU74r(JEZvpQD0+rv#TU0_o<pu*NVL&|B-J=3h1Uv8@wB#S{)b+*( zpd*4@G!Hp;fR?0yPRs|L`94Jj!~&n#4%&YNaxB;_kmdMD$G(G%B;we2ki)vCfIW`p z0L)|GK|6uEAsd2P!N<P$sC)<Ip8ja?QGd{*upkXLkmI@`{)PyEErNtecMmu`5UU!$ zflO(=4L0R9!%I)->D7=}_yA7UEs*@w4Wls&4bTJ*e``E6+f$xPSiza36Bg|-8q;D> zla{}A8Q5Y_d_bHnz>hWYpj{!fMFo7MVygzY-HKf|?vw;cn4odX=7)@(kWdAg0TYL} z7D0gzsZF7+MR4>?0jJRJJ&@SA-ulade=5eNfYx;Inj=s_`kLY8sXwT<heH-_3PO$s z2Je9brCM-(WOx#^uo1MY&7&LK7zZ6b0uCE!)#cH6JRld8C8mHkt3q!Q-vd5V8*-WW z6!4OGZ1;&n&Itysf5393IOK?PkR-;f;!pqLa6f3w8|;1%1IhiM%thG!AXRYpgGPv8 z?g#bgu)80m3gkqr?gwcDNn*Ia8nr;R09O`}A_aFc0l5xy1v6-z8@}+kRS%v3!Ky){ z<KXNL?wfb^fcFJ=_kcG8c25EGK$}6p`;|ar-5`TjgK}{X1K1#N7Z04sAgL0O*FmQd z^0&SM=N9rSK}6Z@fmpZ<O7^%z0VInV3ZNbX$nlFoj&HREJ06yWz`M4H4Fyo&31rZ0 zkU>5CV1san!Yq)g)}Q!80o;-RRj{}ZHw8HrlBbBO(`SOLZOH*U4rd7os-+2BLGuYR zzy*q6P{jtV;6TimERcR3OzPzq=m7vQSE8m^*w#_#q%^3u1bGRZ2GP1Bkk!$kAO@|D zF0F+m0kBF?Y6We-gG|SCLbj-P_o#rxm?1pqHWnm%;E8Vwc*z}73l7o*!*%Z6%O=R^ zAjs*^DK^mjIf(gsH8lBwLIOEZK$l@7NxcLWLm+=b0|l~n98$v|tpG%FEJ!i-1Pto9 zf&v9J%?1k;uu3Wi3M4V&43uI#fdX<o)Tf}dh13EBPx-a1{`>#`%Upy3pj3eDcW6tu zcMmwCFjwG1%$@`(45xq_kH=fUdn%zOf%am6n9$RWLCe;`=>~ZPK4{r^r;kbv==%3+ z{?-HFnTu}7a?H*aaKQ&kB4B->wfCTd61pMcptbiPZYRXj<B(GdK^B4rqPBot0uKY$ z1>hWjG!Xzz7$Eh~Gy`HH?K=SFC7iy7q@5|?z8?0p18r`Bd<{+*2w%IX6o9T70C_o^ zzx4&USb(<Tkh~1mgxkxYe1H^N5HEuS5nj$f_cC0nyI}=b4xaWweg%0SIV8Fvvgn03 zd_8)rC)nj6L&0tZrF`OwZ66ir3iTdEuo1WlZ1C!IKClvau>r0bk-A@yQUg!N4N_o1 z5^F;N1>T0O2Il}fh%9eI7i9N<PK^LH?Vzy^O)9Y3Iz+{bzx6DAE2$6_PyUuC5IfQC z{)6}IK&1$Q8p`i0cufvyn>DCphUQ5S^JO|@wgr?#L40W11u<XRLkb~KB1A93AEQdW zybP+>phXh)d<TjcXlz4@CP*>{B^gjM-377%($c(Sc+#;G+*}3~FK~UV;3U<(1ze(m zmMP0KFfd>q#QJh6s?(rZ2W0$96|~w|1k`>c*42=rg=ANQYYM`yeg+D_Zs_0++Sovd ziU)s-8R)vvAAkS<?`{G2PjOw{1lnZ|O~0V91qEUE9`N3C)T^67Jz~&K1GKA~9AH;B zL9SW?#eMe_aF+<pG>og8Kn6mtZn6Pi-Q<9Jb(0PF>LyUv>*d1_kkfr&$pJKSf_L~O zM8z8WjqhL+h#Gs@ifSh)&%kTm5EU!XjqjjAMr`woX0SOdaPkCoL>xT2L4&`n3wU5F zYFtz-Kw=i)5jYUbp_2ndfdxPtSzJ^cIz3oGg&s&}cf$hkpd|QuRS*Hbe4)cfMZH7= zqQ>C=1JD31NHN5k?h+LTkIv%|H-Kg!A?hIWbC5ww9~HIIM2N7*v4)5~@X#d41o&ha z$kx~3J8hu~z!x=octA%JL06}Cg9-G0eTj-{sTHVbeBA-^7Q|M>z@d+dN=XOAX1Ek= zxDYfVj4TCnt1@U18f2Kqv4#L-w?gk&2f6j-4^R#T1vW$t*trlJV8$r%x2}W^je=)w zJ&=t8O&EA|c7Tmq3pEO&9og-Q{4FK$`)=XVF!x7-$4lX6V{w84niCvwIYA4j6Xf|@ zY;Ze45#a=o*;t$)hvozeTuxXHE@xm<-^igLORN(>W@B-J44M-Ra5+H*rxT=!bppt2 zEKZO@bAkpgCoBYysbLERNn)J<G8>B%B+#6ofXfMTIGrF)tP?<HV{w8QniC{&Ibk+< znGd#55GB?LAhWSJK?KbS0=S$Yfzt`X#5w_FHWnucp*evAmlLLf4~xbY3WCHs0c187 zCkXJj9z!mg7;rg32&WVHiFE?VZ0NE~P?_q`$pPv9LpZRS2U57ficUWMR#2A{QaF1Y zYxn_~%!1Sy$VF!n_&`EzLBz}7B9FV=VnLK!AhYqg@8x2gcJT1GMB}!@;Xm?<U61BB z9-#F>4xsfx7NGS%7W>R$^*5O7(Y?Q;4>TBE16q^=A|OZMxv22N)(06tCIMYQ3y6@` zobd6tLhcm-g{j4{h6k|dv_Py60vp|Y#N#lM8gR<6@L=Tt-!u)bUcm%r9m~t#3L3b8 zM2Lk4>k3_vL!otkH>i!lT7W4ep@*UB0g|eh2GCe=0MBP47x_H=Eg(sVeQ;@5#U~2Y zhwhM07tkH&V8Vd|)Etrkc~%0neh7TUF*kpU1GF#Zq9SqJp#ao_1x@lxfEeHgjNwUG zhrZKAMF6B-0CZ9_X!zSjMc}vt3rHm>x&%7UIf6U;5N+QKPl6OfUF6dZ(%gA`7pNxy zRsfYm38YR?`>GpEK%A=qQl$ZRE*F0b?7#{a6%8ckYJeO8HWtOX3LxzY2<IvwIadLf zb3rZwIak30i*r#TkdSjZ`CCB86hYz)hjYIfo`kKT1jP@;#h>^EVJQ)0Ax_sIryY=P z1CW0~x1WRK8ZGcRO42EE3CJ=`m%Q`<je%VP5jCK0j~XcZuLHNw8{dGIJ%Hx_K|@ra zTwVc6Cl&iFkaKy3NB4e#eo(fk0WHh|5wKjY4$I{ckX+sZKKdP;HDKkU8h<MnJONi6 zYslz_wxlZ%GyGuF8;^h-1J3JEW#AC5@L=5mT5I14E-_tHDm-8ZML-t2fHTB#7nKrF z0t1CEe1#J`i06TPWIAlo$#(D<07x3Fn4|e2d#8_z3rN7Do1@c5#i83r#f5*pkBUR5 zk4gc|^y4ln86aDZyQt)V=x!I45=g}WTZtyo5uzeqD*2iLGA#uQ12O*ANU)V46F{Q@ zAQM0|$i@l}RtE6JQ=qD%8{)we;8Ms%#o)M$3Wf)v3u>AlGJ>=lAbU^%wBwAQzZEoO z2uVs{#T=kHJRcPuh<cC*HM)INbU+@|K=WV%$YaM{R8l~6w~I<nw~tB*%zGRiAu8<r zt)N4s!0Ti(m_fsQE-DEy4WJRa63_}4kT+OAWPlP4(s1O<8{pO|QYt|%K-u_PK=L4W zqpE<Fp}RnX5iTkPppXN_35b4~{_p?)Ziq8%AfevrqGHkQqGDrs>3fNaMW>5O1}L~e z{(+puf^GtMd7X<2=zhchAdi5JH3F5_IP-@kdj6O}wfyk`Oa1_xj+#F}%3%3J!ygpR z!#ICf4A1<bkqL^o;g~<H(DR4F1dvxjRYy07prHP!n1ES-fK5luA0TD0{E;x+^GCt( z%pVEEHh);7=Z_Us%O4CAG4lu5bkzI-QU=Q(GlqNqSTQ{F$Bbc{KWxzRhrvW@)gK*L z@(0*-)cgTb2Fo88hI{^aFg)|eg<+dNY|-<_4yxr3fk~M42iSDf`~gx1%O4y8pv*ap z`yUeE?E%BCf6kEwinpQL|Im5e5^3-G4z$ez)Vp^85ey6;Ku4%B;HFie>J*^#1E_il zC?Bdn>j#w20;L(CG*q4;62f=rclfjN<0apu0Q*yK+9#f6c?U7C<1j>?0cPJ!2>(D6 zgoe4(p&ylxE?#pKB0uFIgl2f)4DlyA{R3hzOg{s<dlR7g1E4fa9u^Mhv=3Arx;-%e z;}VCN3)BAw>aG`1`U#YVh5HRC{{oag0HtB(!|d~fx(DL>QF1f{208@XO7oISGV}8k zauX{QQu9($QxwWGOEU6HOB50nic(8Ti}DnTOA<>`b5rw5xEK)1GxLa0&cI+WLk#T2 z6SE=ogH;f^Vl9ML_zR(T{D;sdW<lr&D<SlZH4s|i4}`w)4?^!)4WWNP^>aYgd;Ero zFPH<NE9OFIup^+GW|7&TWneHqh<0&}@&wVoo*@As+8;z9VM6jA?w%e<$}yPX{yrFD zAOY8+qWmH&h3L?{%;L;k1=V6*Lj%KD1t&*8mvB#)5D$gKl9Hm#q|%a9h0J1w;?xp_ zl6(c#Vm$=~pVaik9EGyPoYK@{g|z%4g_4ZSVkG5>MX3t@0U@5go>8tYItm`1?j8#H zMG8Lt;d%<5dBr7(dC93(3aYsb*qmS#tKjV8?dIek8WQgt>Jt+0hGa65L(+(Jh>@Ow zfdR<rW+osO5iT%BazTKHW3Ve_4$w6=GXU8Pas!l!<_HD`*I*w<P@K4d2nGfQ*U+F4 zC=*O!$YY5+6C`Jc2D`?)dHT7=2LxkxJh9Oj5)|qRiaR$)pI}!sXJd;!Q?MgE{S4y+ zT!TFQU9j6uY}~=a&CmeMB*Fn^PzM+dlmpD64v2RPat)04b&Q}a2rM8z2PGau12Z#F zLLwp*EKnWc=|`C(48frfaRZSqumronKQzQ3-o-P_)5Vq2d~67^80-Wi3lNiVC>R<b zxgj(pGTu4T*@wc+3<?NCkSh%IEG;dGaDySj4Rk3>uw+U@NRbMT3%V2x3=GWRQi}mw z0dEK?Uj0Ku4529ld)iDPvU~@}hM|!K5pe-2At5#oRC<6EkYG0qSbBhzkzhB_DLsJB zC<G-5Y^f7cVETuK7|}aDKuSr7%>$Jl;N_%I{6M7#BX~J!6i=u009s#-BRv?wOH5-r zrw1c=IcXg4GC=9U2wplG4_tbHmy^cyNe@^`1tWMlX%g@380_rmf}`Rlwjm2{z=B$` zpcXCRy21!vMw-w&6~fCylYvWx@RHGF;8G#HWHcGDRA>w@8BOV&3XI{Upy`060%Lf2 zXgXl2z!+X0nhsnlfR~46^iBovQqXMRQUSaqG#j{70522G1}+sq%ESOS7b^AaO-w<Z zN$}8=DTGP5S~7+diU>#0xM%O;;}0HNi~=>*7#JAP`F@`9;32C}Cx`+cN53Et%QYmz z6+|QWe&8`qEH%9esEqaZ4RG}fb`0_K$1zetY&9R{;qT+3qu><k7Vqh&qu?45;u_@V z=mV<wJ^dhT!l7(p7^~po8RqQcO~w3fU`B++=CKMvu5R&Oo*^NwL5A2n#v~7(5jj+0 zVu5VA5uGi!j8zB@j(4Rn`th~$K<N>LVdLfTL9XC2RzFwh)F4O_bRrmZJRO&OxW7+4 zNNJF3h#!bX)6M`l-!B|Iln>g)1X2gXF#Uev{wPvd@`kAaI8=Q7DQ*2ayMhvbJZL0d zM*+(5@ekKgaPbfK0}F$42$+L8O3%Q+AfOI$JvKKQ!rX{=#Fg0L924khJ%~0SoMTLl zVikfz9D_na1LDISJwvdkT4GBNP~QL)5a47)gyqJu3SrLvpmKsbgT01ENTa=G5H=Cc zFhO$$rIiBIX3#Jvl!@jDmmp^aa8gjPQgCtg1I-U*WTt0;=Yn$ci&7OzG7|F?3>93Q zeY_D`K{GxmI>TK_&<Q%m3Y-X0jDT34nwOZAlbWKCl$r*z-6hB+5;~JuOwfAr^rogI zmgbZ|<|!32^Aucy0u<bWeNk-#2NCKFTdYEGW^QRtVo83HLQ!gQeoiTPDikrVl?a(v zQ%EmLO)N<TO|5}LvY=Q`fgvO#RiPN0_T19q5{0Bx*d(1{K`|&57w0DC<fIm%x=A5C zu_!MyFCBT_&)7893S-hOvsfW7zXWC^Xl54f+Wa&HJwqc?1r60SO@+j~6i_TCrGgAC z$}a^^=%(bC=Yf(BNCk@h3Jfp@poELD8MR$dT0oNh<`DbAW90Dp3i<|t1=XE^GYlB` z!8wTmo~OW@9T^bg_Tb|I85kJ2q3U4r>`*>T9(?2u0|NsaRGbe=!_;v=`J7Oi3rfSx zg^9z)17YUD%z?R60IE+AN((`0VJIyErA47MYzAH&$_H=zWME*Bgz}}Jv^12Kfzq;2 zS`JEsc6EZ7pgX2Pv?7RLU|>*!(#lX;1xl+zX*DPftu`6J`#2dG7&M{cT2LAmKH5;e z4wTk~(t1!@A4(fQX+tOt8&?P2KL8Q}opleQL1z$yXjr^}&JO|cLHm$FG-#(Hhz9M* z0@0wICm<TM!X89}RuY3~(27YAZ3iM47#Lvj2VHCgTeQUR0y^RI5=z732^K%F_<)5! zEWBai3%U~pWQ8SE|2rlSkAYzil<tDkg;3faN~=L>UMT$qy13#tls*KdS3+sfePSS! z%c1-@DD4WRb)d8`l>P-$jD}rZJi|ajo-Xm;Alk<{4BWRtY0QBnpx7-w1iSzv)Hfd7 z-VF730{5A`eNfw-P~{A+KF*OKwsU+WM7>KqIQl|D{h{`OWDyvPdT=r!K|MIRkf0u% z{76s_PNpQN2PbC|)Ps{fF7>dq2})WZ42oJ12Bl#T29;GH3@U3t7?dVKctIOT6(XEr zERY#6Hi*Wo<xOH0Jo5@lOB9lG@{_X_k`wb3^7C>k!8LSwW=V1eXvtGXYMw$+aEPs; z9;oW|^b2<NQAjLND9OxCRZuNaNX|&iOGj3R)EEXeq#$=;f$WE2Om~>ZDu9{{h;=;9 zKHf-6GZ2jq)ii~?VsK3kT7{LLrcjcRs*sqIlV6^iqEG~Khyp|jXax{hEoeDVQYFOY z(D4vYKYd@v2$b3$%lxkyRyV;{YYd2+%pq<{PRvOLHO*2}K&^U(w4&6)($u`<N?X-5 z1>cM+J%td^GN;sv<kZxZVsH=@q!#67mXx4tfCgE98Yt9!Gpax>5r`S!=2TK8$b3Yv z0TytexC(RjM_3GMS0OnHv`P-t#0Iq=tw2T~skBu9#RbZ$DSZVE)Ikg_kP=TnSXgW7 zDFo!CCKjhEB&KA68j#>fhpqW4200oeg4d6PV%uUwg)D5*6ZJ#(4y?fi&Fs)dJW3nd z&@dKMpn$V+KzNW#IA|;dUY@|Z2#LkTsYRd^n^>X%iv1E$ic&DtGX<3Z8i~mzrHMHT zs(QwtT&}5r62A%zSgkdJT8k*V$g&H?D(p5H$0|_CW2ly4mYjwru_zr|M8T}5;Fk(2 zmJ>4}AqVbj7H6jCCFa1opLwYjB??8Ui7EQ!MVTe33i$=8MTy{UUP(q#erb9JsJDpX z0vsW08mr)#l2VjfT&&>Y=;9J&tC|EV5=!%mOA89}VX+9UG0+TTV1TumVQppfHZm4p zgGK;@LqK-q<d-AWMtb0cl?U>8Nj|6=1NZjJK~)&Io&t6K3KEMFb4yZ-KqVt~Ut*6` zOIT$IuAGb%3Q~(Q^Had77_H)h6<i9S^`+pxX<}|Fnzyl~P|*4YNPP^p!Vr%YupErf z3RoNQG_-N803HQ|W=sYKhLBKy@MyRjt_B`dDLDKUP_nQwWR3x)7|%#7R!B-s%~L2S z%1<v!%*{<rQ9w#Zpki7zO`$v^GdTkkartH7L4#D3ItW~CqlOexiiWxeMj3>Jg2ych zI3H5Cqq!eGPlL4Tk8%&BfIXQ)qz4R%bU)0$MkJ~?CQ-c!iRw*BRBuM2dT$?RX#WKk z0@!J6=@V2eqD+iXEpkyx8`vUoP(v4Mjy6OoGz>urzqlm7pdd8`#hjp2P&+lVSOL_O z%Pazw+n_Ql6RB|y*>He5Oo+WW1}zUlDn^W`ZYemxQOX0*YM_8%$QWm0a!F=cssi%x zYCy2Fk2kzDgV(=$3Sd3(S{R}cv`!z~3(3z*RY2B>nys)F(ncn+u(o13tl|p@cJcRf zRe)A~h~flR3ql%|pqc^HiVVmAH8g`WGSf=X>|=n{ON>=P42%^5jM6;p9H4$WXp8`a zL8)^gsH}D8TfoHJ!pO(r$hUwAQoq5*DL_}SfYgENJn$w81_lOXG4Q!OXkry04Gatn zyP-75F3{QSAZ`(80Lq>305h`>E66_3;o~5)K{qRa<dOA&?u`J66@t2p?tBjznd(4V zKnFR4>tN9R8zA{<AObX(!oa`)x}gNbg^7Xe23<b`68jGt9CPQJz|6do8D!7|W(Ee3 zyavd81_lOP@}T3BLHe(N2J66K6$=k5kbclXwfN*apyrE!2IJiM8km`%vViPwU}j(d zjZ1+png{7`0}Zk{@d-HcNx1VJ;Am#<V`BDY<CAdY6L12lKfuAj02*%tT?PbF54s$i z!G*7Y=`TpO04xU^r@<yC03FN0CZ~f(&I6BJ3Ld!zsN7tT|B>7Y3bQ#-xnNMI-<@v( zBeOFkOc^0@0vn$S#3Bz0gF8_D*!=PXkDLg|JO&1amk>84K*BBo9CikH<b0rVd@y~h zKw%Ei2f9caSA4+6->|uJ0n|)r_@KCD4<5N2sB)<B50%4ap8zQRGB7Y;lLOrf1@Z&b zEhuJsK=pM({SGcF7$9je1uAC?le1vt<ABOF;E|gHmAeA6)P*mA2^^jQ;CR@9M-H3^ z85kI_>H7fH#|?^qP<lHFiX%wA;DPq@o@23311g8jOcy+I33%jc@W{=;Bew;Q+yy*x zZ}7-*fXa9rVWt9=V}ryWN<26~<pd#e2~6`r;R#9eF;KagSmY|8a@h1ufy!ZvhYfh- z&ft-Ifk%!7GyuuKzz_gU6U-~Y#Y_RH3{il}f$lV60HwhXApb$qpbZ|m2&kMC#P0!2 zNcu|f$W4ICVY6=y9=Q`Z<UsZN6R2DwBwZ^o#qe=}#Q)$B7Xf8X1_p*|nEEn^dL10% zE>Q6rnEG;v`WUD<x;Z5{#Cx!agX)1LQ1PE2_k!wpW(jcJ465Wo@<*WZEFc;zFO4Gq z1SAfcCj-%7c^MRW7U)D68xDCD0`e{d<WmU9w?O6NA^y9-$b63pl*%qZ>$xQa<c~n* zKSK0BU}P3S@!u1u{6DxnYWT50Czf!@s}PWPAt0YZK)!{5{1T`<IK6`7PaMU6N1*b! z-2a4tJPWAJ$H2gVOTP*Mc^3lmDFozO2*@uXAb$iZk1PE=At28JYI8C$FkFSC4~+Du zLO|XHDv!(l6aw-s1mu@M<=f!y`-zf2jzHycx&H|sc~BY80-g8{f|b=<K)DZ6#w$SO z!0m5P`R@gh1C={AP`O!3j0_C!d=o^NQbCdvKxHdPJ_aiP7&KuG>d!E(2FZiEGvGc& z4OBh?RPTV=w@jx%@(V!D08LuXfy$>cF*7iL8~74Vd<sr{8cuu$j(isGd>8DS*?ZV} zS^HS}ncJ9{R`Xdn@)>~T6`c4az`X<xM?UZ&6(E1UftsfZ>P)%t1u%09fb0tZxt9af z=VD-B*aRuV1DMwGae(}w02QAAYBz!0$UF&LIf5Jsl6Qd0&j<Ozg|C5;c>`F!ff3U7 zOMuER0eK44-nt61vVg&afq|h0D(4BBX>|g1U?d#*6x{hXa5uB`G53Q!q~Hkl2e@mq z0hEkE{@4Q5rwXyNfH@g#WdX=N7oc*15V;0M=Ivlfkb6M#AE5HRpf)hrKa0R=3FIG; z*`RwWK|#<1ajyeY3&_0=;P}!3O;9p0Fw6tBCEWQAFfy+M>pcMVs}EFuDVko8xfwX* zKyGS*%Dn(Bm~iK7V1c9)aMKv1cL`LU2jZs$h?^3?ZaM&!D*<(C-T5wvLiAn$brV5m zKY+@2f>s8*^DSV9$S(k8C6GM0lMLz;g8~=SZ-vT(tOCg^fYJ&B14BQk4gvX@X(=c` zKwbv7!yTaVrD%Qz>5YNPO$L>}?tB**nUX+yLDd>qZw*u)Jk{&M2lp4q&oiKMpfiXW zT=)!_!1>MqtZxfc&KTrKP?`eE6@bIz0#t4iD1Jfd5fWIS=m+cl0F|Ew(Hj7f4gl-r zfi9c?=MQ(j4NMTfZU89-`9}vT9{?KEcjvpn3<;|XAge%b_JPWS``0df4iGmxfbGqI z%1M9>1IZz!s}`tS7bIORU}TyD3MWvK1iNbqRQ^3^AqUu9;Bo})F3{M(5vY9feg*~x zaIOc94G4h72AEbO4Gn<24sz!gsCsiqoS}q)0LX6)3=H6YCpi2XKyCqtA1DkA2*`uO z29#bv2@F(MGc5$^2Q}5e;ZOk8e+<oTkh^;D$gP0Ny$6*;pmG=-evo)O0+ova^>5ty zHZVft4P*t#+$T_ZT~I`!g+1sPYfyd{gX@h1xpxCdFUY?N&_y&4A#KA8P_yA_*a0e^ zhGsU%-WaG{JIF93dqHv)P&sUFngW%Z1**5)`5KrY`35{x0&>$9sQfd~0xEaD4a`i- z!EOdQ0VIC~DxU&cAmz^Y0V@9iCjSL0-vNoE3yjco400yOZ6crwfq{VmJzs*%Hh{_{ zL(=L2Mka80fy)DsJh(DpU|@&=sRNB;LCgjXV?p8{B+kIVunlB4D9<kgIUUkp?19SN z0XY;cj#ohC6hLzBd=nU%dO;dNLzUn>a)N;T3j*>SpaPSDfdQBK8hGSE>(e~&$b-@s zF8rIBfq{kLBkVjdxH3ghUT0u|70+<-Z(w^^z+nj&WME*}4;2Tw1zEfZDh^u%2a^kg ziW|TLptLqPZLl!F)~G>+8K#5F3>NsBGnhCBs8C^GVE}JbfeA7&Foc2YSr!J^crZ+A zH`HGdFaapt4;2TW<qj1CQ9Mv_@FDgf0VsYAbwBu|d8inO3WvHMHogoJ1K~wb_k%7C z0P$g180vn|IsPy)5S<8h{{#>R39ka>Nd^{%8AxIvrZA}fWME-f0OBCwwV-;FfrViO zk{F1Y3o1_-SQs{dI7m1G>R-^6$4J5qIZ%JW#=Bv151`=yx~CZ?2BNn>#V>$3NZ1Ez z&J83n5OW?>J#4%jBnHBcQ1#$zFhBxOyaDRY4^TdgYJrNw#{XgBzEE)nP$2^oWME*J z47C?F{tlB8hpC4NK<Ol?d%(d56$4R~Q1t?6<~KprgKif9se|EUsCv+a+%Pc^T@F<b z8}A2+f$&;r`T<?12jau995nrat}uj&foLbF`JfvYL3|iygo?xF3t-|`q2U0!Zx1F0 zqE|x2K{tzo_%Pf76;D9(Z#q;QHs1hKzZ7b30h)SqsCWgMcrDZ%aK#TZ1XQj=#bNUm zFex)=xPc2~m>>fKgBw)+3^em0$9}OefEzhT>U*K)fcslW;ya+>53ZPz#5JMezXQ$u z9BBRpS4>Fiw?gyp2{iR`(EJOo*pbxdLBj_&p8}K8fr^70HZVa328L5m|Gq#oe}f2U z%^;|e0Z|M~zpsTL;xImpmgRwngT2SVzyK3p%mon#)zcu8VB)r%5OG-f4-*HqsTdhp z;cK8_;(g)}^&g<_go)3Ay5|R)IH+w5G6%M39i~2vA7T#Z@>OK_Ea8QS!{(D<>L<Y5 z4{8S^`AZAtel+oG>=1J_(8S*gK*VA77tDM<XgC|7sc(b&%K}Z@9O`~peFrn=uQ0@X z&_zbb{xXA_1Do%HslN_&4|oh2$zL0w?g#hrk;Ge};R8D$5@yaDsJ#hj?wkcRCj(9V zBR9mIu=*Efjsi4(3((YGgPLD~Ce8@;7r2p$<j!WOzdF#=7eeC^R=>mS<%Y&1tbT`y zAA`CdR=>l<Q=smI)$cIzGN^lC^*c=bF4R4+`W+^&4vj}x{SFf^g@!Y%eus$%K-~|k z-(ljvIUwN)tKVVbp!O;#{ln^an7Av{{jmBSCN2(jKdgR-iSK~AA6CD^#5thuht=;e z@i3_SVf8yq{2DagVf8yq+yUw@Sp5zYe+~5)tbT`yg9ZXX{({x-FmW}gzhLz{O#BWs z{lMyXm^eGszp(lpCO#ADUs(MP6MxAE31?V+4HGwq`WIGT!^F2k{R^wFVd9EV|HA5P znD`l}e_{1COuQPJ4q^2*Oq>zwUs!z&6R(Ea3#+eT;$NZZ99Cb$#CJjMh1J(E@pP!Y zu=*M%epd_<4zT(fCY}zp7gk@x#Fs(Mht=0G@nER=u=*M%egkSgtiFbcuY$%StiFbc zUloM72UcIh#ND9r2&=DQ;&-9-6s*36iMv7F538?X;zyz32CJ`O;;m4B!Rl+6xFghG zu=*M%ehlg_SbYr>p8@q3tiFbcABOr1R$s%!O`!gQ)z>g_P?^sRtL#7=B+Sf!sK=3n z8JHPBomylO28M;=3=BdHObiM<ppGj81FRkZ^`k-J4SW!B@E9)x1H*bDi24r#pbj<z z19&Wofq_9@5F#$12@wa6r!p`wWb#AA4`@Ne!DFcm3=G?OA>sz@5OMH$CTQ;z4@5kn zA0iGOt7KqcSiuDm7nq1D?!*ZZKQIX*4j!Wft&L!Zh;LX05eJV=GB7YSL;ZVT4MZF~ zF3G^aFcIo6hlP+Z0*^<6&S!=C>%wP<_!n?HjDf)$>aPp`A>!ciM+OFlnNWWva6)1V zJnqQAz`zRi*8?tyIC#vFfq|hF>aPY-h&Xsm0~DT6e+h^~#KGf?3=9mXq5dk6fQW;~ z7(w9-_16X|h&XtB5wvGd1QHGbau9LwxFTro6zbm(3J`HvJU$YDs8=wAh{MLqKx50G z^ibdo5eJVUGB7YyLH%3c3K0j7A2Kj7JmZF#Gr=7q4jwyXU|<M^`d7gZA`Tui1SLtB ze^Vji;ITr``YWh^8=#Y%;4wi428Kwee-EgFJFt-PKn4beRZx2i7J>|g&Y3eXFo;0y zod8{=1)ggLjZ1Jq+{v&Oq8=u`PZ%Oz02K$%5rfhdG(CJk6JN{+Rlg2m4tTDafq~&8 zG~Pcz#bM?|!Q8nXq8=uG6B-U5(8Om#-B|#wu)%Z9p#BRqd<viyHh7L1lupDT?rDHl z*x<Qi1_p+?P=8H;R@gA{6HtFGfL7QraZ6}=*Z{4tVdCvj{~mx=*f8-6Q2$<lR@mS< zHwFd<OQ?ShpcOVud<WFO6TU;j0X#>{z`#%e_3s4e$P;+(lYxQ31nS=j?2rxsO#Bnn zUkRG1>EQx2olM|IRi6*d7Zb!$#qUA$#RPd&aX)DIOi)J^-w!PZCg?-N!E?Y23=F)` z@R<NjurPNfLc?c*Jw!cB`~WO{x}%Cm!NSKMRh$tPKH;e1hhhGWM-@+k`8OR^{36W1 z`KaQKF#kd~L&D-~6V$&S>LBW2;tWv#20#li@LVToy*t#u27M6qF!9Y${|bPvHf3O7 zfSKb9^=|=q5f?)I3pCy@Kr0rQ`YBNV8mxhs51xZ%U|>*&`uD(Qh&asNMNt1P*o`VK z1of|iBBWjb&ohF?6QKTm02K$%GlI$)Sh=SLQ4gM91g+nM`d6SHA`YGdWME*J2KBE) zBSaiL|Hr_<U;y>+f@X*~c;1hJf#D9+zXv)X;@~+w1_lOgsQVdoA?dsyQvEQTf#%Z* zCJ=G(`~?F8gACOD31+C`Sy1;ISU|+V^A-#Y47Z{AQ~}gs2l*e`lyHT*zX4R?GB7ZJ z=LJAFLO|2u23LqV;CTuL28N|j_h0aWh=b=R7#J9=pz(De7$OdymtbIEcnHnE2H_C# zc1ZLv@Id{0AOa!|vv)1jzaOF@;^4Um1_p+|&~!K<10oKdgJ57_@P_)g0lJt4Jof-9 zC!zlRupFWuJm<i`z+ejV?|O(hG+8q|fcjTpBSaiL&j6iI0@aTV+acoM`2_|BhO;np z4nsTyp2KHgU=W3d!-LZh^`QP6s02I>4TlAnA>!b<1_lO(Z_xDFa2+BJo(BMxlhANj za0^xZ95fsb+(i|afri6_2dLux&~RXYF2;eG&k1$E!gEyh>Cklh;0VNDu=ce&G<+sN z6Cil)0jU0krU!$Ukd8Wd%>e@g!y%}90=z)JXJ7!Y9|q+MQAqs-5oKTiukmJJV0aHr z53qH1uzp@NG<-ng86Z6{@iWl$zX8&$fUo<Of`-oqh_~SDyz`*>w*WLJ%)r0^UhB=k zz;GQJ4j-TcTd+0Wh0t)=0NuO}Ugyogz_11yzu-xK*t%>61_o<rxl{n1@BpvZW?*30 z1@%_}XyE~9og-)-k%56h3+k@`=w^J_+EGyR43ypsKyz%M@&)8+1_lN>XgFU$6EA^= zg8_82K6o8B0|Nu7p934igBk&*J~Bhr(1SThgf1&~ac36n;=iD4@sW%GGu3dY--N?n zX%6h>*Ro+3f6Itn95lR&&A(f4xKkO2e?h4pn>l-NnDZHje_e2>2dzoRW<DrgV-p9R z8G%h4)J(-D4(hjK69=uU#wK10s@uRZhe|NvaQ`VB{*4sE9xpaH#HZp2|BE=%Nj(nr zeK^z~!jXQsahPL{L;Na^^rnO(d_dh>Y~ieg!<}I`#N|Y>hi5(x^`SWY3u?w-b5AD@ z_t%JEw>JStyo1Kqu$eythx&6k(wjSu@c)3rJzH>yyWof~W*qL>j>Fz5INS*u=fdV+ z8yxDN;s|F89PtHO50A}!(69zJanKo!*u<aX@YfX_;`ea4GZ=@zGI4}6t1$NT)6I`v z{3b7Uaa|tl;)R0P#UJ4?-+&LhdeGWWY~l8n3%mMuPVC~KVGwNQltbGg2NpxhRq(oc z1_p)$&~}KxQdIF0XuIM8_>@8DJ{VB@3fit{fDT}Q%5jj+Ptf+ELNbVlP;Ucm4;+AQ zeuJs+g0{yJ(oofZgS8K#6Cp75olx@=mO<2m_Y*KMFx-Utt6@2+xH{DQ1<(lzn15$M z&Hu0xq8=t*25omO$b^VPyM+t_&~}$YHbfk}2MctD64V@r)ev!*ISEj67`h<h;JrQ! z3=Ce-@LZ4w5eM(p0`;4q?J<TTh&ar@d!hbaP>d?h3k^>PP(=wECjvDP7#J9$q5d@} zho}ed*#h<Bp!O<MLd3y)vOsG$q2?P@LBwJ9zJrG61?WH#OdPbv7t{_csD-G9h0h*n zI0)3EimO4xVFPp`3a0)dwA~)i1W^w&zX95w{LqXl&IWb=gjR?+%$!W9`yIL=;^6&A zpm8>+`xT&@C}HBf(C}gCMOEJbb^imXICwu40|Ub^XuN;uho}ed!C_!v$bq`^0d&F) zX8t4SIM{)S5cM$e^{{@{BvkQR(Dru2WK{7esJ{|G1wN>K4v}Wq0QHx{REPk~{D08= zs{q}^2@}tO`io&Ys`_V8e?34G{|I%zK|4e}c>fv$1H)=)d>w#J1cLWIF)%QI_Vs|$ z!-bg;_2B(W3=9lG(DLB`bfOWK{=K38`Y;Qk9=sQdfq`KP)L#?kK*V9@Jc9ab!(50s zEd0Hp{#q~(Rs0mxUlZn|ig!WX-vFJkgqd>?(miAVb$^r@85sWjhk!dE0S3@oGzJD_ z@vqQ+8c13UNxcDdoE{_&TK*0*Ck{y*G^P#{PXcuepyn$gnFAYF0GR`tGlQv*fUa8x ziGzj^VdBk5;>hY@YotNyL34yK^&61XBa5qn*OOrS_d0m}38uIRcwGmkcp+%bHq>9p z;r0UBUj?~G70JIz(8KRQ;%Z3ZElA=#NaFu+h#v(lwuIV?954Tn#F6905PG;C$X?`l z*@h&J950`d#F4{!E9h`8sJ+PX@&HL3Sv@0kK?=xT<nZUkA^sXk963A>Ko9=|nS(6; z0<^aR>K<hA^Psh_Sj5*u4+}&$CjfeAAV?fJJUfxZk;8L8k~ngBIzbN|1et>z4&RZ) zk;6>^I!=MEJ`G76G$am7&qtBOk=^+ZNgUaohR{O~LFOa7a~qO4O1vY9BfEbq^pHf5 zImqsRfFzEro)NS#9UA^9@s30MHIg`TJRX1^#t5<(S^Nd`a7J|T^U%W@(Z$z87Yd<^ z2Y^q<gXC-E@a#kqM-I>ZNaD!h=>$GC4`L2-IDAJEM-Ddy=r|O}J;>_Qki?PWy%T&2 zA;f&-a99LAR1#zkvUn!+FiCXrCQt_n8ZXG|*FwjwK<bgh;RTX7ayUqV4)0@toM8&e zg0S?d2o(pJBa2jy2|yP*fy9y1n=g_$ayV=RpW*}YFLJouK@vw+{})LdIlXa0k9h>y zi!9y-Jung^jvNlk(1ld!;%_`5^((0Q1QjhX|Jp&vRYB?{k^Jif+Q0=3XXNm#MG{92 z&(%oc$mwd4FT`Gu`N-k+2uU0{9BiNqvOwyQ!z~?299ew_k~nhsOu-?31+<R{>M!JQ zn+NKkLd7|e!l4?pw+kwctlljY;V)$M65!KuAn}f@J`}p34CF6laRu;coe=e)DN9(q z#DhBISnSn`M%at2J_bn~G?oH0r#J?o9@*ZzvDn2Y$05X#&EJnCj%>agbU_@*UuH<* zmVqP=YD>c0a{x&kwDt%l&Is+7g3JM}g@TD&A&G;gIAP+&NaA)#_C7-rM|KZK62f1| z?nyurM|RIbBynW-+(HsZc8@T${|s_JvU}Q)#F5>z0!bX%Jq*zPHpm=g_c$VnBfF;x zNgUZdn~}tk-J_O@2nS^Mcp!-*yJrECII?>lB8elrM+!PF0CGRFdxDX~k==6)NgUZd zFObBM-Q$psa6htp8j-}2-Lnfx9N9gek;IYRlLj5X0J$I8Jsn8m$nJT7B#!JJMd)}0 z$Q)$%L?MYIyJs?zII?@#vJn17c8>;<II?>hki?PQa}Y@!**(9I#F5>@2pu;8)fb>H zEwmV6FoBAL`~_N~0Lzd2ki?PQ`3y-M*_|9Y2!B~2<=-?Uab)+~<|5Q1yT1lW9NGQ* zki?P0^9z!=9Fo22(D5CRzmUbFaESNf5SPnGxDz=X+>peP!yyAn96210A&Dc0!(8Zs zVvswL!{H8+IC3~VhKj@D5jwoWAXA8NCvrRnA&Dc0Ln{vP9XP~a;}B1Sj+cSlj~qT7 zNaD!h^8iU4IUE$B<7*&uki#JgNgO#GCL@U>rw6tYL^vS3M*~S5**y(N;>hkfh$N2e zo?l4f$nG(Qjst?+kL;dhNaD!uIe{dO>>h<Og!_@*Q-mZATB-!gr>!`|S0ITayZ;K3 zII{aWpyQS3?pHt(7e&fn(~!iG{j~#09NAw2&;{}!^O60Pgd~pauTmW1GmylQ{dELM z9NAw#ki?PWMF2Vu3vv&#zuJ(*k^Qv-NgUZ<?~ufi-SZVH4$G%oAkoU80UhTB*^8V{ zry+?W+q(lv9NAt0=(sS*9OUo}L=s1KPZyFna`+rZ5=RanGw6awkom~&i9ixZcFzVR zab)*=L=s1Kj}~<N8e~4Qdy<jFk==6*NgUZdKaj+c-4jp;32>14$nI%G5=TyNOOV8o z-FX2?9NC>L&~bK<`N-}pLlQ@J=L{rqWOsf*5=VBYB6PeSWInPxU690)-C2Mnj_l4Q zNaD!u6l+9;53)Ngki?PQIRQx=*_{`W#F5>@1)Wy_xgXg*f>3c-zBmGL27?P!9HbsO zUu;7XM|S58BynVS8bIeQK;|I3vk*xf*`2G9#F5?k7)cyC+`?KQ4hETz?4AlFab)*g zKoUoGk05j&1!NAgd%Tduk=@gbB#!K!Z%E?E?vZFixD(ku1xVt^?%9YWj_jUSNaD!u z`3Mz<#UpIKLj^i-0rD4eJQg8|gO;$u>aPVz;>hij%{au5;Sj%xL;MX6aUSTr2*{l( zNcEaCk~s3XL_U%@XfF`V{q0EN$m&-ki6fiO)QN}}<Zv)R5=Rb)03>l_bJ~%_k?q}# zB#!LP(@5gT>fa-YBb)C8oi_!A53)N8ki?PQIRQx=*_`7@;>h-XMiNJMCpUB+5o9m2 zdVM5uWb>CHi6f`~6G-C7>7NhUU<R3woX*>j#F5Qefh3M>&O0P=WOLG>^Oqp=k<IBq z5=S=Y9FjP)Ic|N3bb@S729h|kIqgW|$o-DJNaD!#h(2^a735B2@gO8|WcRcpi6h7R zRvh9_afpXO=W9XsBKxZXNgUZ<>yX5e-FXp79NC?2(0N~U^D~gdQOrjYM>a<dI!}yl zjt7!BvN?T7;>hN(LFbjx&Cx&-M>Z!9NgUanXGr46;l=^#%tOZ&k<IZ#5=S=Y7?L=$ zIWLgJk<HPA&U1s@k8I8|BynVOP9TXRo5Kg47e_az4M`l?oE1po$mYC55=VAV8gw2V zWInPv9Z2HH=A1(kM>fX|I=_x?P6m=VvN`LJ#F5QWgU-jJo8y5bj%?0qBynVO4j_pm z=Q~yC{5`t)zDVN8<~JjWBj=+XNaD!$-o_#BIvabrl#3*eZ0}+uab$b9;SfKGL;Ma7 z@%K2ynV{<!K;eUIuP6?24IJXJNaD!h(||+#DUvvHI^>0}p8&ZBIeeUv#F5=ufh3M> z?`$06V$gLMAoG#!wLlU_wl@z+9NFH9NaD!$vO(8#pqsCOB#vx;9FjP)`He{8$mV}T z5=VBw1a!R!$X;ag{gA|w%`Zd}M>hW%k~p&Y9MFA(=;qrYi6fhzh$N0|z9@7(AIKcg zmM&QPkb4OtzgQ!+GqaGyk=@gSB#!Lgk4WOk_Oe0O3xe!LE+3+i#F6dYg(Qw_?;Rv@ zWP2T<>-<3GBikE>B#vzFY9w)Fd%2+N0zu{=+pB{lj%;rUk~p%xr;)^w&DVmiFGM%r z2T2^+{3%G{$mYLB5=S=Q3%X7b-TWLRab)u^B8elL{|reSIozyQVNWNski?P0Z4Z(- zvb~DXb&w!;BHL?*B#vxvH<CEAe_tVqBiqXZ-A@ZLAKBhQBynVW+mOVO?Og;FhxH$y zK)lbe4NV-nt(4&fR2*cl8&W<J0&RGJ&ijL!FmIsdsG*5Nx1lmbK*iC`d4weHiDZ5f zbRREBJ+k;?9O6mPeZ1)EAK?&Bg6{7{SFZ!zkO&JO&~cg|uZ5tAgZ77j#9KClNXWc1 za`~gT3nGqgP8gav%$#=UMkkPakj=3_gx#EUG;x?YGY&)4qnqP@3L=i~o^mvCm^mv> zL)4?26MqRJj&4pnnmEjy9hV{M(ap)f1rbL#XF8fV%$yUqA?nf1sec3!M>l6VnmEjy z8;>FC(ani?1rbL#rvXhIX3nbD5cTNh?Dz-~M>ppInmEiHuFnwl=;nC*govY?Q-CH8 zGiL$xl2mkaycr=2q|wbOMiYmbvxEtv9>W~a;tZtx4$BwCXyR~lxNw*QUEqr09yD>d zIYKzh0WGq@<{mV0xH-@T^BDdDEvCU{4w^XJ9MEDNXul6RpL%OT+=<~YG;z2&S`hW< z?(s5&h@+cRgeDF%XR#4PJ-RvGmJo4tbBfW#Vdg9W4PIdLmm>~y(8S^9I6>57xCc~- zV+&_Aakx2NILrxzh-3H*O&o3xbR#f^dq9h;u(=0K9Bxh	j<@5+ULk?m-iWo09}l zk6{jI0}nR$pozoH$--d{bYm=rd(gz;<`iK!N1_rUj&6<vnmEjytSX3lbbnQVHfUk- z*8((gm^r5!v72)Px=|h7Jq*wTjbP$1bF`of_|e_d*bOlsrXJS*nT{q7Yya%%M^z6i z_a2~$!|dgn2vLu2Z{lQ#IJ&*%XyPz?XG}*`53~0GnmEkfSI~o+(Cz&(54$-E^HIfN z=6FF5c0xBNVi~G>n0p$~#9`*FS`JZ<ZqAOi5OG*Iz|6UWCJr-4bv;Bqx;Z%;A>uG| zVDZ?5CJqZvkFBWcVfGfFiNoxjwGE;k-QJI&joe7-8D=m0PKbJ#dtmlz>_rua*&Bc+ z4zssvA4EO6y%(VeV!_OZ+4~$#9A@uK=s5@=bG(t-)n}mMAag(%)?faGL)-v*?f}S~ zP$YA<A&G;Ee3&^Wk;Fk~tHH#7B8elrr|>AmzaY1OPQZexUyVcj5mX%AJsQw+5J2XD z`XhfJ%_jzXs5nSHvO7JX;xP3r5N9yNL&ee6XF$ba>S5;BL&ee6Z$%PE_OJJGh(#cC zKzd;Q?ZY8{9V!k|iyZ#v89^pM)?I`A3%d_h3$#%jDSd+Wkbr_B2~AuC;tYn_XyP(Z z@jMn(^I`k@PNIp!%x7dpRSz@Y3QZhl{&zI-9H_lPY^dhI%<n=IhnasEO&n(a3wDG# zpdozdwj+jI=mDjm@C-vr&t*_?kS<Vo!uqolpyD9)$m!<<^q@14dXV|hW5pQ)c|jtO z{vfjXQBZM^EVB6}P;qqgF9?EELgE)>K6E<|L!=Ny9HbuE{3NJ2NEX@r8mKr(J+k{Z zL&ah0Vds$iKob`LWlGRFA;KV)5ch!0mw<{-5<wM*-HUe}O&n$pzbLADm^mh52yu{q zq1$s9c0$EL?nn0TA*eV=7sx%Z___lX2dPK)uZT2ACB*$8^P$^J80JF7LF$psUj`Ki z=|VRD08|{L9@+dK@*tH6^P$@}7#bBI;vn_N=66BGL9)o^FM*1K)FYdJM+Kx3VLo*G z216?J;3JTFWb^Z&;vikf=668FLF$psKcERxi7+3!ErP*Q3nC6uk8FMrR2(FWY<><@ z9HbuE{3UuIl?d~p+Z-5l^&#RQ^~mO%LB&C`$mRz?#X;(k&F?S<sYI9$-EP1jXaW%j zsYf<n3MvkgMK<3ADh^VQZ2ko3fx#g4p!5S<$17<G62Z*xN>Fi-EVB7FP;qqgi=pB$ z^|1W715F&3k3OJ@!}3v(HOK@=IDqVh<;Ur0;xKd0qlv@J`C@}G2jpMqGI)kcTZlNw z{m9|j1QiF#g6xIm$2m}Okb30sd;t}QslN|BU(3TDB!X~1=zK0v{bd6^fEgqUau0NQ zGs8isILLft_nd-?gVZCt=Lu9Cq#oHlGOi$%5PL!9LzkN}EQN}L)FYd}4k`}Pg>3!_ zs5nSHviT}rAe9L7q093a)<VTW>XFUg1{DYCLN@;bR2-xp*?e|ykV=U8AoZ~H<AWv+ zi}w~Zaag=R^FcKSmVUH-QN>~AB%_JL%<1q$s0W27bU79SuRlZ_<bGuTib2IevLN@v z(vJaD9HbuEzco;CnELn7^QG=U58j4}zk!NhfF1+~5(l{lx{QP&GzcVunSbM;;viXM z_f$Z|(cQBl45Shg{vh)~dO+#cG8`ffQjhFkC#X0`7Ni#D-x#PkNIkNDcSM6!BFu+Q zcQQD}K*T}nk<Islii2d4%};@fgVZCNKN~6zQx8i&575M6@h%YyQVDSn$b4A5SH+=< z!_v=wG;x?Y-_gWj=Ey(~J_nfw3Qy=X9K%AWILQ6T{#^wX2dM|S2Ns@3pyD9)$o>^b z1gS*0A9g-iK@zI?ALu^zlw^cB$UV?$5r&sgagh1Q?)d~22kAm~4-e?TDd;#GvU^;h z2fBmQgUpAmTRsaFM>qc(R2-xp+58Vsagcgs^L=tbDk1&_nZE~=I6-&)LB&Dpk==g} zDh|?xZ2k|ZI7mIR`TBVvl@Rkm>S5`p1Wg<k?`zP+Ve!rfJ*XaJ8ps@2`Uyr8hndrj zCJr-aLm|inh<iZ(g{^lrErN)H+>h*EJE%BF7Gy6h{X{^;LF$qH+Yc3osfW4q3Ys{~ zojk=Ll@RxU%!j!%uLM;b7H*r-#9`*VMiYmbBT$Ag2jt%~(D<DS6$iN=*}wCk;viih z_rUzS11b(ukL+KTa*#@d`=Qex3@OkH1VFMNb712S5tSejh<cEFVCz)xLd8MmBfIAr zR2-xWWDd+d3{@ZzNcoKH9*a7NIEVz9e+TN{gHUmF^G`v=LF$pse*zT;sYf>7p&6tS z;eOb7%Sos>NIkOqFG0mYx{%F(0~H6UM>b!%1*8&UK1e+*-ZRj|Ve!5IO&k{QOl_#< zz|x^RnmEjydNgsEIZN6R=77Qz7XF&h3m-u4NA|A~R2-xW<bIfXAE-D;J+gmiK*eF| zVd>`w=-@M?@d{Y_dD8__2?-yNdtl>ch20Qwkom~&se+1wWRcx71u70wkL;c^{UDVH z^I_vTp%WnDAoa-R$3ewGvdHFFK*d4ok<Gs{1*8&TK5Tp`b}B?1q#oJ)G^jX87TNp; zs5nSHviaMg;xP5F^z#Qz92V~;(?BXA?g5z(i}z{MQN>~D=Qf%+%pBnvsOn+nSj<F- zgW?M|ZnGCE4st)Te~&@MLApThfu)}ZP;roYWdG_w4^)S#hozsM*&q>wzhLR7VGcqZ z<Q~{~5$jxtILLft_wYf*L9)p1(SVAB)FZnmVIfE*#9om3uyLoCP;roYWb;2k#X-7| z&F5JJ62UCrGL}KaK_tk0(AsNI5%&=)j&A-hs5nSHviTy*K_Zyudqc%RvLN-a^fLiX z92V~<(8OW!uC)?m0wf$j=D^ZVKAJepoaJcZFmukVLYM>cFKj$Ba5Y36-M>*#agZ#? zURe4mfr^9FBgfa8^&piHcY@3}fsQwtf^W}+gb#9j*+IoYx{%F}fQqA=KLK>GDYP5| znQsF%UvewR1WfalpyD7|Wb<vH;^^iVL&ah0cR<e}+JPp%0xJFiO?(4X+++vH1V}i5 z>^%V$uS64vnX?g19A-}5PE>PlK+V~VCJr;_HJUigoE5uJ&3OSe=PjBz%pBF-sOn+n zc<n)mgTfzl?j$I`oQH~o!V@`uZ$QOCx<KIoOFy5W;vn_N>BkOq;4CzLLF!@U&%Avg z6A<AE6Yt-T5C^#jHhwO003r@DAK5*!P;rnf$b6W4%%S2S^~mnYKLSz-u@_{12sFL@ zfQp0EBb(276rmp3d}*jSNIkOo!6y;wLFUIm&A$T`2dPIk|0z@)qzl>n|4?y|dSvs> zPJvWH+z(O@D>tgq#9`&eW;AhFxgmN6)f`wk7KJ7bGiMT-ILw^wXA$Or{F?&xugy7# zILQ6T{&j_lgJePOhs9SsR2-xp*}v1E;xP4dpyykEL=&%piff(+sYHZN3sgJ_O}qyx zzU(5Z`aMu_#!IN;F!L?Z#9`(ap^3xH*T0Nv&K;=vU1;Jk^ADkk!_5DUCJr-y#}!ob z|3J+bzKSXiGv6Cc9A<tCnmEk-mDdpFfZ`W6-=udPA`Xf#<oGp*ii2d4<2Mj04pNUC zzjLADF!gJo=e{xA1c@Nx5hnfxNgU*!5@>p>yaiDYG9TGJO;B-=EV6s%K*d4ok==6{ zDh^W*%NG*2K_UqE!16@^nm8<9Y`TN09+pqPqlv@J(Z7qT9%fDm=s;U&`3drG4b;Ck zq2eI-Bm4IeR2*a)vVZ?T#X;(k{cG|Vq!QvUkohf8^S49ALF$psKL8a6=|VRD7E~Oh z9@+da&p|2?=ELq$%6<V62dPIkzXU1{l0`PZ4=N5)k8J*Is5nSHNDekHd>)558}xjC zkXqz<VMQcy(3L1Kb3&2Ck>{hGUx93dv`?Io=0j)T5Pyq9-03xTbFRIEh=WK_yljES z%YUdi$o<ISCi)(v65<|Y_xpZ?h=WLwIa8qKltIPO&FMiBM>ePVGspx)Ji^XjT>}+I zH|G$NII=l@Ul8UX$8RH=IBfm%g|FDvGk-%BhpAuo163SWzg$2Qhp8|8ja~h0G;x^v zi+@nnn?NU+??S~v;efo(ock|!_2y7<ka}07^rQD5RXuF{BnV9$)?d5N2$@g@=>o+s z^!gbFCMJkD$b95<B7r2194}o^agaHnc!Alw9Zei&Zy^iBe2{vOz0m7z7^XnQ(d}J@ zB#vzFBUXet$oBHHp^C%oy~d6z4vXJMP;rpG$l=e+fnB{NR2-xpIs7MaVpqQ(O&pdU z+PG2G!_vbfs5r=cWcTcaii5%p<X@Qjmr!w#dSv&wLhro;i6fUk)lhMeIiM?WVCB_% z9OBQQ;vjR7%e`+<addOoc_ID+sR!NZ2s1wqNgR2dQVmobWDY1j?17du$D!gNbCA>F z1*kYoJ#?89!$+t%y853`agcgs|LQ^S|3eoKfr^97LH2Jxk~nfYT#qD<9M0bSkZ=H* z4?4;h77l$l#IHle(d|72t>{7GdPw>G4pbauK4>ZzX1<6Z#9okk(2`-8_(3FbWOFV< z#nH{-7Q$|h7gQXi9yHYlGk-n~@gF$EeT5<BqnmR9Dvs{{??~d(Na5fw0x<_&{d^qa z9HQ9Oi$TRfeggGHVE*#MAwCf*4zd@tBpjxGy%@xNkiS6b`3y8YCyGPFLF$py^LwZ` zO#Kz8`i0Pj9J+daNr*Wx^>?7^A40{^)#pp0s(%7iuP6->M_0c`1|g1|ethK+;>hi& z$MOhqWc4MA2ytZftjgHMZ>k`~k<H0cLx>}r^IILe_(V;FII=nF+StW+=pe+A&G*wo zh$EY$1Z|Lm!VOv63`yJ+DSbvEi6f`mB}n4P<~+wCu4RbbJvlhU*WnNsH^Od?DpVYl z{*#g1AB#hL0aP3m4#?@>*dDw2Cmpbh$2(yc|LTlgT)+h(4jSWu<vUv>apdrfKoUn* z--;xTtbPHKII{Y)NaD!qA0mk($Gev+!kx(K3z5W;)5A$5ab)$9ZrIJq#35b|6$iy5 zayhmhhxjL`IC?z3^~P>*oi9QhIlqYbBgB#2zcc_Lj;!7+2)j5}2tpj$oY|oWab$CJ z!m*1Via>}Xn-dX*UHoM<LLAxr`dEZGvN^%=*u~S4#F5iOH<CDVykA8UM>by~0lWFp zIK=1T5WkN@+#?aY`C(9TQ2IeG2l{Y`UxbRIr=OHO?B@RhUEl;=kAdvp35D3zs}*Ax zcSI6L&Zl`u;>hNAB8elb--aZPto|aBII{YGNaD!qg-Q_aM2>f9s5q#;2DRHCfEsHI z3=HN_aZtD+n-hm5j+{<vki<cEtHa8@JxJon=J1pv+=DD0gF`$EDh`Tw<Z@#X4)Lc@ zagh6w%l`*e*zGN>L5L&gFZMcwII=ru)FZ@^)oV6l7ysLY5JxtruLU8FY>s>zcJZz4 z2ytX{ygRXr-|0e#Bb#5;gAhkH#|?C08<zARg(Qxge(I3Kk<&vvR2-JBVEyj3P;pSa zAlthQDvqxH3RE0jy?8%%_ebIopN&KOJd!wazk`1QcJpPS;-GYhT>gaO5T5}R2e}_P z9cs_TZvMe}*u}#aU>AS85W6_XB7`_{`Cy79jvW30NaD!qYmvl})z3f@M^=9nNgP@I zO(b#T^z#TR4vY5>pnzpyVE6|W2ZbB5IjV~h{z6V~&Pd|O<xew`II=mHafmA~L70!M z-ViDdavpN|lZiuo4OATDe&l#xz7o6n7ON5B$ocf#8iY8qd*at2#F5p1SdU%&$VP-X zvN@rf5#q?^Jlld@yk;9h9N8S99oWSe??i|rn{T-rA&zVg?_TWUib&$f>BkjG963Gs zLB(O|3ReD<K*d4vf^2UsR2*IXY^XT8`g=IU74~6we-aMyX-MM8<<B)Fab$Nsfr^9D zA#(X6vmatFx_CTP9OQoFbol8sL_J6x+5C>P*u~|}V;A3Y0lWBlByr^O;SZ8Hvc2M< zi$$UJ4zhX|BynW*@krvx>U)sHk<~9i5=TxyE1}}BcxQla3_A)HM-QJjNaD!pjq4I3 z9FWT&FC=kf_sqf}{tAcq52!dOev!)`gUb+m(Z!3Q;vn}U$9vulh<cDXvU~pDM2I8j z)2X)+;>haN?jppI)o;ItUA+4NLLAu~nMVk5WOLRys6d{gm&dq1o#j{=@#F5Qs zc!dy0Hs{J~?BXww#F5hv&l`k#<n$m46^ErOSovcO6-N($7pOS8`Xs10y85L!#Gm63 z*L;g`C$fKIk;IY9pSeil$m&-^#X;!}x%_#ILtO10!d~Qbxbqi69NB#TKiI{e{>3g{ z{U5vdG$e84^5HO&II_L>ki?PIbAxZhgUml7t5-u3M^+z<B#x{;9Z4KH{S-jOVet-| z_vwL(qsRMZByr^Qb`eP&x%?4i1et*8{zM$&8*zy5hl+#Z9l8AZjzipv31KgCyqmHk z#F4}27zaWeIiJRGA;gi@zvV`VBdf3D#V#Jij}S*T=dl1n9NC-_A?)H@A_#G0a~6nV z7dI3~h$EYSTmm7EY|d;c?BeT@#F5j_Wh8Or^l%3%4og?C@`p(pyZPKuadh>XP;rnh zWOwG^5MPHw`~wbg6&aApko1XM{-hv@Bima96$hn5<nm_~4)M28agZ&@>99c)Bm%J) z*?ci=?BZ*5u!}qCVHb}@5=Sl{x{$<??Olo_j;#I?k~p&Zw@Bj1>ZSCtyGIX6969}% zL&ah74l93xq2eIZknOEP5=TyNGmylQ%by!a;>hM`7=TR14F3uo;%!iIP`o3TKl^Zq zGa4eyM~?SDrU-Fl_w<@0#F6u<vL!+sS^X9(ggCN#R~zi&l6DAjWOG*8BgB!-v3A5R ze$fdbj%-f43wH4zt_X2t^ZVQp;>hMCd14nYLlQ?$KeLd;k<-Iss5mTL!OEYLP;rng z$o5`>ileLl02N19Z|nsU!Aw7;IK;Q(5Pyv%j$Hm|dt*1>5-JW#hsfnmArA2^P;roH z$m!5M0wjXz{=1Rb#fzh{i*v?e7gs?NM=l=%k;IY1KL<%1S^Z2Tab)#dki?PIKSUBo zR{s@A969~`gNnoA9ajEG#bNiCBa%3BdW%OAM=pQnBZ(us=RFQ_`*`g3`a;D)@s3>n zwBisy0Tl<Ch8*vQQ$Qk^@g9<f5J%3ZuhJ3X$nLMsM2I7+=gGz{{vZb-j%-e09zq=1 z9Hs*7;xh^n;>hOc6=N6QUxE-vHb1lsA&zX0W(9U}TO@Jh^pl7rj+`E{pyIG}1uK6h zK*d3}Alo|=DvqvxJ5(H9{VyEiHkBZinDJYOLwpmGICA;(2}vB;oeWhF^Fiqlx%{!f zAzlp?2kAmihkWfI5lnY3>A)^-)rDRBLN|8t*GS^X<%2{IcJ;<c;>hX~ki?P0p&CgX zS^Wwmab)#-ki?PG&rzs2EZ$+|&qJs<$hFAkaP)#iAmN6b-qeu9k;|VnBynVOrsEJ_ z2^9yqhl2re5BpiDILLNnb8bV$LFoaxToUdBsbpY47Y~DqgJhA@L*QhP2*h8==0BQ( z5J%3x71I#n$m%&~AjFZ?&zgx{Ja0Ba9NC=za}eUl=1iD}U0iJeLLAwgJqxjm`z}U^ zBb%?d6d{gm&evtw#W|NF#F5jLE|NHMIx&NagW?x7-wG?2VxZz6(~#{=g^HuAZ-$Da zt3QTAoMQz@C1(73;SjGw5=SnV_92NQyYmcG9F*RW%O#eT5PQ+Z-J#+jS>$whYb!_u z)13v|v5T|q#4bK(7k2UONaD!l#uFrQWPklf5=U0Avm3kl?nvUu>PwKsk<ITw5=Txy z6QSa;c!%v5UJDfm*^X?^OB~{#pyKG}2<`!yfEmsbP;r=g*glb1G;!$iPKK#y;?QM* z3_qdbAX||07sFnV2xPrIDE-6CcSRG2nO}=04m1BDR2*a)viUEd;^^+z-3L;Muoq^2 zDw;UV{F6{|kS=8NFF?i7%@^GdQi*B4FPb>a{Ebj?kS=8NcR<C_&Hsxg4s*Zh0gwp@ zdtv6!go=Y?k<DKK6-PJ!DVjLUeA$B_6EMwhgo=Y?k<IUbildu<7EK&xKI<Wn37F<* zLd8L{$mSP7#nH{*iY5*-|1Fw0EPMhFgG`2`e`NE|oy0DF9V!m97glb6gNlQ6A?L@= zQy>w9zhLJHOhXfAfUb8fIgP5mLmsqSm4TrVO}qyx9)AW^{S2sh4x0EJsJPczRP`I6 z;^AoGTcF~m=TOxjfQmbzi64QAE1XAFe*r44iza>rD$acYRs92~xCEN`6R7w%H1QWu zapsGt=DdN5KSUG%02P0SCjJE~e&!OYIX|G{H_^oZK*hITMpe(C0Ew?7XyPnT@kLiq z)eAty*Q1GxK*f8nqN<mGiqAq5mw}2`Ttii_02OaV6IX$XCtpWZuK^V=Koi%2iu>I_ zRc`<lk46(Wfr?w+L{)DA6?a1uw}FbQ+(K3F02Mbx6L*1%^WR2Q?*SE;K@<0ZivL0r z4}glZ-$6Ae1S<X%O*{fB{s~Py1}c8xE~+^RQ1QEH;wez^-S<${XF$bIpo!-|#h2Yj zRbK!V-;5?+0u`V509AbjRD2$qcnws%<{_&32B>%^ns^ITJpB=>`VOdg37U8hR6OW0 zs`?2~@pv@xDNu3SC#dRYK*hb##OFZ8HJ+lXUjP+1MH62F6&HSns(uAjTmemd4OILe zn)n8&IQMf@bGAUmU!sZcfQo-Z6W;?Bzw!droC8qthiKwQpyK;qqN+au6+eR}eg-PO z>J_T`3sCXxXyR9(;!|Ivs=omhUxX%p2P)q1237q7sCX}$_!Fpj_FGi-FQDQTXyR|6 z;$iPl)qjABC!>jffr>l6M^*m=D(;6S{s$_q^8r;ogCe9nw?q?Xfr^WNL{%>U6<0wM z7lDd1eL__)0Tt&*6PJOCzeN*QfQtV@6IX$X-}sDbz6MnMDVn$rRQ&K4RP_c>@e63; zCQ$KpUs2UtK*e{XiQ7QMXMRIf?*J8Fh9>R;6>s^Ds@?-CJ`qja2P&Tb166$hRJ;aF zJOnBp^%GTn1XMg7O*{rF?)nQ=eF9WG2u(Z%DsJ!_Reb?e+!jr|1S&552UUFqR9pj1 zyap=H_7_!s15{iXO}qsv{t->Q11kOxO}qyxe&-*m`4gbxFVV!OK*f*$M^!%qDt-k` zd=6B669Z^>BceUL04ly8O?(Mdd@duZ`V~;|RcPXCpyC}&sOmRB#iyc)Z-I&zGoz|M z02OaQ6F&kKk7Geqe*!9=jV68uD&ES9svg$<pM)k3YyTIpp{j?q|7+32VeS8Dc2xDS z_J0PNIIR8e#(}CH*8UGh6Nk0`4LMQO!`lCLXyUN;zYG_udRY5k6HOe}{%7Y#RS#?b zi=c_a+W()>#9{6K|7hZ{_WxZTRP$l&|5s?@u=f86UR3q4_WxBhaaj9*GassYSo{9~ znmDZeKaU?(J*@q|8ciJ5{_hk(RS#?bPeT)jwf{>5QPsoR|BYzku=anv5UP4u`#%Rw z9M=B#5=K=IYyXF%iNo6erXr~7VeNk>G;vt_UqKXAJ*@q&izW_h|8t9>s)x1zCD6oS z?f-9R;;{BVvpA|bu=f8$G;vt_{~ek*to?sR0@WN?`~N1IIIR7@T@qD2to?rkO&r$# zUnGU99@hR}k0uUl|MyCxs)x1zXQ7G1+W!?YsOn+u|5h|{So=R&7F9j0{a=744r~AW z$)T!;wg02h#9{4!OL<iFu=c+jnmDZeucCme9@hRhL=%U#|M?YB)x+BVGHBwk_Wv(5 zaajAGT?y43So{AenmDZe{|QYT*8absjA{<7{eKrt9M=Bdt%9l^*8V?%CJt-=FH=QT z4{QH#MiYm%|0k-Us)x1z=b?$i+W$4`sOn+u|4uY<So=R+164h&{a=D64r~7hX`-r! zwg2PM#9{4!TP;-eu=c+fnmDZeuc3{q9@hRhMH7d$|Alo>)x+BV3TWc6_WwULaajAG zTNl+FSo{AanmDZe{|!wX*8abuhiVS2{r?b69M=BduaBx8*8V?(CJt-=uQEVY4{QH# zM-zv&|EC(Fs)x1z7omy6+W!qksOn+u|6Vk4So=TQ7*##2{a=A54r~91nV_nNwf~dR z#9{4!M^jYwu=c+nnmDZeuVaR)9@hT1L=%U#|HaKw)x+BVDrn-c_CJ#as(M)apC3&e z*8YEsCJt-=|3VXowf}EeqM8qD|35_&hqeC?TcN6lwf`@miNo6e>#R}L!`lD5(Zpfx z|Cu(Z>S68wWoY8C_J4~ls(M)ae<GSVto@&FhpHad{;xq3hqeEs>`~Rj+W+Zj;;{Dr zcQo-mN|5mcF$ah_pxzVme1RpBIOu9h*#6lrBynW*n{bFf#33%=h}~Xss5oeT7IdZ~ z%-&?EILMu#vrA#(yO6{+kj`N^jwB8`OBJU6Ig&W?Jj(~DIBY)U4K#fCov^#p2uU0{ zeBL98BZrT;Gj?<QaEQ0!5buGCgTf~k$-g(C;^^U{?}D%wIecu8#F4`%21y(_d{Uv} zu<(&lhNPbss5r=8WdANl5=RanL05!(kkxzO5HG+XUJexpg+nw_I2?wGgWQ9hPNdur z_9BOaI+8eYIJhH;BZtFVs5mSfYM|l3<Bl*N*<bod;>h7J6G<Fd{RJH2KX8cuhl-<z zgSQ95J;>p(2uU0{9M&U=BZtFzByr?$xB(T1g~J+XIDChSgTf!#Us9e3_aKKu3z9gp z`rSChAL9^z1r<jR2Qx2(y~yFvizJR54zrNNk;7pZk~nfW9EOU+!r=}y93DZ%(Zhk& z8{r=0aL7jzM-GQ&IK<E45Wfl)M-K-@AB4Tg;ZT7jjvNlHNaD!huoOugIULqO#bM#V z1HB;aEL0pl96lh4BZotjFT$P3;V==0_)Z++2cY8U;lSgEFdsP_l99xb!=V64961~& zAc-S~!%V0+EF5g0;jjZLjvfxTk;IY1!POt(PULW?!6Ci`hxlr!IC?mIhl+#TgB%Wi z0SJ4M!yy_;9621Sk;IY1p%*F+3I{f%b%PtB;vjpG{k01!4pI+VhXWgrx(O9WSN{kq z4pR>sA7czexF6a4TBtZoJ?vb;jcDR;Ak`YfKd3mmy;eck&G&+egUkmVSpsWs^h3o# z=IA2TQ@fDFk=^+XhxnCX?DlR5frx|b1%(@IJoY}CIBfh@I~1ZG<Q`;uGoj)zb713U zbJ4_M<7_XX;^^jlfr`V-$$_SS;V|s>S|N!euS;w}5=VCDaU9}Y;n>ah!6Dv<L;O1q zan=Zk`JjA+yq?e&hj;^2927pt>j`<&A?Cos2X-!{4pbbZ9@)R9P;r?02IzW^5U4o1 z`Xs10O#K9?`bMZYy814tI7~gv{FP8~boJYz;xP3KpypqLileK)4;6>0UjbGB4=RqX zo+kq}e0D(9YeU7+)tf-YVdlfs2Sdft)h9y5Vd_sn&2NB;qpR<Pio?{y%wGW&M_0cM zDh^YB18V+Ns5rX%dr)zhdRX}Ug^HuA=gve8ADH=CP;qqi#!zvX`7r+mLB-M4CqTtv z>S5`j9x9Hmz5^-_Qx7|@X*pCJUHw+5I7~gv{a2vk=<4r6#bN4U>Fp0x99=zE7Hasw z+^-20M^|qI6-PHe5GszYJ{~F#Qx7x04l0hWz8xwKQ_lgN-(Ln5M_0cEDh^W*i?7R2 zadh=}pyDv~u=?vaR2*GBXEyfm(SVAht2cy-!_0@dKL9F@u09Sb4pR>cpIWFmy81S# zI7~gv{H0KFboHB|;xP3v^DjZg(beCEio?{y%>M-yM_130gFSrIq2lQ34WQ!a=KDj% z(bdO7#bN4U?yrH0qpNR)io?{y(*F{uIJ){xP;r=gnE4l>;^^vcLB(O}VdnpYileJ% z&qWO%*!d)CP;qqi`cQG0`LOiq2Ng$G9|IMKsfU?g4HZXM-vSkfsfU@r7%Gmgej`*I zrXFVg1*kZ>`kPR3n0lD`KcM31>e=#8!v_|gs!(xs^?FconE5dG_(H|e)kj0cVd`P# zS3$+m)i*=MVd`P#FM^7rtKR?>hpC5|e;z81uKos89Ht&-{&%Q2x_Z`p?BSyV6-QUE z3l&E<-v=s=u09GX4pR@Sk1C<!=<1uG;xP5F_R>PAIJ)}vP;r=gnEB_R;^^wHL&ah0 zVdj5>ileJ%DL@S$nEA?3adh=MP;qqgy`kdh>La1zF!eC=E1=@&>KmcrF!eC^FMx`p zt6v8dhpC5|e-<i^uKpTS9Ht&-{#U3tx_ah9?BSyX6-QUE4HZW>-wP^^u08@P4pR?v ze>qefU3~*o9Ht)T{`pXGboFbY;xP3v^UpxV(bZptio?{y%>M!vM_12Oggtx|q2lQ3 zwV>kY=6gcL(bb1T#bN4U?k|IiqpPonio?{y+&>R0j;?+UR2-%rX8viYIJ){PP;r=g znE9Wf;^^ubi?N4~0#qDby(Ux~-Fy$IIJ){Us5neL%>AWMadh={P;r=gnEU5K#nIKT zhKj?~!^}Sg6-QTp87dA_4>SK0R2*GBLkafqk%x+-tJi>vqnqyz6-QSe3KfT`hq=E5 zDvqwc7Ag)?4|D$<s5rX%RZwx5dRYJZBvc$-{UxY4Og#s5z1&BrIJ)}(P;r=gnE7(0 zkZ?m+uMQQ5sfU^G1{FtF9|9GJsfU?g3>8OLUjr3~sfU?A8!C>jekD{KrXFVg38*-_ z`ioF;n0lD`AE4ss>i<E-Vd`P#%a&meA2p~rOg+qeSEx9;`N2?en0i=$ya+0euD%*7 z4pR?nzs-V*qpM#56^E&ZnSUHAj;{U!R2-%rX8wDqIJ)}3P;r=gSbCNz#~wbaP;r=g zSo_KaDvoY`5L6td9%g<aR2*G>6;vFi9%lYbs5rX%<xp{$dYJjgpyKH2&qKvw>S5-; zgNmc8{{t0=sfU>_U4cD(RG{K8^)U0Dq2lP~2SUYR>NBAEy#OkXuD%i~4pR?{-x*MG zboI-i;xP3v^N&Ks(bb=Wio?{y%zq0NM_2zFDh^W*YoAM1Vh<l>s5neL%soy}adh(o zpyDv~F!S@F;^^utpyDv~F!QHF#nII-g^I(}!^}Sd6-QTp7Ag)?4>SJ_R2*IXFQ_<7 zJ*@nZtim2XN>Fi_dRTgMgo>k^?++D+sfU@L2Ng$GUk(+AsfU?A4JwYVehE|@rXFVg zVW>E|`ZG{*n0lD`uc6}T>VHDTVd`P#OH^YIA4RA*Og+qe2dFr@`F>DwboIGVadh=% zP;r?09nkzZ6)KLdelb)WrXJRQI0O|(SAQBR4pR>+A6`Ml(bfNeio?{y%onf09zF_C zahQ6T`Swt8bn|^1Am+f-!_spOR2*G>DO4O?{S>G;y85|LahUoG(D2*?6-QTp6e<o= ze*>!i2~-?i{adIwOg*f;;%S8V3thbwR2-%r=3h&wIJ$Z#s5rX%M5s8r`Yfn8Og+rM zoltRf^^>6DF!eD1ZiI@XtKS6`hpC78_a;;vUHx;YI7~gPJ;T<7J$(3~;^^x2pyKG} zn?uE6>S6v3gNmc8kB5rG)WiH+2Ng$G-wqXrsfYP@8B`oy{T8S=Og(J=;xbemUHu)X zIJ)}ZP;qqiEX|N`gQ<u4R~agfu3iT!4pR^FuQya2U3~~t9Ht)T-(sjZy81?_I7~fk z{$c@C99{iNs5rX%6Hsw<^%tSyF!eD1et?RjtN#fVhpC78SE2<HZs_V2q2e(0F#kG0 z#nILKLB(O}A3)28T&Os@`ZB0Ey85Y5adh?bpyDv~F#qm_ileJP1{H^?hxzv@R2*IX zJE%BJJ<Pwnt=Pjy8Y&J`{{re?E2ucS`OZ*rboEJ4adh?BP;r=gn18#V;^^upL&ah0 zVgB6&6-QUU8!8S{5A*LWs5rX%7f^AS`VUb5vbSLm9|5R1x_W)6IJ)^3P;r=gn192e z;^^uVpyDv~F#py=#nIJwK*eF|Vg6kX6-QUU6)Fx>{{!mZD^PKC^>?A-=<5GK#nIKX zwnM@VrXJ>B6{tA6dR?eEOg+rMK2ULV^`TI4n0lChOQ7QD>YJeAF!c=3b*&4b;^^vE zLB-M4pM;8|tG@&lhpC78_ajssUHvbpI7~gvzmgr0a6?zG1Qmy=hxykLDvqw+A1V%0 z&jIys9#kA%eK}MdUHvquIJ)}zP;r=g0jT-=pyKH2k3+>_>S6wU1{FtF{~jt1QxEem zUnlnPk%5ZC)WiI14HZW>-vug;u09zmj;=ljDh^XG0rhV;R2*IX6sR~%J<PwGq2lQ3 z_dvyA>S6x94HZXM{}L(=QxEemM;G?+5rm4Pt2cm(qnmFD6^E%;fciHADvqu`5h@N- z5A$yWR2*G>CsZ7!9_HT_P;qqi+o0kw^)UZlg^HuAzXugZSN|6(j;@}q8xn3X^%_wB zszSxl)$2jUVd`Q2^@WO~s}F;U!_>q4TM89NSKka3hpC78cM((^UHxjPIJ){%P;qqi zm!aY?^#)M?eu9dltN#rZhpC78SE>gRZs_Wjq2e(0F#kG1#nIIVK*eF|VgAjBileKq zfQqB5pAHpASHA!%4pVOd_3wVDIJ){1P;r=gn17!`#nIJ&fQrM^!~DzNi#>c~q2e(0 zF#p;>#nH`og^HuAPl1Y~tIvgs!_+%K{o4Z-M^`@;Dh^W*^Y0d@IJ)}1P;r=gn1Am; z#nIKjf{Me`!~DzHhdq3RpyKH24WZ)b=37C<Vd_1g{*8o+qpMGXio?{y{M!f>M_1nk z6^E&Z`FAB$99{i(s5neL%)i&5;^^w{L&ee6|AUI7t7q?rgd0qK0Mx%~P;qqi`cQG0 zdYFIxpyKH2!=d6Z^)UaILB-M4w?M^V>S6v}3>8OLzXmFfuKqMs99{hts5nf01k}Hu zq2lQ3|3JlI>S6wso&X6qboDAwahQ6Tf1RP?=;{NZ;xP3v{}w>S(bZQ%#nIKzfQqB5 zUkDY4sZW6V_W)EJUHwU@I7~gvzb~NT=;}X0#bN4U{uP*rJ$&S#;xP3v|Jp*u(am>* zileJfg^HuA&x4A?)Mr5b+Y1#(S3eCZ4pR^F?^dWdy83-kahQ6TfA2!Y(bd0(io?{y z{L3{7d-w=L#nIIpLB-L{w}y(t)E7Yg8wC|dSDy?OhpC78w+SkauD%;84pR^F?<%M` zy80bZahQ6Tf3HKu(bYeIileLl4;4pO&oLPiZZP!~Q2(k!#nIIpK*eF|VgB`pileKK zfQrM^!~9zg6-QU!3KfT`hxvC2R2*IXTBta>`ZG{*boE!E;xP3v|9*jrqpSZ56^E&Z z`B!ENB;3%|t3t(L>S6wMfr_K64}yxr)WiH+2o*<HUj-FMS3eUfj;?+YR2-(h0UEyt zq2lQ3PeH|D>S6wU2^B|I{|PD%QxEg6;8g74BM%jasfYR34l0grzB^PLU40r<99?}r zR2-(h1M1&Cs5rX%=}>W)dYFH=LB-M4?}v)R)WiIH4=RqX{tZ+drXJ>B?rGS=M+7R4 zuHG0bj&8mUR2-%r=HF<jIJ){2s5neL%)iZ0adh=PP;r=gn15G8#nIL8go?w|!~A;# zDvqxHAygb)J;QYD;ll|PhpC?cjb9C@IJ)_UP;r=gn12JH;^^ulq2e(0F#lFS#nIKb zLB(O}Vg6kT6-QUU4l0hW{w!1+UHvtvI7~gvzh9x^=<5GL#bN4U{*|49Jv`N*;xP3v z|GGlO(ajHrio?{y{96PSM^|4B6-QS;3o4GTelb)WrhW!Aeh)##(bb=Zio?{y{QC+j zj;{VQR2-%r=3k+i*uzHwDh^W*^RGQr9Nl~ms5rX%bf`GG`U0ppOg+rM{ZMgq^)sO2 zF!eD1ZikAat3Lo0hpC78_dZk{UHx0AI7~gvzdW<BhmR;!99_K$R2<!WTc|ipJ<Pu` zP;qqisZep4dYFG(pyKH2d!gbm^)Uagfr_K6-vt$isfYRZCR7|<{UfM2x_ZXh*u#el zDh^Y>0GggPq2lP~8$rck>S6v3go>l9kAjNB)WiH+2^B|I-wqXrsfYP@8B`oy{d%Z4 zy83faadh?9q2e(0F#mpoileLl4;6>0hxu1-4)*X=hl<10!~E+86-PHe1S$?w5A$y^ zR2*G>4OARm{cNZ>y80zhahQ6Te-A^&(bb=Uio?{y{QDXzj;{U-R2-%r=3n8t*uzH= zDh^W*^REL`9Nm0Rs5rX%45&D|`a-BUO#KRIdY%9kM^`@+Dh^W*^Y0F*IJ)|SP;r=g zn13HY#nIKjgNnn{!~DxT4}18CLB-M4n?l9W&9{S!!_>q48w(XjSDywIhpC78w-qXm zuD%Z{4pR^F?^>uhy87KvahQ6Te{Vs>(bYeOileJ%nvXqvxS`@O^)UZxLB-L{H-?JC z)WiH61QkbD9}N|UsfYQu3M!7Sz5^-_QxEg+a;P}E`VCNVboJ+<;^^vcK*eF|VgCIN z6-QUkumBQnF!eD1%0tD`)oVb-Vd`Q2b%%<hs}F^W!_>q4TLKkFS6>SiM^`@wDvqvx zDO4P$9_HU8P;qqiXQARS^)Uawfr_K6{|Xg{sfYPjWFaKn(A6tJ#bN4U{&j?kqpSCV zileK~go>l9FM^80)WiHc5h{+Zeil?5rXJ?soltRf^@pJ1F!eD1K7@*+tA7s_hpC78 zmv0gF@DYcKqpLTAilduv4;6>0hxs=SDvqu`9V!k}5A$yuR2*G>KU5s19_HV5P;qqi zd!XVl^)Ub5hKi%Be*zUpSI@i{d-(7`#bN4U{?&$xqnmF66^E&Z`8OCUj;=liDh^W* z^KUg&99?}UR2-%r=HC@iadh<?q2lQ3FF?i7)!&4Q!_>q4`vWSDuAXrTB-~)?Vg6Ns zileL7go?w|!~E+36-QSe1{H^?hxxY@Dvqwc4l0hWelAoTUHvksI7~gvzel0s=<3fw z#bN4U{(TD-M_2z1Dh^W*^RMVqNVuV^SB8qi)WiJi1QkbD?+q14SDytHM^|4A6^E&Z z`F9dj99{ivs5neL%)h&!;^^uRL&ah0Vg7vt6-QV90V)nt5A!eoGVI|a0ToAAZw?hl zH{Ss&4pR^FZ#+~SU3~^r9Ht)T-*%`ty7~!FahQ6Tf7e6B(beyTio?{y{Cfu~j;{VG zR2*GB%W~}D!wVIMsfYPj2P%$kzA023rXJ?s5U4o1`dFwqOg+rMHBfPM^<7YLn0lCh zS3<?n)o+4|qpQCN6-QTp3n~s%5A*L&s5rWMrWKHIgQ<u4R}m_Xu3if&4pR^FuP0O- zU41xI9Ht)T-!iB;y83#kIJ)|IP;qqi%c0^h^)UY)gNmc8KMxg$sfYRZ9aJ1${dcH1 zOg+rMVk;rxhOS-(Dh^W*^RF{h99_K+R2*G>HdGv4eF;<?rXJ?s$xv~0^>d)&F!eD1 z?uLq^t3Lu2hpC78_c2r)UHwO>I7~gvzXGeUhmRyw99_KyR2<!WN2oYVJ<PueP;qqi znNV?<dYFGZpyKH2Cql(x>S6xf02N19zYi)7QxEg+U8p#^`e#saboH#Ov4;;IR2-%r z=3iZ?IJ)^}P;r=gn14f|;^^w*pyDv~F#py<#nIJwL&ah0Vg6kO6-QUU87hvh{t{Fi zUHxsSI7~gvzrUd3=<1o*K*9~C9_C*qs5rWMZKya*J<PveP;qqi5m0fMdYFI9q2lQ3 z8=&Io>gPkn(bcbjio?{y{CgZKj;{U!R2-%r=HK^Fadh=RpyDv~F#n3Lg@hZrdR3@6 zOg+rME>LlF^}bMXboDt<adh>iP;r=gn1820#nIKzg^I(}!~DAkDvqxHC{!G#9_HUC zP;qqipP=F}^)UYmuEQQaQc!Vp^_Ea^bn~5{;xP3v|0Y7k(bZ=`#bN4U{_TW{qpP0; z6^E&Z`FA5!99{i>s5neL%)j@b;^^w1L&ee6v#rM-KKxK|n0lCh^`PSD=9@#sVd`Q2 z4TFlKtB;3@!_>q4TL%?KSKk8_hpC78cQsTTUHulQIJ)}FP;qqicc9`h^)UbbhKi%B zXW0M=H<)^uf0d!)=<0Q#;xP3v|9V5k(bY#n#bN4U{;hzDqpNR(ileJv02N19zY;1A zQxEg+38*-_`ioF;n0lChKS0IN)&GQw!_>q4E3pw0Zs_XOpyDv~F#ozj#nILKLB-M4 z=R(EN)t5oVVd`Q2oeC94S3eIb4pR^F?_Q`ly82^KahQ6Tf1g6d(ba#3io?{y{42Bx zd-zC0#nIJULB-L{cZQ0?)WiIn1QkbDpA8j<sfYQu3o4GTelk=XrXJ?sO;B-k^#`Eh zF!eD1-iL~#tA7C%M_13j8GHB$K*eF|VgA*Jilduv0TqX-hxs=gDvqu`0V)nt5A$z5 zR2*G>?{<hgVd{OL=S8o9ileJPk0g$KKCsFTh&dqj$mhzoA&DcOBf1xd_){F>Z=m9! z^G=b^SGU-SuowB<;C>`=<a2}PAc-TN8@vZe9QoYf|4?yQ_`uHTHQoiW7bXr1=LV=a zC>)Uey9bB(8K^kO9OQF)1$RTt2bqH$o;FD0$mTaAiEAUB>$?F-99jK4s5r=8&^f)Z zd(D*gK<tHy!|p-j+zSy0xd+*tr%-X2df0itBKsifVdAj!eiNYL=;q9Wio?{y&ilQD zCJsCAS8hMVd~|aj9mFnPaTvQe-%;%1OO9a|-;X4Ye1H8LByr^MVLy&A2U)!dk~p$@ ze<X2a^)*Q1$m%B`iJKzD`xYc|<a3PwA&DcK<9Gt$PGs>G9OB1th;y98ZcZT%@p`B@ zC|@I=W4s-Q_%En9DBh9JG5&fEyS?2Pu!}2R!Y;o3GIsGxNaD!x@*hbYIozbKAk0Bl z?~WvntUd`z99exok~p&Z#Yp1F@xBHs4$DWda_IzA929QI<|JN6xKj%${q!S=BdebW z6^EG*yXSo^ns^EHoNdEf*v*ee5=S=w#~tkI)$St1k=2_*#nIj4f+k)Ab<gkn2y>AA ztN8#Sj%@y+M+k9b^&gPLk=6f)ile)S?J>k0n0O1+J>5?r;-GjzHh(9QII{Uc&#|j- zKoUn*-wzds*$a!WX=vgw_ej6QZoVgyII{VVUSn4;@CG4{tX>`}j_w{cG;x@FR=-7< zgB(6Lk;IYBFZzI8{Q@L$WcBNz;^^+#h9(YkkM$?)=4T>_Bb(3k6}x%^BynW*_E2$j z_xPcS!}8aq@7T>}_<;~dHh<<XggA0|9!C;KR(}mDj_#hPXyUN^HRmsO^G_j(Bb)ya zDh@Lr<}V=z&@BxN4Cwj70ZAO$oN%Z(x;Y(8*v;97B#vy(UZ^<C99X=oGh;U=6iFP} zoEWG$%p6$wNU~rz#~n!=*&IKpIJ!C9tk})5L=s0f#{nu1GY3{LxTA@~!ru=~9Cq%I zBO7*m^O3}n?bT&RsMkcQU$#QUVeaXHmWO-L#9{6^j3y2%$98id%tuboFOkHN-Q&)M zP><}M^H6b^d!|6$a}P}%=ALKV2y>9_72!dMBikzt6^EG*3x6dvahSg}(ZuIK-7_63 zj-D@$B8em0%PEL(k2g~I+d{=*?qOksTr?5_6$hD*+z*O{ileJ9fr_K6uZ4=EtDgcD zM^`@=Dvqvx3sf9k{a&azy80_nadh=}q2lQ3zd*&&)>xqpKGYLWC!B_{c)V(bbzk z#nH{Tg^HuA4}prKtB-|>qpL50ileKqg^I(}!_wPKs5nSHaynTA6^E&ZrH7qRagcgs z{~m&h!_>pl?M<jSy81^@ahQ6T`9GoJ=<1n-A>j;D4>Mm9DvqvR2`Ua#4>R8qDvqw+ z2`Ua#4>La!Dvqu`2`Ua#4>P|KDvqwc2`Y}RejZdDq#il^mqNwS)gOb3qpLp)6-QV9 z4l0hW{wq`*UA>qHB%IOJ%R<G`)!RYE(bc;{#nII#L&ee6=Rn0_>X$&vw+^T{y84Mw zadh=-q2lQ3w?V~W>S5y#m!RV4>Tg5E(ba#4ileJ%5QT&rO#K?D`(>cw=;~FW;^^uf zq2lQ3y`bXg>eHa&=<4&K;^^wTq2lQ3r$EKg)o*}`qpQDxL;N9B9A*wIU;KrNqnpDf zh8k`#^-552boJU$adh+Dq2lQ31EAvQ>T{st=;}+M;^^upLdDV5&w`4htKS9{M_0ce zDvqxHHdGv4{S&A-x_Sn2NVuV^=Y)!*t5=1JqpR10ileLdf{LT74~B}PtIvmuqpPog zileKa0u@JBKNl*Fu6`#}99{iG9O9f3*uzH%Dh@LrR?cfe#X;sH*K0;ladh>bP;qqi zK~Qm+dRRG-2NegYM=s~fq2lQ3Cqu>2)z5*7!_>pvzZohHQjhHZJy3C&dRYFt3>8OL ze+Md#uKqJr99{h%s5rWM2}$hXuLu=KS8o9oM>pRQDvqu`0xFKKJ`pO8uD${)j;_8D zDvqvx22>nf{X(cXy80bZadh<uq2e(0u=H>jDh^VQoF1M*#bN4U@%0xf4pNUCzid*F za7I_J1QiFVM>by@Dvqw+9V(8lJ^(6?u09<q4pNWoo&u;iOg+rMJy3CwdSv%Zg^HuA z-v|{)SHBA?4pR?H{}-X+Aoa-ZxdjzRSN{<zj;{U}R2*Hsm^37uLF$p+FAo)msfWdv z8B`pk9@#ziP;qqi(NJ-adSvrcpyDv~F#k3{#nIJwLdDV5FNKPut6v8dM^}FeDvqxH zGE^L0{d=f5y80hbadh<}GT6gY7AlUe-V!Q~ZoUc?}JR2*G>I#e88eKS-XU40K! z99{his5rX%jZkrP^=F~t=<2UQ#nIJ&f{LT7{|yyKS1&G$Jv<el;^^vapyKG}yF$g$ z)h9y5(bZ=`#nIKbLB-M4_d~_e)vtz%qpRNn6-QTp8Y&J_kDR}*K*eF|Vg20KP;qqi zU!dYJ^|1WKEr$qCWb;L!;xP3v^R=Pk=;oV1#bN4U=6gfM(bb1Q#bN4U=4V62(bbng z#bN4U=66HI(bZ3Zio?{y%wG)^M_0cEDh^W*GygPH99{hts5rX%*HCeE^<SXk=<2!U zafClq9Ht&t-fBa|(akr3ileLdhKi%B4}prKtIvjtqpL50ileLVhKi%Bp8^#}SHBu6 zj;?+SR2*IXX{b25`YTXzn0i=zy@raTtN#KOhpC692W|x%;SUvusfUL@R2<!W6R0@4 zdT*#Wy7~~PIJ)|5s5rX%5~w)3`fjK=y86jbagcg$q<fza;t>B16$hCUiZouzuZRdA z3nXz9s5r=c9whTmLd8Mmcp#}aQi7NRQV&{p0So^;Wr#RPy*rZn4Qdc^n0i?J%4k5u z(bcCy#nIL0qlv$O4EHkJLKBCX{~an0GCv5(J(`*bdy&VJW1-?OdtvFM1S$?P2f19W zfr^9F^CE@YDjebuq2eHOkmKb&R2*auC_Mi_{l%t*a3`|8d{A+adSrWTaEKQ}#nJ6; zgo=aA0oe=l?+mCoNIkN>tC7T!)6Xj;apdr9)P}epWIi8K_#D9@&Z`4a53(0Ie4O+l z;vjSQk<3Yhii6Z6w?8YO;vn?`Na~+J#nIJs7(mPiiR&Y!6Dg=T$Q(f=a{{2^Aoa-h zCPBqP>V=Thw?W0x)z5&6gVZCtlf@9>9%S=1ki?PQX$2JrnS<=k0;o8;y_=xoAoZYl zhvnZ#XyUMSVge=*_rTP{@|OdeI4nOFnPFGI2u&QO{u7e82vWEinM2G$cmEftILJN7 z>SZh->e0m=pyKG}ctXWN>OtY)q6*1)+o9qh^#(}k;UrWXq#ilGL@goqg483&R|r%b zq+S%sU%RXz>Otbj`Qjo}9Ar))lD)Fl2=yR$9)OP1x}u4nfQpx+i64QAFU28#2~B(t zRQ-P(;@Nhn?t!I;*=XXh_&w@?UHw-yahQ5dXH@mD^cjgJ4ojcwT@mV$!}A<e96dbm zL&ZViKbe7n;SF@&Fv$&K4oH0%Qut><#X;(k&7Y4${4`V?WDasU_6$kf9Lan&cZj{{ z=G#KWLFOQ*hfb(CNIfV$ctF$bMl|sNsQ6<vaUZC-pa;TUkU21MJ2Y`vzR1QQe%%Yz z99Vkb_C^(l#c!xTL>%NV<Z>(@Dh~3OFj9Vb8Gv0qb09<<UA<W_LL3yoU!d{J9|92v z*^3;%;!tsrImq$rjYGT~Dh@ISIesT0i6h7FC8#*M`7fd3Aaju8*EAI3PLO&~yuji& z7EK%$zx`<9uz1{yL;Mw*I4pj}!%*#oiTg#Nio@c!4ow^uzaL^C>OuZOj^Fw??Bd50 zAmSkZg5v8BG`<!jLc~GlAjj7Vs5nSHa(vyvA<mJ6Fb6rll##@d<I5W=4l*CPe#wW5 zgWQ8$&bL9uLF$p+^AswMu0A9g;vSHCF{E_<IRzmOig#EzE2pB0!@@Z*9aS7wPBx;6 z!^+8xnF#gB?mPh%2e}hD9g1Wj)PvjuONTaS;;?j>hb9h7hjVa<pF|UfrMGW5#FKJS z-2)4sNoeA*@L80PsvZ_Tm(avv;d7@5p&pbDSwIoQz`(Gz7$Ob|ALMkn7b*@?h8&(B zafr*7Ak0BdhZacU$my^GDvoY`KU5s#9_0AC4HXBeM~*M%Qi#1UaaeqrqKU)eD;bCQ z1T=A2eC@>{u33R<FD$-d(Zpf#RaS+n9u{BA(8OW!wV@WF9u!|ZAP+JyFf`Xe#6jVM z9ADi~agZ|P_}Y&{{3%o%WDatCG1Mc>M~<)j281|r|5vUNA&%S+t%Zt%+yja)n17d` ziNpNc)dVpIrXJQ`n}R0J0WCj2L&ZVvM|O{DGpaeT{-!>fI0MuiKQwWey`gC0Fmo!Q z;vjpG{reIs4s$=uzXC0&?t%F?5ltMXz5`7hrv79rsyQ%sUPcp#g^yhuL_Nrz$l-Gk zDh_ie%wMO`#9`s{3QZhl??*Iom^q^D2z!yk#}i2$xgUKDN!$ym-RRhXFvl55ybmgl z?*461agcwJ)u(nN%s~!^%6^16vU}!CfQX};e*`LyZvGXhI7~e(eEvYi(ba2CgqRN! zN4D2z5=0zk4lF!NpyD9)$o^`9io?{y>|FyDM_2z8NgUbU%&7=_#gXdk4yZWD9OQC% zE0Q>JJ7FJG9Nj%PpyD8NkjGn6ry=YGl@GA`cLJI?tUN!8CJrmlzu^#9n~rKetUM3H zA)Y!Dp&mILYM|mE_alcx$83mtkT`NUJcWwG!ofrn((e|S15pnXw}FbgqKR8T#mjJr zFGCYIfU3WSLtJAns=csu<pdQ6xgR-R1Q#RJBZq?lR2-xpIUI73#PyK!X&qD?<X;J- zbhQLY98|A~fD|w=Fjy^t*b6crxn65p1`!7-Lw3(Xs5nSHvU~0#i6gt`JyaZI4zhbh zmm|yvxkm=1fPsNwK2#iJKC*i{RwC4+xCbf@Qjg*uBykk?K*d4Up}1!i!hDc>R6q(q z?tzMf%tv<5NhERPe9gE9Vh%_>@;YiAByp5<izJS0&V-E+b3o1j<x^NWa{!0<Yp6KL zURR{_z`O~&Is8y@ka}Ar^^Q<+bbE85;vn_N=668FVd`P+u^l+XA4A2_%}L&h>Q0zB z{W!#TLB-L{VcP~V2PBTX4>tfw969{Mq2i!@c2P*-uox;1G6#A8>@Os7<o&bk+Y$C6 z@1Ipi5=YMObx?6wxWUSYbvVRtLd8MuK@NY(9T0nA=D^wyzG&hw^QS|_LFORG`)4F^ zWb+MoLd-{3KLJS`S$*9uh<cEFB$3LWxlnPCImr2R9aJ2o9+dBNKnw;32E*MDb3p2m z^W7DwI7k_?`Mi4&>XFTtgNlRHgUmMpF&G#aE<?r9&6nE?F$bg!*?e25I7mIR`F>Dw zkb02$HXsHA1H(nAI7mIR`|I{2%m?Lb*geap2T;X#$V2L{H)!Ipdx{qyL{-nB08t-x z2vr<*Pq*M<RB_n-zbDYdVfSL!A3;@r0_q;Kqp0Grdv)KUi8nyiFFA&)K1Cbi&gkQ) z;;{Q_g-)P~=Rnn;L=%VI_u6n0RecFmz4<9r@fxW3J2Y|FJ*s(UAnHN!h1}kgJqHm7 zMJp)2VEqM89O5NVagaI4`QjmxIP$(@?eh@xLF$pqB}=F{s9Z`yDwhgzh;M<4gUmtR z|LcDdyZH|;A;gi(rLrpsab)*+UBfOOha`@?-<JIrLOrs71Chj$&5wtQgZvwh<lpHy z#BV{x(fwO`2VpO=`E2(P;>iBJbsr&)tUmi8LL6EBpGVlmCp|%kBb&1fNgO$R{=Y=1 zM-Cs)R|s)rbHbtGpzuLX5B)gAFG0o8!zcF*cJu$eMTjH&cglN&II?>(K4KTIK@vyy zuj*HXdSw6RBZ(uMUkeon`8NS6J#57x{sStG?%&DZ5%waRuksTij_lv9zp#sU{y~T% zn<MoPA&zX$>i^iq9T`EVSwQx0BAXM6B#s<zZR`m3$l-PYNgUandr)yuxFM$#F%F11 z=;D!3arAKe!U<6i5=S<_l^Y?B>|beKggCPL_k7sJnfVdo$o^d;gb+ve?>8iIWb;{s zA?iW?N<>O0HaNuVq2eHaA(xX8q7ZXH;>hN|6hnw3`?pF0ySRW9LLAu~6(n(F|DKga zs7Lm%xEw+p*&J1<ILN=q@f(Xnd;wG(<S%6ZS}R~T|BNC+9NE7~$_Q~}_n4_-7xzLE zNA~Y$4TO4R|JrII#F5SShKhsyiyXhrIK+=Z#nJscUmLsm2D%7wWd9!2!!90ffDlJ^ zXEu^JvVTQP5bBZr8;2y0Y)%eT9OPf*_+5fS{5ez{-M>v{2z!yu7q&o%Bl~xyB|;oo zeXTWi@rg*{$o{pmN2o{kZwrz*viXys;voMb$L}c|;=B$B^O4i@Ge?9tviarC2ytZp za=2m_pXY`UM>b~@k~p$|qrDL7k^Q?ANgUan%}{ZWf05(&H4bq-Z-n{C{yph~5JxsY z$`2uq?B5Um2ytZfM*^{n-#`*a_HSb-LOrs7Pa}yVn|~834)QN@{0fFa%t03qfr_K2 zXWa;hdXPA>`THUf;>iB>kH#+kGzKA#Y|c+4ab*84N<gSb_U{`cab$CTLB&D-LXKaf zM2I=);-ye=kiU@QS0EXp9wd%z{=yW5II@4u(-7jw>bWzpi_0U4Bm4J6HbOnJe}!@o z;>hMJK*d4+LT<N5;1Hh;6$kkX*}rCa*v&tlj}S-p@9aW^II??miV@<->i3pl7Y{B& zh$EYmjwFs8J_o81>XE~Ts|F#CY>os}927pt=^+4z_++R!didzoVK;w&JwhDWzoCr? zab)*sHe(mJMG{B$@3S_9dSw3^v?Iik&9{S!gZzu!ZZE?jz7r~r?%$A3?B+l1LWm># zx2y-dxK|%S9NC?>`w`;E=HyJoF3vO=A&zX07?L=0xJ{XfP>*ar-z@Cnu}I>`<Hk#n z#F6bynvF0AS$y^!h&XJ#0yb`Z0*Cl#s5mJ6k;g0e=AxPd8$YzhA)W>mM>nSqDvs`+ zE~q$2J@Po@PN+D#`lnEFka}cyhR;KFCv5z)6-^xG{_{|AkU7Zqs?3L&0}@9zf7=3x zIJ$f8;}GXs2vLu2PAZZ(@;JZ#Vu*T>`BF&ZrG8LxkU7ZXjlD?X$m3LRq2eHOK;u-f z{f1mi5axr#H$c~6n&S{p#UVZoO?(T~oXu$BJD}nZaES9QMRgBMz4A&_aag+bhl+#3 z0olJ2+Y#!ek;2UhDh^VQd_H78k~ng_pGFc#j(46N*v&VCildvKfh3M>{&6I6Wb-+8 zVmIFiDvoY`5|TKw`3I52k<Dk?h24A|s5rX$F-YRb=I=%lM>hWtR2-Dvki%bXH^g3a z^TUwDk<H(VB#vzUQK&e`d{DZDrH7ADagh1Q>ES<A99_Nk9)x?4)w@8&(bbpW5T6ef z2ic44&h=1nbaQS&#X;(k?fncDM^~@B7vfHIaX+Xy$Q)#Q<DlZ`>N}z0Aoa-hE`o}q ztG|Rp{4Z1-WDc^uy!%ky2`gtTq2eI*$oBRgfv5+GBggwUBynW(?T%tszZ^*%S^aw? zab)u&jv>rJRzD3%99jJjBynW*T*o2ifWi&Ad{%^tgZzbDK5L(Zs0WE7o8OKkj%>d8 zX^47|`Ji+T3r`a?aag&Xh(o*|hxh?Baag&17fl>i4s)GBbq`G30*CmH3kdbd;d34; z4hje4@Hu=1q8=oUoKE`hLBwI<0ISD$qKU)maf$m7_2}kAJVb~ipZ7Z*NgUbU4N!5I zy)g6d;t=O}gfJgD9+jcuFmqt#RR9k0YN$910|NuHIn$ux=;j>8A^r|3j&9DM=LmNq zhr@?g5OJ9Ke<0Iw4EnDj;vjR7!>0l&j;?+&R2*IX-nS5Q(AB?yileLN{eWG)84mG8 zs5r<R<Z$!;jA{-n{7Z0%&xeYmoAVV(9J!r#;R~wyu=NQHUs1(j>rMlZ#AT4$CrMCo zkiE$5lU^io<o5hjs5mG*Ws%HT4i!f?=Ms`QvN@l>L)?k3Uit?@99g{qk~p$EBap<A z)zAC`F$d&M&^)*ch{3?X@B}IjvKM(Cyx>2odLO9z-B59K^<R<1k>kaRi36O1LF$py zc{-9fvOAYT#X;7A%3Ij^-WSlsVds0#VMdsbY)%>rL>y)g?0oMYG;!GZTIZqSAa^30 z!^?_l4(xny3p8=q`QFt~addNb;1F+NgP4!5-j5w3j_&>vG;x^ww?oCz&G`xyhnWL& zzXAuU`7rk<L&ed}spN#H2Z<xcZ!s4_+y!ZVhm{*4j;!8+4<Zh853C%Bf{KI8NA8bn z2tm|?#F6)v*g(ZW=Ex!CyTwp(kb2~D@{<U5bJ#>7;^^jNLB-L{nTaHh?5_YZh&dqj z$m`ispyD9&K}#<F{D**}P;roYWOr(cBg{cIpG5*8jvPKdP;rp?p!@<0&t#}L$b4k= zok-%y=I@Y1n2+rKGf;7m`Jg2%F!$?9A=D$ge=d?Zvb`sf#F6dQkwKVajFf(6$s)up zk;HEyiOV2~KZc5f+=(0xf^rCRkk^BmBZ(U#nUjemj_gh)d4xI0?li?A-ijoS?EaHT z;>hm51QkbjKZ63oUS#*HA&Dcq-x5h2+1^?tab$bjpyKHEZh?w}(hst|w~)k<?G;r- zxDz?t7*!$SF!N#Sb5zm9Ve9#e(Zpf(_&lgM$UP|OO&!&InEIn=;;?!@S_@S@EWb>F zildwV&;V6E%>6uu5OH+%u1Mm@;jqCNp&q$@`2-b*g%50>g0cxjJxm<tPEB)&ILKaP zbGoe%;>hLX4jkgQq2eI(6_Lu%Pc{&9K;oc$bU+7OeKRQ7qKfZ<iibO)iXQ<LatsU% zd1&GXpyIor;^^+TcSbb_roIy@4pNUCp3hxS)x*N)A5<Kq9=ZJCb467TYgd~=#X;(k z)0GQU92O2R^P{2SAoa-RcOr=+yVJ!DVlPNNviZJHahUlq_cTMr(bcoML(GAxhq=cc zDvqvxE0Q>}d#-w-nv(+^4`lR$h@+ds4;4qZ*9t0*uD%0@c%=`-e3&^Wpy_8Jn)nf@ zxTG(tdRTwc5ltKxZquRS=<YcO6^GdiQ~whxj;`L-4`MG!968)%{2}5nb71*208Jbg zFCGC9^&oSQ>(k{(;>hjx^GM>z`C29rVGgo-7bJ0H^{bG?k?my&LYRZBJ`G76S^Z=r zapdykDUvv{Io81t^I_ow3x_DEI4B&D)jNhj)T4{1B8em0TMiWmnGZ^`uy)8-s5rVg zlA#Fmk<HPDildt|2P%$k&N`?#NIhr^J<MLgFogNY{)$Bs*FkDmbRmf&t7i<yZjK{V z9Aq!39ESB@qR_-)?f+#^adh{eLJ~&~2h9kCy~yb*5J?=_o&8X8n0wwp%kvdz;xC}$ zU!daX?lFpl*b6fU<}YtFahSiRL&ed}d5k2E9L_>fsOH1msf{KMb7whJ9NqlGNaD!m ze}am`+z;!Yaz~@u3+tbTL&ed}UyLM<Z2l#vILv&Q`(LAp!`yEk1F;v~d{?MA%p6#` zmjV?>SFaF@Fed~l-I^kaBcF>h1xXw^{p>*!M@~PoaoEi_LJ~(de=U+YvN`*q;vjz^ z=SQV@g!#zoOOeEp!+8T#9ApkCe_epqJ2%k8&p^fJC15xI6_Plz`KpN!_2}mNqKU)I z{|yxf#S3yiwM>GT0}@B}mp4=#WIl2@tb>Z9tM5vNm;+J|@-HlZokJ6cr7NKn?CQhN z#9``Zp^3xN|2;Hun0kv;i1{FQBG<F=X%KOkJMTclzY8i3QjZ)C8<E73*FXG55=T~V zn+`D_-JAv-;)igEvu0p7#{-9W7Y^~WIK%}qv6~-+LwpJj@#{FmC9|-bAB{si6Dkf` zHxh?bJ}kr`{sbxx3TNbXL@e0|dy(%8i$D@bj;~@Qab)$!k;IYJKSB~mR&SPruoqdq z7m_&g`jAejI4B)zAoY(b@*w7b#6kIJjxMBsv=}N5@-MRar;x;v)2B#2#2j?>VK~HR z;t;=$LtL%^yZMPY#24cbe}+R`vk<%a**L`4;t>CUL)@qcyZI$J#G9bv=;?D84)MQG zaZvapr_Y>Xh`pfr1#KaQwfA_+5#nk{>ES3;9ApmiJlZ>`ILI8(czXzl!N9<9wE|)e zNImj+`&T4!<aFy<iBON6u2PW1k=5@&5=T~l8A%*jy?PaP^R1D@k@H0rR2<|Tb);~} ztbv#V5(njr7(Gb7m;x0C`4`zeyOG3^^95@y#2j?>d{A+i`LJ;wU8p#^dNZgvy7~~P zIJ){+s5rX%8mKtB`c|koy86XXadh=-pyKH2PeH}e)$`P04+no7;*)TQU%??RR*&8M zNF3s`afsi=A^sXFj-DTt8zA<gi>E=wLE(U$AFo2iLE(m+?@C)B=Aet;fQp06LC%jK zq2eI*p!^sEVlXf;d~1c615%HiAH~`b;>h{M4@n%k-CKzyj-1Y?Ac-TJ^8!g6Sv_+* zc6-B-#F5o!BZ(vD(-NpS$eW=2*aOXv^P%D(|00`n3`rb0KMHgp+=HxM5-JWeAC@02 zpyKG}J3__L)yG4{(bZ=_#nIKbL&ee6Pk@S}t6v8dM_0ccDvqxHDpVX@y?7_~aEQVo zJ{O1heH`M7UD(Y}!6Cj3hxiK|;@_a+==n~s8)7fIcp+3A6b{JwaUzm9a(X_AB#xXf zz95Msryu?vh`k{5k<Cd)5=T~Fha`@y{t}WnvifI8;>h{pJyaYP?|Y#6LaG<x9%OSI zk;IYnMK_W-vid1dahUnAe6blS4)QOu`aMu_boIBO;^^uhL&ee6GxcG2KQ~kyUA-n$ z9Nl~)s5rX%0H`>+`bjv%ui_Aw=*RBPC>-K*aERZ>A+9(9yZOmD#0#O~=;?nY4)Hfo zaZorQ=L?>R2z!y!rwfuea=yq%5=TxCT}a}{=G;RPM^^t6NgP?d?<9nKkkuz5i6iHW zET}jv-rqp;#Z;&`$iK+u>_HMo&KGQxvD?cJ6^EG*%NKf3adh*|q2lQ3L!sj6>f@l| z=;~{s;^^wzpyKH2mq5kQ)vtw$qpLp+6-QUkI|X|<1mF;#j6?h?4sr3R*v*f^AwCC( z_&prrZ=mAn`9ftH#9nmqbf`Ee9FX%xJCZnZ`rMBsj+`%EA&DcWH`eJ8dqL(Sn-hs7 zj;y`}NgP@I2_$i3^>>iOk@Lk<s5mU%W%MEa8i5%I_aK{NjwFtpFB*}=k=1uW#bM^d z^2JK1ILN=q>Ni2f(bZpoileK)2^B|I{{t$HuAXrw#9!#@<)Px}>NTL^=<3~|;^^u- zaEPDAA<j1o;vRH!0&s{=!XbVYhq(A`?B++}5Ko7Sqo@D*IK&@8#X;^z&KFE`5cVRc zPb(yG<b08eB#xXO8j!@1&AEakj;#JYk~p$@=eY>?Agd2Y5=YJ#2~crZy!$}&MK@F& z<X>cSHX(^4=Zil`;>hY*=Rw>9Gar^ORG{MM=IcVm(baoH#nIJ=K*iD37emF-)z?79 z(bdm_ileJv3>8OLe-J8;uAX^5#J}j`ZaBm{afqMBA<nx1yZHe)#3$hpzk);j5mX#K zUq~&4*o!V63l#_X7dc<lB8elX&#g$}$ob*{k~nhu`HduwY>xjTh<iZxBCF3r5=U0Q z4@n$Z{Us!E<a}`(Dh`YH8fd;?Ta4XaT_kbjd{K@hj%;rOR2*hLEMLrrilh5$1ymee z{V}LGy881_adh?XpyKH2zeB~*)r&5{?q4~mIJ$Zps5rX$u26Aw^(jzsboI+|h`+)i z{uwHcZobe`?CzI_ileKyf{LTNrxu6!ejMVA%dp$a4;4qxNA5VpyP)DA_aNu5RY>B< z>HHCrICB2tU5?#78c5>E=F}jGBd6QxNaD!qUn7YltN)KAj-0<@Rw3MjoL`n9i6fiy z5{J0XYJ@q+>ho}jZ@?igxdyvA>QHe|d?h2zm&M}{Uj!9Lk1ytp5c5Il4SD?21}Y9x zk37!l3l#^c2aR*4fEWx646&Oa=77{Ak8}Rmj1Wf-hrX@Y#Z|Ur7vHr5yZBWkapZVs z+KFAgERr~~dM_k#WOt?_i6g6@gd~ovei@QDa=JQ&B#xY}M0R0!XE+Y=SvbV+;1HMF zjotiq9O6@<;^^t>EDmvjJqYuW%K`3#2yx`_S$GJ$xcL$6;%ARy7k_~yjvOzd$FQq6 zKoUn*AB!Z8?9K`#ab)#Nk;IYJ??e(uj`zDr;>hv-3@Q%FKA`b)SUt;g9J~8Pq2lQ3 zjiKV8e246wG92PNaESlHA+C1<;U47mdwEFW$oAGi#nIEx798T=q2eI-Bd4EFXA$-y zo8NIBySUs%?BZK4VHZD-B#s=ve~`qH?G?X_Fb7$^3z9gp`gkO9Wc58r;>hY3Ac-TV zpCd@($m!=SR2)4$e1?jH!V}s2KTvUW^-@<5{z6tCi$i=q4)KRL#6_<n%tuZ?p-AG$ z_9jEc(bLat9OCz&;voMbr=Od*5cVRQpMM9tIO{#^;<N8#7vF{?jvT*_k;IYh{f8uu ztX}&8cJtkk#F5n(BZ(uM-;N}XoPJg#i6f_<El_dv^l%F*4hm0X^B+US(bY3P#O{6< z9O7*_#82Q5|AQosoPKN`VYk-{Dvq9hnsA68g^Gjxi=2KAJjZT+*h}o<Z(d;+Z+e4W zd@hnWa{Qh`5=RdIr%2++>V@87H(wV?99ex7k~p&Yc}U{O>1Ps>ICA=#4HZXE4+o** zpzuUC{}falUHuEFIJ$c6ci8=vi$i=p4)MoG;>hVo`8{^?4WZ)b=_eD1_!_7<$o<Ia zXZaWG=G%V5E`IqtcJa)g*u`6r#F687Ba%3B_@6-%M^^t6NgP?d$S;Jw$m$)D#F5p9 zB8elXpGqWg<n+@76-Q4GE1=?_@I*F$BUBt+{duT3x_Y7C2=^nK6M{p08V>PONaD!p zhwBe^^Ch6-=;<c_hxlZuILQ6T>8FnoWCG?nu`0~i#don_7Y|^=E}o4fjvT);ki?P0 zZ8MTMvib)|;>hZMBZ(uc*I>u)9vdWa<n)t(B#xYZGNIz=>7gGg4hm0X_soEbqpRNr z6-QV96Nk7p2X^<@;t=16B#xYZJ|c-DyYoL(9Apb}zuue^B*MS|B9X<bpyD9+Bd4EI zK9EYx^usNHU3{S+c5!oI?BYR4;>ht^k0g%luUSaq$m)+Hi6g6jgd~ovo?isJdz6vH zk<*Vmk~nhu34n^Dr-w4AI4C@k-BS-0M^`@=DvqxH0S<8`QS9zd!67~aNgO%-+&~gX zcIPvwILH>{bRs7P62VMAiBNHn`;pU6j5I_&NF3SxPcqoWJLIs7%PC+Nw?q<0j^8vS zab$ZNk;IYJuR{_?R(}#n99jK0BynW*T#5*HBB!63DhP4peFQ?P5OGj?&_Y_L8Uhsu zg&XoXXBm<>vb{Hv#F5QsQHPihG6y-{qj895LB&Dt0bO+fTUWdeDh@IS+1~$1;>hNQ zX<)ZE6)KKy{z|Agy7>&62y>9_4aXr~i6o934okEU<{-QCHx6+wZHRi1J3(7JVBz2g z6-RgHd?ayX^S|K`XVt-Oz9&>1-TVbO#J}SZSJj1>4>AWi95VDE;vj#4#*1M4Lnok# z!}dk~#vxv305Jz-zAw_aaW9fM^8TQ?P;rpGpsfh7@Z1g+2bqJM&hI0MBgea;A;Ml{ z^E;8mk=-)`NgP@I3aB``d(J?`(cSX}NgUZd=_U~OfXo4fCoJ6BpyD8Nkkzk55=V{~ zA5(}qAoa-ZOn{1m%m*!Xf`!``s5nTy3sU%3njy?VHebdZA&zXm0aP4hK4=OMX8sYV zIJ)_s76@~Y&F8d)h=a@lg#&CKpBWDEIH)+teB|?&-b2M<=D@;J!V1-Vn79K}9NnBi zYwYT)aELF0ildv8V}sqCsW`;<LdDU|akYh*0}@9LH#IwmILyDWa0|vEUI!HinS&f| z|DfU^a}1E`5pjEn`5^Vk^Di1uagch@{7VUl0lKFQDh^VQJpb|^Dh^TxieFgxYdAp6 zM;8x<ii6BScBh~tcJ+2R#51Ad=;qvjii7M$_U}iiI7mIRfB!?pLFz&N%>gkO7#ND3 zAnpOFNA~YbByr^Uigbpk2Wba|1MHlQHXP#XpyD9&k;B2>1=SqbxP1l=@d;3IbaQ?| z#bNG&nWOBA-F$DTIJ!Akk;IYxyTc7)4oE%nxme%bA>uH5Vd+8810oJmk9_XLVlRj| zOg$`}?}dt^tN)55j_jTsUsQ8o_D;niegG;CG9NkoMFUXHfw?CTDh^VQTu!D!#X;^j zM9N<$q2eI*$meE61)`b{bLTXuI7mIR`KzGf=;reULCgWEM>by^Dh^T)N{2A_yFkT3 z>XFTlfQp0EBfEbOR2-xp+5DqWagchD`7rl?f{KIGBb(0_3~?t&J+k>(P;roYWb<z# zi6h7F%n*b*AbVl{I)+319aJ1-K63n4hN7ASbN?b7;-{eE=;joMVK-+E4)G&UaddM$ z!y)E?#F71L6M+y%4xdh_I4C?p;Q(|0MyNQ*9Ax!3k;IYBpBV`;A7&0bJaLG>gNlR9 zM-I>WC{%M`?pc9D`~p-Q-5jfE?B*on5buVHqnjfd12G3Aj_lvC1cW$p`LhBl4hsjE z`wv0ILFOQ<PfkIYgPhLGq2eHOjF8HK8&GkOImq$imI^Tkq#hKHu=aKdnmEioJQ)!6 zAajuIRe*|v%t5xd5h@N+k8JN&Byr^Mxta+vA7l>5J+S)gJyaZI4stjsWI@z}#F5*v znox0=IWYHoK*iD3ug`{<15*z>C+9JmI4pez=Af#FiN`|4LFOZ$i`x$shnWvkzZ)tJ zQjeUTJ#!)EgT#@;c{Y+bvVVE=5bBY|4Uxo=&2fN=gWO|`luiyn#X<HW-`g&l4>2F4 z9uzMy_iGoTio?P!9!(sk{wxmhn^19(y~yFlU4&{r%p7y5I7mHmxRpS~(cL*2Dh^VQ z96mdXA?Aa`k?j>NL5L%p--slRto|;NII{ZmQiM6k;lC3}9N9hEWeD}i;{HhD$mYaB z#X<f>j>mgYage`|<5963Vm?ScC>~+)6^bSf3+IJUagaI4?zsUKhnWLw*L{MDgVZDE z)2a%Hy&!R9_n$!$M>gNC5}_VhJPAo0*_=YCILJN7;qVSB4zd?H9R5JXLFz%_04rz2 zsu1=fyMG&09Ht%?K3AdQAoG#k`KB6S4stqitwD$*r<09H;>hZ`YO$-If+UU{4$qLp zk=+wfhcE|Oyaq`e*_>{uILN=q;rRzD4)QN@c-q%P%m=9lg(oas<)Vqh!fhv19Apl% zd)`9DVdlWn6?+53e2{wNc<DzHM|S^1BynW(gBua%Ad8nHi6fiS1{DXn2RR(RL&ZVv zK@JDjCW!eU^`LNor7L-;I7mIR`=>y~Vd`Q2T?Z9MSI^T7F&`w3JdU>)NgUbzXQ1LB z^O4<u1xeflsr=7qL70#1ucb)h$n`a28$><Ge2{-#K!@lsFfe#R#X;^wJ_o4`NgO%+ zXCa9ryZ<_pII@48+adOX%ts!V%R>@JHvdQ`LOrthS*SS7{jl`;1}Y9RA6dO&7sMQx zdRTnjfr_K6m+3}T4{KiqpozoUCyf0N^&oSCk;ct_O+bhvyN72YL>%TGSonuP#X;sE zhkqlIII=lQk;IY1{}GZna`^jAg4hc(A36Lhk;IYB=b8*r4|69h9PH4<Vc~EJDh@Iq z*<SN05OYA{$m6I!NaD!ukAaGV>@`J7=bcb-kU7Zi--0BL?0)8{5PL!9fYLcEok&8( zLFV`&#n&Swab$Dcra{aBsYiByG?F;7`?I0qAbXMB-whQ9nS<>9ZAjwC_AZ?Tu@__x zvipxf#X;sEtA8~Iq8?q`cP>O6-JB|@I7mHmI4nXEM>hY&e26(9^~mBb3n1b!^I_>B z87hvhJ_jleQxCgWq8loXu3m2;#C&w|eNb_9b8e%F!|tcxSOhT#rXD74j6*yQO&oSV zMKzi@>>i30IK(gG5dXCV)jhCqkX#B8NB3{da_r)wD-hzy<viy~h&ar@uyRixO&oSU za1WX|tR7s1CJsBVm~$1X`6i&t1Q-|?<k7?}pyF+4;twFl>@&<k6Mq5~XI_nJ{sidx zijrvJQ=sAvXyOZ?;?vN?mq5k;qKU)qyBA)AYHtqckYEM|hAK4i9Z+*7po#B+iifU+ zn1ddVnNV?1yx1U>Z@o})boEQ1;vn_bNa{~O#nIK@hl+#LTOp}uUWeU1Qc!V_dP^kr zc2IG2^FyKHAoUhV>MNn*=;|jx#X;)Lk<@R2ileJP4HXBeH$zhY9x9Hme&%|FzmU^^ zzy^dka($En6$hDbi)8*$s5r=c<Z%zvjR<p)&EL5RA`UVKR6fJXy~|K>kU7Zh#{R9S z>S6hP8Jak(Tsn?J{5=kF@olK)!^$f?G;vsY<&Q(W9EbQns5rX6a<@b5MHgR+B#s<z z!8;M^k;6F=DvlnWy-;zG`N-;LL&ee6AA^dctG^EwM_13i3*sJhaWkkm$Q<N;-)g8h zy83HSagcgscOKk>YCfzT@&+o7u3l^(LcJYQ`ZR@#gVZC>L%Bi4LGA&~L)Cy73=9k> zq2eI*$n#LwpyD89$mV~Aii6Z6o6oi%;U19rEg+2y3=Ex6agcgs^UpxVLCQe+q6S)i zG8{md0}`)*il?E8!}8H2s5r=c<nX)%6-PIp?I3pZbI`<L=FfnNqnp1ODh@Lr7GIBX zi1QtS*b6cTIi1u&#bM^a`q%5w#9`+19!8jh?BB^yaddMIqlv@JQ96R%oK~nf%p92d z*-&wi`;ps$aYqs6Ah-9bq2lP~OoWP~n-h2pyE*+(ahN%<bg~ys9F|U`jzi3WsfWd* z8&n)*FLJoGLB(O}Vdif^6Ni~Ecmlimwoq|&^CO_*F!N#I*@{Da15_Mj4stq)KMAoH zB#t~EIuA)4*<Yfku&WP25=T}acN(G|=1y3+wc`-q1QiFl2icuJk;IY9^M6R<$oFeB zoq^a3G6%UHTyO~@4s#Ezow*iG9M;ZsxQwbE){gZ>6Nj~9cS6NM_9DBp><YvjkT~)^ zCY?CM=im@uk3;-Fk~s4HBhFV5_9BOm4^$i$4j$0*unH;;awqcrBeRjjk?$W_ha`?{ z?<XX2WP5)@#nJ86yoPWmvb|nN;>h;KB8em0yAVkn+1|BKage?CNcGwcs5r>K$mYLB z5=S;)`#Qp%$mW|v#nH`Af{LS?KOadPIh`E40WlwB4yc~ufyT>SG;t27_}iNh^&s<+ z%gNwd5OJ6}F!lSP;vn_N_CC9fsvc(VUo>%;IlOlv>Otlp+gl73N4NJrR2-xp*<QB$ z5OZMaVfM<RiNoyGeE?ApG6&h-$xv~aIWYDAq2eI*$o8r|f|vtS53|=6O&n&9?_-F1 zkU7ZqZib4(%z>$we}YhtY_Ho>h&W6=%-&cuahN%|&mij2?Y#^YhnWLYZ~q*j9@*Zs z7Z7ondYHYnXyPz)dS61+qucu#Dh@LTrXF%S69c%J0ZO+pK4dotLL9Uv4<si5A{Y?j z9gGkG2?zx@hZ|%O0|Nu-4lIxqNEyidUZ^<ejA&$W7iJKTfdRCJ3dDk`KM55F^%;@H zy+9shU|?_n2_m`YG*sLJO+0`VA`k$f;O@Kx6^}p@4`YJ}BtR&*`dd)(3^egL==ehc zn)n;2cm<j`^tg3~1~hT#wmXInG;!#3EyDyf@jsC0W|)B{Ud9CxSOB5m?*9c9Ux6lG z#|;tK0HNUOd3YcKJ0KKXybUUT08N~W7b0*1Lc!JdLB%hii3{;T1a3emxcW&@@ds$) zJp2#=SiKEPCljFJu=*b+E&@%kKOoxR=FfnNg9VV%kB}flA#B_lX3jLIxBy51NxhU1 zM7;!>_&lh%0-CslFho75t^~0_(x7}X2PzJ_(*aprK?KBOV6XrwM6!1YRNMhgTvHUH z-UChi4^%t=O}t+WqCNsmoI@NUo`5Fa3Kh>l6K8@J00n5`5|R*eD$vCDK*bx-#H~P= z?lLeiz{(YnG$?$wL&Yb61dzhP4s=;J0|UbhH1Wew@daq&f1%<l(8L{}<Bc28#A~49 zJJ7^;K*bNBiNAu1pFk700$p~>z`$?;O}q{&egjSXKUDkyns`0v@<s**h8Jk!OwjSe z4`|{SK$rh8FfjZ;6OVw--!VYTV~{i`oZmpjIncx#K!>R?Ffa(9iQfVpYR<sGAb}?C z0y+#HRQ{uh?}mzNpoy!3Hk~jqFc_eTPlAeDpoxouHidx7e>Cw9sJI83_%En<0GfC# zXj26P149IwI0tBR00RR<0-E><sCWjN_zGwRS%4<453SHD(8QNO#T(GXB|(c8LFpe& zd_GhhR-b~TCqc3nn1t1XF!2r0dMX4g%K(mMkopbK`UqBk!PP@6{2Fxi(E0^d@4(a- zK<g1$eE}2y04)cOpqm5D@34LtOuYd#Kf=mqnD_;#I1eacG9cVv0L@>ZyJJ9Bz|<E& z8x)|uQ7Gb|NpA)Qh8#3=0ziY-3=9lCXyOK-!7T;`hBav70bU?e85r)Mi9d*kh{N(1 z%smZ>5OEQZ0FwI^k|E--bPkdR#mj*dh&b%tV3>G98blnH-eBSk&<KQ$tHHz<WJ1(0 z00|)3>yQl*KY%8_AP*w`08N~s2qOLmO?*KyL|g*eE(1w}-0x5d5jQ~-Hz<dQ2cU^7 zR6@ja(8LX@AmSZp;uoqR;!DuP3u+<a2hhX?>LKEH(8M=D8(cro!~>cj>P4XKN0`4p zG(*G<(8MRSLd1R0#0}aZ;u&b-2cQkQ7Bq2&E{OUCXyOjt5b-@|;tD+w@f&F3480KX zFKFTqpyC41b}rms{Sfs!XyOl`8QlX-{J=zr`V=(r1)#`hU|?uK6K?<w`Z6#u%s~@R z097&!3=BKa#2uzW%)f#rt}qQE{sB##VLC*d2U^a-{Ph4Tu7M_g0NOxyK@-0)6JkyR zn)ruV5b+u`@d<Mv;xo|1H_U~IZ$T4ZFb^Vr0Zn|ue2DlPH1P&#gPj9fPQ(0Fun?kN z1x@_GVu-i{nz+DHh<FT|c)~J>cm<kx!*YoD6g2S#(2fGE-hjF1!%B$yGid4^Rzt*J zpovdd0}*F{)-y137}i3>q1P<K>!|{$IP^MMxcCRCxCfd!1?wQ@K(7}=sE3L}uZw|; z7p#Y<haOK37ykeiUx98uw4#F^?*vz00PPsPKvUlU?HEC~zr)o}fOd?a+f3o&3!oh% z=(ZKO_y%al2)c|KE`9*oG3tQU&#>^k0PPq-r}yFN4WJz(=x{Pze8P7~I6#LJ5aRzK z;vCR=9%lXoc1Q)NfiBJu5%)kB7l(*vpo_~x#5>T%)gj_5(8WQEWf&M3PN0jML)5=O z7q^Fqb3psGaQC}I#5K^x{UPEW=;GlJ@eFkFc!+ohx_CN7d<D9AK1BQkx_CK6`~{l$ zhdPKj2eh9JbALb^L|g+++@KF4?tvyQFbyJ}fhJxs7b4z)CVpWFM0^FBxB;|5bOKHM zz-Ea07ii)Oc0<HDp#6TB`x_2J#8uG59~^;*JD`bAI0g|<Kod832{Ms^p#e=?fd^8t zFF+G-;Dd;NKokEU04acZpyLf7Q$balf+9p*1x@?`RNMtkyg&`2J_Su&KocU~fF^!G z3nIP)O<bTJB7Ox;+@TR7{sm2ZK{G^L1Umi!bH715MBD&P{6GgpJOE8Rp&ufigC;I8 z5hC7!CVpTNM0^FB_=Z&w@gr#B2i8EupP-35EQC}PEYR^7nENk$hKQ@6i~om+yP%0D za6$^;1T^snToCaFH1P&ei1-{daRG6N_zpDj0%!$w1x<W|6h!?OH1PmAh`0cB+z95c z577JI4ba3Dp!c8$potei@AJ+<7l+=T-GL@P0eX-13N&#A=snFR(8L9x_e%ai6K{Z? z6CnZ}$AY>40Q7tZ6EyJz=y?YrXyOde{r@Fs;uE0z&nKXXCqVa&uR#+xfbRD`fhMj1 z-Ov02O}qiNZx%YP26O)g=>Ad_H1P}2eV#68;s>Dn0~6514WRqzYS6?FK=;4RKokD} z-9NSiO?(2hV!weV-T+;P{R2(>19Ux~1a$ll=C20mdL|PzaRKN$q!2Xm2IxAQ5;XA# z(0SPjXyOZ?^P_9f#2cXVVQ0|97eME$-k^yefX*lJK*u9t?tcKC@6kaMXMoPf_@Id^ zK<7&sGP5(|iwjDNGpZoW<c!pm(wx-zf};H7)Z*g!f}+g)qRf&?uxwdQetLXTW=VW< zegTq5aY<2XUV2FeL^wA!HyK&BG_N=_JufvSKDRWdB(op~Nn>(;UU5l0PHCJHFek(t z#8)ur6{Y4R>XoEclpx$#4D&`wQDR<kVsc4lejeDK#GLrF#A2w*jFg=CqSS)?A}Al^ zJFGhM^7G=8a`Kb2GxO5pQ&MvhD`AG^7a;3REs0MqEh<XQD}je!N`6spVqOVDZfb6E zDw5jd{Ji8uBtF!yrFn@(mGQZmd8Ne&-=GE)Tn^-|vc!_qc&HsPI}sYwGV?NvGf>3g z{)0v^LUD3_URi2UNqkXiVorQXetcPCPH8HVE7DUTzKT!I&nt;9E-5NaE{QKFDT0^) z3d__okk3IrNz92aNGwXsO)W`9lFvyjE`b;e3qCYOI3oZa_+^O@^NI`d3lJ73XQU=) z#}_5$rKiT{r^RRHrKDEG=NEtiJvkSu4s13wp~3{yQ}a@bKp~h~RFq#7pO#+~pORUY zSqw@oNtN+csYUq^OF)`467y1WQbCH6Q{#(Lb5awFQ{xl!QsPsJGRsnn;)_y?^K(i; zDGi|~Gp{T^J2gJ7G!L8v5fTMO`2~sTAghs5C_*|VH7B(Mp)Vd}PI4mL?99B(lFY=M z%&OG*lEmU{bZKxlO)g3W8;?akF()%UkD(;LASb6R7h!2}YDs)aMp0^Fif0PQoAIT2 zMTvPS`4A;YcEY?9pPZPJ1J<8XnU|QGnH-PgnfS7d6o@3)Iq-CctORGi0vk%CK1g~) z=zyi;l6-JdX3)#aFG<yN_Y2i6E=f$zhA2cNSCAi);NqZ&h9qMYac~I<)|mn-E5R(V z^B_44!N=-Nhzr35PkbK8Rp}rqF+CljFC{gx7^Ez|C>2Q*8i!CRD21W|q$e>a9-216 zSr%?+T4qjae0fo3Nh(|@zC1Cr1e|C=k)4-X0m{gU$O_=4HKdHqP0Gwe(he#cN-}ei zM8G^q5uBP4p9aeF2-~oxa#%e8F$7XB#;4?$Cgngxz~KriY``fc6O`!VQ&ZBBB;jt% z%}+sC2F)|YrAY-v`RPb`B0e)M9uiNfDGYkWB}FBPNep_$mAPQLC_g2!BoSJRfSIWk zsmY}!sqvN!nW?F%@dfcI29~BKmMKZ`#idE{mJBd?69Y?2hP-qOWDyIHh`A*L*zKSK zIzKPo93*CjDrN=}Ges3MMHplXk~RX9CJ0dzuqc=`Mu-}NMG<@>Fy9CvU}A{GF+>(e z;TTvl=s^NQFS)qH0vxcpi8(p>$rf-gTVM?m3kF!A=f)eFC0QhyrWqPrT9_v#n;OL% znI;*e7$#fBTQKPPI0twd8kxo;3^XyYv;c*n1xkonAS<u{DKJM>U=9*9Lsn%55-~+p zWr|Q>3X(QK@J&E`V+7wA#5Y3KZiJ9F0!t$am>7bE3=v9@m?nlO90P<Rb6EIUCYq<F z874!LlsQr1XO0Lzb9jW97+9Kv!p|Hv{E!t`pvswp)SID-nIY68F-;82Kx$1<)tVyI znu4TF5PTC5-x$F+M%8I-X$(?egeq!;P;Ug5MiMYF1Pd7=lprxp3{f}+2t{UCL)na| zP&Pw^GK^_%5O0POyhfI0$Pr)$iU3p<7RU-LKnl!J6_|s>%uvP55Sq|rjF6;E49q}! zO;PolBJ`Sqq)iZfB&IP)$_Q1b5ke&r)5OpSq}C82X-Hi7A?YwNMBx}<j}}v+qQw*u zEik6JLA)tSv=~~NB1elUC|XceSRgB~K$SB`<(eUsnxV=VnSo3;MHMtfC^rR3o1jXY zAf!z|(#8nBF^F%3s@w=6Z3LD^5->4D;uxC3lDbKvL0VdBvL(2VG9)fg4H4Fu7@}|t z;O3$fR3=2ll?gPi3@zhfOe0e`)7&851TET3kfRM%(gIn|0#(i&m1~AjYKAIfWM;yU zmu`wGXo^s73X(QKl{P_0n}DQ^5qx71-w0K?5klGsER7^!Vu-{sG=arfYI3rviFt}4 zB*usfT0?|2CWa^+1Gu>;F=k9uj2R<h48}AvjfXK!jo?gkgLq?xymU)r<QM~qSQw+k zDH_)tRn`ol-3+AJ$P85;#Lr7NMHMtfXfg$9GC`F#K}ef`q>aF&F+$WBEQ+eh2qA3* zmPQgVF+}1R8pC1@r7dWP&~IXh!ZCnrK#2|`qN2kH5gjn5k!d`P32IY86_^{u8-b$3 z2st`HA{Is{(P56P0EuG;QfmYzO%X~=L82z8CYT_kO+eB{CPv@}BDk@R*5isVHO3=Z zYz)$Gj4%((H-eA|Q6rElBO`=>i6Iil&<J~cAS*XS<`{sCGlXeEi4#Mj;=~Xd<c5~< zFs7LWoM~*1V4A_1My7D437lnY3}>1eA()16rny19At+J}ks}o(Vqu6HsmKb<5gap+ zS|c!NiV!sgiy{e_fCWqtDvZGb#;BGUA>>UAkvN9%utd!esJbl-k+q|63=9$J2WzJp zdB`WeIJE@SM>k-|OE(~(585Lx&dD#2FD^(;&P=O>4%wxokfIkwHE2vTw;<jCYXUNW zCJi&oco@?V!8Eggi<%;sh6tvaIYI$~X@X!HBbcUUaJ9w=rXhl9ZVFdmieMTem_`Vu zA%baY0@r4QU?NzC2&TC)T&Wp?X^LQ)AehDorl}EJo4FyJX^LQ)Aecr7rnv!Jtr>!8 zf?yhfn0e`z2FSSuluj%_gt-A~mO<m1gVdOz3YsBIG6P8)fk{(TMWzTvrXWQosM00~ zX%mpNF@kT5s?rD{V+2xZgd|{Mh{Q33r#;jRhtO|gXo$=)fUb~)tV1A|{K&?@0Gatk zHDQAt4_M$XbUibe16@}PV}oeWat+W7G-UP?Bm%*(^_C8=pbIb{!VJ)9Kq!xa;V=W_ z&H}gqj1dc2u<!x8P#84*51I>s%o;K<K$lT6@Nq!)T0p0_V7eI^p!UMn`@$q(`e8I5 zJ48Qv7!<sLxO)P~QkZ522H5f(xCkdZcK3f!g@hk$T@c9qpe0%`dtvTpgxZg04Fkh_ zi2eMaLj>WrF<^w>V-|?}k(7X${ECq919OlFP+TE#8NAuR`eDm*;Zg!n{Ta}CC71-v z{V={88+QLM(1rLPHopt<KgdTg{V@OkWMcrYsezdXqbtlH?(cxEs|L9nv;+=aKL8Pz Bf>r<k diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o deleted file mode 100644 index 328daf1c1653b9a5f88e0fed0831630f350ee80f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 351296 zcmb<-^>JfjWMqH=Mg}_u1P><4z|auM3g<Y0Sq==`42%qpVZi|&ou55B|LwD5U|{g* zy#E3u>(RX1VG;ua1AohO1_p*>%`-q8kM7M8>I@7X-MbwE7#O<!S(+cR@A&`!|9@^j zmYpEl5zH&)>*jBM$j-l>@8C;jSHlAy-J4J7fwWBy5Mf~Gu3~9^&d6QIvLB?Ql&hPm z`2i#UdN#xVj3*3Fx^N!npK@>)NQ&R(!vB+<A}XCeDl8toyB)GXu6t&9X{S5`0|VHR zp51O59<2vT1U$MO96${A;|>x`aQ8BL^s+kr|Nq~kvl-+UkLDvB(T;J4C!hc%|J$Sb z{{+a(F#jt|21&yG-{41<|2rt~e+5bY2e}u?{~*8M@PD{tSg=R)8v$q{0HqD2L=XV- zH-AeUGbj<P0C7CJn=SMi7`i=JzF%zR;BV;!2h46r3~y!tN%^sW(*d_X3pgUdNu!jl zTU=Yr@T5ogZcrL(u4iG`2a4rV-fr{G4+me!Gk&=E!|<C&@9rOI3=Ecs>O?rtl?ohZ zQQ-iY-)jm=l&|?v`G%JaPde`4XJBA(H9QGU5wN7zyZeV80|Rne@#x-dk<P&2)4lsg z1_OiPx19<M3=BS<zrfmB50vtHbT=EMGcYt)q%f4IdGziEaiG>g&4F9x)A<jq&7*sF z0mMv$Ok8GWAe#x|5H@oK#LNtwW-dTB6T~5G<^zbC3vilw1KCUvhp?Fv8Q}1|fzwQZ z45aV`aR{3k05MY_3s-o0Ae#x|5H_;|Vx|X9GaHc21aSzPc>rQ&15Pt{Ae#x|5HJ%@ zSq92>puAlD2V9ncvZP1z5rJsOILG+Ip^o8>VUD4WA)rjG;o12WRFXS_)AoCCBK2(E zdjphj`CI-mGcY(FYc-ex%2CH%R3t!o%BR;wMaHwcS3{10q1%zA^U(K8%7WhxF_y4< z^tz}>yykB`P$CFY460OoIu9HN*Dl>5DiYm3Dl(ntIIoq+dUo%90jdz<Svqfgzo5*% zg0V!(@c&+rj@Qy4V?>%CF?NQi2sA%re64Hvw)M6H|J0+M?-gHx1UY|p`lyJMh=UXg zaGv6PulQXNETnk7^JgbVw~LC%_Y18qDgq_Op51#vnYf#s+nuGv)C1%!kRLp|dl`h$ zoNoy7n8a%{&)&TY%orFvU?m8Y@7cYTK@n6!fWzqJV<rZM)&nK{AQM5(cEsY%FwgE* zhI9r7mu?Rhm(H`_uPSR89&p?NQtt5WDC1s`OG<fN4G*}so^<4&dcdXgsEgtuun%8? zeW=QL%7yc&;#Wm5SMh3Rj*7r*K19%f6m_2HJlOn^vDHUKpoDWL$ZW#{ubDazI(D9P z<UCm70(Ez{Tz42t^CQOZmpiY1zp8k5A4nz06^=W=&R})yywG_~@uLgpff7E>gD#xM zTolhKeo*}Qn#u6M_Y18D`CD!=Ffe#__kzpq<1Q+o;*g;`5L$AFLrU&awr+N9M#D>; zE-DJ0Au1{!y)`Nd;1K)7FCYrCDUDy_#3%lkQ=dHebq;#&0SPfMFf{lWKKSIruX7@e zU+<6)zw-%SNGw3}05mcT-y#ZdpU(eabCKe7Dk!Q!HcIRR)#=~@8>|NE>h3@m?f{mO zT#yz4kj3CiXD_Hyd7TGV_=#VD7bKC!uW{iMf6PUYBM*7(1POs0>0x*f=E#FS{LUv) zlLpkS2q(S-Y3vrz7KB^ac>z>!UAXw8(?vy~Ge<=P<XQo+)sS$3gb_S^&_e}k1uQt= zwHYihpvj$@2I6QiL5l@YDRb-h|Nowyt)Mc)qxpzNv}258EU2W3g*2S7mN!nQ<xK*p zgn^egJ3u^8m{GI5Q3zyU=%z<`1FGP$ls6W5%9|T#<qe1r&9{b^z>zsx-q60h0fjnR z1|v}3fT9|dgXvh_fE)=;8c??maCrj?18@?hQUQj$ya5#pNaYQv%pkhFaYij~UVus% zczNS6l?Lsb2zr$_pvFIz@}>Y!c_Uzs+P(qtp^0j=yrEnB1{CVhJPfPQ36wXWsHSsy z19BuZX+YgNz~v1n4CqtdfQkjA@&;695MAE5pq4iUpb`dN-kbpOsNKGqK(F!!G%$dr zyjg*#yzxLQZ$Nx#q8cr4=vLl<LLHiii7anGQBCLa2INR+(tx^kfXf?D7|^G@0Tl~K z<qfFJAiBJ9MJ;cBfJzwtmJmkp_)Wkx8nkat(4)Mu@a%5A!Op<Y&C%_^^8MoX3yKGu zA2N2HYW~F7dJ;U?!S8aS^<s&FXYbwybI|a_)(^4_46nnXd}um<?e5vV7c}_T?a$JA z;`@bG_7Wb@zzwKhZ+PG}zu|$_ll)T;fP_H9BAqTOGT;FuiIQNbLC_=#Ev;}4y*VCh z1qC)J7F+&-d&QubK#N6q$?w_S`vASvWQUAG@r;fw(R6GHlvtqU3p9-o8e0M-AHro} z5h!xe$Cir0<p=3wOCU!=6CTv9h_VoD<jdl}|Nql_fC&^3VB4wE;d{B^&;S1@^J`eg zi`-Dlw+2v&25(Q@0P#QpPtEZnh9EkP7l9@)u(YQnKr?^H!|O=n(>u`GQy@Mxp+HNh z(eWbMj~9VL9hxtREJHw1O}Gr9>i9Isk<g?8bt|F_8IbX5P#93J_`p{agNg-EO$;5M z29+6TH8Hr{!CKz9qn0-upfwHf@+JYqqjq^?L9g-#G`WeTyaBJYz){|OKr3%Rd}yK? zEpO=7e*=X&G!GM5-hiT-&gBirk<g?8b?X3^H=r<}Pk93>7LdvtP?<q=dE<dv-b?_M zF!1u`1&BxO@@50Q=HEdJAFz}+;FV1{%9|M$sN>ThJ~UB{mN#@OZ$P0A&BH{NH=wAd zb9n=DBs6J2-8#VK4JZugQ{I4z1*Gx@RAyi+Zvs5J+X`$!t10^ed>9zIExSEgj4il5 zSehT&cb@uwN%5EAf#yf{{8PY#AO{r=@^3roahyd3G&thXy$`g^N145oMTOg+1+=iT z#N5^JK<gz({wW7IPjs@VxF{Y3343%;1F7t;V&S$@Jl|QP!s4QMpp!+V+lz&pOYvYQ zk4iU-N@v@L>kJItE-EaYZC|c~^7uXnO9lpy#$z|GGcb5|J^;lXIPfAMYX?9LG?4pO zK-}Lk;O<{=1LpoEH^A<%fVjWo2443+fVls_fV)57Cd~aIH^J`T0CB&?O}y@xumh)` z9|P`whFdWAv)lr^{{_VTFYvoR0OEcF-+@m58{qEW0&%~B6*&FRxP>?TJ0R}Q7;yI| z+=hjJ%58A?M?l=~aT~Au4?x_%V8Goka0ljokvm}bPk^}p2Y&az2nVNsi2+al2jK2M z0&)KZP*bV#*or$i!ymF*TLQXT+Y>YufLO9GF@u4Dp~Uf6a{-9q(cQd22EJ0eB*CM1 zcZMwk1GHj=H3BZ}1Z@m}uWbgG*Esv(5R*aG)C|bNdXSAC%||3a3+p|)o57U^WMz2C zE|2bJP)!9M*x&W<|NsBr99Zm2l|8yQgKDmCZY=htV%-AGkL<h6nxEMlp0xbLKMAt* zzx5)2O9jXRh>JmuW$-90cqMsr1q%asU3n>6H^={jhL<{hR0KLhR7Bt&D^U@6sq!B( z5Q!RIUZ~-<02EC8Eh>zl)$Kn(9ON+b=-s`*mVu#_qeK{PG<Y5Q%X^^qeRngc9&-eH z&k^J`@KR7Pw^ZAsdorkc`{u-Ak0dPO*v-)Vz`k3k`4Py!7x*U~G(6CGtoa+G;icA( zrL3KY96JxXfEU#|K||A}JAlQd^Wyi5${b*Wz8z!)twUre<uW|!+Ioq9>cP$vj*164 zPnGaFa$e*-q4+`ZkfY+k*Gz_&zF%@|y;$M}4?XbuNJmicfy1$s-LaeD{{h33;MI#h zsNrWwVzK}Q9w=FC{r4ZV-vSh{uw((M^${2~KD<%mLt`d*%Lybt8bBPh__%>LK0x&{ z?)U&z*CQrAK!Jx8AE1CGCO&*n<6{FT3SjwzV-`pfsZ8<c-7R2;FMojA8MxyE)chDR z@c{}vr1$^@EHUxn3kq^X`ECJ<0$6-Z0CCXrhX>yH0JWQN#|Nl6HDcle6nIGS0SZ`R z;=>O$J`RAQ094;f%mzsy<&9PjaDCfgM`?WvG8yDhXnhN^5#~^64FTPW1+H&FElY3> zgj(N%TAD=Gw;&h8YYcEb0je;_t#AE7!GcJ?9-v?Xh1UWQ13B$N!)ph{;RP}oDZD^7 z;t4NMOBPFbfm*gih8M`i)C;cw)bP3h3MNo^Y0LphV5YAJ;gqH?kjY5l1+o!Oc!65Z zSi%d`k|r{|KrW^zypXm#8Gu{C|Mvxg0tc4Lx|<{BFfe$u9^h|T#lpbg)4SPV4g<sg z2Ohn<1B5`G1aK1$I`HVxJ-I>`)EVeLpvk}hau;a3Awzc|bRSF+3uKcbTQ`$7qv5ws z7Zr}q5)~fMs2B&hrR>wo>cYan@bVfH$Y^Xf^zPO$1lwQ$qK><OH#9PU+hWa?h?Xql z{{!HCHXNNHAoEL9IH0=@!PbB_Cn9SH<w^b)FGdCi4{)mxw9dr?<hqy6ATexS_37Q+ z0p5k%JVB3v;S;|AXdf@c^UW`GK_U?hpZEn`R5ZXgf|&|Fy^90Dns!I%K{wrg;ur8y z(fGt4<D;VRi9hDVCw@U66%L=?9Jq^pI{*80z5|=$(G9gthXLfe<1Q*Zpn)i;*L*sk zBNTQ++%g$t5h5arpb=4shzMp}5mEE+|Noc1;I{k*aDIUWwnuk2xFdu#KF!}^$H>3{ zQUD6NmoAJ949ylCwHz>!ZWk3E@S-6O{+8#U);_k-+W<~F%?jY~q#*PpJbFu1B;bJp z-3f&~=s-$gNyDe}IW&~JAx@zn@J{^w|NphHNB3@!NnlfbdRb5W`TrlhwQLtClzckB z`*eN;%e|~+glw2YOMcxxDjY7LuxmY8BIw$Bpp>WiA!z^DxtHCbJPKNp1&V)<?&cd7 z3=G{4ES-lS``=_dx;KM`%)Vdiy#D>V;&;wt&CeJ+OH?F4i2>aEbNF@~x-C)QwP5R| z5@FDeL6PGwDgq$aL3ixcs0eh2sEBm_<2=`S0kr*1nDf~8i;kQp92F0Izs~u~Mez`% zZ`69N#LA<0w?qV%5|o{RfdREgj9PlU_VMU$R`5dft?Oa(p!5UvVT2IGhu}4CJ}NvO z-Q8dz&hHmFp<*1+*aU~EXSX}9-Rz*`3@W6R|AKp0-~z7shyi?W)?rW>flDrr?&cHD zV0U$%f`lv&Xiqdq$n5*I@0S!mah`*OD+g$kXPrlPcSba%<O46a0r@L}fuW&-g`t!S zR6g;yM1V>ZaG?(hW{*xE6$xm#fp&m?zu4-dB2f|xasfD&h;K8zHUnt_JG<M11vGfA zEb#3RBV<cCC?G-hz3gWoE`qw76JjAA0h{R2-K_xfNF~@K6)X(=Ez3bk5Vg1jCDrd2 zppn<=qQb%7A_?ljLUxP3O#1i#f9uH-ek`dRq_gu7=cy8HkSCyFw|jvFXn&|Xi%aLR z@7I*g9ljl7Eb#`VdXQIMhk{lEih!0p`ltvrKVW>F&%aH;T9Cha&A<QuVX9uv|M&kt z|29r*4*urY|6p+rknWcO|NsAY1uZ1>0B1>%m<K3-beE_|bl0fJD1HPDgm8j3BZ`zr zfUFYWJjnT7@wy^dNb!8<564c>a6NQ8Eq_ZFC^<pu3ZGtEP_i|=1mD{ZiU5$12ejnt zMX!>2cY~`2NKiowFK|NkfK+)<A)n5FFD-sT24f~nn1H-p8CHJ>fg%P`e?LI0zjwgu zZwYMmw}uY5cBM}Jjm3uE-2w(+8zev!EL2FZzfrUktiLfG;?uj^0#e^NfNN`T{SEPa z^9&u_^)~}p({2u3GV5=MZA8@H5VuSQSp>=w;9S^EX8mn37nCGmflZzI8#DA0z)7e1 z0;QpctNz9obkGtXe258B{S9#n1%U^zzd<H}vmtHkZx2gI?S@o;gN5i_e+Lj<e<Nin zaQz*DR)2%#5lOCD0ki%F3z1QOgOW2+{S7YQaMs@pE*SMUSO{1By#THLjsR7A1nX~5 zxDB%Udj?wl4fY6;^*1Q;s8D~eFdKsPH^}dJ>u+$$ho$}oR}EO}Z%~zor~U>XHX`BK z`2}>?NHC~-1nr4<Ht$i%0F~YREe%Yd-6}0A2S8kSh2Ya$qr&6a-J^ouA%mPZ!T~>T z1l;EF>HGn0)`zHYbo;3Av|cI+Z9Q2U*!+kQ+%fOG(E5SDWi99^i{3pd9mJIdp1pfi zF35oT>)@e)m*+tZTu?Ux)ZFpxZc%9fm2D9$o%bLuWf9NrJrFVb@7Fs&e7~UhgY$m# zbH>gZ6@e9uC0vj*Kn%aVW_IlS;L>@3^Frr$&hsVtoIk!_;=HK%)A9QS7tUjf=e}QS z{lMQE{Qv)dkR=n4il1P(lVIf!NW~4%<edXcC$zHw4mPkDMhWzihmiqtoD#@}kN^Jv ze?12j$Ty%x1Gp{*894#1Y>+_e1p9RUJPtlc4CEM4P=W#++`Q+2oV7w~^B&{0rk$Am zDv+ZtAm@9Kv%#)J%J}V|E`W;)I7v7jX9u->LCx>)7eFor#Uv!>>mXY0(BU1N^O%mu zAPEyxD2M+AZS83Rr(4L-R}AR9i^I^i`8%+wJ}Mk9@4*`8#f_k3%<;0||NsBs_AE+% z2tmsaA3zxZksmzfAvbM627x1%7Wv@^@%e#67EgZIfh9kH#i*GdPN3%pu#=GUgMcig z$$^|7z+yz_2Z0!*9wI2|F+`&Fb#dkgP*Bn<KXAmMxUxqD>`G$u11Q+Y$`7D~iJTum z>6RM#Arvh?RDd!7B0pRJap_Y(WJD4*lmIGK9Ps6b4_NX8Sd5zafx#Qx3<Mpr2imu| zM+NL8<ow`)B|m`0h|Uil==q_5*!%zrN_yo72lV^^b|ta-0TgUx<p)s0M9vSObW4r= z5Qdf?80Lc)J;2&a5g;yo^1}_{^Fsx`{GegQz|f7>UIL3zGe20M=LfKpkn=+Wmizz~ zBRW4cpy!7N#O4Q3P|_<uRG{Yvuq%np51?QpD?fk|CUSlNrCVy`hj6s~&;iN-i2C6H zh)bXR;1NZ1{jdRFen`NQAHZVN%nt?V`2p-C<ovJ$OMU>05uG1)py!8xC?eZSprE8z ze%OGXAHc38Ha~!Zjja3tN|?y`0hDg3ksl(^@`Jzvl=>k9#HCMu*g<@Lc!4iJ%)pW# zz+%+Q4=d2~1K3H(`2lp61Zc_;x&HtbBRW5PK+g{ch|Le6prluRc!8cDz^)`VKY)Ub zto#5<n8^77ly1q$4~X-~BS9Ty*tAgd?irwj&)>2He7^Sw5C^m>LK)FYw)E)T&A<hk ztJw@1sD+LJAU40CkLa?1<_Lut7+#wrG%iqv9}0`45hRL~azGlvLhyvcqvFxK`vfQS zgmUokJI=9(W6dDPgF?y_w8Xiy8RRke;oL~)kw>BWUtuA*9Dw-00>r`M{{o2rLBm9t z{s)Poc>^Q__CHi3$Xl2iL853H!9uA1w*cAQ`3$NZkN-iANAf?&W7zy3jq3juATPt> zpJ5S5vb)&;E&d;X+|a!lG%Nsh2U0YGMA5tf5(4`lsuAQZOpPE>G>u>(RR6C4*-ec9 zL5@fAKgeU){2v4IA|m}8fV>Rze+P(zCH@1r!Ra5=4aD>YNEFQ*AR(~-p&CKn!qf;7 zMbiitLiN7{$Zlf%4{|(`|3MzZ=Kok!|L*{Kxy0sJv%q4I1Zvz1fTpQI_IGavHThrj zA^0GdLcN41O@a&sxoIvWPl7Ciy9u#+eZmB!{22#w1|ohOK+XcWZw82g7B?XG9e}tG z)C2>&56UObeIP@T+y}CZko)3M-FE`yERg#YKt%`^_x*sl4^$<B-3R3p=RT03NbUn! zM#y~$sO}2@ISZD)SAaNZZUmL@3ZOE!doySu4Kz-X@;68nEt!IZ!08*R5y>-18bP9H z8o@%S>H7r-k>xwc@u0APmhT{s!NUS6{UoCL{|3m*CGp3a4VHo=kkYqD@9r7O44{oD zoX}l6uMJ6bJu%r5WG~3sRgf$Iau3|u@GOA3e<KOxQbZO=0J*%x=vea(5CgnQ@)N%R zXnzp{zs3o0wdm2i+d>Ug$L@q&w{Z+CiYH@&i~_l8ImA^UD<G{3$W0zd;hBu;m=_>7 zl*Aruc31|xFvO#GH-`$ij|ZB|fQF^vC9qR4)_Wx=gH?j&PT(rh@0-BmZjh}Ych^AN z4RQ@;XhPP*fR65mtcCfvF9p>T1t7Qcw?u(gHJ$)*Ji41RK$RZ&47Cg2FDf4Vc94<3 z#R4>m)xFt4je(&%j0LoW4!jTxyxghPu2d0pX^R?oa+3$VIH|Rqqm;++fFtJx{;3B# z4}QPs)4Lnw<JN;E=AejE_{?7ij<_`bd}z#dcY{p_AG^*Sz{4HLQ{tA!Uv?mkzurYf z;B_AHp^Lhm>U9?A&@0eE`P~LkXIh(sxW`>oL_l+L-3}bhHyE@XIXYcbB#yhN$bbaV zZ7Wd`z#5aNc7e7e!($q8&N_}`ijOsef*cf!^`Kdx&Sp?_cz|c_L3b~JG6holOGS;k zAE4MOF+SED09tqkPUfJMQOe*78We?4m!UWbtPD@80+|JJ&oW4=0@;C@svzgzfG4CB zpcAxdXdTK1kbC%BD#6DIwy4|yaY2(I8KC(_P_k-%!1(<l=q3ooQ=mnHoQ7|~$>=px z=h4oWoCiznJ-er<fLmTwES>+pUsE;$uMY*ScH0Mvno=&qZ>|3w_@^H0{G@n+^J@tY z=L^nHil-E>DqeWaWcar8<@bxN2TM42gJ$v!-@az*eBF72^F@gRXrfg>g@Iuo=oXq? zpi}>$;nAaVLm9NhDGr<@4G*O8>oM|AKcINH^=%0U=WE5Iiibf4f4|!Ln!g3yHR!ET z5rCCFX|Te_v-3C(D?af<j0P`z<^Y8T$U={929Hh_70=!^Dgn8m!vC>PZ-@$qM=$tV zf&C!ogFU<p<ZH(rAjg64U-0dGn57V$Rg~r67z8H6v&ympLbJ-i-tlNYl7KUcK{Jz} z7y(6c9Qe?|78Ov0c{CqU0Im0obBvEg?53YE0aSJ<cyxZ=myVkHI97mr3!sxDK_v#R zs0FX<gk3Rl!jbbpiJC|E<`s$z4Bd9nD<-aYUi*Gc@gFF5ON7CGHoWwjr_)CTlpT3q zD|cQ8U)RCGd7;xqg{MTA^C0JS7scb?Rfjy_!vi=#J1G@G6O7<Bgpfc0t#<?kn@9KL z589wz(}y1{2PvshK|g;Tv{<f06g1=kDzza?=fQ>BYjuxg29L}GVWFX+9-Rk04!%_I zIQYUIuClj<@fQOF17e3Gs9+NSTkq4$`t%?2;%1M|`yQP)!E)fBhJ`UGd_i|3?aM$7 z-wsIlP5_B^H{;AY*uz&s2}k$}!NQljlSie~MTO<HA~<+?RKTIjQX+&Lx-5$4J8eKc zPXQ%R;)M0QK>i2SS`5&jg{)PqVqpLWS}9vM6XOBUMNE?w;=$pbu!4bse_Ig?=eZJY zuwL*L8Z!LbxcJwf*s*%ms#PBR>koPye4)q+3Qo{Meo#QdH$eQs6OaPYuz&;=mZ0^Y zi2i*hDC`i82ac7X&CB)2niD__kM8CNpd<qhKu|{WQ0DMx{>ccwsOg3x^kN}(kKWxN z4zwu6Sx*yC=C~77?Sdm8XU2rM3zRLRAZ0Jey{Kg`$csqzK^Ch2CV;$EQh%)Z1&HC% z-5dalHLU*gP(tz_h(m<`@F^qCe;{`u`48k?EdE2gFDx6`like{tDt*tK%Mpf4~{h# ztYTnbcrAUbxdOz16-AIO;`}XJLArZ)Yly;|TJVKTNKGyHn&j@?5t6trh6<2`hM5*< zIkzO-KzNz}FS&%*{m{tv)9~nJjR!?&>q*c(a-I$zttU&^k2`|zk=qG!^f4B0MvqR2 z&*0ZCL6awJ@0~NKt0D>?isElk2e0x0oBjjTbZ9-v-|`6L*>126{4G~OYg(YTfWqkY z43F;S7bZwXfL#Ugu}63J2P5zWN8Ii#{4Jo49Jof_3EEZPdIGw%9X8ep$?x@u{LT*A z<?YkE8x%yK+}jD-jR301px2;*!=m*-DW_*QJNW)H6?l3B7ucvF2WvHf8Z(G^g9h_! z)14sSygdHz|Nm}r!vofW{BsU~%bnH}{H>9ofJTXKs5Z<t(948>;5Fl*^);|E7_{0f z2NZ~iLSqFeRq?m<F@bjQGpq(lc6WnIW7uXN$m%Rt!;_$<3_Ez~{Of#I!%M9P9Qmgn z0+)A?YN#8OA3;}OftKzYf~!059atQ%1zQh5Hk*Ks83wOHg04z)fvigFJm$iAt@FGK z=k*d%&VMeQm%d+e;k=~y>-z=HgNhd&J5RcRjwx3B0NzEpg0VEn@SAJvNl^R9qq~~} z6z7gCoyWglSGELiap8ni0w-T{8lG%D0NUYy-m&wCBj<<C6PzDPL>)N~bbk7NiSv`< zq3_o@e=1)5ezEhEW9tR}mKKordv{L|hbMjlxoHB{+$8AH-P{4qP5dp!ppHfFZjb;x zRe;ycLDvVO=BSq}Amu0rLGZVH0J*DsH^?@R?rsfZL`nwjFGo$upv6+~NWqzsL9U@{ zN=6DZXp(%bjhTLvA?X)WF=qP3Q;&d>DX5(F25rCSYz8G^9QV1wj`_$11t22P8-UVz ziO#X+4iE#iOf3lljeMDcT2jzh0_Sc#&H)($a?V<i=Q^7~hC@2o@Qa^3y1O?(Qz`s< zI8MU@;HnFeNKb&b!`ORtZ=N9zZr<dvG(Tnhe!cS@sQCy^)1XWRZZMa!biVI=r}&fe zLkSP(LC$}Q*I<{Efw~>7r_k;`12;?^Ji0d<fXY}sXv6d>sF$nw9-O5?83%F$8%yU^ z(9LI@FG_eh4|2Y9Q9K4S8glR1-GBf8`*bfp0Scn-$qZ%;436DCDlFhs*bQm~f$yyY zJGYe2vD-w&@V~W@1ONQvhHpFHHGgAtHGJFpy_D6l^KIt~$IgSUoG(jaJi0f3&}Lxh z)^jx0QQ`Ju={)!S8rTHT;aXq8?gG2Al*{lfIO8AfeAoFBbkEy4kV!8(-z)xb<vdy< z;KKRBh4Z+J;(15KzluLzGrJnTb?JQj{VF)9_x=C>|9|UI{?>9(9)p$kpcRB1`#{&X zfp18ImCm5aCa|9zxqVbv!1_!19lKdn3{P5v_BqWtXm|jg&pHo*j$pr73M%MCKnB7K zI@oec9~IC=d_3SN4nPDS$k!lKzzuVdMgc4?aZwTI_E8aWG-go&I|j7VtMx*OAlOlc z2VM&{KV$^$hDv}Q+}?Q*ZX|}o;M@J64g+mA<mfI@;pv8L{QC(y!t4U)hZ279y?30y z6hA3mP`n7b_zsk|pj}#U&=_8N%?YXq_@^G~ywG{jh4W?SH5blzC8C@k9Xa1RDt`Tb zf%A}y;;ZkMI<LKE1qVMgbG3ftZ<!6cjuEnY`sFnEvDcvXpJ(SckM1@NP%hx;4q*9y z5wsKU!uJb`CtM9rHa}o=<afQ;{DBdad?B?{>v3?d00&5EsAqQ@I0qSkS{K(q+r>_N zzo7WR@Eho&T-S3Tou|KFb_DmiTMzFB)n28nou?f;Pq}nn<h<B<gY!ZOXzSN6#Y2vu zn&`6P<<5JZpmob9Rxp+(8eVGs#y|CtXLs8KQ}9{*1t1qbW9<C?{kr0LkPDxKT==p1 zJ7ed^?-#)#)%p{1=nPBe$IcIqouFYO@F_JSpjzqr_v@V36~BXPyPvSy&PDM6Xl*oT zm=e@_e{Jg8da^{rqgNE<T%TT0SDC@1^Eo29ID(TexUhQ70n!Fi*v+B>3a`$#g1eBD zo*=xEy9^ATz56Uo7#KVok41oZkkjUTI=_KUK(t#&;{($AfW!wUIMEm$c%2A3J?JF= z)PtVgZ4sbkV9;H`^8FfURr{~+mlQvN4iRMJcm2`)i4ioy15KvaV2SOwW9JVSP+`z{ zkMmrK2<InI0|#{Y5O}ToVa3CpH$Y>GiWk2fWGvwV#U?lrn6w_CAPp$oLrw!K_rPg@ z0g?tdKs;P&0MsvoVV~}{4_TlxpP{qu!aWeffu*zU$~{npxo-n#gun6Fj(ea1YQvK+ zK}is%5lVyFqp<5%!Q%pX$aQn??gVzwnFG+O7oHq@cRO%^%tR8XKo|HSgr>qk7)>Ap zU0?yazzuW(0TDDaJkSLi&;@p&3nYl5nK1)h-~ze;hZranpj%48-3NFdu6MVC7|1+y z(F(9AQUGm07kGg#pdb!12uTZr1e$;ax<CQCzzTGM1E4nXB_u-|q(Nx|8lzxWLyDN* z-3c;i*8NaG6VL!JCqOa`G{g?tWD6~(AQL+%0-y;BXcH7Vo(;2ZgElBoRY8do+V=M8 zd<K(zp$)Pc>^vk<(ABpnrh_gVL=gbp2!<j6y0QmF0CaB!iU8;kdK3ZBDbXkbpkrK- z1Yk`S&@u}rkRMWz&WeEez!Tg^Vqkb+@6(wB8e!dS;e_EKnA8ePDbN6qH&_FxW<d&Y z0UvCl9#};iu!`=$D*6GdsD>{#+Y+#f&cG^K5C94wT}ULuPsixpy&?c)GLpapbODJ# zG!+5p0v+fA2hat6pbHoTp%@At-DY6;U=KcQ1hnQQ3?z@9>?GpBqM+?QNG>)=0E>cZ z0whs~WRNIQjBP*{c!4gUkb<UV0;&K~dOHD@L3bZRD#!q+DD*D(-rW{pQ6!fXfCbQ% zt-vb!04$26St1Q&2a-Slx<CiIzyYuTxD|*LKtI5uNCr(<4c*EO=`}*TY0z<2$ovoV zKJW;S&d(m*Z4W>dr$<0@4I>9biIqq9?ib+d4%`$o{06oUT#U3HC~@@Y-VIWYD1kuH z1(toy?g44Gb#pX7Wc28s3^JvYtJ{I4`2nLxcQ=^p$l}qvI{|c54WwlZEvP&?YoO=K zcyvAhrJVquUT_)*9pNDX5^(SUogm}U8KYwHS`%8=f+*PGgfN4;Yg9Bqd#Itq_}$GJ zAj@F8_rRwcmPmuRAa{dI7kMoXG6#kon=RNt!UFYd@If5-fe4S77#O;{b?$?w=oIcV zFm#?c_(T5S3u(p^oyQOUXFd3w8Pt{mWzKFLyXFTEG!I^W+o_|{9b?CG`E_TE3QO}( z$vTx@caClmwjH28ndXVkgO?w1zUmZFX+F-=En;{15$CPW5EYhY6_xtt6cv_wu#-!9 zKqo`~VB>G&039R*ZgYb@0J?eGqdP{$qT5GB1=PrL1a~A|4ga^gs3?H5Be+Rd$_eW{ z9fDjSeyWtsvGcfN=P}pLFRq+__*<A65q_JD=C@-9|FIr?#tiCqfhP{SZHyqEd*4~3 z!qJ^$#B%v<XO0RF$ah-3ZXDe*ObFjS=KS3mqrw4pW%DtfZV@A}heK3&np0FbK%V5O z2aQn}g2rcA7)rUj8Ja;pbqDogQG6-^P6Ob(<x5m#Kuyo@->-vJ7@lnX1)gLB_o_=l zy*5xErt^B|wdQY(t=~&oIxlu!a8x|z$a%g*$CdLR=Lr|Z2abxz9TktgmWRbXhz48n zng_%LV^_ofj^D4lw*D(g0*&e30}a4Ja*9vqixSX$G$>s|bBRyqD{xk5Jy2o-%_xSK z!1>1qdf*X(ywN=wCAmVzw_r0f{H^?;3!s=lqqQ$t{{H{pdFcDC)&u;m7eEmMN=*!4 zyFimK3Lem^|K&Y&h2XPD;0hsO>H&2g_;3-BY2Xe!v?S<uX8|?VmH9!9b?}fU=zN_6 zCBi7#2$EY|x<gb1x?NO6K;7kw{4JXp7#KQzRD3#JR7_kTS;wb)Hz;|zbe=r;Q~uyf zX&1(mj-6*55B_0wJop5jf1JBn)SDkZaMV2M(s}aoi%u35=k5@7mdmd|nZps3&@>%; zeN;FcyG{7PJwq4Glb4@y9_utwaqM+b;c@I{VZZzUGAq{2qtbbz`9XariwZbPfjkHf zt`a5GlUo$PiRrbHWAh`>h{Ma>EDQ|I59<sMH2-8P0*!enfHGaTi;4;?n}Y+!M@8Y= zLB>+i)&nInpt6GnRA2=hX91m4%HYxMq7ncJ9M9eu6_#!jmF^G~7RSyDj+`Gr<(WoF zm}lo}=WZ4ouuq-3b*wMH1^ZAP>O&cX4<B>haO{l%c~3_E@?*}spj@Tl@a<@QsZ#TU z`sN?v#ZrbRA-<6D0V#xRQRZlV#Q0jv@FYkjXu$Y{;<3&W6%Ni*pwq-kL^!X3^1}he zLm;l=2hcII!k|C`Cl%0ETBtWUK;9H<Jy0SG@}>;Pn+~9PT2QEf{OJJkr%QK?iiAsd ziHeMC>+KR@*Vb>Pe2&eJ84VA(bl!Z)#mK<m+-(B(?K`J#8SBfhLB8$0+xekR2^4o6 zptys_=>yIWj=douAM1!;e#&{*r87ju0pyQTq2>n_%|FD;xC}2r20LE!7+wO&@J~JD z*m=nn6v7uu5<rGyH~1LHHdsnI{OxdksbKT7`sRPq#qiNfF2e)g4%dU1z&I+N;yhHs z@5p(H^Pr33LB&&!il;zFMRi{Mei1w-Xn4S-^&)tnrj(`gTIbcymoA()J3qN_egfqR zhY}Iai;mwfyK>%hRJ`{6Dro5OTjvMRK|qS<HZi&yeuIyGZe%PiX*~cM|99?|0f*CT zQ0Qtnb?aC_lLpp+I*ja}qs@=&o1fM<f7a-H@%@704gM(yTi^0eJ*;rrf#ahC$LA7$ z2ab=NNBO57P`JUr?Zay(!*BfC4uVE>S`U`6gVWXP!q#sPSBQXJ@d_-8lt8h%;t;AU z9)Vr)$q(#`3;a_Kfn0G=;i3b_CkKv?C43GXpCGO{;ljV|1OK)|E+9960^~sJLGY;3 z2FB8A*UrnXt=~X1GS1yPV8^_5>Nc^y{0?{Q9z}M?F;GxEt8f154>DcxCFuTxZ=ldP z?80%uh2wGwzYE6&&U5@z4=UW`-*)mfli^9%&Rbyr@^3o;8n10VR>IaDqhj(pA3Q>P z%()vHC`jR<2(l7A`5tm?KEeYk&MrUTJPI<Q85$%dL<PwK{%x1QE3&{vw&8)+Yv78m zl+C5{kW1%5P^!FKBH+k*i}RwgkBX1tX-CCVoi{)(xA=a+vGow5*6Ma)<S5Z@Jx~${ z9cF{pTCggs6k26L+jg%tTMv}jU{~sesuWtufem^s0Br^m*&Z}J;L&^}0<?4`7PPG% zW%0^{2@_D-s~(=6S3NtwboYfk1U13hJRUMIbe=l+Oa9<1X~t8X*D#tX291v%FfcG^ zUc3xxskj)hTz&x>#Hvx@Xnw#5T9ESnLhJDoPY-ZY3D$EF0B!M|@Cekehb$YDhp!NX zs(S$G;egaJJcg*_cxf&I8ZYWmDR}_8o3uqG;{kP?$KL`PX@WTsVl~)_AgjTn>aK?0 zpbcQyIErI;k4n!&kUOTRG=PE$(NZP8H78Z4ila4$+K>Ys>j$bPKzEGzfI`+D6tbWi z1l*1QjjOj_<Zu1V06G*9)@tBy0UeVLTEhpbJ|OMZmlqfq7+kyOsF*xrVDRnkQPFtB zz~It(6V_6=>DYP7@!&63$Aga$tx@N07C~@R;j~NV&C4%9^M20VA%c*$0*_<!Z>c&B z$6hZ`Q-Kp&t=t4R6-rb%9DAKW6#yf&sld|>JxHYak$q=~3J1hPJP4nh`+m*vK<g*| zR!24lhSrk^zv!}okBbxm`2}46zLaMJEvGC|5drB2H=A8RgY3#KkW)cGolubC*CMX1 z-%8MrkOS2i9Njf4JdT||Tsbd5RziT{^vFX<!0bSc(?bV;u|mTHTxmOY>j*-c=%9wV zgkyJ!Aj{=<ouFp(PuV*4UMCL6ZW%`8Mmnf_BGG(^$FW;P@bV+hPn{tuGT^4RkBUt5 zBlgY^6^Z7D?43R;G9dqen@^x(5fmDw8sLxsH;zg`3oyYAA8;tV<^{K<o-@7<hcug9 zRKV_oHYh;trV=h#6XLZn`1m@oMo`dz+7}YtJ}MI3E-Eshg6=q|`uzoIs~mR(pVavO z`*p|eDJo|`ow19MWWso{^W4FItS-GgUqL-F$JSq<MSTbEGcfSCfCi{R?l%C*xOATF zX3;<Rj8*ef=S6VBG4N<U%hGM44-P7m=3laPYL3009NjvQ7MSM6%TGCvcIK!UI5r>T zaq4E_zx;sn1E_7=?V@7P?W1DS{D8gFMa2LTQYM`)Di)nSDmI|?f)Y;<T?hV_ct%jx z+@qrK1XOs0s92ybwcu}&V}&RHX#@3VEnaS5W?%q~aA|<t4^B_6ou{0-S;U(kKF~Y` z^#F%&=Vj04(=1NiCh}lU@PIs_iq#Vwj=hdNkY+N-4?Nv5puU_6PxE7TP=~Ga1;jHv zuHAc7Ajz&p1(fVuI)6d}+J*6_W9M1NgMV2a4?YFwM{ss`?l#c?=g0Fdoj<{j5OD7< z(O_}B{LZDbL`B50`M+$Prem)wsHS9q=E$GWkQ8w2bp_=}hRY9Jz(Fh0?V}>lU7{ia z2~z>iug%ZcLB@cB0i2c`z8z&Rkw$IFOS~2XHBl{I?qOzNusmG^I=B^dcn5=LZ!8Na zIeiCrn!v&6*ll9fc@gYT4##d#>$}xOMFP~H<!=GyXHW>LIrchobhEI7U8{K!>R1k> zWB@96AjL<G3J)a1a5O(>@2pWl6d%srIjjtzKmlb+0jF*gW>5p>zbwc*pfU<0L_mfL zI5r;zwI4K~mWp&kCrlyHBLE2(5zRw}2RMK7Pl2qa;deRp|3s&cibAK0iV9}(D@99w z3aH7?r#nYQ16;B2w@hV1O^6CF)j@~hLb3)?VFFzR)BJ$F`5}ArPe#d0-!E|<YCgsB z{ifu9&^qqU8l*xJC5$*w!iWcF7;&J55l=V5Qc!&W2_v4)8Wn|39~BksVI={&2^=%5 zveCjy0(V$tLY2ZYE$GZ%P)P}H7`-$E4QTYbs3^RY1&y`1AbA>E9145`O^3m21}w!P zhf6nT#06F{{NQgn06L4dyGJDlTw`ugNqB_P=(uq32kXHH;LHimERNkeY|W1zXkG-5 z*nlbqHh9G#Rj1w?0IC?oc7a?CE>s?KLMny>piIdIO@c@j1E`vmfK-#16$5|kb67PA zt{nJVE<>tGL<RBkC>sNVWAk&y=I4wrdDuavp^J(HA^~=DfEJU3ib?QLBIx)hFvpPv z6jLB3s2l+2WAHj)LDX`9<27%y1xqa``-2x|fm$h$bDKfh3m${ZWN^b6d?GmL6k<?i zQ2}n#VHp7BZvmY*3sM5o3vDT*G=GCy4?y-~fE3{|Ck~ocaG3K_4xCy*vlR^BK_gHw zfQ$nNL-PYhP)LCJjx3;dfepCLIYq?))aE3ottU%tTMv8Aa#0cJ^idH3g{lQ4R3XF3 zwxD2e00(D?iU4ZX;ctnA1_DS6sFnk5(EueqaE9^hJnq=d4)P~Re<!13w>!&AnL!*Z zkOG;b`2>gI$>tOl9(MjIko$`GT`v4T2`=fd1(5}wAS$r}Nn#D2*Q}r#P5_cYz##-0 zYljCHos$J<ESDYZY0&T^$3A8T1_s0qjZgfM;FJvBJ|O@~BrA{;2{;5cU{55F5CAF1 zNFXR70MZW58=w#Xb09?oC}ap15uA01BBDfv=leBKo&puANF)455B_EC6?qG4IkuLl zaCmk@3S0h`?Vu@sP*(sX)p?=Y#^B&nR?U~-KBs_3^9h!29RqN2E7JTE)TMIb=$0`+ zZhM0U$OW1YA&reARq~*|lmMiJ6#;E<0yV)wA@~3(1o>NjfX+DV-lOsY8j5JO6o1QK zr~;5SP)JI=ggF~rcy?axt}$hRbQ!>XWpGP4$CLr=a2`Ak=Rk2dxaMts0CF@3XrLOD zB<ykK1CV37VKYzmprj6SKUz^y;s}xiDF?Y7rI>il1Ih;yD2V}N3Oq4@IiSP<2_10R z0#1k;ATvQ_D0t2Uky=5a3raz-s|+Gee&UZf@rgfz19F)GsMil09pHHB$O2w=q5?`} z;3^hcnUmDFInN31+x&<3ZH~WYG6eN)TK|_Q!g~G*4xk>90kZW5FWH$G7<_x3SU}c; zW74@>gbUOW291<+ICryfTz&!S1A}_xp!O3+KlmDGB-pVx2-foh4+3{X7xY2W9S0;r z5e->LkG@o-^#CZ@gZgSE9I&1|X!QU41z7%p<&w^`2mi_+d@Id(w(~t~rVM)5MYo7u z^V0{KFTrE`9Njr~ESKN5g6fkT6`m6P=ATmheW39V(5#sZ(yZBI&dZ=~N;7QQ?A6Qt z3=9mAgzC=GjaMmXoSPCamZ*q)zlJZwTT4^~Kq1cG;>`kT-{2U7fP{VXAs%N)uNxfp zprX_soNpjSDH~c*8i6QEC6LnssI>_nsDep=7tleIJY=WsC;m9dMIPX7Yyn8!(h_hv z90Bc;a-de$ka8HL9Fzk=S4_YwYDm`OfYd}F?eMGz=0Iv9(1;GG4Fej{K`K<KKNv+Q z_yk>4G(f3S20S{}q9OnqNx?dZ2=2{x+n^323Unh4B7#oo?*ujEduvn#u#_So|D%^8 zk&sdZ9Ce@pJWy~FjyeWJ6)FJUUHFMV4tlo=sJQCDh{g%{q7kGVWH0V$1ZjsyBbWm! zL0eQnOwi>pkOCAGj&vJkBqCxRKn?<pRe(|&XsiNzN`po$(j>M3d=guv`6te%umH-i zr$}>(iU6q1Bf<{Kr~(*m9swT}hfn;G(C7lyAObF+t8pR^f<sTjv+>9Y=pZvJ0l<!Y zcRbb#+WWu=9v$~U8XX4}<#>v55{nX8UI4XpKtTrXJYwxV5C}3*vj*$DKb9Z^m2D#S zkRU@Z+aPxbfr3iVM@8bL?C<~o!PD??0%blx!n5<5XXn@MzL002`G9F2&rp_R5MQ<k z5ZU?R-wwLr3A8?byNe1BsDKAm0^qO#50`-R)GlrY28NxW1~6=~JtCoa_U`@ggn_}; z@QLB2ouCcOi1GSfkS9F5r{eYm*dCO%7bwXVrzg<j05*Qazx@KJPjc`D7icQv)WKI= z&5sxxAO8Ojp0WqEH8~vlU9N!YO3&WC8c#uebMSsI!;|0&#j%^Plff+#6en}Qa~e<c zPn=HXK*?!5nBm^-qQcqyfVta8g}3=3Gi()*XYbwws5=E*4gVu|%!zR=tpb=G8o=z> z0{A*KfM-A*&H>siM05bt%E@d{C$lv_Vl@1Qnkx8Ru0h>=fh;#uDN(@2_#o++gMa%) zkgJ*>*n=9ap!OrEv)y=<15`HjLgw*6EhnGO_mI#%)`lozC6J0(cv%BtU@vc6UVzIR zix;@c8!AN$DBXkFkpdtFC`TeDlR)JS_<ksXU7$PD(90VRP{qW<?%BI{#WNh`4agIo z-BWRUf;RDV@C7$CZE+)K2T*A$04;AsJbU*(Ktvm&ycsYjBNvfK*#YcmNOl0ZS>idQ zj1)l5!UWw+v$WFfq9O<>BSj%)BuA%@3Xf;+-T<gOIWWseg07`iqQF{4g2UI5-vv}g zf&#b$>TuEmm{v~4QAUCtjYt#+$Z|845(Qda4=E!>;AJEvK{Ouy0d*s&KR{X;2`L}J z=gA0wTVr3k`+8o2OUH(n*h@zi70@^tQlr2|1>PoL0ksKKuq`@}Q9)@Eu<&nZQR!q+ z0Tqlapp!7VT~rt$iJcWCvF}lt0V=FP*PCFZ_8t|GQ$Y=1TyBL8QQ%zgN^A=Tt+G1! z0!MmhQGq6R7EllV0?6s0v$^0!;6OSVXL@H*fh2dZn>j$SPokTtlO(!XR6tvGLG1w% z6-ZKNK~L&O96-(kr3UB~_J|%AQgc86)EodGr2|Rd(Dm~0{>GJ8;1qu174{TPwNwL2 z-JoN1I6w?gxq=vi1J(Zmkp2d!gNa`MLp!FRiu5Ip`XA&8&+e(XJwcl?=->+;X#LNF zT>pbwH^}`B2~ZG2;t8JkdkH$3N<}>=xCw_lsPnoLL?HL`AiY~qCHo4r!DA<+IE8E? zaqOm>575dRNN(VP=LX1RUE|RXXefh5)FCb<&^SiP4;qjX-=lY*!y5($<e^5?`v5(A z=ct@`3uzmHsu<8HrAOy|NHe^<N2TO7XpKROO2%uHxofi5^YOQ2GeO-0vj*Nz-wWC$ z51J|lpE?3Qa-)>Do1ydI!I$!k2QU6Kyac)?vol0R1XKXOcmr`YD11OM4R$ivqsRt> zQytt)uyIEZzLjS@dhxH}Nzi^ZNV{u~io#pa?yVXXP#}PegZKXTf(BDj%ms~P{dofl zmnkYA-Y_t9o<8_n{@`nA#?zhmFxEL5H9vl!c@sS0#L*pN1leo?-j1Qvo5#_uqvfc1 z)}{01<)@r~LC%5Kq@b-PJm7)w5*422hxMJH)qBtC!FO1LM)tuKbp=ajjfw<l?U*At z%sBr!f;T3Va=LVLIBK4B1+DA$Q4w$fjf8@nZSof6>^&+PZ&8Xz(EJ&6oe(&{x@Ghs z^G%=?1{~cb`jCYPU}vlLx^i@jup=hPAlqU%nve2yi|9kwr-A31KnEFs*7m!AR_lR0 zz~iWS(C~l@=Rw$77)O4Wlc<Yf7~X;B{lPQT{4LzjNobCDptufEL0b&N-@*x10MZ63 zaTN?Nf!6_n6T{1k-~|{hDo?<Pc#6smP$E7ESs}%E5WEx(eecY}2jG1_;CVK9(}$<| zhg6+%uOCOZ3>VmV&4ZVrduMv<c)D$@E<fi4=>Q#Nz|jrbZS&k7wEd>}sXb_|5<G!} zPtQAFD$@EE6oY7UGd?OJ;48ZX_*<s2fHp|)QGq0J@cz}8-&jCF;G&`c8OsNS2z(G2 z%z+F7gP0)uz|jaw_a*$G>Ik$$0=&xNHEXj4LmfNx3<l8g3y=v_8&J?7h8IBz0&POo z;5BHK9{AKWkn2I|kHCZ~Xt0*p3024hy+Ct{iU>Q%{ov_3{+4f`H3gtWh@heYBo40l zz-b(u;9q`(DggVJ;7ln_7h#zx1zp|-T2#q#@kR3kX1vP=diSVQAeBa-@kMX~1m!qT zz=E2wpy0zk<PCN@QkxsJiU?`n7Rb$5$8XUF;#|P>N{I@OWAg)cP=@D#tj6O3xuWL{ zWNm5#sJKFnW@x?#2drbaj3y%E3pjR%XhPQNiZuU}sZ$593$#I6oBEvdKIkgF=A%50 z-8Pz+pL1RZEf|CKY&s7$KjH_CV>UnJ2dzK>#UD6cJ4+yoy*NMex2Ui&FnH|X2OW6` znyUv*qra>JEn_<Ys^vjTRv~AG!qVKu7l=avLDj&(2Ru03eN=d`t)g?4JOBy+P;`h8 z7Z2dnfEo`Rj@>1i@DdnzJb;Q|XgqxHtWiNKgZUvV?Le`>19FQ3Y^fbmSqxj32w7{_ zcw_@KMT6!)Kq>lVB)9~sLriCP>xgxNc2VZ2aCF;<Uw+^GLkeUqW=RRIML;zhi_zr= z;A^gt+y-8c02&wc0T0_lnm@g;!VfYy2&#~wIjTiP23qwBfYLH~rMV=g8$k;_IB=Eb z^^nrMo{ZAGL=copL9GkulC;+e9=&)2QIhCD1Wmg^c4;E)08ayeo4(Kh>rp{zs~|Ez z@pCYeM9#s0iy#+JweQ&cpdOUaI2@ZF*1Le(SfJETxb*=pg-}`_0^K3{@G=PG5Lb?F z6QtJ1GtPURB`N}-)`yAy<!79rd3&TKI`yFW2T1b?JU|OBe_S|EmdJriBUrTp&UJ`t z<+Y3p;{i}n1V6GEwDJ>F<$=!M1Z5_WmpXkAXBz)M0bf4*{U#*SgW6o6c!_{EuR#q} zQ1hDp@d9o}f_C*js&9T+&v>%=7o+5X?-w{ve7_}m2^2t}HZ>@M)_@yITT~W+S_9_~ z{+B=aUYhZI=ih^WS)tVgIH0;^^ctUocklcJ@15r8F41GT{I2;iBY4@zGe%G=mGQ9Q z0nTf%mL9*$X>daiR=P}p1`4Qj0R;-yzP<*iuMgdO<fwT778#Ds5BNceOX6iH@+2fm z|0lzv^QuSZXP@3>4j-ZY4VV?5_))t+pwk;aB3hj#W**(UKYRqWwn9|US6Y~ZHr|5e zAo&c`Hvq>ce4z#C<kpW24B)mI=<r7n+mQv_e?T}u0J6+O0%{Xj7Q7i8Y!-M~g2X5O z80abjkM3rLPiPL*_=MYmAjP1@FsLho)qx<*Fb9I!jw~MC%^((N{eVYrv%q@>hBW^0 zlWF{V2SE#)EXv@=*Rw;5G0-@=N9S>{gTd}c^&M;_3~X_s4k$Z;79xRWL>M|<R2)G0 z&jpmFj=QM%faul(l^_Kk;5^w|qv8NI7CBg8h6%c;2zYciN1z8v0yIzr(ALL*VhyAi z6eyrvh!!XU-5eg>lR=tcfdXcO0|mqyhy(*o86Mru5EsBw3`Up;_^8l3sdVP3NOX6r zyax@mHA}pw*1&)js43sOIp9A7!~PRH#Tj;i&S?gxFVD^+KHaM!d2)9JEI)&#KnWJM z{sweF0OS-q59maqNAK<pIF*C?MFHUOgbflQG68771+=b*!Kb%GC1D>I_#F1{U>iMp zci;F49zQ}mBt_sCSQJ#6!8YxC^zQaRh{A>xJbHIGKtwsg=0Z*sIu03W_2>q#ZTIPQ zQHgK`o%NifBH_{b-ly{!?2zj;{_q27{F*K*3Lf2)SNvpPcnLb&*`s%J!A}N;{R-$I z=RrisfqV%$iO{DL?o0e32MK6+$o1}y_yP7lC{U2RKLH{N3KXPsP);C=LI&V4y&ph? z_ceZiMs0FH0|TH_ht<I02^lAYl}BBzvlti{xLRj}&Zy?vF@u4D;iVU-DcjvV0l5GH z6%sR`1qf)I0b~|9!dnlNWO#J%1}O#=AfO=zxSVI_QO9mq29NG;kXFz_cvlt}2V8!D zgu6XBnjao;><;B<eq_&isxyGYqq`X*!2^-tflBbuAX{mJvK6fN1UlmL6DY_^R0MV@ zA{N6yA|0_9#-n$4#yfC)LRP{+k|^BSpkrh}15(`%-~nS76&}zz@$WzegAa%@JPAL^ z3f6Ff+Pn+Yn}d#sKL-ads1Xa95eMZgWJ@9EOTjGVIQUW;bfO|?`77vZbqKfh0KW@p zHx&=KKI*Pfv4GnQ4P%ajFQriRfw*vepe*_x<WsPlU#CKS?gKvr2PFV{cP{|D5TqCy zCcV3FfQ6uIh9IIyu7L=kSOXP81PwGbFn2q!H$P-<e!vV0T<{vE-rWuFLBR?cm;?<O z!AlW@|HM)K2jU|8ZwK6ekgSFjqGG5zL0n{=AJBDTIGL&2fvx$WJ!lm&^jZPX;nE*K z0g5ud4yx_JZAVx-z&0}iT^Rv7hX`T<B<!KC?%h4(1E|ph9uETrFgW>O7!2wwg8M(P zvI&&HKtumL_8z^vFF*_imGAI?ME4Z<A`MhOH6G^p2=|jm=Y5#o9iVk8$6Qz$Aj<(W zkQ%J0`{N}%JHPw%t~2-yZ;V1pDbLPhzP-yc5W>(*QNhAcD%8!f15`t3o@o9d&)-%B z%E%}?a0R+^R7AdC?T%4_mBw>VIzLLhCk&iSTd&4+<86xuBL7c$gjB^LbtG+1>sF zrAYMb-p}wE>Dcy9;2;NWqq+m$v#=ke96IC*E8aajk2!X;d3N`Mbb?AmH<p*6`;m5n zDp76Fv^J=f2W|#}J#+bi;icxE^8D_I6TQJhdyd>;EMUi#vO6-f8D8o<2+kBCDm>`U zG=n<R1M^_?5_8XPup>bwH}>|hXZLilOCeiyAg*>~0k7^vUU~#>18ANDH%B!;HUDGe z_XqD&1@~CND<;9GK)^!bWg_Gd^a%)n(m#>#?7Z&T`NgMqo5L4)l7N)Lumfzs5haSe zX^uq&9AdBe4No>(Fz`<~*vX^P?V^Ghkpb^-;86kXRRo^~g#Q5a)&nI%pw4>h$x_fF z8pD$>I~g$C;-UifA=oiu$c}MQ5rDWx*zjbd#r!r#2L9=wD1w|Z1UhXx1iJ7Ve1JRT zXbjNtPX|DU_FjW+Y3{ti`JwYY=k*c+&L7_|bKd=ajq{V@E5%=+vs+qEl*B+2F*Im8 zk9st}!Fh`dsJH;10^!^FGfM&K(iR1eeXsZ#7(i_k@G(@-3&>E-hPFVuISxML0tKuC z%fSa+p!Ev}U$S~+o;(^=!{u@CrF!!Z$Trzi-*15X`JiD+9?(MXiZ4igH1K-;hA*J< z3bPjp-Z=(RjxSYCQ2}WO_eendrobG?dVQHMph=u5Dgs|nCUM~7Igo}V(ugwZDh`P{ z;#P5N<5B74QQ_a_q5@vU0WL8>{j}zfj3}E-!1D?v;7kpwpAh>vOCmwRvH>Yr_*+0p z2DG<l2RLZJO<lupJ3$cxFIrAR=X5|C;hjx{l9x3g`Q9xm3qCV2?AO?i+EF+P8pfbk z0I`6Vxoj&@Vd*SE%7vieeo%CQi!tsZ7Vz=YrR@CcnGC-{OR*BrVy`_apy~kJ_J$u3 z0%;n6hK)dV0>b;C1~fQ?!08{-NAT#4QQ>d}HAVjWbiVWHeCXMEBZ~p5>WBa+!oYbK z<Q`BF2ksvkegid>K|NqtCkejlva21`Qg-BO2Q`)XTh1{tFgPA-2Q`=(Uv2;$ZU;F; z5R@80(bp~5{HUSZp!r!n=e15lxM&~?NEmdqU_IxBPROj3!dIlMVFQYPjjy1r0gHc7 zx&gbp^+1UWNE)O8;d!_;>cKT2e}T*a=Mm_>TQDDD=?kQUR|2;51Fl3@5)85wqyb?m zJWawa1$hx<1g6KqqS!nhf#LB4{2m8sK)3;q$3f;GdmPMnWbx>H42pMfQwx;)z%Ikm zpn-J=Kx)8|iJ}IyuvNsjyF~>QoS<aG0lGa)U>_f7zY~bq$pV^M1=o1s0@tJSeimD3 z7Mo*G7Ng_;Eh-?_fFsnS`G^6?jtifWQh+Hav~PT7VDRXCWcY0-$Uv~-)&nKpASsYy z570U#gp^0;VURH(O*<J5{QuuApm=KEe|rXoZfnKwoYy-oV6w^{EXu_!oaZ_VFzaxT znhD4;VhOTs1~^7Awm^fL4trEU%0Z<x%CM0~=h1Fg22gSU>Fs3fc4dKc99dxXH)wwy zNPZWn;R7zeU<(uACrE-E1S;m>8!f>h1Bwqw$iUNJ>wywakXu2DAt3{of`$x86KFSj zL$`4Aqk7I$o#4H^U{Md2=7$a4!7LE*0PyyFki)t;4!-1Ue#i)^>^cuZc(C?ASQ#iE z!X0M<asfC+fTInbI6;R|fuul+A&!Gfy=M04d;oG5Bc9BP2(UdWU<bRifR+s${C*L1 zuwi|vaO(l+iGAQ&26Rse1E|^a{X#2?3V+K-a8tBJ1r#<2w<1o*f`?S=0Z2XvDMaKk zxHL*W2fJes7jTZ<Eh?aD4wOm3?Ev^hm}BQj$Adpv9S=T4I<e7)19TM4iOz$U-*?)m zICtl8K+XkaY5pMrIY`H`TZ99)w&4-yuTBva$KF8D#!~_4nV>BE+jLYqbyWDb#i+1= z4opQVXQ1&W-dzq#WQMOmb;3Q+ac-@rN<~_~fjU^ABms^-9uN!E>;$iN;NWkG1J~z) z@O<OkEyLJ(@bc?U85O5)9j41~n}2|gd||=n&RZaNHXj5X!oqR+0Vn8C78d?((0bWN zg{9L+g$38tOgCDRF#z=+Ktspy6w!L1#2=KfAqfUD6$zJwjQ9(HGXCICEIr`F0?LEn z#DZlKkUEXVZWa~(Z70CZMri26Q%R?fiU7y~;J^w3r4!Js6X&TCL605(85kHq7&O<w z@mdZZrku?W>ordtcToX%7eUAUxqx=}x2S-sYIt4;m*Sv`4itf)85?*UwH_$(1w|l8 zIj9r|^#I|Lo}I@)<u6DhsDx^8>=uKRkl>ONEDSC=9l@8@fQnQ|(Fv-e;dYyYj0e?r zi1G%MzM<6_e@o7f|NlWsK$@Us&P(MV|Nlcz;(Mv{1HO$8wSVXVK2Oi5cVEUgc%SS) z*jSIw!|*_b+Uf&3ff?MJ0cT-Yiw>j=+yVr($+?|bO0aj}M7o(l7t4T;Vi5qj9<)y! z)GP%rX$3bWz#U5;70@X-rTm~fwP0D=xAUvxaaRVYE)LKsVW7O-da@L>irVnf>m0DN zU}G`h76Yg+3GRl0x8;`d8GdWDaHwNtaNwVR%<#Ww=ZnrC%^w&IFSQ<n9ohM>^JjOC z3P*R03Qy+?&J&&YIlqJZi&r^cf4|6iPVt`Nzs^rSokzc4YP|sOG9p5Ym@cDd=S$GV zpXHDsZa$*mvClvNXO|IbHYgOpU7`vWh7v-^oN$Js#CYfexnz2gw*xUkN5Ah&<N z4Dtm^fVAE%k%dPSxWfPnof3b;1K_cb*Zv?$a1=oLrI682NXL}NBl)C9=E=*xNdg|7 zr#ue6viCUnQ;qQ=dJk3Lwc##M_%aJ@U_AJU%Om-cN9HAnu4^6#-`RT{{H4bDspSB_ z>nZ+i2f)*`;07Qlcii}f)NTWJY9D+9wcIhAOW;l|NI58@p){AEB_(9x8b~|1-3rR& zU=E~H>+%iKskQiqy;DnY;kE>kixfZ|T2P;zgMV9y3J+*s8Yqat*#zb!?m!mE`TzmY z2pLEk90TA{GH@Kd*5F?cI-*hlbg}{{0l?K9e8KFIc|bhppqR(O7fPVM*;m+d0Z?!* zzzEJ2(BK4>TcBPUIQ_LAfb8i9DF+27sN8}}LV^>zryryp9-Lr~Ba0`_&M~N83F>o# znx-Jd&~7K#PLLeoJ|}3>OaL@7)A=6K=k)FTfxpig13Fs>(dUFLEryj)D3dch$66ts z%{uVGW-Tf^z9S_*a4CP_JNCq91}bAg%0Z!plK4D24|g+zA{L~zld;<w+*Aj1KuvXM zvH}GNNNg9Vc7RS#K=L?f^cXTd0gAr{3>S4kT?C3h&@w4-Si<TZkaCcVK=B8c^aNdH z+@b=~2}IChISKVpZq*ulb}dgq5@cQ^|~B7g<l)rTiCxNE^Z3($Z!yl0W3!T}lU z2D#P)!?giOt_A6Xxfa~*0x5^N7A^_xUVt=$Tzdf2y#P&Ofh$R{D9Et~z}*XwcmNBi z=HP(d6SWVt;To~)+7UcS0`4*Tbbilb19cTaW@IsgSRk*19R#|@7v%mQ7=1Mcq}&2B z8mt`FOam#0xgRbGt>r-)cQX8dbsX72$HCemdX6AzWe*ld<uV*yN3f?}D*b}j)@bM7 zT7cKvfA#3ycH%1o!~P3s!&1i`yL)qffJ(Tj2|utGaMWpl!5hEOQ9IAxy`affaGe7V zERW8kp1pfHKqDdG9yTb!gC#tB_kxC^p+y3G3Kvv&LWY|_Q_>3H;im6Co&S6~U!vuE za2e^@Jr&eP2ag#*hMGXVd&E!^BvUzdwLylO+Q36it(!nyfbLd?pGf)L+_QTx$4^Lh z2W2jhIberEMhW(U6vJX0E(h{mcPmI6+9*LS11z$^vY=6dQb<&L_HO;~oq=J0#SYYw z#DR=5(5yLnlo^1Me&=JuOVFW&d*HzE?A;4$AmWR)6cv<M^X+_qBjP}r05p@q0f{(x z7J@b2P-Cq`((zauxV#3>l~^E6eeL+q&cFa#bfyTN5Kx93$ROg`-D-gx!)Bh{dmW%L z4C;3Y!j5uoJy7E3*}WH}7!<>x@*FM)sr>{zyL&-ecQG*h``>M-cy0gx|BMXX8j628 zzjkUuC6xnNlq*;`uXk30%OnlNI0HBh?EKHqzyKOYQubi!6jAB)fehh-R|tA`Zv`6< zDh8p|0c0AjIYmVRR2|5GSE)mjd@D$8H_8YasD%M;K0_N8TR~|Olq;Zn!a-9Nuv`I} zc4r2yd<UNe399EAp!S1mTZLVqIY4m135$s46cq)K1{G#lF$-Fd06rNKoH!i8^Pliy zmM1ui(J>&4*)b%G4OEdh1ZOdYW-&R2gHsUXPWx7cA4qx6+OvBvXlVtgL#1K(Z70Zh zuwu~EA1~-``|iCU4WQW3Fua72hfV(Vf^<VB|2(@P9MGU_D@Yi&93qSZy6S<$vl}V_ zSq>2fUk>qd;a^07i_|}Ad;{_v_{j0^KE2C8D{sKr1-9k`+(m*EsL&pgN9R+p3UL1j z7Vz*26*QWJrGLZ$nyp}XE!@p)&7uPC9)agNK)oqYeE=V^fcB2ScQEj^9w-rn4p;ef zeuedocvM<XmWYGwX+2QN4;pmyQITkV!1!`4V#*DY!a$A!CmL`^07s7v(qRIhj0f+r zfi!{>57arJ&RPk08c+do53<T}7Zvb<pOE_?Kv!P)sIYYBs3?FAf$n?-K3!U(^El`E z&Keb&5;4wSpyQ>#U*r6!`1AW!&KHU&Ku57cj_C!R#S8CkK^;%@1l>!}1RZj3YZaE> zmScDSlwY7)b9%=wvT98+;^w+`{%2-jPzKL;HKwSrfOw$L1ZQt>s~_AMD;3YYsLIB` zaPXCY=0*PP2QR*0ym0UZXlfdC^bBNT+TjmUHUdpdd;CFEVelqk>wyv<&+h#o#h@&N z(maF|a2%f9{UEKNxrQI0Nfvg<Bnw0kG}Z8<8#Kql4xVFi?CyW_6C6$tev%bVM9&FA zht^=Ni|%#~WDgs8cJCJec^EuE3<^GIsZnC=*}Wg66f!;xmjRCtdv^DOG<6Ad2*Q{S zEFGZMIV1~vcDIA%!3FHam(36BK|6BlJ9$((T~t^+yW1<!ozQ^O2_U67oB+}Ubpn_P zc7kX3bP&h0yL|()B_^KT`*-|dU@-i)6YiDP10|-O-P<9`_k)xhUP8!vbRP2TZU^b< z;_BdlF}+wiJm94W%$p7@@PV#=kZIkXEd1L}f)<=}94rw)9xP)~d947|<=G8U%b?A8 z+(iX6JHr4ng#~=}A-FgJl|3LK7ZnB1?tYL<;0CL394tW_g%fx!-OZu`RR}gy1k}%k z7$D%;-TneOJU|Kk11QjYA>#tbfdX2A0a6S~=%AV$E(b~I0-oLdAg$1#2Q$GzkEpG; zg9O3Zu%V8H0o(zH<VW6azJm{$8z25)2d&KkC5RA69S*XC!LxfiC<nopk+3oI8D8oH zm0uj7UcYB|yTV_z%&PGhky$}zz)~;B4<N-LKY%hTTn^#~P-X>bh57-^MDs&CNU#Ak zOvD4P96r3}-}#S$fkBz0`6p*Nr$^@lNTCcbdOW+^!3hACB0!fhL(_r?W|VQfmWF9y z&}IP@^r)kz?K^&<dH29C+};H##^YU(R;YKuOrpHY&A*MI`3DCMuY!{#DP9F@!0y!# z=wAJS$EzU4c)SYI3iT?O3HGWlXu^GoN&{%m+Hv?GLOUp>g3GDqhxMR2Eclu@@RfOn zw>>(a`}C%$aO~p*&7^_|aKp|K+-w82X&6AwbEZ&m!UJ6r2ucg!N*$V-K_k5i;6+Ga zjj(YPM2isAfER!m4@#wARY>hY&(0TFOpd7LgIm0yF<)*M6_yg7-TxUG7{J->H9Kf4 zA2W-JDo6;lAEq-#MaHwcJpw)BBtSC`=xke%wP5$R9stdu?guFb1utl;2V4%4aU?vu z`$1Zv!3$=BgBL0DgECHY9Seg`XNgKh36Awp2b%2|`KKS~WC5>-0yWOSjc<^34B+Mv zcu^Gk(DfHbSYGT+Q2`xLfw(DM6%_E`auZ~CiJAv^tQs_|>jBzs1m?X~M6}~Tt)kc2 zhX0%GxE%N=9S7}-1l^1PG6fucpewqSYg7b4x80ZWw|)a((FSga`F1{qkD%A6D0G*o zs3`sfZ7l-du^>|-!g-MMpW-z|&_xh3isw2@R3tz*qJvD<02Rq#@A;@mz!!aKAX<Am z$6Zu3K#qnKEZsgT8r?1`I;|&5gb~fq2v9S$4YauMxQmJf$Vkub>7bIc+o(H;rTH0q z^EXD&tqIq^UvK?a!qy$4V)I(E^%6)i$mo)H$6ZuxKrC>w1i8xtWJu?=@7I(|R4hPu zXMvibAu1lP1zRtb2!lEmKF3{DJV1JmGl9tN5*3f`8WkVM&YzB)A4|aZXas-^@$8-s zs`~l2X*GXk;@=j<(s}FqrPiw@;@vqaA+NPSLlP#Cd(;e?pEJI$Y`uNlMI``aWXav* zE-E1)7Wf7*kh2^>CU(C1en~k*CE(j3Mu@8%UJJIqg}BP)xQmJdNDI_eIVuj_Au2A4 zpxaptz<XOwN+dyc8gTyOeC48eN)fc*)kN`A=XJ+Ui|!H?lg>|I(+xnTbAl~~-c%31 z^YCitJ5EppMs!~11SOG}5;4wyoh2#}-!F2WQ2g=zD(5T33!N@1F`nJ+8o$vBYlGjA z!aBkb_1;fg&+h#o#h@$}fqbkUB#T9WM(r3qyQhQngGY+ND`on@T;w*^c34KklgAi9 z*R4VF7$`u|vR4T^DC2Rw<^jzDfeJ-XP(mj|K%)VmeiP{C@e;JmrH?gpX@NW>gUDe% z-6bj&o}Eu#mw|E_Cvq+WOMx7Ok<~y>N>NdG&C~j=1T<I=&T+6@5AOBUsHiBu1ZTG& zpgio^`J_Y~WU~b4LC*Jz*A>B{isw6jbh3GNw<n;-N(MAmKm{MjyRd=}6e}RbV52Z& zMFJKpApJ<O0_K7yMCj9=?`Bcy@=;+q_<&gz)P`z+-2n3KCS>C>2WXoe540EN+ue`W z3j>`J0Nxh}X{S3P?H-p=L2O!PY5plzr-pU&xQz;8?>K1BGRr<t-%Hs<rPD+OxhDoL ziNSRexcRpqG%r&sp7{^l9eXdJ`Hz45xr;9ue;$0vX80d8)7)93BEope@T4Q>5B@0! z`CShEKiSCw9^>)sZZG(Ul(#@VlZt<^wMd{81dBsc&+h#or5@mcQn-v~=MhIp+Zdz` zG{^z&hV+AZh#UnTZt&?|18yV0>uFF6kPB41fqd|qqnn}m09PlZl@88LpzaLVbu8RI zDlCw>K@N~wkRDL^g$RV#hTRPO>sdg(An@W#kSuf!E36A}@CBPk=7I8AdJK@+C`1sf z09yjNF0};IZQFn~2ta`YQi>J?;BFgeK5sfm8#w)XcK3tXpa4L$hd@I7+YI=(1%Q@F za)8?1pnM9B&d%%KuN$6h{Z}dvu1vt=7$y9m88uKw0?!n`X6g0;-Ngb)U!AAGg{uIl zaAo!AeE3?R^<;?<v<mm=^-*Ct&Ir=lY@@<ZBG&Dr!qR%Oln-PdIA~u!MQ*I|Z{y(K z<^WnC0w1aaw}U*pw}TuF8Yh$31?sSaw=p2iuSm!@r>MxtgW6dN`#=Wo0uj)57HD4q zxETjpiplH&xhXjmoS<N>IdG*7DheTeDe(2ckp7(w$3gy<Qyif45I~C|Kp3<jLZi7x zg@u8?<q}Ay7rbN=WH|?@BGLf60Nl-V1e0K^JiFT&kmDEBJ>vkyFLZ%C$iZNR&=wj< zF{q%z(X|0-g|^VZOmGVglDwh&?7BTzI(a}n4sge_^<)W88h_b=H2!)Qm4w%t-5kva zxjKDR5)3ajTYTl8eh9=q?xK<cO0k_GDjCOJRB}LU-|lu$Ci3XrqXHUO2E{?bK2WW_ z6GS*d$L?-{oemrM1j(RC-}mS|gscK&8fYgWra=&8;3<Rl6UZ%EP^!Q17u>xE8SjC( zI~X)-15#>u32Y~PmIS&Wupgugx*ib1c4X;x1$7U>5{?|*t~{RIP#GRrmiFv!2T8+H zBz#<B0<xPxd2|M-m5p_11*8;`N8xb`&ZC~){UA-y*aI`cu?No-p55)BIE9Wif-@Z` z3weO{T7Y@41wfk(*dc5CIY4VWV9thSM-EVS6oBT!ZXWOzy02wgPnLZ402=@?jz<N| z0XGpluW_C$u>&~)Tn_MWD*zP^3ddbkR6wZ!T*9?}gRGk7hdL0{b3E|F@EdrydWnii z>&X(9)=MQ0aA^Z@ZZ1&)Z!mAYRH6pTBO0K#rT{3TNPyETI0Zq{5jcsw7HvHVx>40d zMc_57N4E=TtEG#IhG%#C4D^bA0konA4Tr<S7@B`Tib44YG!zb(gEYT1JiGfrTA?uj zW<p~CQm8?DKu~{l2e5z^AMor1Wqxo#yk_fWU}iKt2`Vk%6_^Eb?gpg{2T<<DnleC2 zaik28CaAl>OziHO4oV;0MJ(V&THJ*!`#?@B<?Lo+X5`;?!0;QWPJym|2S=x8_jZtJ zpv)_PwEz_W6`&&E0+a(>e34jyf+}M0`cF`hxTt`xA>@G+pfZ?)A_}j?x>;1TT~t6- zBsh6Nbb-drE}+Np4QL#LwtvFo5gNxJ#h^F_ZU2PJLE;!Ro(9qi4NEW+9G0HF+p*3D z9~rRm+TQ)3{m9_F0L}oQ+5O)ASZ9Oxqs#_FW*d<<AAm9yXd#GC=XamZXFi=j(Iyq4 zX<<5SHdp{MsR){>g-yS}W^2&q6?tLviZ2;KOQ*WqA0Vf8&`{h9P-=$`HG&KTdj&Er zydR_(6i=X`Mz|cLj0b7MIW61{l0}*p_U-)Q*}EO<%<wVtq7QcX8%y&~=y1CZ(+*H# z2A?<vI{!@vagZwL_&3B#R_Jg$INo4QNl4T|W`&<YXNAE5;n}+%Wl9)J)a9r^qVBOz z=X0OVZ$6zreLG*^h(OS+FlfvQ8iAl$VOYe$Hj8&PgJ$!&nrAaGFqF6-YX()`j4z#; z!ME>lXuGI@%61)4*$&Bk&=yUr-uM6iL5(&3*6?rt|AWe34N!xJ<E8cY|NnPDCy@of zlgJ9nE-IjNC{;YW+a>-ZHQzyP8-@Rnt}!Uz!Y7I$lgRr)ib3fClyBj3h<ppuifa<N zA0&x1i43dwJiFULW1i4)K6}vKS4f==s?tDZ0|RJ>B4`8>@04&ms4{>W!VWtB%m;ii z4fvQEP_h7-0;;j0O2GC&uZ;v*1Z%B=(k-apEde=f95k^Eix<#jHE5n#20XD0Zg_fj zZwL7bG!w0Xm{^A8$mSFk4Uh&Mum<Q@P&;@m2;vo}J1sh0RBS+w0FU%|cDIAdJg7UN zN<b0m1KKsB;L$4!ih59eoUjixle`N=fVXxauLpu`F3Mu_0qtsX49;S63`I;^I|gMj zIfj9ztr;EvZwK{~vlxT3m_2&esDSPzhNuKdfrd38;-LNnwB_A+1f&SEc~KSA3FvH5 z>0n@BINqWHI?oQ`I*1Uo$?nk$+Mmn-a&rJE^*DeU5(bXo?CJ<^$z(BRF=a7kF$82W zW+_1JX+Gl6?V{qs?V=LG?V@5*3OWkO0CXr)faCuIF4~|&PkQG-o3<e;35MTb-3rgf z;|oA5BSKUhx_wjvG%xye{`csFWL(1o9*svpeF~%n7T`^#Nb}*%Z!$bOLsTrlC*JG> z)zr|U0<zo&6jR`I32x?LyNA&MJe3TY-Of?r`F_=<yF>+cA_T+`$JTcx@}P#kj|ylc z1w0+pda^_x-qH_v?cFWV?ZMLgiLvv<_Y19u_**72fv;W$+iiH@C5Q_?&-15zZ@_`( z9}b-%DgmHjI#3KW-(=|YQHeP2q7nn*f`cAho~7|$b5Vh`-(U+MU<c2@PRfDax1#{s z+0MYo0AHOAI?87O10w?{_CSRLC|iNe1Xb!D-MeRi<w{gQg#%m;S~!3Yg#l>>cW*tq zyTNSuQ9h7B@#s7V4_t6_t+|qgp@bbgNby>%+pzT;m|4PYcyb4*D`9x)`#;!vP*5J^ zfaN^!wKJeYZ7!g@{|4BNka;z@8=>w8DF!7^P-O>~gSa1Zs0~On%>7_ChWjBW_<Z6= zzq-hyyP1O#DJVh5V+b%pf)cbG3vONOff5ss?%g28Ag6%(kZ?K3Mk$Z(ZjerJzX-+# zAC3VEQIBp0P*DLo5~BjyJ}Zyz-3{37<8L|33_5#eH%J49oe=MHfTIs&0L=R!_RERP z3=D<`T2Jy%1)U}ZPVb;&79jVImIQ#}QUIL#x|>011D=pT2Y`TG3vG44eb{=SBoiqi zp+<x`YA(c<R`^>$RVO%+yj%t<ntDN32!qZe0$B%hJD80$vf|O*4DvhNd3Xcs2xw@( zn~cEf0NsU)l7wG?f)6<fcQ+@Xr`HT<dPPqppacX`j5oqSnqi&>vq9-(H;4t!wx9Up zKqD-W)3Y#=3@EpQVi}a%vDpp^GQ75fG{bBMvq{M<DCq^{6i}q$atcT>UZ;RG!<+(U zJF<A}1*J>|h7a~0pp$~pGbqSPP&UJ5CDsgzoLNAcVOE0KpxvZ7DxhEnl{4_f2C@iL zmf*4oq!_Q?K$>9|f!U5MKD~=S{D<#zfvhD09qr`N-3)SyA?PGB==lPmjvRPm8=hIq zKtiDE9@HxoXgyHE3mP?SJz2`y{DASb7c>(>4E5;l2F2_regQ_qOKJRi44~nt5O4z( z+&ctKcyeBV=r7^tJn{V^=f&0&r9v+cfDX$64aByd;BVOs&Z)aK7#JD$ErhLF^z1x_ zW)-+(bMWYH2E`z>pwsZ^ZU%W8%1!X-T^*3izyRu5fm%x$pyrPOXqMLl+6IB_lmSIO z_y`5Z9iTOdp!NWRPq&YXLzaSLP*zcvf@3h449zOa0J+F9EUPRl0Dc5Q;}P&ob$9ay z^fGn_xQqplDxys+IeK*O1}TSbTSA${V2AEs0#9RjbWaAE0*=z=2aF!w-C!;$na2Uy zS)c^w0d^MV(FveZ1f&>m0t0CVyT+rt8_WhJu+=jRLA?a%=`lM&8|vX*DbV&Q=!rkC zmB5Xw2@@v3>S^fOTA$AM@ZrAh-3tsEAUo~B6*pSz1T^;riZF1o4r+5jmaDLJb1<F+ zA7Ty}2!{@y!qW%Xt)KV>I6m>maem^DIS5XnE-Dg`GzxEZ@wY8!WMBYg4~XTU#0+K` zc%VDX10_X%;ummH@%Y3a>7ruri9f;xd~zIv;ej-MJ#euKnn8zj*1&mzAAIcs)OiT& zJi41ffeIHO!d4%!tv+B|A?MX`eBy`Pc?mvZ5H#-!3NUa^2G78DyQnyT?jmqe(Kzm+ z0`5D$7Kg<Qga+k*aGwS=r46pZHA<2^P?NY%=Z6xI`*tugf);Cm#v*+>VW-%D+by6J z4o%vyvs>^dYLD*8pvVW=4sO>%ntfH!g-QIaNB{o+-_7*@fZ@rPyZ-+F4_D{W-3)RC zxR><(BFJxtzF$&21sXl&0woxb+g@Y4R~|H10QMdSe+y_G2Izn#VhSDsw4y}<SAhZ= zv_~&cuoWWBM*<LK0haueqM`uHM6!cB|A2aZ@boKzEB_#86G6~%d(Ce+z@@R_H^WPg zJ3u{cNU(K&gcQXNjv-k^;D!ol2MeKua_1jBp<Mh2+7Sxwa)K=Y?|Xz6_n>rPcmQMp z=sGY^ySKRkc>n~|_Uix-fZ!^`LCO&k2Qm-rM)-brSb7JU0xmWog*ccCJqZcrU@J)W zLpt9oz@t+{#k2F9Pw&0}CPv6@6Ns+bVc*_m2N1%Ldbm4)1>BA14rT!_lK@W)lyY|) z8(y+D;Gc8I@NMUd<`0a!!8Puo(h_Jn2I+Nqg7&cN`vEf(?3->67O)wP+`bT#!Ao>X z`5e1_84SN!bAUIOp6oo|{GHM8K<mF!md+EMr#dfkzU(~4`L0BS^JC}x@7FosD}Mie ziSvTukM9>c54YarZvjPuXYalakX@j96>OJh=TS8G9Eb8Dp7ZQH;@OMlKJakeI#^YY zD=VVZ?Hu3@saXn+Az9^F3Xb7f<&az%imhtjrzeQHTh+6>O@axj6KCbwy-$G&)QQ8I zRy@1+fs_+WE1uocK&HUbif4Bpm<vrS9OwfT(DdRM?il6>y{<>Wv-28wuwvN^W_W^u z1RgYBvZ(m<uI6BYOF~XI14*Xw>#?Ymo1ug_Nc<DOAd8Ae?`{W}CU9JR;um010XOv8 zZa`XX7eF>5#U*OoLEB}p8XaOSR8RoJbf^S}Pw!+{)Pfpt0zSPdDiWYpoC3j^_U-%# zZ@q<}w&B7-5$zb1RR;En2ec8l&mK!mgAR&iMviHZ?%f&8IAhwQdv^j@7S<fXT`GV~ zfyJ~(cQ=>|jcEmVOdp;wVM0K+Ux`n*TSh11#^&p=`@|u0U9R0c7LAX<2S;CY={$A$ z73lPa?ivf0&L5XwxNx3te#F=*qH_5?sB#1idAGTME&_PY*trkXCh+L3)9`3K23pVN z+4%r|0AK{jZcq~QINo*uv>_54%lj6vGB9{F9$Uc$Iz18Gx-q;2-WCJ8u$%#^z5q0u z4N;!~Q6IsLtR8eN0bD&|ye+`B^O$4jS<lYz9-Y5^dY4a-<z{H!zd)9ofuTgfqj&cQ z8Eyt}>E@#O(uMJ(Pv<|E&R5_#^z1zD()raz^X>nmKAq29K#NF!b-n~kHUD5NbpUM` zh6+1&z5t6u>qHY%o!b0b-U*=SR=Z8y?L^kn$SXov1>vkOBD?dA}mM`#t19?vIdz zxqpQ$b=?m#&!h1$$0QoLpFtkvegS!y`xE4->wb`V9*u{0Os0|hGcp+%n)g>^f~HSE z>E}cS16k>(M9!mkH%PPLNms*@kkkcBMy&@*vKw|c@JKQ+mV!=eW#Ey7bYQR~IiKFe zAX8io51=PfkH*6jrhr1>Iq3-zcmAo!0{Ooq3+DeDnH2aRq?vO6gG|Bh|AMJh_kTw= z$p164Vg7%SMS=f8nkn}`$Q11U512-E|3~D4{GX8v^Z$+<3j7b!jNSiX*poU)19q=I z02f$fBz16si;~`tJ9eJ&==|)_`M2|gM<=Lpv&Q1UbWljXcY&@~0`09l1Fnqr&X9m+ zPLJNb7o-sE3TXtpKo-H4kVCK!Ah9##5#k<72=)wR1lvIc$_8y|=v`|7ag;~rJ(tc$ zE}cIibx`MFk8V)oYLCVZu>3cl&R<{|@Tdr~QXf#Icn>D+(RdVOpa*E|1gZ+PiUQYK z;NY6z7#1Ah(fQeP-yG0+-3*}RSFnjp(241cAOU!--*SMzWhLm~uiiRFL&%|t9^K79 zKqJ=O3``6RT`U|O%^qB!5ue=`z-Je3HsFE|*?9KWF(LHaU}9kC?tTE%lL67g0h(dx z-5dbd(|nBC@EhDc-OUDIZ5A+X9T05}AZ?9@3qZWidl0Y2f&B<&BUQ<u_HeLA;~P+W z2;}e1e;)f6!@Uj;UEkh)Ck}}-fJR(Elj+?7%n+ZssCa;e5y4J?xx7UM(!QMno?rwo z%YYo5+~K0)2_CCO7K6-uf`(VY21`H<Zc&*CPJtmR0m!PG4Y<J}xdGxm2@nrDJ?YVS z1muBe$2iA$B+pHlFaewYKnDSX+Gx<=C&hmx`2tr^;PT%MkdJzIgXT9p8V?@;@d*15 zmR>=t3n89@r;py<4VdW_H0A7xl3o)yL1{08lYybZhJ(3O+_U)@6C#xzYi<AybiXd} z?5$&lh8SoR2^?bwn2|KGplf1!ZSC1x$BNK&fdypK4HgE5W*ZKM5)N2$^lU!HhOU{> zqj$Fg=!E>n!x=oFh0!Pp8IoB+@eA|+R(PVt@Bix<`PBpL6;P^fu;E}TQA0`8U?E{p z#|AZ&tWZPgc(VpC14Au1+3r38aW-f&a~Eh>%L6qNq6Q?2?aZat=(aPLYIrsuLke4u z?q)<dcC&E&KhX)c{B<iVIN^aaVZwx9P<g-qAUtruIT|G^gM3&j1DdpE1I5k)HfWS` z9&5h9%fP_=njOMm^61@N0Pde0-oXowkZ5q3f@~YizT^1qV=mQ4_a+nA2?<~)WFR@A zf)DBh5Ci0d6%Z#R@L_iXy!<{7cLM(O09q!5njbR2C0YR!I2;&CwLH3;4}gpG6O3RX zCd@3Nz|X+I^x7CTW>GXTml~l)<FRItCT5S`-4UFiPU2w>ez1?Akq8c2C>yy%gZu9; zQT{6_NA(*AD=3aZgWljAnCQ{njOyJIKU7atfHgF*LVb>z77->w)8Yw!28P!PFsGwu z6Ob%u)YhYSHv=RlH}EqsV9O?ubOrbCYoh$i-?9-Se>;G^;K2$lbwHUJ%~u$ixj=w{ zL17^~gGcXf3y3Qt1hBgjPiSGwxd^vFLkncn>lv`ng4I{eZxq0l@qf>KzlrpBJLvQp zlydzCI5UCH8wZu^B_MwrfR04zwqOUxCUdDcX!;f$I<OSM-{J`|hYL|^dvJi#OaKQ& z6Mw5Lcq+dc5%Hj;#NP@!ZqBo}jvK0RKj=&!$PhAU_!K<q;c9pQaz!k-6awus0a?C* zN0@=3dxC^80|RJr8))>=V+Tk#NFQuj#tV?j?hmY>fe{}LMwH^irCWr<v-2@%v5o+E z#KUn%A_D^hcvw6u)iEe5*)bSwBV<6F!K1TTLJ-`PcmRsr-pvex3=H7)zhG6K&Bu5U zwGrq#9&pib03sp9tp!B40wm)qfOJF4d9VQ>YamS#)JC2FI6@>qcTIM)a1e3@qPjia z46+auBA_}EWNGhaxTRnlQL9<hhzFNeDAj9(AgHtw^=v-If$-<CW{@zbJbD4z;?a26 z0pv+=&7}bL47fys)+eCjQz4BFu=O6@&1fl$A3R|PN;jZ($!lJZ?&cE=ATD?f9K`nw zLf|;y0EI{6;TND#1kGi6blyX%SRnNmA0q<;s(py`0B&TjfS6SQary)h55*WrVFIrY zLG$#u%=3V_E&!Aqdv}BU=h1lBLKw8UAH2E6)$jn46XE5P1l)=E>YI`@)bbcD*gQ~+ zOO!x_y6XqTT?UX)dms!=xoB++c!2^5KY6(0K>h(W<t@Nz(SePDq05DXy`y=72skn} zKmxD>#KRhZkp5%{sLQYbRMK{S_w4-Vv0EK(0em%K?|v+;&oAH>QZ=l%<k{U0s(u{1 z`(f2Bf6GIN5?EUkv?qZTbWmD9yo_pH2U5~}m<6$R(XqSz1{(u|Cv*D+P{4G$sAPcV zJPSZ0%AR{blVqU6#HTYwrQ&!CcrzSG2Gk*u@a)}o;kY;hJk~l7cju@ifTs2%Kt_O< zXMr>dfXWvR@bnHi5c#(=r#W`Edx(OrN#1_~WP9Ur15pNsT_EdVceB8z*Bg(3f(tZM z9p@Myd)TA-FcXsN`M0-iF*IkuZ=MIL8Ib%4Pk%=67=Zf(Yx_SEQoh4t0F=bQ?VS~{ zPUHtsa7svk^jB|)BKoVaC;=6|;ELn{JESMrEFcEf69EeQ-pxOd^sqpy15hUqT#ra_ zK=l|v^h|*0kq|@JgVbZl0NYalvnK$e2b6t1dN*5$fiop2CS45=fFs4Tw~h^A;{vem z6)@ce5Z$0H-yXf2Be3XhKE{qH5kXC2aEE093)IOIAO=K0+V>S89=L$yh<3!)zlP)& z@Pt$28?eotpFKPOdx9quAVm;7zPg(c?O$-IW+4u$?p9x52Ziox1rQH3hVc&ORisFq z0nX2$#yePN1W0Y~Vh&Jt?%nJF;&ndv=rmD*X@!kF9BZx+XJ7y|tP{YtY)%jdHA8Du z1VBd&aKMzqqW)O(45;!M5akU}<pv<-8ZhNZv7P`9!VFjt?f|Lp-8}&mguR;=fOwrX zDheRo5-{Dc_&?Ts18SKA#2*Ks$^$^kJz&aV5q_-s2UNKR#BC3t$`e4!BVfweq3PpT zvxEf1=N}+RkU;|Ca|e)e$TYWSZyhI8IeJT(k-wD@l9iB}N}$50yBkyzIr49xz73S6 ztwlIWl0m#35FTSmK8Uvq%HwYVt%(AO?1722LWh(@p*A0D2BiZg@E{jF>c!xapr~eg zo#WYC#{(AwX<&wEcpd54TgQ)01{NR!uN6Ie>x590pxGu2mjv0y?9=(&qZ^Tyq@l7X zLDa><VZ&O&VZ+7W3YosHlR+p$4X1q&pL3MhgTmnegvVIo4dNYw@=7Z_d+Su7CO|?2 z>Oq({RKcSSawtlm-gs^1*;}WGO$Me}2`-7vgIZ8obPw{d*s$@pE&|nqy>;3MMX268 z0`USzi5<v8#~?h$5-$+%1dQhd;+=x>N`pLm>&&6%9cu<TiRrbGXK$S@Tnsse<vn}r z3}3T&_STue<w1JT<H-gpi{cGX-+;dr+H0~!s6h3@8HmfEemDo=F_uJvTzvt?3k2~l zL3yQ#p1pORQ1f7ZaP;i0vxka#bT>DEYlIG1jR5i!G|_^*<P7$b8&o;O2y`#`L1ods z#14-Ze}oEDFI|B+6zZjG5FRvIZoqipXt@RDfukiFY97QrkZ1{ni-EiVOLQPVgo6DL z0hh-XEeTLr6hCyaaPTnNaKgQjh){&;jXMy>LA`Mg!h?F_0gMOs#v>>X?2TNgdC1;K zhKqr`0nJ{oLEcCQdm{@jkIfrJP+1gjG}v&kVeMwBvVfXRb+tG&G4Zz^1!t@6ptf0e zGpI=QU~UF^-J`Qb1=OkVINqYNfq?;h>bnPc9TcdE2a*MK{5*TxpBb7nfViMVvmPKZ zh|<m$6;M|Mq6O4;0I30YOL{|8GGHr)Y#2-3F?z?PCMdl@P`|enRCd-ueF3RVnL($> z2zY?@u0V^IeU0FW1TYUhc$iD;LCXcf-P;|IVHW1n9M9f;O$a?W&22_j1FD(9b{l}~ zhSkOUT9DO%s$WKs`xHQGU^VW(c7z%X_wl!at{21z3;tG+13h~wh@cmgMG$`rXsaKJ zdqKGdTqZBYlXyTqHBjOK9m4{Sf0V=nUfI#x{tD_g1JGaxO5(8qi-6krV9lK^;M00M zdZ&P=K49sm<v@vwXYW4HU3T!q0cy>FBPakA_^|fNz8>6x&sYjFq!*z8(#8QBk^nLU z)~eYDT1*JF2qi>78IZpf6ddGbqBqcRASn|;Qw363sCzaZ15N56TGx=~7uY8bAfG@Q zTpo=_K#gQjKPeV8nG<^$Y8H8}e?pGy5zgjsiTwTlzh`e<F(POYGj*VvvoyoAx2^;# ziz}PfmBJNZ&!cr^a0Q?Y%7B(ZUtazNc11Z<l}C5;2XM{#12QJZ#NWCRqM{P20=r9I zb|ar04jB?b>7RfKDDb%BU(Y?akouF5HE}5Es*8ogqj&WI2?mCaW)SJqyL$!`sJF6t zg#-hGPv?KwI%dz_8WmV)1H}aXZ7duf%|AH#+pmDTn&5?}9?2|?9*igcKLBY%^dg)y zy1ffP;mzMV8PvA#Zq@*ID-2k`gOZH=tu+u~lreWE{?=4>1_saOBdnlw2l2%*R|dwH zps`Dk4Y0<&XYW=l^$ci&6Lyjpq`H9AFfJ+)p1rN_z-h}xB>}l^0gXF=ixWuM;G$9h z8e`yqt?{>E<ZrzM>TPsm44>`>4Infh;X-jK^UIka)tx#jAlI5gW7o5HEy=F^Mw)A3 zn+!k>Rs=Z#)4^O2VGYo*Xg6qZwAqG(oxk-nXuEuGjfxr6vFKB0O#H1IK%=hRNO3wJ zst4BO_2_ms==Qb%MR-X`cQa^|%7eKXG-L&;t3jnPsQd;MN#H@z9iU-DNYp^;_U>+Q z1A)04H0I*b*#q953(^9bkp*k%Y*7JC7=t3G0@CCJrF{m_gnk|*A}kOQ0a|r%jDhnd zFVvZQ2(N*>(%lWKxA|L7gD#C|KEnSJlo>pmkA%ML2Z{97s2D&sk(1QEkQRAhuYh7M z9n{OljJW_%+pGDAA;O2pTp1W%>VZ^4lNzk=NlsGxM4D@nliD`W)IO$z=QD#Ei!~~+ zPA__zVlI*J=x#;~2{+Vmuru?wR)MrN9}!3R6B3iG;8OuWIm(HGNclmUH{p?T2~^r) z`f)cXoirbDMmYAED+ANZnIPfb8Wp6D4tkmcwP!q=kNCe<2YJaI>LrXuC4cKo(0E1j z5f2nApk=j3;}MVx5fv|ZcoAfv0MtNo67B~w!)P6-jfELT(;>nrMGgahD`=eAv-yZ1 zioe)i#(})sTcZ*H^#EEE0)OfLhXj{HLk78!e+wF+#`M57P_wl8NFa(!Szm4e3HR2h zz`Dy&14(l5FA^M#a4FVKj%V``FBBItz83XtK4OaygXAL)q-q``{Tv3R9Z6`=qqkU? zORYfCQV3~K8Q$G}0MejghW7rNk4U52$@IDlq*ETQ6R8?m4Gl&Gge1Hgi9@SKV6hLb z4M3JNKq?Ryl?b%j!$&0nG!Fn8i3V?_gxBb>f~(~~Nw{b85k+*TGQI?DuJLR>B8x7= z{PGa!`hw;oS}zko4b0{vR_IFDU(N?9>8(*whWn-&<W58&m2!GEA5nRE3#6|3h}!F! zpcWluzQ>FSeZCAavJ9$KOF54<XGk(Iuz(hKfEb_w;18hO)p$5S5_wb|X{rlkJaqmK zwBighcnvBvK=Vn6@n@L%0#Z=(K@5=j8lZx&@$e74=7ZL2Ifev#G{2GX?EK={`OkB| z5d#AQ=#b)l`3#_zC8QbW*}O+Z0<@x@zhx!p9-U(?Dxk$Fkk!PF4g0Qx<Vv_bd+T9? z<et54AVJXWe;}WBb9D!?fDQrUZ@I$Az|eWF`5PnWHU5^zP!1D_^9jmf25}gfAj()k z92qEw6~r-za@ateASj0&#L0znI6#~ZD2EfoSq$ZHfjIl19BvTjCX~Yi;(UQ}ctIR~ zW{3;;KpZV7habdog>nQyoCGLG5X7m5a)dyfX;6+Zh_eyO5dm?|Kslly&T}Y748&n# zfmkXI;>bcd5+IHRlp_h^1VcGeAWj~XBMstoLOC)Z&Jrj`7R1>P<;a0J*P$GF5a$h) zqX6Q7PGADXk0OX823p7QQHk>+q#fB{!2phBHE1sJft*eFk_|MJ0+oYxKVWjNK)nVF zh7y0z-g?-?22Ac8R4&@Hw;tC2fXQuw%B6eu*2Cr~U~<z~K%F1(hNhQYNa|pd6EJn4 zkb$w2kkr9uC17%HNak4~spCNNryP<xAtZIMo(;^rZ_Ehyy#lS;vtTFz`2#k20aJGl zN!=kNb+Ba}Fm=n2)XhRt$BX8^IwW;PNa|p1Xqb6nNb0<h)WK#hVCwXc)F~mUgSAp% z>e!If{Q@oJg8Ekw&3*U4omNP^fm&vu^aKhQ*mMZYylqJ8Rw0=On;C(r>qAo4grrUc z&E7O5bx}y_U=44Wd3H$ZjF8m9n!+%3Vo2(^kkm<_+53(Wkq#b#*0Vvw1y)nT%sYmp z4m9Tt3l~_G4O2G{N!=tQ^I&sAFm+`}>avj3!6t=Z>im$@IU%W&Me~Omk~%3Qb+Gv# zn0cV94Pfj~3=9k~f4~|LFgZ}m48}eMRhQ`5TMuhXz~n$n4`6K2#1F_kP+0++U4qHA zf$AzqS@gOVq$t9(w;ndj1Xc7p1;&U5sQ^`0u*x1L;RIt?!c@TKz+e&zForlxg(_-L zLC+`!9fioTPZ4zDKj_>T=uxqtVG2-xngMioy1Zi$q&jatA^^I|5Hu2J_-!Xhk4Gou zz|+<PrF@`E@jzSQnk!NmO7uZDHiCGdvm-!@CImpUeGHH@E_Z;ouDKeX%o2c`3$L2N z10B$vwB2qR9<2vT1U$MO96${A;|>y_0aiz7t<LDt%PRHb|9{WU7I3BS(R@S#)KkG& z?G0If+OQu~(KD0?dh7@7W&*Vxph4nsyxD+*fx#NoI`7@R0@T}VJPcZq01YHiN@oBs zRYPz0fEz#1^+QPJgLccHn*RVa7=dE`2@X(}1GPsOKsyIeO@_92z<UlrjUCVuVvvFR z3P5IbLN2QGXx<IlNyt!Ad93*d$RKzqg3=7Ef#cEL3|cVV?ZNW>Vk?JZ7r42@-vSyL zZaDz1Bw-aHXqg@8qywMc#h|eOkM7OjB@La=!Ttxw)h^H?P0&1V=P@uJZX+@A25}`Q z)_nf||L@V+401hWNm2}GRQE8{J+KPaqjxvh9lhX<pkS*}EG_MRJsBDSu<(L44qIW3 z!$MGo>qImTH9+eK`CCBCB0<Th0mMZy6dWS3umh!O(5jMd0nmj;kNBHEF*QHn?>zSX znycY~)=&IX4z?cPpL$r~G?=&TatVv!x7V(Y9VbASdV;oTbVssu-ur${*$}*S9(3Kn zPEZJ!avGj&z0E)MVCVVHe=ds0I8T-Ea$e&+@1l5I@t5Mi*Gz_&KqpXxS1q=FFJ<Yx z(0LJbcRJ_6l4wv%6||M3+o-#W<@>ef=Zu}dzF$)O1m08bYIxw=Ax3asa|Fj)DQo91 z$If4!*PFjHI=22QWp(Vl(0NVqqYLMO5<bp@E}X|)6wfJsQ2YqGx8eJR)`KPa@Hhpf zB3Rl0*$UdL0xAGNI}uQC7lP#e2h0o%;M}hR%Kac7=rT}{uH!5!p!5$t3DOZ(0H6ju zF-Zs(XP`u61M1;)wy1!j6gd@vk_6KDb@Lky@Zj}7&;6ivr{G*vgqn*sfKmZ}OArqO z!?9)#P~XqDcO9s(KnaR-(9)vYyTqg08F?%5wS)gy4?bgtZ6EFyG3YKaV7dJ2^1JWX z6t6jUxiWxap1);10|Nszjh}}a=Fz(ww3G}QK#1M#pwqq4!>N`5(x|<F&?^BNoP*>K z!%NWMgekj-Q1*h6fdRt`kf+hDfOL@fTLZZn7+ywk!<~BtVb%oDxp|QIL^u}|W^l7S zI%`xQbBK-&Fvna)7!ZNQ0MH%0&{_ecTLH~0*WkKWD-hBR*%gnl`36+4Pw(OiP*)Zf zTCjsc5h`y&ReJO`gW?3V##jJ+CL9ApH)FRa3+&LH&QqL+_*>XPoxnq&0|i4=I6yaG zah~FDNr4J7bs`BKgbFev3(A3daEF>d@gobeg8Daynm@6i2(mITbRKH{#ELAqj1wZr zhAimK#=y}0fgR>f&J+Btb({<g2p_^1qx7yuNjb2S{tzm4U}4eS45|b`XDgoieyLS} zzon5A<=jLN7oqJoLL0dFgH$^FEul=v&bWh61un@TszCXKzvT-fJki~SDnm`VFW)mF zoAnH;2s9H7+OY#Mi@znA8ClhHgenXhnV8`=zJMx2v+*yA>pwshq1z}28gPIo_>Txx z7&f{iZ2SaOhGrw^AT30w!g`gcHkKqJRKWU^9^K6tw#g%GgLNlSZ8N+CzD5+0f4gf` zAWbub1+WeXXv_;#l!FF$Kn+3I!SK+_q~HdZsDKVzL@gG&QHllrmc5`UmEL->muuPJ zF>oJico5^lhftlEF7#mL-{!y$IyD}2o+1fO1h)kqLCuBh1Gh#%O*WJOLoc!(6QK>d z3K&+)@VDM#Wng&u7__b#o{nHWFx0r`Zvpi-5zzzde_@M%PbPTi!n$9metGGFQ1J?f zvk9k=H&C5e!k>wMn*$pe;m_YP3p6LyTmKe3cmnn=e+y`5fM;*L@=H*g7h0Wu!D+bw z|27YJaSA*8^(G^96Sv`km)8&l4s3M9qj&cP<f;O#Hi8X~fcDFQc7S<sKyCu!=yu?N z91p?+Zb>PF9SlxHa4ooNAJ|X|q3~<|$i#UNQdXWsI2|@10@kerYTk4=gU2%=XCv1) ze^hFIP|tY+qEQMF;jj@6v?TH3_y7N3=kvGBfW(2u%MKQJLW1?XQFRfMO<_H3utPy@ zVQ47wZzDUK^0yQ-fo1~h6<#JY!Ou|bJP3*ekbg^*psD05G?k#b`lUA_7{5bR5J&+( zVah;<qY(`4bBJc&AE-t&7o0?>fUVZ`=-mx!wIK!5WKevfp6FJ}206_QR^!8sg%rQo zT6nMq8Eixjt_57Iz5MX=|9@y`!N$(eRGdSo_=VLsgi--gJ0BYN;9Hj&K?MX_4l)5J z!4f1LsE$D`h2h01%#W~c98w@QLn<u~M*eLMtRNdZT~t7~UV`d0&^QI5S__<;VVxp; zj_IyZfmI2RMs*3FXY=v1pa~rU!yAw;2&jjX{~6p(26az7nvZCJ#x|hKpKzZOJOL79 zpuQVySunhrj@iu9gT^3e>o&M30}m4Lw@hL{ZawM4RFRS<J$hGz3Q;nWCTvXv9(Nl- zU4$M3SgNU)il6`ghm8+_dxHNx_kpgw1os4sK}98WJjD?<f&)%(-@&Cmw0{P73fKa$ z3|uw0XKx+SkOe5$`t*X1a%X^^>g3b;3{?l8XY(=eLK3JQ-OZrZIQ$S>YmaWo9(Tw< zA==TW_8#54LCO(M0*%&zEr8zs1vw%QH0T4p01QdMk;S9&umEUPROfx9PBVB~AJlP% zV$}XKY*Ynw@}@B8PVf@6Q5Db>76X3^s44~Z&_TyOFktIK!!o2}w~tDRXSa(AmR>X{ zvkHJJhHe`JSdaShd+?=|E(R=@Uw{TYYE%Ru6|Knk3$4dNWjue&T0|)h%b%cO2vAQB zBb9)TE`Yb*z(I$|HL$54kY>;bCP|uM=>Vh|)Y~LUGpv~p(hSNvBx#2A06>~SNf4Sm zz!xu|`4H9%04W8naK^9)v}+YTc%X$CIBO6nz+g!LRD`|#3mKmUr4Y0-3|h^=nnsS@ zJt}KJQ}x|@R6q-~I!_$@A%F0NG~<cR!v}w}9(>H){NRD+!EO=x?h<+M;7apP={nh7 zXO3<icJSo~(7Q@rH6P~bwvoU5obzAjhvo<Jofjd^GlAx3jI9UwT|RV{sEBy>wy1!H z5<s1N1<)`bXd1ek!|)VDtpsSi?*Sv|)<w<_-!CX00v-Q$vH2ro>m~588o$eh)>Dvl zcL-5;BRAo|Nf<N?2pT`!@n4>Sp<7V#P~)cs{0t1rjx3;?QCm-yinM~xdIu?CC;^=m zEdt8RpzChIhpGxdZ16*j!@ybx2p40x7b8|nR3uR9NknSkZ+*_d!0-~ZDg_!Duz4$x zAtdJ<*kl&5F=-;-9U{+i`Q7DLIAZc0JSKm2UTA(G54lZMp!pFv9xrr;sEEMRZi$Kj ziZ8*7Wf5Kl*W0k!F=8D^Mp6Ua0S{`)2wZ%JlH9smRA4FW;>(M#5V6*I5Ud51Fi&*) zsK{`B{C-jK6ezh}X#RjmZWmh*K@tNf!$KoV99jv&OH5F51GR4`OKxGD@Mb=2nh=rP zz>Wo7N!sneg6?!sq5(M%DNZE7xdmx-4-q5$t?xjI5480c>K|Cw0A!8>wh>Ew#Wrk4 zj@Y;*Iq`ub6m-Ax#aFlzAi8I<r9mZVq!H^*GSc9|mrRiKC2;T^6RuQv@Fmm1S5S4J z(ysF$L>tiw@e-okgH2DOBt+2iJIWHG3p+d^!lpM72@&F2cuItD;f@C-A&jI5nmfdi z6hDBH;x~kkU<0Kfb4aeoVPmJnMls1r5fZJSv<Qkq9Hk+6zd4e3u_Z=W^PgCkl9d>l z;fawMcVc8d_zJ2HmKZ@?czhBn6_FAnyt8uLMFn07?*LyLPe~;VI)xruPQm&o$cYi; zTBO7X;=&ydN<<im5j4Sr6g$Y}B6?zkt$zoZLvp*&g2eKMjKm0vR!CxG##u6=c^6w^ zv?9@^WF<xxcw%J1ofugTzJjWQB}Nbz9-o8~BWQ{jnpCWzenKf3A>%ldBu3DYcu*T) zyLXWjBgnN#i4nwwJ06sXFcKrE!Ebo-CC3-|;EyxZ;UMJ%x)Ux?rNkB@WTY@qTtQM8 z3(gb<@dLcuf}Fx2eHZ>#htL22zjXZ!_ato46y!-zYZob&rl^1#<v2&%1Uh}t+nzgd zv`+Y2o4)@4|FYvN+*;TuJjhy5I|s#DNc-*o|NqUfYa5UT`AD+1|NH;{FQ<NoTdNL@ zCy=$EIt|5INNr6GYnT4~|NrG`#2}$DlC>K^qw0uqd5Q|8T&9M#yMO=x|MK8(xNl+m z$w9saCtzf2AvqAAwV<&;0p!jzEDinn@c;iy#*hF1Lq|#=6l{D6JW}%CbDtao0|R)Z zq!ekS1U_N}?nFbzen8n4l=R?(KQ^Eh7NE4}0U!MVZGi*V53L7ELP63X4bc9*;ia8$ zY51iHpnd?zh+Pa1{{QbbRJ^ty-2aqN{LT5fQxYbNbbBN&mw{3zs>?vh6OYS48VI=z zWCU)Pfn<qr87Kjxx(t+p@wg16fso5UM&NcCNR|kffzmjt%Rq@7kIO(B2)PVo1a6ms zWU;x7*Yp1|(10@{sey((P#p*Al_DGmT15s+YQdnS2GW3V99$YDsez2>7Hod>pxdDN znLOvUPD4-<1B(W-fP@`bnjgq>Ug&gW@oYTu0<<Kx^EsZuN6`75;f`U!o}J%3JO6v^ zu4Z6h0A1;5h`5uow@w3e(TU+nuwy~{^SVF-xxGk7NVS1-fMa(bXdjeg_w;{;<_y*% z93|O~-P4(jKy1d6TF36`Y!EhoOE+l9yL&npj6V&+=Y#P<w=y_(PZxsmL1R^p-P6Tj z{OuqIG#}=CIh}!l0emzX`f*Oj+a_=@fR2-4cnLa7*Q5C`Gr~)b5Lr-*^(AQang{AZ zRuEZG74tgFqxmo^hDOi?#%q6%=EH0lQlM#|*Crm#huJZtK$YfeNss2k92iod-jZkU zz73$QvW>?;N2r01WAy3#2KF54h%u=A1MSX$ELi;Sxvvi%XYg~3daKdn%mlm@3+a$# z_^uuBF(I&F+~ds_ps{*T`1bAwB_EI8&7ec}!0rJj08f+?kdHNk&dvnwE$IMlDQ!IL z06H8Gd?Zr<!tKbT_T9~(lLfoG4}eaFV&MSwh2<F!Ui|t0Bxs8g=pd}gKbRO8EO`X@ zTS0sGkq*`)%5g~N?0IxIPXM3lFoP9xd=zNQQE!L}2k1iXL!bl4Afu}tU{84T?nd<k z*t;IhM<5<KjCxof%(2}d$AV6hJkSXaI?!AqWS0#**gVjK15%H{NXUL6&|%k*j0drn z_+Tn^Lh&bf^AGrNSMX_npgp!AA@Jdops`-a-YSp~XbTePOh54XvLFU18c#r0<i3DJ zBhoQ|Fy}(|AI*g)I?CP41UZbB825ti@c_FQ!~nUM0kkTw@$dx5N!z&H3tB0T8on1m zOPIQEKu^4G4gekY+`Bsh;(rSe59{%JQ2&F@b3iqZ1H2zz0CvpQ50DAHyC*=*djR6$ zGY_;~8r3||PU-G$eDMd`a*LY4n-}mfFo1THfoRZ4k{pn<*ujH)M;S(W0$Mr=aSERD z#D>`N!~@i3f|Mr;ps?uOY`_aW$Q7J&(94qyUdWMPpcN+`jfVpu2OYz+A-F6=El)t3 ztw0yjfDa;I=5Gbn9!RAI=)f3oR2zWS%-V3s!^;)eJkIfEA{>c&EFA;*us6{17|>yF zILj4~XFPg$qxuExZMcU(<q9XF<$&T^Xt@G%9q8OqQ1CS#h6f*xas^VJ?8nHTsF@#J z9-t<%Qc!wA^;ok2A0$12Xi#=@fTX7%ytva7#D37x0FXok@oslBn#nsr$4B?>J^-mU z7Jzuz^9SnuD0o)+kLP~S0YVV-VTXlyU{-pd8DymWhoGgz@KY>|!3nq?R`DHcP5_-C z?a{j%e6DAw4d~t}4}N5C`gFq1euM8>^XOg;I^fc!do!rbyC1Y-AGGk9VHapC>rPP5 z#1T~6F(93}>(%XID&W!CT)@x30Ae$Eb^DldcyxAWfKQ(WI|Dqpy%Th^JLss>ZXFem z&i8QJn~#H!B8OC6U@Hy3LF@xHK2Q(%b?j<UQ7|%R=x9#kXJByT-`=7kXJpQh*4dl_ z;&->GNEw+kbV4kZ1YK<kT1E)66l@{tEFv`P!8hKaBvsH!O#H3RpiXCZ^9*o)TL9V| zX2ZeE->L%%Ygm2*9YqVSmoI>}2!d6Bmgsu+*2AVtNsA5mj=b*8Ge9dVRxe;=U;u{< zp~&#;cF}`HhG#d6o@cj@9%*p_&bma$g*s|nsG`P&qLDen%Y4vM``&ukcnRpB1#oC) zz(eyfXy&&0xB#foK(ZOluc!$D)b|A+xs7tvvx^D`D2;mT03}>dk_AoCf^HuN9rV%N z4LbG1rx$eAGw5Pe(BZ2R;B69)$cH;;F=Zvg@4Z*>0NuA80184-cJk<)3{KVE9s(Yn z-Jlfj(d{7N(b-%9O6$<9)_542%|M%?z)25s;XP{NJM7t851aEPEp@==aecZsgYs(k zYNE3$<*9=gEspT#R6W$xp@W(_G(f2%@DJK(2xPyN$NqZ+%6o9(j)+!Jt<|g`04dx- zG^lW|fE4Z=0?4Hv>Sifef6)VWKKeh;{ZHXm<0*>mKqrA9wt}M+#VnBG0ag@ycDK13 zfewyqejvcW;Mv_~58>T_@brz$89clD%)x@)7X&~@hBlu7QN6pN$KP%M9Tg8sPT;x+ zX^*jIcUwGIU$cN9*l2%<Vc}rGZVvE)+s&ZE$U#@lfR5Mc-TVS%7^p0U7=}{(dUm&! zgS9q;&g%B;ZcB%lmk$=~1|1si(b;SOGPZa33Xpk?hd~FQ?*f?zslSnq_D0&&jHPS^ zP2plG4IsB^)Z-~z&q9J1R<@!SjG(;l2s+mQxt0Q11a9JhZi4XW7UA&dF5%$t=$s4= zo6gPPkm`2f02Q8~pu`hg;1kXv<&<Z4+jMZqz+$!^!h^<aJtXkjK?xmn(}QPkJ#6VD z=p<lpF^H&C-av{$KC~=Gpcrh!ECpFqFbhG@ZRdys8z6;XcQfc*sqXFrObiSp9(d2; z(G4yX!O669GC0vf3Pq6Dp@kwSwSz9BfFu)m8uozQK+}8#l2$-PA#5cNWswO^n4O!! z$rKuypmgj3O{=||;b|3?_PG(E?b+S79TI&YhkJInt%vYHKJx5tn-7W1<zPW<*|hO6 z+>0+iA{A?p_TvwDp#rwrqr15SoF2i4RU@TG(4qAnotr;^vKZ70KS1o>-Jp>=kH*6n z1i_^lD8oT27xX?N#J-;x_JNjyc6Wnzhaji_1>l42H-k^RhuXIRB-Fe60jTZMc(_A| zfPIkmY)G(c=W)l*bD+y%I{$W_@abK`eqt&3bPdEsU?oW&y?Yy+pcivB?|lI3b(TnY z^zPMI3M%&QxpaO)x|<8USrl>S7F1Q^(Smhi4B(5rK#Dy(Uw{_3g3dq(H|Ss-_!TF( z-FIUd0r!1a2D-Wp<UWgK#JCTvs`2QAt<-T}!&<EF^M!}sgtajDJy=7G`@pIik51S^ z9rtytLw8?T^WGVt{p{d4eX*7pH-S|(9t~JetebGhXTf?b?r8vZ|H1CLu#On_fK@df zHP}G8dm=VqaZd*5gjukAcC07HJz!OhM+G)g?jDDYSlkn^5tfD)Y#_!xU{#GrKTyFv z8k?}V$6^!AJslf~aSvEk<IxM7C=VZj%~;%{uo>o_f=$G@2dt{`=!VUtxd(Kfe}GHp z(azJJ;0rK(dY3b-7h`DNFR)&WfuTg!vv(h;*aP=hG;cD#^y&NuJwU+|RPMfY)V%8m zzI?Y6RA9OwF5fLtglYv}9toCpg<io6x|y+-nSr78cB!hX;Q?3hJ(6I9q1syyl<;`= z?yKmAl{mi{%k^8|mS{VIDkBC*uxoreK{rWu-a^%5Fb%GUsobFXFH?~=<Z5|G@Hr9K zHSL%V*Tf9c#0=5|TK?e(8u?(ruE}90ToVgO6AMTaXmY}FCy2zZ>BKC!CRUIpR*)vp z6qVyn5Q$w=z#O<HHjpMZkS5R|g(LWY2ke?|%!O-W2WeslX#$N(IPL_I*fk~0hil>h zY2pBB0!`C6f-j80uIa@BxF$}JCQgth(CmlfP7sM*Q^6v*CN7XBE|4bBL0pbIK_qrf z483qo+#pTdAWfh<ryX~KNbH(A`rw*)K$>_!nn1Hsj^O1F*fj}EfNSCfY2pQG0*$~r zg6FQWYnm|;u89w%i4UZS4>TvY6GURyq%aw-i65kiAEXJiuiSAbh{UdG#T2L}aMnS| zT%L``Kp7T%1M7FNj7#TB*vutlD-O85f+}<vcAs@fuuJD*$If%$%eepg^e%q@E)70_ zO9Oe2-o2m-6P*8CG(R%l1YcYH3EZ><-Nmi>`Tt??9mk*xO*?Ob#hZUHf-X`9YXp}C zE}bA*$IcsI>DB`!j-b}rQe+>YD)E3+)8J<3dx%u$O;~#i>=_sb-uRk;(?16`fJ(Ou z8$hKS$UmS8mn{E)G~)J8Mh9O1fHYIbKMOX3{Ig*r%s-$Cn=Jo;G~)KpgEqYW0coa= ze;PJ{{4-$_%s-$CpDh1?G~)Kphfciy0coa=e-bu>{8O+Q<{walfh_-kG~)IT$8x;> z0coa=e;l@e{1dPR<{walgDn4mG~)KpkHvWX1JX<#|0rw)`Nv=@%s-$`3R(UEX~gay zQ*bE_DY#(8o(H5mM1mh+{SUPASz#O4{oCN~-zo;X?4Gpp8Pt0u&HY=&7)WqG{C+Rc znN^@U63`F`WSI}rC}yb`$^xKc&7grQhSyHW_Ya~^P?b2NPJV*!l?5$;=mwo|4<4Zf z8RF5q8*~ngN8{lRX3)?AWY`e*g;#L*x-l>?pu4vjbSidt_W~vc2I%SUEd1@D9;inz zsFlEgbox8;UBjqzhy1OebvNjjsd}(5FfhIZ&HH)w)*+4Cp-nQDc{U#dkJ&+j@K`fQ zAM;D_rO3_4L|zwq_SV67+jKXh*vwSw@7a7z;<dbI^D*#|uHPZ80m#)~p3TR&UQ46j zWDGK%;kAxu^D*#*39_wBphI<!Ng?7B;usc>-rXl4L*by)?F}!Xg*bdA1cU(@KLQ<d z1_^9%>i{%f364roNH^GUFoG6ad|-jzqzhtz2He4m3mXrEu44w<f<80_wg6&&7CbU> zn-5y%0$v;eVt~vCFA;1!+`tM=H+akk9ixWF{Y)VDgXV+4=7Sg@^FcEy9*u`Vr$P~O ze-07uf58R~9}oj%K6tra<6+R9Gla~~#cw{?{S(-s=7Sg@^TCT%8xL2o6Aqs|{N^)* z!pDLGYCeboG9SF6uko+~2VwI;C+p$~e-@DWpo8te;SXYf%m*Fs<k5H-bi5tz@PU-S z(Ejq_&a<AK|9pCvpV$gI5^ul8Rt5%_P8Ssx&C{S3@c+(J(AKYK=TV=|=bi67IuAib zntw2sW_a}4g7ypVLrktT9=iZCs`Gp2JD<)+oiAY(1-R}2iM@h}Ku`FCbzlDbbiV6+ z)$OCg0?EWNj`&*n;H&^9ko@D?dCU>yq3<4@zkPa_&)CMm(7b=eHqZcs0%+OAR_M_q zE}Ac07(asB{I9^-2h`^8{OY3l7CZ#t0&?arXn!2!O$V^!A;ON(&Og*VkH*74?uju# z%yQ}c=-GLLH0Mvi?f!u6AonMLRyUS_+`nNPb=?m#&!h3M#5HQU-=!0ro<J9n`t&Zh z*bj2P2WaI9c>HC?KB)6GUxGVao$#=C?7Zu!`4&3<^3JF87t;7kX?pA1(pr?^l~>Rd z*m|HO1ty`Q0_|bKBpo}CxOObF+APE1`0YqRi2-Om<t7=(Y1FPA%Y^@{FnIRv>-eVv z5qd3#8f}N6YJ9p?RGR-XmWQ_9E(ydkYy-2d^+1Uc9%;vpw$tpY3@Zx2140h$st{Y7 z|1y<_HUDNR3dAzFgK9KrO(@FP4@}yzqpev0ZuAd+xY5iYqnSZQV+;Yo^g>*XQ`)hk z%~}#}^acsI(d_)~^B5Tznt!wKH%$ed3AO_?RD?1jgRp|Xr3gIZxUa%e7Upf1@<2zZ z9*|D7xPv$gB!Myp1vApIqwTXI+*uilaA&cCe9Q{+F=!A9Whe@!7h*I{X~&MXWMjC| zH;mv$vw@6e0~w7mV1;TlPHD%EHfbBU(G#p;M*n8#Z<-A{F%7yh2x(Lc)nt$a)*yE5 zXuIqJH`&7lX7XQdSTu3Kq6snvhBU~8umT=U2hKsWN%LP0P&7gGfJm&-1d>1*R)ZPo z*wNPQ3wIWSFWgz2ps?fwg(YZQ4P|r<rWX=TIHess+ML7SM(+rP8_fkWnhRt!X!H$b z3=Y+3oYIaRZNKB-Mi<1vjQ-8d-vl}!&v6GR^iT%sP))`u?by+_IUR1YMmo&ozx@2| zv%tBDhrekeI5$Ct^^nHR5LWQF<Uw-NjxX?N;sHeyL=T9>8ciSxSXB!iaE2M_*wL0< z0C(1de7LiCL1D=Y3QJH|0A;`srWX=TIHess+LSBdM$f2#8_fqYnh#_&Xh6{sc~}wE zXq?iH9c{N8;YJ5E!i@gS4>A=Lawy}DsHWnScI;^Lo(wnjz$B=t;OZaTD1Z(9d-m=F zRcD|<%CF$sv-4HwP2bKBsFetKOb=1NgRW3;={$<mMA_~k%*fEZKSG$1fuTemG|w)? z2<!E}1W!`9AZp3Z92FjD6XiRkEdp(#ICdTZxv)e<z^4-`18&tgHveHP)pP7T*3oRe zSq4=37=RAfg4Kd99h;-SsW5o-?!NF9xfTQ;<P2$h9fqngJPF#<CjhY(sRgt`h>^jg z@i501a2U9Nn{PajCJ>S-;9vl^)j$LyJOa?#YX>AjfpI|+6d0iPj)Ej2FsRX91DOZv zo*aX@mdfq53Mr8LJ3xn@gWGF2sO)}_c^-|23m_@ZrSlb)-ESZba=(K#Ec_=(ks1Cz zpp^UxT0DW0=5vgc12P*F7w<@p3*70)LI&i14;h&IXGoLjejM$6ka^^}8I<l}7`OW! zWI^r^kcGK_fedxs4>FII?)Q)bxj#Y<=KdA3)OA0|JX*THLJ{Qt4n>&zZzz!Ieo*?) zFo!mFv8R8K*&dCD8$MAn{WmCq+&@7H=KcqYWV#<m`Ujauo||E5A4KC${~gL8_s>v< zx&MU{b=?m#kCyJApaOFL0u`A1KPXez{UGyb>HZn2Aos6Og}MKS3YqQ)rT-0Q@Rt7| zvppIQSA3&l`d^?1a{mU<MI_+*mqCq8_v1+aAoIv`Gbr7|Fz)ofLLKD(9qO>~=TN7v z`$6W>()}AWK<+;Px~~}={sJ1*bw9{FTDspuALRZBeOUOf(4(&VLFRch9)7Wn<TMXU zJ0Kc&`f2C}xqn7C%>56#sOx@^d7$t=M+Nso*Jqr8t<Tt=F$?7Q22e*B)Dzl!VkX@2 z55dEQE}f6Sy&BM1t>)wZhrxZFXFi?3pre7Hfm$t(#-k23k_@oZRzY>|cb86(D#y+n zu+<gd{s@e77!saP_ni0W{Oi-Zy<)o<gGcXvP)vaa!jVS7kASWHhdK=I<k7oVB2Wr4 zxaHA!v;m~O^Sw*wKOgXdT-b;=)H(lrIv?S54s<*i)jc=%fZgM<M~s13_nbg-&jXNl zYPyHxDA+v}M~HV%MGzu<1VGxU>7E_O!0ypFM!b78f)VaH0MbrP_uM!Mc8|wN;@xut z$vqE1+NtRtj`LvmRGcH;Jsly4@R0y%r>1)>u7cgO;tKKZSrCSBj{`_MHQlq~8rVIc znGj<0*M|gzdp3ZyQ`0>&?t<OJahHVfNkq730Z2PF-P3U&>>kie7qQ{Pkc@E81dw)W zy64A3uzNBd5+6Prkle%Z2(-AElJYq?05;d<)4RQ67pUr*0otWoBJ0t3{KZaa)rB$9 zrTH3MZ-Ivrk*X~jaK!)?b%f0y!Z&0<h7>_u$f`haeT8EGjJ+WHSL_AV`yl&&?4hsy zD-M9{-*EtDKgR+3+CSqo$o>_lVfOzxMPK_nu7T{I0Xl*k68~4}Yk$Q<ko_GGVgA4I zfWG!;yaCx?@djr9iP!YCKjJ&c{*3Q1`*(b!ul*iOObpHY6PTDl8x1@fkFQ{4f(+u* zDgQh0g6t3Ah1tJ=hnDtx9B%`yGXX98@aWw)19X%}<1x@V5TG-l!A)yWF9m@?XA=o{ zbbjvkEAi-d!+PpG3+Qxv2Gm36ZB)A9XU^NGK#rVe@#vlmS^x&xdd}eiJ=))+ce4O^ zAsl$Mqetg)a4(_TMTMiY86?r|qQcYJECM<#qqkZEv|a;rQOPC4lSmsW1)?EkE6CNL z^;P@IK;DAhP2kbH8?<l=wphxiyA8C2&9R%Iv+V$A-WGHmbK4QH`LN&s-D8O(I3^&% z!^WrE&7kwt!C&$RUr95b>V)29=h)3+;0U_K4t4~;$K@9um)|u%U~GQI=yC9wf(Pd@ zkIoVm4v*f=9$;&yukaRU00#=#?=1&P3_<<}1zK|jXq&8y3J;i516B?W1<)xeASYsk z0@(lMsQw2pOoQE@1GWPiC?36=f3Sd7Gl4f_z+^aB85m%}HKDuj2xuo{cN=KW3zBcI zAN<dH@Hx`WeHPs=7NEoBUo<~r1i5w^XdPK+n+|CIYwteD+OlH;Y#`eVPa+apcb^Gp zab9<u2IyKhL}(H={{|ZaLucC^i20DUU&jt$F@Fife9%%!lHA|H4l=(7bmK$sK2V<V zXgpQ`UR#FhesJ3CZUf!gMw0oUWo4ahHXIBL9=-b@D-@4G)|R1~-vWyD?lw?LAj$j} z93c0<ftYUrGNkd?1@PK6RP(=pq`KQccT<vN{tVDXdTnz+M@#kY17#VH#$yefnDLte zI@77U4RjeEN#=WSf!y!I1r8tZy&a9m47f1OzXCD;1n34pQqs>4u=#%==7Vl%@@PEv z0K<H6d(9EN-p;iXygv<mv|jIe4NYzakIwI%*E&zRbRP7Ct}AQ)!N}hNT2JfSyZnSE zH-lsIehDpZ28I$TaQUWr(}nRR`1qG^@cnDYTsq%s-UTmR18tP~1f3QJ4TuCb|CT7% z0iXQ=+VKot8St(17HoZ0>wyw}&&J~wtx^oIvl>86(dS@e96Mh?+Mf7V;vxADyrlb# zXXiKYD9U;TEo2Y+B0N}<*1T6hn;W#^aPI*vZiW(Ru-{xX!6QBIeLBA(278XVKn8pM zgNJcGA+?$nK~9AV!<)_c-3lt-al5xe2akIzbRq85&>dj+o(G@J_}8O%{Rcf{_aY^r zk}}`kr4#f)iD&NveNf_o1@KSCn~=og()kNqT6=aLbJ6?_>Xkf)3|Aoquz_deQH?fG z(tup-2?}qhDyoF{3w>nwqot1<29WUXFc{$QMx^HeBRuYPFowAIgV6xH7m+@8m>|0s zDShy_G%zqQ`1UT1Fa@WP6{cjT5i7@ry$a@142-4xo{dKrm{FQqVEf&`<t4a0LHMV@ zjF5i>%)$Q2FsH~rCoEz9@vx-6e-P=(zyjGnXz5?W5|W-SSPXD_Lb&&XB_8*_u!6XE zg4LjL?+aTz?!93Lac_s+pmA@210MG}I6~a}!C}z2_kjx@_g-*?xVOP|(72bu1CM(@ zctG5{z+=$3x4|Eedka7Zl=}4URR|bh_ae&Y1wqK}MXGPW?GcAyaM?T|nC!9{)E@aU zk<t<wz5R!9|BPUK?zad5yT2o3khvdGK2Hcic0XEu(hv$s-v*%roL&&_?Fhx=-ik1Y zdo{ua*u9AK(GZ60UZnI5Z%-+NgVRVsIN50g)Sfc1fVDq1m{XeCCrt1--p1h%8m$9& zgF*dMkH%vk{Ls6>pso=Z2Y7V1Nr*5obVIH$1`oyXsDSo_fkqjOL1(x;1RVem?mk2u zo`9kra!1)Aq<gw}R60XcSUh_7F_>Uz1=|N35A*1rCILF!x3@-x1AK`C*aFZvR%i2x z00sugINq56kT<|1SQ`RBe%L9?zyR643qK|jc{C4n9%Azw(D<WA_p}?JQ)R*C3o$T2 zX6qs5R|LY$uL%U3pWxAWI3W;hz90efJ-XWzBtgN|*{2c2zyKZ%)Cpo>Xnw%Rd7`ro zB!(C#6$k>E3EG}~05*b!<o^?rAj?7i2km`9^8XEx!QgSsJ3$~NyFu5;cr+e905%SM zxC?j(Gqxb`=x$pe3UOaYFw}iL!EpD1#5{WUfk*fnj}-)CxX+`zEkGKg-y#I6-zEgE zA0+0{yAL!%>d|;iAp}D|lK;S`1a>~(X8;d<7M0HC7a&tzR9HHj--IwQ@Naif;YjOj z{s3k3q;)ob2?2W=G6Z<|0@#k7`Z(f+pnVHM!I90<*}NnaVc!ZU8)V;_P_TW_?V25- zAV1->&!f9-hBzdABEq2I6B7mxACQ<w?>^}8qC*(SER^{7=x)0J)z1+Q)z1?S*AEi& z=-mg|`g-gGR{b5&_}Kx|zXzcoB<2Aheq0caVZTpz+Y2rR2Jm=xMg)lA!P4266T!gH z{E(6JU}qml(4%*shB5<#N8_=82#5)=<U1k2<2Ype-lMzkggOHQC_ivBFmy8*Gj_75 zcyv#L2=*y}1U*@}y;wSZR9H$_IZt%5sC2WabhfQ1VPNR&TT=oG^nDicU~49nFfe#_ zK7d|m4bBP?kTH5>`xrF9_C<h<hT8`b>;ns8wNIe}WS>d}*uDaYeH;}~`=Iw)KrNdv z0mXkF+F<)8px6fy>;ns8wXdKFWM4@W*uEV~VE;ul5o6yDh<zte?1KpQfd#SJccB?% z-<4*teF>0g+tCcQ4}7Q-uJD`yi9ZG&<oJUK_JIYl+Go%Tvd^RyY##$8JSAFT_JKCU zA=?K!U*0h!H~?}^*S-qyEj`flK%4h_m@_ai@V8iVFfceCYhM83d3LuOC^Im0d$4@J z=-a#ehY$lpD+hmz4m<d?F|g4d-3}Zctp`fjk2@5Asyc{Z=V6cIARYt!k`>UcZ=h>l zVY5V_dJH_O<q18-$G3O613!7@f_70N%!OV7=+pTe$>;zf@{9)U{6jJt6r$*^_7EY@ zXi!CiWHiVV=thI?4)E!G4hd5d0vddZDs(!|@Y^m>J&kVk4Ic7bO@z@2eB>DoY8fB} z2&nc)cl8X~84WrR7FSsB2VGDDi3L!~b^vX>WWbifLDegg7eEyyx)(r4ywby-2m#!& zK(v3f!IP1Yd0oSAyR;Y>7|{KbA%)u>M39kQ7%ZTXxi@HJu7EUc!r_4gZOly&rH#2S z$SlM`M{Xcx9nhQ^dQwY}A<xM~7~Q~2p3$J~>`1N#mFXz1ehE4o8<yQYd-sE?IB>4; z?A;HllVEI6B?4oEN_`j`R7}IzpmG()1{H)bHmKx+u|cH^j14LQU~Et>g|R_73&sZJ z1{fQZf?;e>s)MmXDFwy`#V(8uiVheX6n+qPx0?p2Js{xG?ce}nupf7j0H2@$X;UzI z^s>(V{{O#cXFI6D0h{KGbBsSc0n|Q$^&$3Ef{UK}U_*U+H?J^fVDM<(eF4-gDM|9^ zZvG*{z~BL%yaYEZlsP<_e=?Txf^LHnVPI&kNMR_^@@PE#L4<(;el@o#s2Bn*y+B-; z30-O8(+N7jNC0tBmPhaI9SE&Hki%9`wEA@Z13M11F9@L$MTtk}eVD3G`~sk~!r;@( zYXATL|9v1wgO)8a_;h~v>HO%?d<4mIaPNG=1lajeo&WY#A%%uV^X>o((8($p$C_7w z7@#ALRH4nZR*n*J&=K&w=-z&<>e1aCpoCDS1y$zJy*Wb}uG;VtxS0wMAg~ubaYZV` z0iZZ7{Qv*IM`tt0VUReDJB;jp&~0`e(6IJs-hBh)?2?#c%?6erk9F?`UoO-=8RQVd zZ{UMW!4|h30LLik+8t<&_U;C8D04H&QjnW#A#Mga2JU8P(1R(Y@UH<m6XE^@kh4MV z-vMHHbnmv{0d-F%H^?$D7=8m^H-qec10Hya?A;CGcyw<DDTO9X!%N_y6r#f%WGRyS zL5?Bh{#sP`zW_NK<bDS$kVN-ni2HXJfNrP4bbki2`#~I!?#&<_WV#<@DU$m^jv?g! zI*>CF;a>o9Hpu-aKn#!W$smV&bngZYV_^w@0bZo=2XQ>QH-mJL>3)!<NbUzY2CMr+ zL4}zH=v?{kHc$cwkLz`wy7;Q|=*73qPZ>ei6Tj$u*ZhsK^;!uZ=w@1Q!}8@52Jjd} z=b`3LjIF0iIKicy;eppood-KlaULpB0VxHY`^4bUJ$VHW1H-=m|Nk>~TPc25E@J7d zQBmkDQBi3<P|DJJvNQ&y7F3yn)PnqsrdFb}L`A0cK#2)x5u1QVZ-|P5Pp^xL#6D1^ zxf4V<f=Nhnep{;Q(e0ul0J@!q<F$S3$r5%DU*R<?h>`#a3$(s1xee|1fz0Fp87F|% zIOqsqFL+Rhr?a`H7u1j4y#q8B*?2gi7qm*nMTNug68Hipq~&rN(XpTr38eg4kD5My zfRY6$eFT7x3iRmS4Z0@FqkHlPNd5%%G$0;=lrJBU(+7y-(Y+a@6p=naoe`q*C&*Hy z^Z{}V*7Skoe$c7_r1G%=<ZO`pZ-5vc-IF2i-)+DLE?>~yufd0uzCj$1?#&<_WV#<@ zDU$m^jv?g!M%3`<umROiF~^z{Kn#!W$smV&bngZY0~>zZiSGUj$nFPmJi0f7bdc$O zkfliO2RR0-`$5?gGCmE<o&hWeU$S&wIQW94`2l0=C4QGfuuLfC(cPTE2OeznQ2~w5 zce<$Xyw(97gyqxS405bT_hgU)aGKu-@*&upj$jg;*dWPWqYswcb^5@`{elc=Sn9Aq zA0oMfQX5io7l=OW0kPwC1Gr*>5=iN%2{rsDfP%dw<5=?x5ChaEQbAPwp!NZ%&3K$e z1=O#FUUUm?A6O_Nl!4j@AY~rin=i<L+Xo=khL^y@u|($ukOM$*0c{_E90rdIB=<L? zx?cixwjC(_7l0TZ-MeRiZWZdD404F!x1FGFHaJM3?SlydNa-KMq0G%7OF?diwhus# zfx8(R^k53f{Vk~OUjTA8DExnb7@#YB(AsC9b~H3~BZvPAwD1Qh1Lb8UaQK622WVkP zbohfD0P+ho{6P+b`vuAU;LCRr^{a**H2*e$7#`ibcYv-5>z@1pbPeA(qzVC2es17L z3V#p>RDSZ4?PidrAU8w9ALJOgo52AOB|;s;Kv~!TrG^D%VP;SkW=7A#T&{-SKs$99 zUUM71Z9U+?KlP|%=R23q51cRfTaJMu5I&qf`2eU6>U@r<w!p~^)Pm*(l>yx#TbMw$ zFrnK5Dkwmq)y>iAqap#ClX6j!d2QZ$phU}~dooA{dio-$puk?uzUD+!+Q(f~G(f$U zZXXqy9iVES+ed|ECx}tX*X^Pr!OWtf3gQ`_>~vAl==4$1X?<J5>Du~@zl9aN_`4a} z^1yU4Z1w<F#F-pt0Ue+K*+AjZ+YEARbBziML#cV|N&XfguyG7ve+fYRCGy%4bQ8k| zP}qUim@|NSH6Eb32Z(NuZUH3EVs8Y1yb5j0bb~^@J48hU;?Y1B&}E8@rM#dP2QwqY zo0mFWR1`WxR8(ABzm=$g!jJ>hXwd+*G87Qeh16(yE!z4P(oE5SG*c8n%@htuX?z1- z8sCAG#vi1?rSSoz(iqfwLMn|7q8;O64|nsZyu9)6|9_D4LFFRDOXL6O7s4UsuQpH+ zA@bJ-P__b<4;=O&iSFhEwDJMex5iRF6rhz4AZ4KPL7MEm266x>uR+TPki+144axoO zAZH@nZvk>PsC=FPVt{HsP$kyAdw~qNeFADWf-?-Xe4ZhLR6c+>p#GZ-*=`0|3UV{F zd;mEH?q+Dw0HzS@O+1?4czAYx@#y@!4|KdSd_bmokIDg%5BOU?FoC8$T2v$)K$n?y zx2Pxxfit=TOXsQPNBo_~nxFAEe`4kQ#NTq12{b{+-28yK`6FZJq3@Sm4d1q2bmX6M ztn~o@)Wa?cpA8SRUgY0)o4*xwmoO-WfJ$x#&+aW?Q++`5;NV#b=!GJXDHu?K?Dhd| z7h&#nQQ>HQ$lM9yePHZ7_5D)o1w=Q1)9^s+N&cw^IuE*be1)41$;B5-1zQi4h=8o% zIqsqYnp<XYJkAcvm)#*M93b;MFSsba;5=Qz%Xx$IqKo27#nXx>UNafq1|0?Z{VKSx zu^)5;rDN++{#H{^rti#A(Ewdr2@Vp0ZV#5`N6gI+nVUZ`cAoft0bHcI8vbuRv;$O7 zfd@58;ch(Cd9e8-W9z9>R>#icpcB~MxpMyFZ<!BDPrWrN0wDK;^A9X^5CP)>>dJtV z5vTy@_E8Z51^GkfW>}E$PdNk*2!#u9Qw$E3inSalk@e_xQ4s;<bA{tBDgq$ygE}Y* z$H663w~vZIw~LC1;#o(|<0bqqoPRk_xF~*bR6MSD^fj}q;eW9IT{?e!zo7VH1!D;( z*iVKBUUL~9aBck$3i?AH-8m{6ofkQ8b{^xrS0c#y(S`H1;^*&|I4>yP_<o`Dc<WF8 z7SK)NAeVs(5C(Vvf*Oy_5BZxP@PjIdP8Ss!P$^~s8z4&K*Ej+8^gd8Z1cwG}fbkQ5 z9C!@r6ThH~ih)OOjEaFzZ-|Nn^u+M}Aa6o0-2+90;||c25;#tLJ0E5_1ZNdxu{Z{0 zm4Qw7Xg*@#(d~+BtPYY9K$-0MAJAZ33pk&6G#~K*o!$UFq7IV3LO^wJ0;CR3kb`EL z=7;RfADNmTu!HhP>qY)42O$-%gTh${gO??;9^GJ{fMQ4hiOum6bhs%z%s{PP83qP$ zb=NJRcuLuWr87iDqSHr3rkms7ONr)(jGaCz8lcMPAcPC*Ql9|Tf*#FpIH2JI$_x%Z zy*?@i;AS~EG9d@X8XoZJ{GY`L3lITtX!`V)sBr89&3x<v5#T%uX~l!X(x>ygZ|9FJ zNyi|#HjnP+8!{k|AABhYagqYmNgyuFNh)YgvH&?r16*a{byA8-0ETNo1uWDx&q1yc z`o9_E0)&ftYg8P-x^{y6;0PvtIzRb#J^-t6Xn6~&JVin21eE$9{spUosP*hT09N=~ z5PXlU0|z9gIzfFO@curF39xRA%>)L99SlGI|L^X0n83iGcyQN$b_Ry-5)}c(Yn<mg zH-iL~n_VU_Ferzph;Sb2oD5=v##bR#t-=IE#|JdQgjCfgfD&7rV?4OGk1`(G2`WDj z^^pfCuYl^)1t13K^nSGZ6f_o&rN7gGHXaI6=Fz?R0Hi(zl}m<~c7hy5bbA}*08qAp z)~6tc!RscZ`m_tx{TD#aE^$8Atl<cf=x!F^2c@TO2Nrln0$n>Uz`($HqJ$Ib!q@E3 zt^?GK;0VJrR0}d2<g{s!VNZ~qaHoL*X#Cv~oSi{~R-T>z_H~0?g9zpbkn8wcqL~;N zjx}!paXh-4!L<TMcK}Q0HO_OOYT*&6;^OEGQQ>j;c8r<71#}E`=eg!@jGWi_TR^u- zf;dbd&UVn*s~?#;FY>ptgLe9XYAjHa1P_#fjCueu%0-0-lu9K?EhE7hTEM3_2DD=n zbXF<@?lRJ|^JbQVV^CI67L#KzQX%;=7gPmy@2&vfWeLtPXgyFtkM8CIK}ZXSzr_xu zymvQ90FvRslMXLALBie58UmmWHpju2T#$l7pxc3`^B{x^D=0)D`oQi5m0bd$f<j^+ zsK6vpP)LB+ckKdokD<jDNE32Fq5Xd|$O+)20-AI1fOrh*BOmBNKhS0y$PeIQ_goKT z50$7Wyteh|o(x`7hN2&AEV^dU$)_NbJh~xw)i%H3*aeDY)NzC?0kCF}oA4xIP?`fJ z;kv)z*#=O0gk4Szo<Ra9V>kin9!h{Os{gmI2Q@7}03~1kmPkfWTDEWkNrIXRAeVV` zZw4i5P?8e>SHR%F1iP<01k!Zqya;KZ32+{2egJB(iF`Z6TvE|_3e+eHQ4#2L0Tp#6 z=^z27PPhPn%M{Sq?nh9vhNwBn-+B#XclTt-5XbHfpj%Q=hd4k9Yy~`lEe9#@-3=1( z=-v#{^}5=l8>|mH><W!du!Eto0a6W)${f%Ep@QJ1H>h}nmLRVkU?y}=j?e?Q;X#QA zs|lcSA&_w%-J3y5p`|LR<3gYi2gPIqs1McI42lhp<|7i(j&Y!oT}Tun$|DbG9QK0z zjwp{ZK+(qEA`C8%4uCjFO$Trsg7S~VF3_|rc&R3At0k!C4C+yX8YnI*63q|UL2U|f z*V0Et1saT?_*d8mYD9tcf&&Azv(wX~dpG#9+g@Cy!2@_?ffr8p?gj}!0}2}AFFip8 z0>lJd7CFHz>YhA94kJ=PsRCp!C|dbj_`$}3Edr$qIR=K84?uy1*9QI;@VFaZHKq0* z@U#i?HCp0Abp^OuhIkj`3ec_QvG_xizqKFaOn7O6#R|}zF3h7PpwtKAKuZ&7ppu&U zKxqY(`i?=$5l}jUwQWJ=2!1D*nj)t%P|WNC4cP7kUEd8$U=1lM()A4~D)RN9$yqrD zhS%Zv4drk31y#$(T~xrm1*rE37z`?byFEA#zT}70CK}M%1jL2aCOXhj9e86n1=MTs zQPJ23ax_|n0$FP2(`lmus*1rL>a9_+z^GP0TEUHHSc4LpQlW{%2fD?z^*||V`p5+3 zc1Zew#+*lQiHgNbn}49O6HtB!btXV#@}Sm7A1GxYa{C8R2Ip@%%>XK~J)A+3-OU<E z4ZQ%+(FllPNBE>YxcG**;9xWI9=*FkD=?s=EQXiBH(?^HdL8f4-7JCBLJWbL@6o*( z)X_oc1g~!Z4VN*vLKm?@4F^S|Jh-q0jR2tA0WMMS)OH|0f>J3TC}ngugZvMwAHj<h zK&2#7dlH<95J6P|3LVh+%mom`qkA`~J?YUs8RTrkZ;0XyT03wckKckgMEDSoyFr$M z+zlO{0XYV>p$RG8A?NLZA{^G-oB)bbgfAFez$;R}vw$Kz0>tsa)-vWi!QXnBg@M7R zyLo{!1B2r?2F4P0$8QcSjHS9D4YHsn7Yk?<MOon6A;uDJ@EC{T0nUT3Mc_jzoCjaC zL&Xu}qTerpreC2M=(SMmff7CslyS~(5fvBEy*aIyN(5b7PnPmDKVmd|+j-$7czgre zHn!-_U}=6{-~8R9`DuOUyYJUr4KKBR2X%?x@=raWaKi9y>v#TbKT23#4KKYmckDO? z^1)6}L!^Y=5j5KJkny;S3g{LA2GD?$j|zvQ;;GjEC0vf2zZB0qDxP}HWcVL6SOIpw zOY4PFmd?MOKRZuwUg&)9!g;<#nDfW?OOBiu9Tk6mzsPykMe*!wCd0R#FTY<@JP2+O zJAwvU|9?Bk$lnUOvd7i%cI#V5{;3BYK^>3>j2_+Hpn&b>{C*MComV^s3Pnz^PVlVs zamUX8ppg`3kM8ab@(c{!n%#vg&CeLWU+w(#{gUD<u)Dq;Vsr$zL_s4#A38rOo_FCq zP{PM~+=cU?i{ekk6N=|wGZ`Lm?Ks_e@cYHqpNR1;(6sk?7tZ^ghg>*sl}K=2cj>(M z{hABsJ;iIy9~n7MD1P{U!Ljqu_v<c-*B!ncXN2qt0#&M{cNic|?;O-I70_B`$SpBo z4}g0Lp;<-X?Ca5d1ax3Nhb(9=58Tu@yabVeq()F;WCN$gU_@UD)U5*z05yMP1qEp9 z#S%{Nf*`|7uQ?4bfkqJzbzbYd-u#_$10!hg<A3YFQfb3)t(W+x9w-rV=s3o4;QO`C zkFVLG(k6`j+YYoIEXg9n(=5<&i5%2E5<x$sdk>V=JV?!IAm4+1?g$$4|KED5v<{a0 zx;I;xGB9*^+n6#iG=F34JpcWA>%S5<P;PrI(Rvb^DNEiTcTr&h4SazUS?9U$*OX0E z9KIc6Ea3u+xEh{(&Esl#vh^e=LA!LG>^#SL%%$@@=kXF@&VQVT6n`~;WOU&?sd(}G zMaRw)-><nS9s_BoAQ5rE5|IG3&V}TZZ-$o$Bq9flM1-f11(k=OLiW)wa90RaRzkW$ zSjS5yqL!>3pu!YXKRf_2Ji2#-`#ar}Ipo33Owg)#a3Y4*4-!I<-YvNG1>#UvuYoKD zIUQO*fE<Ihen6_<CZW1tz!fw<nQ^Q+1H|y?ZuUT%-v+Ixgx1f{1~+(qdx8SEF8F@2 zl>_V-kTQ?%%?>DaG^oW7ZwG*b0Z%l5902kQbi4=TFt}fk+&>xB{WCz$28I6z5CgPu z3ACEAdosu&hTp&|@W2*B!(RZgqOo^3h(np1L6(Bt3=MyfW8iLvR?T1vGQJBs55lAQ zjRm+1_J1F!0}EfN(%r1!#=zhKngq;aXJGjM;AJ#B1H;QO5D^F>d_aUdh;RZCwjja+ zL>PkzJrJP@B2++xJcy725uzYMfE`rtSAZ_h1eI$V7^6Sk1uUH<Dgwt{R760v<*tAK z|NjSPdhP<AQt{>r4u(>mMvDb)j12s3k{k>S;99Qr6o1PhHqemc<{z#M49HD+(B4as zO%j-$eu-`u6&YBkUjo+Ym-%*xnZE_pa0K=984>;b65(dlK7Ih`sH^U7P&Vsk>~v9) z==KC{#*zW8=i+Yx1y$#v=1-830v{C-&Qts?=Rs#!9cuo>3^o=j_zEg0fGijQIxXr@ z^Cux>!L3k1VPrwj8B!pNKr^TUolr9kL5D#dYW}2zta1TVP#IZpH&oC7Sx^yl7So~T zPe#asZBRjDWWj|{!2o1Ic5Vg+kduOt1q-2q!N`I=P{9Ob!M9MsBxJ#G9*CLA$bv;s zLD0w~-0ydxf?UWdop>SIxse59p@I^~g8QI?Qpkd;d=Tx@$bz;|K@DWV-B3X-WI;84 zh<0sc!KqL|3uHmiN()fXSs@FG2|%=4BMUY_1tX9Jr$GgykOjX(1*4G#(*z-AW*`gJ zKn1gq1s{P%K0ai3x~M>c_ym88zYu6?Y;y-_V>4(Y8E6NYBQrPyHh@Y!$U<wMUR6*Y zHoW8mJzNmP`VQlOawTT|Con@M;L)3-f>H-#og9NT=d#%UgNKZe$9`urF)+OB01?d~ zq7Fn<f`}3jkq;uWKtw8tNB|L_vGJE-AXXrV@BtC-Ai@bm*n$WP5Mc}=^gx6rh)@9$ z@*qMAM2Lb20T96rBG^C#BZ&CJ$iVOtblm34PaxJ?5CPiY`tmV|bq_?`1QAz2#CZ^L z3Pc<Q5uj6IU+xC6wt<L^AYu)OSPmi<frz;vVg`tq3?lkKL??)70TJ~eq6$Qmf`|eT zkqsi!Ktv*lhyfAdAR-7v_<{%z5aA3WKx5-CEkP_35CJ;w|D_g)1-kg+r2>crx&rB? z7>FeZB6vUqX!`mk6NvSffq~&AXbR!wXAtWhhyZPe1uvO>c^|~R1tPA32+&ULm!Q#t zm&ZWdgCJrLhyY#d`EnD8wH8ExCh1=;2C?RWh?yV)RLi{tb*f*2W@=u7mPfq=t<ij4 z_qyhF)$5AaWv@$K7ricco%cHDb=K>Q*J-a)UMIaycpdjT=5^HTh}U7SLtY2H4tVYN z+UK>`Yme7%uU%d{y>@tQ_uA&Q)oY8_X0J_N8@)Dot@m2zwbpBm*J`g-UMsy;crEu@ z=C#yoiPvJUMP3WN7I@9~n&&mwYmV1!uUTF*y=L&}-tFNA-9Ycz?T&r=_E<Bh(gfA; zdw+l%hu})O`G^H*It@B^`+Do^&967UUhf0xr26!xs3`0MUCp-(L_kJNz$Z(ByF5Of z&$F2SZw8GbfZHXYVF>VqI%ryu18HQ718l}lkQrcwpz%^|kKWA^8yFb&zt}6zunTl8 zHEfWi8#>3q3tHRr(gA!NA$Uv`l;5!#l||SnhJXM6zx)b5Igya9aew~*e|ZYlFfcsX zdWygG>G%KtUoHX<Q{i(7e=B%utaq~q$YU9MaCyw@@Bjb%K+-SMAx<Ko{^d8AdUJ?+ z0s&_Z@+~`9DL&_xMuSc)#qZR;e_&Sc0iT;mDD<R%|Ns9Ia>OP+tNB~OYo7=vfp;L| zK<z`qF0K0obEyzfE<OG0|NobdKrJxDNH@0B1qvhr;e7?<%IRRE2t~a!JUny2>hT8> zc<75@a?1M+^SK1rOhRsug1g}bD`<d%kosqE^LK#N<8yOq7~#yA2MYNNuu+7PQz0m1 zZNW<M*-C733I^Hy4s^UI!9WfF3=7o55cLGo0N;=Q|6fi9E5+whV)C5KPngvnVDktC z(s@wn1U^p{Z%QV%bkc-de-d<%EWwca1}~jvLevuosc?{UGr>v;h7<v#c7u$P0~<vs z5y$<21?p$eq5|SVih%W*AnWIYjU!~eC)|2aBav`vw)s2Exh`Py35FDbD#R6T9_Z3s z!g(|s<Tda?(F8(@xN`i}*Z==tg4&0Keg5J-%;#R<*-JvHe<sLi&>f!y8XQCvOb0<m zod*rd5UiLgzx@CIatT-|zNq1Eg`AI0D0%Gu0`>Ik2*M%64$E+_iD*RadH4VSK2RGA zw3)T@kZ0$OEQTz|Sxcby+RI;G|Nkcta<PP+v<2?|8H5#oe+%{O%M8#Q7TyF_nn2jR zVwmMGCBWtp3hb$H`(IG4Nhb|=!xXTYgxuf=SDyk=PoSuo{qg_*mnLAP_|h9O!6gH) z*<OMsx(Sx=rEg%-wG*r!pZ)x;;5}aiv(Ls)|Np;C1sg{we{+JAS`nr66G$mPSSdb7 zg6m)c;cW{t>c}5Z-XIi}Ri9wtGZU;HpVh?H!B;-Q%yb2tNhpx!zxx0Gr6@!xftp|! zynKE28{|EF&Lt+KDn9)G|8f@CC_*8{@e1ave6V`FRuhr&f55#W4>pgG{UtA9_P+<s zkKiwO3<wuI79U{d&8Lca3qfTyxL@c`*gPW2{t55@|9|=aC&5@I!YFr;QCp~D)P;Bd z|G&(nicuCIqvWV!lnuzJ%b<}F{K<lduwr`q|NqMlsu(rp&Hw)|ZK-0EHpr+?-w7rL zBGUcS*RXWIoGRuO!Oa8p4G6SGh;ZLLc#XwQ*nLDqs0}FSwtpiSbVL}X^!oq*mpN21 z$_r$aB2|n^1Q~UWa7&N~4`#jm|Nmt-RgBsIGRlD}MkRsj$FE-q#vl=iD;!>lt)hx~ zEiYi1DT*rQ^})^KqKbKGaPxM3rbhUgK8N`?i?De_B)H2j{{Md|MHQo}Kt`SaM9`N+ zxN6C>|NmdM5H^a41a}1<V3t%duMckC%Z~)TN`(7PJcUK*Osbf7=^4yCPpX*L4tL+r z57h9l8Qgs<sbZca+<lQ$G0zch9w$}IV}P5t^F1}fFZBs5elw|J-o3{#^CYQa9yi>5 zC*M)Szpam8?yIDVc~ju#X;Q_!S@7_?`IZ{~z4j31-%hHS_v``8JWHyW_aAQF%Qw{U zuMIptW>UqxAMo(=q>6d#;O6~&O%4Cvy$_3zl~ggW9UdQ%R59=9J(&AAsbXFk+<iM= zQ6v1A;O@($ig{Xa^CStIM?}-5;NJiLFHgQC=v5+&5(61kN7yJLS{a+~z+%;yD(1Pu z&3pWUpjU}-9~V3pCR4?{eRpC0b*74W%i!jHeooN8L_}@v?f?H@E~bi6s(1hYe;Gm8 zC?aCv20T`I37bcRFP(1v|NnCDGin4_6DYunsAAOHoB#j6RHuqj3?NtCc}mcOM1+0V zZCHw(NEP#*+<=(}YSB_YDs<!)%)F0JsNvsjH(};2By1iLX-x-|)&i+w)LBphVWWys zRUo5wKc+^2g<OXPSOH=4hzPLTH~#;BsX*8$BGPUTJgr@QM9`~5I8FE3|Nk#R4Sy;m z+pDlpvm)#?BD~6f4QAe}hXlP!gn4t|?wdu}JR*W__m%(uUwTo+s8i=b^B)fg`jQA& z1%o1V3ssDIbrm%KK^3D^L186F6{AjH{{R2w<@?kKD^`#PJE&sRC6EVg2^&R3I<3A8 zOZT7d5%eVy=7GW<vWRmLRm{5xcV7@;^N2{X3oicu{}R;KAW#GmVN~R$|NmcZze_OG zh%oBN1<?ElRg5}$`v3ozic~SG9^}Dmcc|gPCQz_<6E=#76t?*yEX6ud#k|!QVCH?e zP0*`ExK9-Bz6De<@9}w<`vRz9ULxE)P%D@6Y!H0%|Noa8ZV?PRBC>%D$f#7R81)%c z$w?75iiilE0S~bAHwpTZ2=i{Ag+*vRRm{6^4rZP{Rm=-L12gab4Qlu|{y5CMeyW() z1b3f3Rm{5rkB|4)so~!|xOwxbVjctBJb$W~_vaKWKK@^$hJO{{@v)vN=KVPhb6-4F z%zFSg57fvfP~Z`fe_M~h+_(QKHNtQENtk*0R56bQ?q7MTn70S+zVlb8;oqVYF#pz5 z#k>#j@<pF2<{gK-@BU?K_%{e1e*IK2@8dC8_}PQZ>qgx!Ds=Myf1lpXDBDGKVcSLD zfj62NUIJgF1L43owUwv{fH$P!*wpqNb5k4a0Hz)PnHd;B2gNELZ2rik?4lw9I;*1f zVySfN0gxKdkrO5S$6ZupKrHZ~Ft1rao5VpI%0VZqF@TOSQT9=h_;!%7gbQ@E97y47 z;no8s{K$vMxTpw#j*t;>0Udnp+Ihj1^8|kj=&ZW#ZtwvZlfegId}Qpr_WfGxNB$`X zK&OBlR5%E-2Yha}!HH7AmXjr-pe=l$W1B$RC>b1~=VNr&s3>%YsHk*a<NR0R?9x5^ zjtK*UOXuD1R}Bv+ufAczz`#G{fNSe*{;3BQ4)Sk1sd$|8REe_Tf!4SDQx0%mS3IWp zN%8w@5y$3-jE>EZ7++g7KW7BdOoo>_FMPiMKD)#4Wa|&m8IKI5ES=XouQ@7ybmaV1 zBIwF_k@KI6;xR|XPmYQoT{=MrY_y&%vGwQ$+Y31)1H1tcd_D&9-dxamO5lBmpuM>Y zp;_hND25!hQlmn~MqtEYuo!lM&W3~A<=_~WRRl{ES!I}8f&*T*9RnRz1wJ1Ec3u_e z0D91QRZ~Hm1Q5p;tpJ@|z~92c1v<un!5t*o-3&To0DPaOG6#S70e(%;J*~~)vn9JH zgO2{|7E%`I6jAZ$o(vIe1`9f{a67SlI|w>CfQ7$hGYbPl>wywKZWa~LS?k^1Af?@b zEZl<1Au6B~a#=tJ@dz?7bQiL48!BGwEKvc8z*Q+80^0yF+Jl9gL-ArKkBUb()E(Vm zD?K@sJwQj;b9g|^_vnVGci`c6<N;lNQ4+=tItkWA1*W1qkcT^vLpel+2Xyu)+zC9~ zg&bfv@IXz1s8c-D83NJ@G1Y^I+XLhbACS;w&>?W3EuaG6gU1lpJ%B^ZMMVMT76xU| zK64}i2NrHnPIXaHDRJQj9Te}Pq5wKLnj3WDbBKzH;wjM4@gCiq!CtIm;kHw}-dUrf zqIj^=2Vrag3%3ARCEOwh4rLb=3D61qFsmJSxIrgExTxrqxN(C{-gi;a0G%kv4LbBc zL`4UzUk7dz4|g30*eV^cRT?m30(iIsIKXN(Kp7f*js>Vt0TpiDJ}L^`E-EVAJSv?& zDgxY~i<(_jL`oDnT~q|RSyaAX011JLCXmYS7rA|)9uesV9g6ItqQmW@0*XQjZqNnR zE-Er5N}QmhCtOrGz<PO<T~uU1YQcITE|c--h6F$KvS@694@qw#D%~zBEXsnNA)t^0 zi*Y-$bb`X(5*GIG7>A@y70~HD+&u7T0H^WpA{K5V#e<3;AyEJ}s2g-vxr+*qav<om z1`Vh&kc6jGVgn0ss5m4+DTk=&fX+7uc^Vv|I?zniUBts(!~wMd9L%6R&j3493lw<Z zVD?cF=;Tp>CLop)MNSrw4+X$MC8F%2!U9qW4k}1`0r54uA;AnflbQpXB6z^T%mF%M z60BE7*+qp1Etq*cdUqet16|bn9C~&k{8&0j_#;w2>_|aq;unDC({2W17SP@Ba6UNg zgTh~=gawpBVCoz=j3N0{0xkkdyP!NQ16C;kHW^$HfwKjJF(_9d_+W!YK-bWhFmoaa zgUkix2@Y6dhUW<$u(=!_y}N(tfv%o^_VV+vF0#M@2)Y&vQbu}ocY{i>ZU$vgfenfv zP`JWG9atdQM5H8$8x+uBeGm=MB3z_1L`4K%e!_}ykO<gVkScKL2{XAHT88_mz(nA7 zaVR@-fR3AiMiE>bT8PVl3vo~+O2DLGg}6*-h>8r{5%5ABBm{8<sIUh+1C*{n9_&UG z;u4_3Oa)Yk9d}XD0nwnQ6zI%-NMWV`D!IT#1*kAnKrYNcWrP4Ymx+LjN)R7>`VJ^x zgHC&g7G^r&Tn5U2h{6n%|0TeBWx#rMz!3vZvY^Tj=0#3r4sd-3E^0iwo5B8pMGFgo zdKIh~f4vG;0*w>odKD~=rCtS#lTfdM)fd8}1V_CJR)vTX4p5Y!)-ODu@{NVtM@0cz zzktdUSpDLnq5v+vRKOJsj|w<gS-`;xs$W3G8xOY+_{tej{h|Z9$N(HApvckyYt@0) zFC1XKJYc;t(1H(i?xz5>=mWRzutyc_YI#`G1nen9R6)xl<j8g4Fa{@iP^p9>4o&jV zvI%S*IO{<gAV{StI4y$<J=D?^A`U9`kh4@bxYR=`O<|>8Z;1+u2R(6Lq6umof{yFB z3R(f**$iqpg6?O4AM^=sZBCc~Iw})%HmXN=8@L$>I&}TuOYGMWae_U_qVn3N^?*-z z^9el$h7v{CI016q?ue-2UkiA4GkA7;aCm^u`3K$O)6JsN+57==)IUpS^Op$>4E)<& zR5;Q)n}0yrJZYWHe<pwq7uao}557C+#spBk_5d2WNXPjLM1xK(g?eTJF8?rtu2sUg zyh+jn;t8bd2sk`Cc~o9&wH_!b_voGs@}W<6GXuyCIQ$3p&5DWOiwjsfo7YT4_+|r? z4f4&FiD2JkfJ|yUJYgbk-*`amdzlWo`UiU6xM$}#kM6b$#-L-5_HmdnFm#J|m$N7f zbVsnb8XoBU$a%AbkMk6_1h={3J;jfTpI$Q=o&;T_qIdy(8t)3mQXW^(xulN#QxCXw z9_qXRIw;cslzqYdO3&`L4g&@T@C_4?V}C_FyZgXGoZl~U9)ySqxV9cB(eQ<+1+}F) zK-VM*fIDp9GtwNvBslB6R%yLd!UH<b1$wcg2+R&p>k)Kc38=jfx}T=`0i$DQTf!u8 z5b{8HDU%o&JbU*o&}U%qY&_;M33Rd(_|`_yMabX47GRw04M_uZ3$F}AjPL>rVGA#i z1Ucaa(g(g93p2a~CL@QJ$YkX3`T+|saNOe$GP;GAhY?12frYSz7f6Df@B-<>5ncyS z!s`f1c&(TWO<&+M`UXjOX&7UK7gz{ec!4Cy2``X79N|?k1v!0{OhHax5mR8{1v=Ie ze|SO8dkhKoXnX@Y>k4wE54@(i__FiD#TV$;-Ep}Z{s-Rxg?y>rdza21piA{YM{|M7 zEe}u&(4)IMz<_~a$A8e(CW4BGx;;Uyi4YY~W#a=<1-b);!K1s|0F+yi6gYJHsJMU> zfG&*&DG&f9Pb38vojxiyAO)a13qT4!KouxEf<{9OI$cyuKv(;CfNlbD0F9GbfG+td z0Noj90Pbi(uFL>+3mtcY4q65e2>En=&*E_m%HnYh&f;+lft6$ipx8?QYXeuEKAoSy zQjmN1{zI-f0l5ZzZyzpSgRklXsREDTVevJnO$NT`7kVCiH@dGuT}~O03E*16qq`f` z*Wl|VK)%)h`C0+wVF{4S13<op46I=FHIkRZ!2SZYL|j|{m#BDjJ_pqy2_ORk5dKGQ zKq$Aqg;XR79^F1F8LzoOl}Z4J9RZ4F4tSeFVJbMuvUE19Ohwef8c;T<7S@>xu7x#3 z!EFkTsaR{_#v`DF3Od#daq2CkZUK?-_R?_{a9_ludmHEgPLIy#h#MimUhIanghAIp z@TfrUgkWj@$x<rTdZ1Lu19H+aJny~M_CUYKg-4}3fCtoU;euxm&|nQ{^aa%Q2X()j zJEnns%+uN2GY#ys3lN_bOoL`n(9Q9vjV0LlqJn4VcaQEiklUd*<DeWK4LV62bn%?R zX@i?3T;O`-CFmqOP}%?;JI~<Ry&aT`K}moETx^1mck}7|4)MGT<X$+)JtnYw=Rn7$ zgD#x|8)tanHR3`y{%xn>CU+hKU0(gE6ng1gi3-xCb8MjT?(Py5a8IH06Xz+;gC$&? zr@mj~`~bdi?f__jT=61kcwEo}Jb(_;06I`k;B}y9cmE1~28M3IgRhvIAAwF*1)rFD z3c_tY$?tNp^#G`cT9OBA)Pio410_uftZf|76>{MAO1s5$a72hecsA2PrRDw`;MU7= zh3SyU5ZDDPE&qYT2sHEzX^n#tw8YCWaDxwe|EPjT=U1Ym2i)p<ISn)z3{RC?L9yf6 z`3y_kz!D~NCn#ZpE}CJ1%tOE~r91hW)9@td#PEZir}(!WhMk@bx?+j3^;4-p>&X&f zP{gx9Cj=aiL*hk5r8`E2rSl@^LCzZ`T$~5LU*f#1co=jKVCRkR7ZgvdU@Q?qjTMfU z?->{vb{QE4g&I#?0Xmzzca13n1L(+Y!vn3Kz<2t92k}ewclo<9Fc?qW08+Gf3rNww z@7GY2@EBg=JkLM%5IC;DC!K=>ruAPbOXr2oi=bn1OVmBOr-BoK(ZP32&CeJ+OH?Gf zgIGGRLAapnnLj~pFXwLo9omR|)hMW-!k!RXZ}Yc+uaQC8{0~Yt*qg@CMsH{93rMN~ zwRt;R-%JPP;Ju(k<I#BZ0yNP;@|NLAk50%e6g1Jq9)?|$F7cWRHAn?oZ}YbngHEym zCC``Bz_(z4N-hW{BYp80-UbKc%jr}|VTPB$X5mO-p!ukCr2?&&sF%RH85tP5_kz-v zOE)BO{V+8#@(*_bB{I;h2_L}MB7ke>QiJYRPy%!5ZUv>V<_{q*;GA&*NeQpvNzioK z)PvyS1$;&`<l?{93t*Abn#S*-^b9ikJJ?jnJeI)@Z~_LK-@O%NJm?~W)(c2VcnuG@ zaGv9zdH_731UiM65uBD=uY>M4IMjKp^8@Dzm(J^)=SxI5e}GC;&QprNzF%|X{N$*3 z33SWkCGeD(;z7d$-wraCa)Yjx1fB2i*m=OE^C0KJ5>=1xUU2dky!Z;a2n6%MB_OE$ z10B6hTH*)aszO@gpD_bmWI_`EoEgZ8zhMTX#N>cf6qt!0)DL9`mzr2pe-S+O|NIM| zSc4@031p;y$f5s3w0wQUz`(E*lpc+HL3yBiD!6>T_WhdSf!2@UWDPA}cY>2=FDUtU zBg$7~B|L^FIj{3iJqS*do#&gsGlJs>T)v*@JOwKEOQc{4%n(+%da^(U4`e{83RFiC zU!;PL$wjJ(Ify7yJ!T@MDxaCismfp`G*v+wMd;<}CCGiw*vr#0c#2wvR-PiA#}D!x z{1p4<-3Fl9*^<;_%^e_yM|blMwEF~p2*GdhLB3Dm0n&ZU>Y#x?@LAWJE0FIKFg!{0 zWdb1ELF0fWATM+_gItAtFh7#}!Nogbs(A;<*(Gtungu{zEznRI*dg5@hj?^zdKhyc zRXnW+OF>s^9e`cx0CG5p<IzpF!$H=999|7^ILJB34u|Gv==cTrB7uMVW`Jragclq@ zF6VFI2H(dw1H^%}yinu95p>t0Fav`JxMl)37+X2OmrP{{L++^HZ#e-nxOX>50QCxp zm*5L|yPJ`2{p4?%1ybGJYyiH%x*K$hD*v_sX3*%`v4ig<nx8R(F4FqY&Dib9a`1&< z=lO&01)HBUg38~Yh8MnH;QZeFfRXbD_`vfT(5y{!0mylv=?<)+4sum<MG8ZSst1}A z!NbKQBo<H@fx`S8=%~2PW>EN{jkBSKH>j3CO7bT_LCoK>5PX}Kf+w{@!2)k67(gQn z910)~JfcVq1(3@@p<n??c_3fGLjl$Q;D|<~w*Zir`CIb9cL%NjaXh-aK?hueqZ-rz zh1`w@uG&HOG3;f49D>gT;@pFBm_Zx~Mu-dxhyyww9i)d9#90fKVFPj2fNneezz({u z>Idk&%kF0M``bXbfr5%&ekKNngD?1-A27ZI9sLfvLJmBd+<gL^tuga6=$5q!#;^=9 z3uJolZjgXS_hyiumw6ze?q<+sb=@8u-3~klU-E-)b2|8nzxfe3iC+LsIyOIK<h%%) z40@f9uoQHq6ShJG)l$$A?jCSUL3&>Pgd{4crSMzoK)wXsd5U~Xoe11I&?F{$afNEr zZjiAMn~;;uOYj{x-OV!~L8TxG8h^(ODp1h}3K?)FEJnB(r2e&+2P9HnUI%3=xLJ_I zOu#HqF$yx+qkA(*J=AHS3XJITACwG1i8&i|uwiF2D2c)nv*7`cULO^aPR5s);I$fR z`koC67DW2K0ZP*REvDe|)W8cQ30-{zy1^YZSi#(R?%+FSPziUE-{oWH_vY`6t;Z4l z%5cL2t>5^k9ys`l2~_Ga!AiZ(f6d<*A<byRldabvO%iUyZ>|3w_@^Fo?EK);d5H5+ z=XcKIB_f=^K%IQfgNi>Lzh7|SJf?WA^CM)cV+CUgH)t3EJb3lmsr6)umJc+%5p7fK zeX`fE!~!0Y5dam{pv&I7n^)j1a~2?%IUo)+hM+T%B$T|M&;&)(5>O)PYzBoiESjJ- z9fS&i^~ODpxBUPWzo1Cy-M2ylG^BOx!A$6o7W6dyh{Le)9?%>gXgwLYx<bTg0w@ys zTTXyubO(q7x_DfffdO<6&d2W;l_70#$W>aPK1HbE|JH8~{8Nv6bWh$O!NAb%#L{`} z`!!`F&;?f|T#y+c!*8!ak#_(Rc^_RmPjUY0{J{C6M4a<bC+NPopNa<^zh89WJg0c> z`!!JXwjP4@pmzsI!ka~i+ZI7XC-5W>U)IvQ`+)>pF-opLQj#D_P>BV`<+U$Cbr@(G zA9VE`?1qs=;IIZe(4%|v1AQcO!Pg(c9SCV<KwJlE0pV~YOc}_99^IR7;BY8R8OW6$ z-J1;{%0P)6Ivt8?FP=GJPy~Y#nII&Qfg<0d`G^2y6bh2QklKTDLEc3ql^3AY0lHtx z!5bvey?X+<G@J|y2Q2qXbx1<04)C2=APx~hhR5k3OF>SD-Y*4m43^d)%6;zO@k)gI z3qZ~;(L2_B0>tp>-7Nuj|K<vOv4h7&AY(u-S`BF>fQ-lDBBbyH-`|LE&kv9@K<(=Q zA8>d!F8~eRc6Wo40k*0URLRT`g$639VgPXnB^x~M2U!bpKeT-fat?m?gU_NyxW579 z?2`Cn%{M>{kM7-|mWN08WKjBq-q{LHxzPK@7(lo9fEuZ~9=*Fk96U)GQi_0e<M9B< zUXTZ>AQ1p^52gnKJi6OJ3ALN!;7evuPn1UmRJb344*DM8cL6n0SUUSw%wk~ZoVI2b zsLQzT04QlR9-A-=T%1A&Zo%$}KwWMy0aU(1?zacs3GUMizOE5;L$ts?(28U5@GJOM zTc6I4zMUVyW`JgkIKY=cgG~mHoI8R^@L-Tn=X2lAA6bl!@VOEJ$dDm>XLH1CSpSy2 zvpHrq$g#U2jy>!!n}Nab64)4z&U^4nbio&3$2fwnAO_`dkM1@HQ_%XkHjX)<Ns=}X zQwD~#&NcxE&j-R2nFH!8Lsa(eV*phyz1u!OEYJttWdoXg0~t2~DSd;BOho$N@CDtc zXLzhR0mSg=-E9HMXrO`%+TSI$`~sN-it!bY7zf#a9^;{)2^!GV-X7g;2SC?Dadc;} zG(TnhezEffbPO74sr<_TMg|7(sumX&15i5+<kRkMP!jIuGzP6yF!1Q^<^YQ}gGFKM zgiOH85z+N{au|abI9s6Wf-V%Y0qe2=&6z2HhLa&Ph2SYaaLNEX1-!P*0aUj#fY-bt z_}~RZpaoSdC7O_xq=@xYpmjx{71>~og5NK32eN=y(y@4SH-p6-Kx;`gI>BqJp(3C) zo1jHjJSEzkpcxw%6~rPd(CQ=5Dlo9#Kn{?BJYWNPK(2T2=zIho2ST{L^=&D5y@vy6 zx<%r(8q&y(1Zd>O0iqt%zedX6pcSp1%?IXyleJ7|^N~5=H2njd0S~VLr$ZMN2~g#Z zG?C>I9ShBe;P?jJBS>6)o5SK89H@|pMMO7fVI9`kW`V6zMb-g}Y|vVDh&VKfKqDJ- zRTwxd89+<yA*;l|d~l?KVo#(*6ST$_dEp{xg)C@|CRia-q=H(jU@>r{!j_IfL_m=W ziaZ%`q)LE_4)Dr3@XBn^iaL+(Zm>$^NR<IassSiTLfglnX>V|nghi_XC|Wg8qE!PF ztp>QFRim@HU@kaXbvm0%=7OWuKnm1MJsdF?RJ^#TXrM)_1w2~8WebP^m9L;I4Qs!G z+hB-7W&)^e;cqzyZoj?&aR#pa3cioCcXx&qyj=)yzan)6kXoh-5Gp|d0dK}3DY-#d ziGVcRK6F!FZiKWfUZA&PeIU;C=-mx+gGcw~0vz^$lYmF}W(FMcu!ZD4y}Ln9_2}IV zat^4eheHF*Knoo5FatetuWSTGGpH8ehO}ZqNdVD`_33VNFkxVD>}Kd}d$0h+aA4_d zd$ItO{PsQI0wup=Cl-KeO2d<&r6dfXM23Vty4!x3fa}Kz3qgq#!t329!3{EDTg5_< zR&Z+{qT8dpjR8`hIxGUK2lIOO1whnmECQ)VvdW{ojRT_o14KQT*SoI+qW%UJ^#Ty} z3l@XT2lIOO9e}9sSPU{Be7P&!JrWT00ZYK@!MxsmKOpKYmVni>!Rv77e24*Pf#Go% z74Y0XY)wONjS2_)ss>Os5u?HbS<t}I{FuEH#QVSk+Ww_@v_#aSn-$bH02LMzQ1<Hr z-|jxpW#7>0V(1OVpb|#`yyOEsjR5Wa9{?wi*4rgIt#3;;(B}#u>cMpm@)8jd&|HuL zXi10$Xi10!$aYYhNx-qQjbSOc3=(ndY-3ppYVo+JNTfM-wsAl?G9V7mQqYY3z5vkf ziN<3uz#-=XUux^q314z(5bYQTt9rnx2GqU;HGE+sU|f(9FfODKFwg`DCulcRXWx#c zpho7NrJ%I5uLHD?q4C%PklmdRKzSGJchnXpsO(b!t(pSu_5+7(cbkPi1H;b$><kRu z0*a@UJwWS`z&B%pLKIxp3V`kqW!ME;bp&n?I_~6VU|;|@F0&Z_Zw8HNfUN>$8;|bp z0??`m#$BLARLX%Ypb->sGT8ZFo`HcoL`7y7h*2t}3R1xAq9UOR;&&c2yaZa(3)+{} z*`2YBfuVD9&N7h4c6%6rvf1H)WuOEIUbYEd0EJY4E5KLng2E5vACK-n&`K_sZqOoB z$Ad4pJC7fH&kY(MI>7JpqnoiikmcYDp3aL0U-C3RWCYFApK@V502(L%2~IwscDx8^ zPZLY$G>PR544r*4%R!FW*8q0RF^1*fraVR{gX{-c4C)_%OzwpD4|tHHu(R(3$k1tL zAcpRM7`g$|P>^{b|A5TnM(J;GqncN-0+bYLR)8J(0b*Xl3Jgag#^XTo0%|)mcyw<A z*#KIBApnVEQ0&5%-ZZAD2!PT?V~UChi1z4wjypB@c76aQh#v;f>mR`h0#OWX2Bi#8 zs^Wmy14>ZfgtHTr6hIrQz?-5tlzmiqI(<}lc7PHFw~q=7IO*&I@k)7_MN}FeftZ~a z4Zp!huex_1FkoQdE@y!xE*@t7#)lw9hL<`|bh4;)f;JsCYpevNDxH;}FxstQ2u@W3 zE1_Y8o~9(C9pes9fQ7$DcOPiYA3QyYgVK{YdFkl}$QgZiz|Pp00CvW)12AX6N7}%} z0oZ<!#i01do1QvWf#Sbs707S<W<U%rSOxVP!cdrhK<0^~q$hFI^klIbWS-4xuz43C z<|(YkFpp4r0<8=Lmll+yryGU@(-YVps-~v}h6K~o3s4wMegh7p-5f^X^mG9hM)=c{ zOSc1Pio@~X3+7Jb!2^%(Ht-@!i*65=gYS7dPaJ%~16r_mlHcW^3*+(T=Zu^e!1Idy zF6TRWz<syQz8Py67&_bLtO3WB1K1tM8rERM6(~i4;vZ}Q2c+b~Sw4BJ1;xM5TCkZF z5Hk(dVweds4^(<|dms;p@Syk!oca2GfDQZuF>nLKzy}xx5=u{?MUvo<MM+Qm3=H7% zi67L`dcKbjv<M8eZ5vu!fzk!Iwt|*VJB$dXC%8TM%O`sV21xm2&%m(nzdZv3tbDR( zU_dLM8jPUn38V^AKG}mBPe|p{iglp!Y0WxNnD2f84x+;o)<MI3C&)ucbsnO80xzm1 z6rU06LGc;09%S}D1<?Fc<1vTzP_t3X2bg)hDCGk$YWcvi0c0M}2C#V%5c57@ng<=v z*|!+fbAa`;dUt;i0oCQ;!7b3K2+;NrWK0KjFb5p|9=+g^c@(9f;Z_s@&_KW8C2(6B z-oonL%^?d4WF!Fxbb$}P3=Gg=A4r=Qluuw7++XzUyasAA_3qmNcD603-2}24y701h zH|W#{gn;9*HqcB8qu~MMk#LBKAoHN(dmvN0+feQt2VddW`Prv;AIL-xn1xub9slnG zy@MQFoqpmM05_A<_%%*|0}SuA<18wkosXccX7JK5@cbBfairr8(98%luYAmMa16>S z!aQNX0O3Q(q!MC05Y)Z|^{u=8Y<#-i3_4F8{3U<zl{Dk2&T9w%u^xQJ?AXm>;MiSa zz|#2W0Rsbr=0%UoFFY>4Ykt7k{EX4#;4=je&SM^(B`O>qy_*fdmrYLB@E2zQdlT%n zmIEb*ph1Y{2aKJ~5lrCLIH-v1Y>ojduh#HrJnX;(ignOB$9rH+poR#zjTr3F`FS5C znD0YmdUt~^;f5zVm>>seHoA8+12f1VaH4|A2rx4+M8i@_cV7=kVRu^tXc`^i+v^Aa zvmSiT4D)2Sh())H1<U1EmtQnLVgxyTngI)_&^BQKXCMcVA&tjC*QSD+lrYmLOz7?d zU8nBR-S&Z0^B;iCe*!Uo1H}9jEFj+?bsr~m_vL^>t-B3$Gdxmk5qAFsR*?IrfN#Uy zrvNgf@mK{brulb3Qr&GAm>3vHGT(s>WWEdd4&i+hK!!9P(_lk4AF>}L6qKbJ-()a? z%Cm1Coxk@jWnf@{RS}-eVD~Zbw@8ETV(y%y0$P#pc&x1gG^Fm@?N{R2U6$d}dE(#? z`GYT{T^LVv9*0C9sErI-gTl})V%PlOfurU@m(G)yUv-A4c!1Jqfk$VJN`y<diye#O z<rke7K=JCL;^EQ>>PU3DsQ5T`_pM=IVDRkT2b%1FS-^P0vGb7Q!C$P72OlwmtN`z? zaOyS@Z+`GV^Wf!ooh2#?AfpqUx?RLsI(<|!F2Csf2r7+1`(UBF=Tuy~*F6CnzU~GC z1A|NF%Y#4V55AOkVSMS>dB*YJA6CbMPr$~5+C~gc-8HHV%?}?qYMyoJe0lkOXN`(P z=g;Pcj2@k9R5}<K7@WFuR6%x0fTZ7czJypO<JvtBbbO3U=S`ULHyu0AgAF=uc-`^f ze^$qX&zU{D=Lvw47_3+6)GcD|(s>gcR04+An;$WHbk0%v0k$T_8r;Kl;XDdb@tX5) z^JB)&7!?u2@0>@QpEGvWsBkntW$esR;VEI`1i2HuEf^9FE-D<LKH7^?j^i#W9-y&b zpUy2Rpn?P*j^98M9^Ex65hWl+;G<?ddfiz(I$KmgS=h7J3C#Wg3cKDM6&BClcovs# z7ZnAU?h+Lh*VY3i;;yaVN`)MoA27Or#<v}tpE15X2=yK~FhS!31>Gj>pws}0EeVhA z9<brvEQ~IlJt}9w5pog{YZ9H%SVP_o6QYvf(>X;2v^2-D+eQeq8wDIM0-&%0#i>X4 z92Jl=yJdtgzXnGZXx&TaO-R&;fV>RuS^ISNfTPf(^ObYA4i6*(b5uAyI;W_BqQ$9O zgzNGvSd?;fUW6FH12O=-1IVX)3OI7yyLrT2I&V5&e(3_*#%}l(<S&p*oVrZ}T@25H z&e#FVa2^81-8;@t&EPffI);BaZ$To>0J09)q=b$0YG;lLcuy!e%vvv%3U<4w7&Jd% z1V;?W+YBHVfIFezTvQ|&UAiGb2aY|En?PznfdF!XNB0`AXTX|4z5*Y81lq6PdCrCN z7^uqVJmkXp2{eFEBFzapQHI0u`vq6dV~&c~zF*`#;i!1brL#nZr`tt^=lg|L7Zncv z78hu&27sz`kM0naf^HUZP^`Y_bWsTa`5u&Hx<v#bSvvqE1zqVJ0&;r=OkaXyHwz>N zK{_iuy0<`LPDBi%v*I#TTMbAXbQ{?eaI86YvxtDA45ZPaJ4BQLqQe2E19@K=B$^z- zj=Xspq{E_HL>QvM0;a*nqZj0O(78LkH7W+6ML8Crp>+qJULTc;eV{F5;9)pJ$lzFW zjfx3_Pd6kRmGXk)=m$T4n>;9Nz-!P!`3y7$rU8lzP}%9(yANEW^p>b-yaY8NK%N4Z zVlZ<&yQhI_#BMI=f{wJ-k0tydcPYTkcrDp_0LCs621&tBhC0pwvJrHY2`EQ)et`JT z=ljLZ<KM3<p67%Xg&rVV!D-9k+i}Jcc90nouR;5Kz{bhI&cK2jCjmPA3bfm#^*||T zWfv%ogBGwI2Q^M%MOSwbOScv0cf(7K|NsAIU?}xz{RWZ(xv0eExQmJihy@-adTrQw z==-hK1N^QRKrR92B+u?`Ab*3JD;nT_8YulRygdBx|9{ZoSs-=bEZceQ`!(ef6#?)v z7k1D@3CC+e&^`qa6TU;~I1|XS?i>}4ZqSiM?>NtOmZ)fSUgtdCS)-y;BF6a-#0MpA z#UJ0Va=uc$(CMP013K>ooWM0o@;o6elWq=3mN)2jV1b0D2~r#y7+wN9)1%v2!=v>; ziGWA9g9C`ce%wI<R7XPVO3*0z-XH(}dv>-#D*Pj$8W*&+EfzE(9DCTecO7WipigIx zN`i0iz6cfuhW!dl#2I$209VKVAhvY2fYUvSj8A8cNfv-1cjl)$M8?s|~3AA)w2 zfezpS9m?SduC?7VkeU?G1|~=|xJc=?F=&LgF(Jijw~GPG<rk1DMgS5DBJdiz4O~Mb z8gNLafg=pvG!A6bK$Q|`|G7tRj*0{*zd_fQgTf9vW$)4X9^$>;eJ?;)#CO)HWPp}+ zDL}g{Fi8bagALTIfbML8?bLzq!~$Pa3(C$KuAr^5?|nL-`E-5+YX^B9G||T3**y)^ zkO!qg1H*5i*&<Ln0;}=qd=92jLLV&R(RmbP0JtcGCS%abf&g$?0iF_o>1|F?3E*!| zQ3>J49}VDQ4n5!;kOR&E5)CfIL<4whExKtI$fj99OtS&4m#6?m1EknPTR8#tEHu=8 zx^q-Ad^$ma2onZ{6r=*`grrw!$ourRs3b5lFn~h6I|WpAKlkW-=g|#`4|oi;sDOG7 zplSgg346doXz>6`4~Tg1=q`b0f#V*>TU0>(5{N<I-bJ?uW48lqGb9`IfMq*dRIV_9 zCZ#}4SnQdhMdb*{3<d@U)S%k~7Dt30gbxb978TIybiy&xqOu2v$sloLlR<ol$p=6s zZSNYD2vFV9+XFTM6tEySB1aP}bUb>ufISL}E@&o#<_%EnMfh~SN6RB113?QhKrz+% z+^6#&bmGXP^AIAZw5Wh98Bik-mRa_Ih0x*&Hi3vIp0+@P0h(z%diSV+gdk(wpxPFk zw3?TIMfsbTsDS#{c(YE63aHxvG8R43K;p<j3*tk9b^|yeK>HgwOS2XgQ0Et$$sloL zlR<ol$)Lj9qjwFsz3tK412zE^#vr?~MH(!!x>G=T>p3V6LE!-o1z5~sjWkd%7!+yU zIiT$I9%syHfbuAE%&k!Y2_a$*mV9852WlA@fHro6N(K+)77)lEpoHMl`QM}SrB81U zIIn`8>e$@}>0h;hnxEJznidt%@>gtu2@*#ROb{Oun4oILvAYk{r}FG>1GQtZn>+<% zES6jg5=S-}#D|y+-mKEQM#Tb}w?Hx=?}6;b7fs+S0`@U9a=@h@mONzuE&V#*gWBSt zJ|p%55ZvWLM2}DJ9u<%fB6{G_0?p3QrU-Zh?Pbw-<UMxa@tS3zQUft~2udax<24mv zUvGZF2pS>S$<F{A;qBesz=SkjgEC$K@`7jQH<#`{&@hZocbf(as3Uz6*3&)d*m>IV z;BQvPgO8cPJ>HYf-8K@=-60YzppL-%%dZ@pf6CRFI`%qqICh&b>;yGhTr^K!e#ZIF zvDcZ$v0H}W@?*}+oi{)m*Kb|~^{GKybxw4CeJ$R3t@#;q=S7I%H-49Mo&P#7cm4&d zZGH^i?{I_jbgAgYcZOe^pE7d32A|Lhu3TQ{gY8v-*vo>j_W|c|h`lTfmmhE*0*y$( ztvJB%a-#F!#dlyq*jTRNKS&3TgA;V>B&fp;?l(cl*ElaUe*hg1$Klx<%>p}%(6M{k zA7;?#HYAi7FLs_k_@C9KSLH7##WvTda4`6GulvBvz`)-E+JXXVQ-IrJE}ah?yGvLZ z4nAkq{MC8!GN|J$;L&`7#j!hwmErQ+&Kwny=3g>(T8_OgDjbg8F02rbX<oeifb#=r z_mX4t5gzAm7gdnwKz$#P<`fkHP(wt7A7l%-0q)#w!VHQWkm(vu-6G78Na_qx(W%qG z5?~;MH5{7{^Eh|2NL+rv32Q-XfTGMrMWZ=IMTeQcg&8yeh0+<acqs}J>x@w;@aZg3 zaR7TShZQu&qIs(G;^nuX9#aL#f1r^EP_N0gJA{?N@$#$YUotM8mpk9psX%>Ng7E1x z&UYX|9>?w&R)))uT{tiMbRKVh%n#o2{sG*hF#NWH-*F!(utA3~eQUk#$UpU%OXtVt zkBqLJ9~{45aNN%5(t5Bo*R}PVBmdOnpfH8mgc7Dlp*Fd&GF*P($a%<x^J?=`{^q~@ z{8J7%emleuK5*BO<9O$37mm**a)#fU|MENVPdUcF?J)ngldc@!T{%B|zs7k^@tBL^ zF`w=d6$j7GA3mT?v?Kqv<KM5fe&BEM1Z7K5{|V$?(6C8`Q@4o*JodX=z+-Vv-7FfH zUo`)c>2y&M0VMz*Py(pog(raLoZmZZR0JRiAVihn@*_^r*{mX<J?WssQ#%heKjQBU zQ2{sWPc=VcH2l{5kiXMM1+?OggWnaj37^NayKMvL#AJ_v<{Cy02L6^L7Vy{{q^TUD z!eRIg9KhhR5VXhX6en07qzTki=Xjlr(%}O)NI`9E3y`b;LNA&{CAOa3`(Tz>pj(oP zYKaB35C%Kqr4}b>c-Te7;-vy71A}w74mfSUb?&xdy8Iqg2z37Id|d}E2xK6^1uB3Z zbH0WY1SSli!U)vMEah%~%;*T}tTU9FJ2wB6uYqbqDL;-vw1LZy<DBoCpEL5ex-v5` zG=FDw?EDYPW#HZrG$wUGF$v1J93JqPG|{;H4iu9mDm<W=#LUW|oXY`;Nk~=(WkH_i z6cr9oR_5V{=V0e<5hh6Ph4~Q0smG9VEu^Sx1`kGQzzR1|o`+6zfMW^VX+o|E!C~B7 zqhiAV9`>+k{=v-OrVkn;M#<>{j@^BrBG0pX8mL%94C8hlgUo4wf(2CcGj!V+L56Ta z!<Gu&IYuntVojy_r%at{uNz0V4ATyfLd_GM2QNS7{M{L&qR@Pdr(4A6@*_^ru(C>X zii!d#7*zNjA+?fc_dZaqgk<T_gMV2MK4k`5)NP{Q9iq>2`Q7DLpqfaw*OjANh8@|C zd(B6Ax^46?Kj-`fDfz)+lz<dQDM(?I0Sco8Y+;lG38M^f7@2`a<x#>2v{=f+*hfVG za#R3l6v@ZfMMdO0Xi(gx^#^~;e@Oe3U_gQgbWj3P0v?bucmh%aB_L&*Q&c2C0VzY< zfDAwi$PlD}i~t2>z)R4C4yf_T@iKuG)K6;zH8gy?`@m6p5L$yCL~Ukho^bA#k#z13 zk!0ySc=`3^SIs}<I<Ix!t&?}`_2zKw*5L%VGc*rge#&{*vA3AVvD?J(@-xnB4&RP3 zm2x*fWpV_sp)Iv({vlrj)no$K^o;W}lBQ4Ka+~uO_{0YOR?yHy^Cw2f&TGEC&Mcq- zQHSm>P?Y&}PXecX!~n@vNHPSaeQ=TB*lnWK{OEz^MR3K=;n*Fc#d7&IcsbusnL72} zP!7-__bzbq2i1>|BAui8D9HU<m!EOo>nu@0DF$S?LH>8{7U2Mg0nGoXUV+rylJMrv z#n+JO1P!o{K&>s%h728~m46nXkpT^CX&j{-cnBJ$K}q8Rpi<AFy8{#+9^Dhb;Q^g9 zVmv|9vH)r^DDil7?*JzrgvFGV2N&N#O3eh6@Jc}nuMALl5iS$J^L!}bMW9Uh08V=R zEhUVgoI$vR9V!I_EJOoPLNo*^L?b{U8h|YY#z0Eh2yiL;8`SMV2~h*|mW3fw%fbM? zWx)-a4(;9tYrYt~T=*SyuZD|?!OKhF?ipHhXd74%+EM`zYoVJ6I^e?-W+Hg?#!KJt z|No=3iok7sP<<-^Y87!HT179n{y<X-p3?&<^#CbVKvKHyCz?|5uqH^U0Z6F?lG2x; zW!t^r<qzOdZs@RECl_eU_yMD5cOR(f)#=D$c)+9a2&l`4G+<4n%bEY6IS04PIZ%&? zLFsSa0CyNZdv^ZWw;U9=ur6)$?irwwV*Zv2PSC9N67T@rvE~mT!EWyokM7_MME&pB zc^o$D3K}Gw0BTq>Ffh1v%UFS%1df+qyL8S`0f~d=|3HH`Zrv_cES)_n;31;RFI*rq zh&^C6E|8hY9u?4VL3cN3Ovj^pGia0oX<P!StWN;h=h7{r2QKR!FTZl>3{gq&=-i@m zgOP#3rQ1gjWD{uU5<H6tooY;Q={yOUfk^4@292tKwpD=6CqUFE&=m)u`UE@#-ECq4 zwgOz81ay7^&mp&{oB(wwI`^o6T<FrBV*#2=4(No8BsyMx>jInQ4C(F$jj<rYt@AiI zcw7wc9sCbkn&Hvi3>tj_*$0lzZV?OcbhU@!HLz8CRKNo&oh>Q{Ku12+fNk<{<viNi z10KM;{N9oCFJv;o$EAC72*~%HA7D!eJ~)CF0X%TjJmz@t2dm@32h1MblR*>KAp5`_ z2d8cpCYR2SkV!nlW6cj3LD2=8R&(kOVFGR7vAF!ok@G5KKGnvhdp2mLiA(1Vm=!l1 zJCA@DB^-uN_IFPPPd*)YQ2`IVJ9V=tf#zvJEp7+66`&HusXIgoWQ7Bg6)rA@*IYTz zLaKuZ$L6PuE}c0lF(vFSoS@Eh1afNYc2S7{O^<WFDCIuh0!~PvF*%RU7|4t-IF)>Z zN`R)PLD2=8?E{4=cm@|Vl+NJOxku#zsFZ@v&#wSS?i!T~AiB4f#k04N#iKWy#kV(r z#TPVJ@6uhPlF;p<lH%I>AC%@iz&UD<3TVAgiD>JAQbEV&=Zr3$H7cOFfS1`I>EkXc z-~{E-Jw*kS8$h$VAWK1W?+ZW{b*@pF0g7TB!EQ(%0XNzMd^*>tSTHd#_;jyP0XfVO zG&g(sRp%5HP$0w7SpaM{9XuZlPDvn(K$!t#5y&Sl-C&a;dEzZ7P(8Xu#K9)DfKv@< zT&UAW#RFy(_^45EA^{l%O7V`}HXz4=2GYTESr*+QVwYdRmIPS9G}?et7dYxYJ8yyJ zpg~F3rCY?f8<J+B+10@iJc0-Ibcrd0i{W+9#W|pi>~Q%VH^hIiseSP5a|9^Ug9iOT z$<wjhL<5v?A&H{9M+Fp!-8z~evA2fbq3MV76=Xd?49H0ZF#Vvk=h$tc14@-3{RN;f z0tK>X=c#TTO_=Tiko;Si5hX5$@42U_fWiur-!dS1BBz9n^E!AQ4Hm_%pfv_QDjCfW zA!`gkvjE^V2H<%4=Ash7h@KdIJFkM%BPee&beE`r8ZADZpIkV9b%v-|be;!Kwc3Cd zBY?aK3IkBEl}JG39lu|9;ryZa<NGzvkBTQcT~utkT~utqldl&1Eub?}kh~8|el6fM z-_0TbOKzb2SpbSIP%`U=Eg2|)&F7bZ%*cQQ;S_K&3+ioyQWnGvP{INiBN-qwKv@B9 zMh47`98m5B&Az~lfut%Hc&d5<D(FC!Quh`WP_BX+(V_y<1Tkd_c*+Q55U3V~83amV z-7Lb;6m}V84oDi5Ss>%mARf#B(4|oz13<%JFascIibVjFen7fGa*o|1LJ-{`9!z%& z$bH9MRG_Oarl>%Y6KMGaWHCeqIFEufx~L$nxaf6JDFBVe34pp{86XyiM=!)@ph*Ex zvjW^Cs{jp`gDmvvg)FGq2in-Q3q&B+N+6nO_WW(Upz;Ic3eX$^1IT0tkM0;11D|e4 zCGOF?8$46qTcYCdaxzFUNY<l!GH5v;$le5SS8XRK&w@uIx_wj<x<gb_Kz((_&K7X3 z{QV;6NDReuoZ!k7Qf-5h1h`ZK4edZyRs_H-1+A=ru^}rfLO>Y=R6xU*LIkv)ED`T^ zQ3*jTg#gXPz67=Kkeug%<~)$K;DV{!Ma2hnZi=#xiU;U;R7+6MTD*2fX;6WD#Q+Wu zkM7MdA3Gqm-LC%o|G)JB#Eqb}6`-jL2B;fLR06tvR6@FQR4hPws`C|iX^%taanAFd zH7YJ8V&EL;0M2<ozhC8i0nUFe-7YFF;QZ%MlIPLg46+s6EbR1AiGZ{SJ6%*_km4l* zy7<Af+eHQY>IX<m47B(m;oJZJ9-Ymg)|yA-5m0jusV(WzJG%f>-+&g-c=WEg0ABnM z0A2h5n@$0Z1%vYIegz~MPuOXkkQsPz<q7KY8F+LzfIPszje~!i188wxLZ^#L3RtS! zqXbNQbUWZ!1OYDdQ0EV8R6G!KM>Q%QkeuQJHmJJ;()I)ITyFr?*GLUOq}33R=to;y zfpr=lYAmF=2Qe1cOd7Pog6>+ZYXy9Ib5sIAJtzmz7>fmHQIiMa^aIf02#}Q)VC#K) zcO-yTRP>gpBp6=W$qrtJ@*mXh0QnG{<-qDZdUwnKsq2I^`=AFO_&_5Dx�=+28A; z65(oi(zEj}Xr&&gRqfGvA3PW4qhbIt^SFx&w9*56d;(~-FDSnkAZEcJ3ZYYAi1gso z`5CmP5!6zFty=f&JO;{m&?*3IAT(WgF!FD6U<IuZ?Q~Je0sDkd!hkHk0TsTep}hyZ zC<l=|Abd~?X;A?e+n{t!Tq1!C>SMD4RJbBr0pdff0GI6$D~L-l;7S113pFYg2*Ybs zDnKT?sMLVwu0gdJD9vCN@t_6o;Bzw!55T64L0!xYL}~#?6f8w_LlTG&XucS7<|8z5 zfa(s=B4^M9HfTY~H^iy~P@4jlE?QJTtJ*<f1CA|^ZfMfj0~SY19k3P5h|~ew00K@D zEh-a0g*vEK1ce;DwD4f!-{!#9*#njaRU+Vu$3?|}aM}P(qk<fV8nAm*K;nq7h44Ya z+oDnfH=L*fq(!9w>SlB+K;p<&fcOwAKqExp(CY3WCT+B+fR?3U^94v8*$NOJVnq(f z7rkp#PJl}A-X5?Cpcn-?0@NA+`5(EEK_rze;82F97El)lRItDfF@&X>&i}rhe_?e1 zQi3_a1YJ<H2i!UL>HO~lx|u~3<apFnqX8NWK*TgW)qunar<(39;I0oiB}0==2Q(VM z0gFgF%>3IN*gJbvK+>HpDxf|mSOwvv1MbmbS+M{TM-Frl9}?&(pg;$uTw;qA(6S*= z(4Z$BkT|jxAU?#37=#tXB^_{=0gEp{;>cEj_z){VJ%3OUvjduRKr*1D19Ai?>45x; zE9rnj8J2XQqe1W_gKb&U255T0olZc_O;8YlBMqr^0f`e#C*ZCwxQc`nZJwRSq2|Eq z8&E@pr?W=|v@Eo<MMVbWXK)M>XpXdiR}X<4h8}mIju&#kg7}bt^+CiPF-?;e70|*E zY*v88k*xsnAy$Cbe}mmmWXS?<p<+oMAaP_XKzxW5HXvX0u2ES53aZ{7@bVl`@&Gvk zlsrKG#g#ljp^QCwfC?JWSPG=!0nXP*#mWL`GU?s|EmppxtffLNSp;yDEFf`=6!RZ! z6{0?ZwU9hJkD{d%PX28Uyq!HN9H5OqEh;=9?}I~{U`he4Gr|^)AaUd{2Js<bYyt{n zu;E13L7?Sg*sK7FBU=IDL#)t2SV3Gt0$TEf%?gk>vK1gc#0nLV6}@Xzz}<`99`K?m zP)Y$g0+dof{>7D2K%opxDL&nMz@wwERaVdf1$#;XZL9*fSibwf7qg-!4+b2`10;@- zJRmJ^q~rmucwjAAkIutr$%Ko4n*(2Gj|ynrcxQ_WWVahpO%>4Q5NrVq5=RbT5FZl2 zpaEg9^~BaUpaEiRR)EBjtpM>MR)BT~K&>DynSd8`VJT2R;>cEj_z)|2pvj~Il%t>v z7D34b<OonQ0r?kKG697$ESYpd@-j4efKm;pK*8Ry1+Ae2C6n$o&;sSXN9R3gcL+7P zfcmSTAOi;iQvCxGM@ufSMiNqT0X2SNpnWJ%I|b5#=ilbR&A-iozq3aLw7##iMdb}> zycRSw;*7QPi&)%?UfY1iX^?{z#D@ec3&=?5{6{mW4Tr7!+XCJu1@a%d!Jxfu$OeP> z5QG1KVi(7x2fSb1q5|6Jh0S1)II_VYKE&WJpypuj8WqqsM$o!kkPIjxfUE{31d!iw zB?M47LKA`qw7dY9?6A~;wR-~^-T<WnX!i!(O7-l#?$LP@tQRz}2ilMe@&)MNMo@nm zwALH67Z<(>0oHJab!|Z7M&Q9Y1<;TjsBZ)sMUwz=Kuuc^M*zeDwO>FS@W>sgY66Yi z`Sfl9&pqq|tw7iXB0$~$@8FfZEh?ZS2Mz}&=weXFln`{{2Yd8_Jpzg_lmU)Ckj;JY z{UZ=QBo^L)RCj|Lf!)oZ${f4FpgoG9fI&|;AaUgA1@R#UgUXNYZb$>X89e2Hm@Gp| zH=rREYzBkGkqrj%AqL-prkf0C0RoZ%r5ljdpzs2P0k(95mR?|GIyA|Ek`br?!P3hC z?Jb6+8_@VUX!y&c^PNxUFXV(10Iy~ygT_igF$)qx6dCXY106Ae4&i|o4S#!S^#;0V z7`$E>G<E_jTs(Srg9ex})(e9&fJg6UP<l1Iw9_7Gz3>m5>xDtF3tlfgZw(7*UTEI} z@Ook78My<n=|0HJoO`zjyK{F4I}3PM<txx?w%@XK_Kv*{pasM1;DtOcnl~>$bK$(^ z*y{jVG0YBIG0fh1^WaM+(5&hGi=c&gptUR~_+5UyRs;<WvxCkq0?S@Uk#*_3)_K{t zH-QDTkeD5@keKs#seI>$gD;p2KU{ogc&zyuBj@K5Uf347m;Rtd7|p+B>l7hwV?nqL zv@{grHWqf+G8^`Tub5yfpI~S3ICtAvfaY!9ciN~pcbnK;e&^WyTeh=Ag{4j%(@@SI zoh&Ly#)1|BvN#@m#ndeWzVa6|N6p^JqjK?e=P~e#3RlCEkW<1~9Qj>7g2uz3>wQ_k zwu0tRG@QF_Y(VQGf6IdQ$AQ-SdVm&8@x#OUDd*A792E^DdqLMCfOgDjbo;32bRKVh z&fZz0qTynA+3+wZx14W&&Ir154J7HJBH_sI^0Tu>MFz6QD1h@Ccn4lc2^;6<&KQ*d z?h=)N@7EwRU=qh&RAfLI)3JM631~0=g@fSj<%}0P4<7u<+N*OGB-vb}A^}=2oxuWH zFAcg~1>`gE$bRPw$a3k2tl;&;py{#z&~jqO?iyAG@GM|R^Dl`y^<GzOi=_j=3#(jo z87@BnU;Plmzs*G@0JN(W#0cqhQ2|d_g33$==Waw8ayWO3*g(=LXeTW!3{4PW_zbey z*0K2jXw5VzNr2W&gSORjKq82vIYots9XkDmGCAV$QW4Y}c<0z1W6A)Ujz83S@$zfX zL@ubm<k9&7<Ui05IjD2w+U)~cF8#9kmxN2_xz77_3SghsAQnqM=e*zR$^#B1P!2lh z(V3$X;nTT91=LaoEy~Vt;NNx(G<gD^b1;1C(DL08e9i@E<@BZ21CIPt54m(+1h1UF z;`sfF<2FW@)`z7fuC13qi>g7vtLo8Rq7u>Th91U;d);`zYt%tYrw_Vtp61_ny74Dy zkt6?<!;aq${^y^1K;ecX$Gy(OE*zIj6b;`(WF7dY9Od73nt$5?SB`70oL9bI<vgl* z)<yBD4`{oLBmcHz-><g5;BNs9O@WdEc-0eVz7G^aPTlae(-I&tP%y#PPJ?bpm+|P% zQHiKi@Ac(y?8aC=EdfpvA-b^D(=wpLQoDUrWcas%*2qbK(mQ1BbmK#iM>>5}WI9<? zAgiZYJiA*|Kr7F>;mfBbK{NN=dsIN>wMXY8^u<E&_&}?t_kcBdbUu5zi4SxI1=5CD z@B{^DP#nDcCjcBrpt^^_6S5NnW>blkXZJp^CA}dk9_ZGzgRKECk_C<1dLXZ#e$5JM zUU+~ffIPb)M{Xe21%Zrw>B`5z;NEQlDnlGEzXJs-DDgOT%UE1~4JyCt>>y>A38FxJ z=EC{S5nNc?uwQ=8dB5`qDBb^r?BoD%v-tX2(WCRh%QL(T4B%q86KU^<OXs)F`!1bd z!Mb1vh;g1Tm4t2dcwfQ?JJ}Evo3Bg3&cIqOAA>jpTrMBRX4A!YU{P2#;9~d<R5+Qa zaGvgrQDFh6mJ(LZdz~gK-7G52pBW8Lu3+SMIo-(v+KUa^x(1#-2dBYq7L^t7P1eF2 z7+VgMV%uiz+1sK5svSYO7_`sLw|9#QsG0<cgNi{=8!iIG0hM?lPDVHA&OA_3JHh#Z zzeNqS?DDva3b=3wMF%9^+kjS@gH}~*fW#o_9<tJ0qO(Lr2U{r!D%mx_Ngh%Pf@&BY zP~itUv{(bg0G(B=1IlEWr66cs0wf`bSb{3GSD;8By+G^+on&{Y`4M|(hzdvNDF}n7 z`2lnDL*`D%G)ZTV3V80LcMW(e1ky4=q**QKK~|t4TTq}fWHE(;a|-BOo8}r79|q7? z$PDl*c8}&C?EGyyJPZs-_bGwOeNYb%q#4vRi|FR?><(o>S{;S7lpQ?(h_V7Z0loq| zrTM1>uBGe=C@Zj2Kp_m8uS@_jQa}@zj@|s8-D21+g-+)nt)H)eTUyinQx=z{6)2Y0 zbi1fjbo;2(fHs7H0=)tj=r!;_2hG=Y_JB8If`)BDT%<sEK(u@b1iA}kt)>Gwyq|-P zf<>z9TEIgvptJ>^2JD9Lja^g%Rxp-|cSE*e8oQ{3tY8G6WdfRKU;u5MX@11m?V}>0 z2)g1%p}Ryyrn5vvrS)5hKBy#9;QXiv+MuPPc=5FiNI(UYol8^{njbR4q8FSJIzcy@ zs(|Og75G~~rxg&4b?Ce<QUqAQBfthvtXrT&fDI_JEjnFPEI<sKP8Ss$e6bE*EQ*rU z1mKp6;E8ns6iY>rw*i4-T>uvABG6cOQ8DQBQ85AS&o_Wa_8#y$OCsZY5BN|QhEjoU z$o^<>tWV`Y&1Eg%Sr*Ki6111r6IN69Le>GH*JJzHk*dpH$b8MqI(E?7Xm;OjHc+Dx zbi5DaLC4Nhu)~9zA3o4L;oi+7;M^S|z|wip@$yU1QhC`rXUASIP|KGC-0}r&6>#A^ z>)2ZaT5E3r+AGlc9n{kO2<c$7{s)~V`2MvzsG|+)JiQcRXJ7zrP=xm~T0uJ$T{_Qp zUUTU@-}$-uF+1o|p4<E`pE=K!3LbpRWC-e_aQ*{V;-xvwKV(6Bc0g`0fxF?EBj-mX zH+;fo!MTI)m^!aFKW7K^F8=eoTz4@%2TJ26D&QT8EG4X**E&s9nm;ibp8Uq5!pQG( zsuN3P1zM5<I{gPU76!^z5};;I=Q+-6{4Ito3=F=#UM!$ttB7t+-|krCbE)7RYiJGR z*sWs>S%wPQ=A_}+U1Q7wZhPy1vV?kX7>8rGj4)zX!(&d+mIsaIW1x^W2JO89RZsle zd{jWUX={KOI-Nc$I-s!<(BwC$58&Kw!qf>m_Gt^a25{~cVFbrw=M-?wP^SxtOdEJW zg0@cV0jos{&TE}pz{<OOz%tzsUMINDnF1C9btS(yKW8+&)clMcsv30UF2BpK&KeaS zkdr{;UJxhQfSmLmy3p3S+l2A*yXGIVom;@WzhO?&fjj9b=ikmX;4;s#`4FgIAOPO9 z2`T5GrC&E>>v-oei1i@54!&RlwK_qu-uw*Q(SoXRQAyx;0qxpD-ihD=n!!&1E%-pX z?gFtg%Lh^*Ln^?}e5hFybRrID)hfP<54>Ipr8IJYmqsq2_{On^%K@b{asicm4xKJ4 z4j=~T1SuECZgx<`2jB41c@DC144i+vWsE?!fcCoh^t!QJehbPx(!G8h-8NjX?E9Sa zcW)g}w~f{1=bV2*OHV;Pd(hU?=BMC${$6(GsDPIVLP~KRvCf0wMXVN%-8KT3--BYV zM#ZL18Dy#q+*C+n1sC8fM$mpDXaS=|w~vYqtOm7!#?dKAmmjpTk{xuJeW#0x0>8_( z&JYz9q!JZWn}T9V0TfFGh_V$HOOT@xAjK$1Ew~sh;zf_E3Q%GMl|-P#2&&WJHK&V8 z4Yb+>Ej$J(0X2$1%0Z`AfRulOl){W9AO#>nkb>?S6$wyn+Pwx`=tB>h>U^aLTIMOx zc^ce*7b(#IRjLA<w>bZCzEu3E2x=vZC|-OGKDkH)vg%X-l#M{us|dJy72t1?1dXE+ zN?YI!3@B;K02J%sSTezrwhU0xmI)|H8Fad+7=Rcioh~XSG)h}@zys3o<h4fS3uv4Z zlCa>3st%k?krUKikaNL_={UH9bMP$_XdUnx@Br$;_uw1aKqqi_?oj~^oFb(h(5N+N z6dTm81&u;}U|?XtmVQ9P>5%jT(g99CvD~QX2ePyivl=c&?y&Yw0WWPut%gf%K-Dy; zn+rO%0<>rdxjPB%M@|9vco7>+dLVr04inHIA=0Wq*KT>=ZZ_28^N{OrN6pjD-7=60 z9MsWx4H<jk@4F1zFWKt^s>NaZdT&B@{5gT@76x!F{sGkUgw^8UuIKxgn?U<25C>C1 zasw!1fDR@BWf)L5*#cZqgTk8Or4RZR9`NuJsAC=gQi^<z)sD|78(|==TA10O0v)6w z0m<xNU;h6GwJJbC`Et*{|Nk*7{m#3c*E;WmPWfU75A=b?IX`foD-}NYmg(YqNW0=Y z*vQg+P%jMBumA-Md^7OP%MUmYJNANt1-x$=ycrnLasda+je{?kz*b*;4cV6Ujo;;( z;bG7?o(!UCak*25%%;T&&I`VsU-?@CnPII97nO)^4p6H*!=u{(sn2ux;BVH0kHH5s zg8R7LI&z?qFi3f=(On~l)V@&db>`@1VM8=89&m!kIhzmjbhF4oOKkjoS`#Kvssarj zf=2cjA*l=2k;Mo`P#>8CsRjp+?18psvxCR<K*cPq)dCu!2eCmh4!PA2++TtX(>E^x zw>g-bmw;O(%pSeEkaSX`g4wSHjs1hVrVQW%nnS_K65h2%>eVjA*qa^T+xY?%$cV5O zKniQRb!u@~N~KP1bBam@C<1EOK@k9|HbFrNiU1HB6af{WE*=AV1b}2g5db=U2RQ;T z`?z=_0HvGjkGAm}QUGA@=K6NNBde#Y1ge9Pd%ED-R|Qi0f_l2Bom*efbU38;1to+G zk8T{10cjc`@6aDQ9bC{sC!iD{!VU^+a9aX&UjukEqyUz<Pf;;oZcb4#LBu+a&Ms=i zzvTY-|G#55yKgria(@%~5F9MK(`AX>oz7Uw+WeHURKNL$9Kn6*oVS`EG4i+agL`YB zt>~cj`<$R1=@~xVLP&G0@V$vFpflSUkhh_ms33Nsvw+%IYFKxn+o*s?2~A*|&{_Dm z@u+n2sDL_aphfrKD@?$H)y~}}pgrlJ($oXCFI~1XM8yX*!v)%#Zv)?E59*`UsCYon zr~vIx2bHBh-7e4_M$kb)@DhB;pgUxm$p<u42WeL$pC_OJYEK6sw}(7CAxC{T*Qn?~ zIzAfU#!wzc$43H`F9;Tp;4x5?1}X=<fyx64JRF@L4p55^et-oJs9g%WK#T*#0NoqL zgKzwYK#vROs2^I7tJ_7zq1#8r1=eQ)ZDj%Ve8I=SfO;z~osg0W6tGjkU0CQ@4L-f# zox`BUP6DXa32MV2hcdV-YOYa9fdp{^IEYQq`YVuuTu{!06!;Lnv5SfXbg&OJz-#QH zA_E=l11%<IKrYI^xu{e?`YWI!8{A)M0WY-ahKy5!{KeQAqEgW5qf*j(lD~zWk%58p zMDs&NkN~I$?V?if@)K&q54P>Gw+6IbqC^F`9ry7AN;?j=rK-0^r2<r)An(yB`h=ns z(zXWGKcMC$s96WnU;u8PfdlJh%NG<4_?%&nx+5GCM=-NNQ2{a=xj8xM=l}oBpTI$J z+(o4Xq_o>br3AVYsQ@(W!|e#(v*c>{|22=R;eYTX-*MN@e=eOLTsVL5w{U|d7>KDa zKqpn8pXd@}1Ub_}1)d>vkWO>~4T9t#o#=84)Hw#_0|jtrd7Fz0=)w*a&<qBswS#Xc z0^7hS%yPuQD5m9zfl-j<m;<Arw1QmRh;%}RGa(rRbZ#{?V}N28)L8&!3Q#8+Ia9!k zo;{Fr3c-V-AjRO^;f^*G?xJErQ~?C8)ldo`gKn6Y5j|u~FC%)$ATML-AtQ%(E%KZP zv?&g5es`P5cZbNcKu*$;t&;_{ymgRH(s|1H4%F(lk%yh60~!GBgq-pW8mk1~{5l2P zONNFZylB}2UNi;@ND}(AI-J=0w4g!*oXbF+aY$A)c2Tid!B{HQ4LRx4*hR%=1tWM@ zHb^0~ECDec6_2^L{x9Kn<^1QUc+64p_-kfY!~ZUwKfYgZY5h=wly8`kvJ2<}1R|oZ z1-x4xoF5S_EXY<FXe7=7AC?HpH`<_+kkI3C4cGv9U2>~)3Rpe=Hi*fc5DLTvooCny zIeL+%F$-Rp`v`mx--XU8Dv;H=pi5X7KzT!h5p;t|4|tI-j=TX{G7K5104WCNjdrvS zObg`fdcwsKWGg*J_<~M(fQK*W1P46f3sQ>`z999W00l{OLM#ArLBgO-L!eb+-7Vm= z4nQRp)pHJLy(&`90k53xg@-R_<Q|k|K&o(rFK9V0Bz!@N!QmT?(LVt#ZtHGQ0a3<~ z&|bj^DtsAAg}Yl+Kuh6_TU0<x?Z78hq2!U{j*7>?dBpMib=TH^{4J)$bv2N8*ukgM zAlvDnEvhx(9d*q=C48U(TnEk*=%<6t0dL8J#~JA80nko7P$YpiRYT9w25~{cpxrv4 zF(Zt~!g)XtsF)*kU>LNR1Fa=RiY(A#S!gi_$_${z$)LyrsX~jamvvI0rE+_~=?K)d z=zQnf?aM%m?gbO5d%;v{NL=><JPgF&8av!O7#ClF>wK_xVT1R$I~gu2Xq}9cpsIrb zy_0clw3DIYfGuS4bTptvTa8KrXt6D*b&H%;V8M*i(deLdN5j?d8@R6lE&)q~jzfAG z&_)7im<W0#1-SDB?r^xMlz@)H1dVEQ9t5Q%7nKr7zoWph^Vmz!8X1&5|KPPkpzf4} zN9Qx7UO~l2lwJX(iv+6AK;;K~91%&wTGW1riwb!B24ph$xJ~5KqUL@@GaJ+`ftd|r zgSrvOU6ifg&@_OSKEpJC*r3sW&|+pJ_k^SORX|k=Ob>_+(gUhWk@PfUc2`^tzqxdN zX#T+1>Y`Fm!VNi))79|XYi8HZ?=GD`TtJJUgemExAe}mibEyv6sgu;}qrfaj^ij|& zwHOsd9|d&eC1xK5TfQQqp91N~Am=I1PH5?cwVx70#eNFR+lYP&rneFO6p*(`>8DUu zfrBSipgk3EEdZKe0kvpBGkVZU+y~L{0?n#{3Mdl#Dz-G}s~mT2{RZl*e0NlYwZlLe z2h>;jfh*f^5SMKrT?tUuMe1!r`znx#Tm$ZVz}wR3aXAOIuL5e9fjT9ih8U>OAhEAP zo!ABSR#0LWYk#E$OMeBPN3ir)rqH6l0t;hMM*~k7gUV8rwl7FMD2zc8B=%RR6ULyv z2uc`Z?X$Fiuc^XO4q@rDq)^;vLCPzze*HJc@7G*gzw@_Pj>J9-tjGiPM^GXRYrmxh zeD4QZguOh*ORs(l3#i}1Qffq8zXh@khrcy(xOZHzuRB8Sy1+-+YE(2pi;hsbF20=y zVQp%Z`H>r-ZHY)LbzM{>h#22;Q5jbABiO?hv-1KCVR-YPMkN8XMu(`*%M4I$j1<t2 zCO-wUBm>uZQ6RPR5=ngLrGwPY%Mvm=FJ5GHUaH9Kyc`0>JK+&c7Zq$1EReQAjtXLe z1-<cwab*7B>bzjjS(x1yXwCxlenB%Qpj?GM%>pmMVBHs<ZpdP;<{#{3d8oTPEM9{8 z&_wmb`F^8yenC1xtznQ`Kx|NN8Ts61PxRA|arVTCDoC--^T48gF!rAgzGDKdK!;5A zKx$v)9uzbp;YB25wg(iS_<K;G$OQGZK#?he9GQ@5o!2@@kqHrk#SxYf4a(+)klK$# z_qEc9%jLtWuLVyDpw1R*N&xk?peX^AjX)DVpd<iNh}^J5%F>YD7AO^f6k|yRpmC_z z`Y5RYBm_$ZAU0^o3Do063^@^z10gd#AY)Lvzo1?fe0l=Z)fy6AGkBDM`evw60_vP0 zMG0t*2oxnCg*c)F(m4Y~2}m)PC;<)gp+yNu2o#o}SO>8|Q347|M3j&+`-GGeF?w?E zsNR#K;;a+SnOMqt-tg!J_2f{a7u1zQieAtZ6exN@3UNd)=-?cNQc(1Q6oaBS3$@$@ zolJqgYP`e}v?3g|RoJ6<3gj+Iv|FOsF8%)xQUhBx4l?EC(Tk9E&tT4xi)b}2NEDW6 zKx|N=0nO+k63xq!{~%LQw_KN)gY1F01$_7l>f&|&mg<WjP0%gZy^sqUtidi}1zGnJ z#0D{7_<=KoMK1jTbZ!O%0|S(H=m)Rog7X;;K;;9V;t!yF1qi>P3_>$(Koy7SgPE80 z1ENj=Y7R^sW-r47`#&o`Uh+)}*bk+_<}HBfgQ-K;M>Zc4YNV2&X%=jHaEXT}7UgB; zrCTXPhvsD#XXYxX7V8?B#wvtlq$;GPrluq%CTA<8q~;`6DkPRDlw{_nDyWtyWELx^ zrYYnV>nV7GIr(L&MG7SusS3G?6`8rExe8^8Ii;y^HF?D)iFwJX3aYu_<~ZmyRFE4% z>q0>^j1S%m&cML1pbg?$(3#jEdGK@(0|SE;h{M3Z0AAA0z`y`bZww3!kceYokc7&+ z1_k*CSt+EHmQ=<kS0?AAS}CZefZUv!SC*KQnF3NK2qHjw>ma5;^%P_z7N;uYrzvQt zrf7n+<mHzrB&C*=r>5p9=o*_D7#J8RB<7_kK$v<8o(R7&fP3#yb3v2?)NBwNgjGQV z0|NuLFgG@cRZuMk*;t%fqEM0#3OkTJnZ*hqCuHV<gEuiJC%-&3MWHA$FC84bV1+2I zP{3}yAztGX^Auo4gJVul!4YZ`m|LunSd?nTpqj>j>|X^2*P^2QB9x?KYy?R<jwK~U znMtK3sc4=`(Nj?HNlj18fuxXPg|vK7!pSUFNQCQ1EJ_8%7szWVXkG(bsDNstF@77< zh_x{d66$C+GBAollb0Bj7KhT1@&cA-8H6Rl;*iwDz`($)1?Dp_Yl3Oeq0r#yXZ!@_ zGt~ct(9FM}G_<^8RD$q16(RI9RVb|nra_mEg3V!82lK&e_&@@T0$@G^g9exu0*Qg} zGi5NJ;Thz*4hBXp2%nW3LNoF}XjWbb&CCa-`60BT41{Ko1=HZuW<cgT%7OU|4Dt|~ z@iT;G_yVE%A=$E)feFlKROA8aVz3623=9WiAq-tzUGSa_7!4A22!-VI4^TQF9KvUa zfY2MDbV4MAFAxQx4?yXHXb4{+20~we(hac?zCj#>egLH>#6$QF2@pCU2|{P2Kxm0n z2z>%dSENDs8tD-F29)l|fbcCcA@mC<JtGUkPdEz+y@<~cx<F7C<Xr{@AqXvD0iiwi zh=Ii`jzDOQV-VWoB!pgZ1wwP&h0s6lLFkN!5PHLV2rcmuLUVk9&<sByw10rFzl*Da zXI?>Ri2}IbQ?LRT_z;O`V=GW$lmyBTF2MmN7OsX0nTTQzR7ODyQc#tks%H$T2^bju z!vH%2!+&-L23Q(|7z?!zTKJ*bXh^h;<r%4Y3Z=!FdFhA}qgWv{*fria)F(v2RslqL z2KYpxxGf+j6;!T+idMMNl6-~K%#w`MA_YHxKUanPA_bR7KSy6rXFX8hgYqk=7J^}y zAZLYgNL{I5rQqxs6cp*~80_rnr;wbOr;wkQQ>l=Ys!&>-nxX(LtCLHMic<4R6tD(i z8n`$wC`v8M%r7lQs3}$`NG(dsFUkdbP@$weUm+75O^z-uASVWgI)wx|I)^Ca7o-*? zmSpDV>41bmRWF8p#R|#!d1;yHr9}uw`8ql)q*j!q=A|g)7v$!rq$-%JCm9+T7=r7y z5(QXnGGOtqr(dwE4=DCQ!B~>7U|?vVP@Y+mp#Y6vsBms+PDy4#PG&OLc?ud86%_`C z28Nn?3LzPp;2JJ5Pa!iW2V4t)odBxmauX{RiZk-d6;e{mG9fx))oyWSZfOogs3^6# zG^eB(Tmxq$7NwMf>WZY)jKs3c{31O>Fe0VaM2b8W<m#>f^_KzE1IdY{#i_*#rFq$T z`Q>@AdMq)mB(+GPC>5kQKRLOy2;Md-E=^BQEiOq-0p+LC;#6pGflRhlFjN31=;XxW z<ir$EoaZDKrKc(+rlh2TOu&|QkUhk}u!@<1p@A9E1_E!ZWME(j0wrPw1_to0It&a9 zQBZyilm?%_!oa|g1m%MpMGOoK;FClc7#ND6;-yf!97=;XA22X5R6+SQP#QF*2V#P{ zr677Lh+tq~m=2{uBM2boOc24qz%Uz1gT^I6%#|R5fq`K)lwJp=LE}&$Ca4DkqOXDo z1_p-fP#V-71~G4f2nGg*+fW)basgsK1Q84j43D8SXcZ=i2^u#8(O*FX0|Uc%DE$#i ze}>Ya(K8V9Cx~ERU;y2h2WEl_1_lNOCMXT+@q@&LpnOp09>kY|@@1j4Jd_5F$AIK@ zp?rNP4LWrQB<=*|2S91iLEj+pSSUXpN`nsm28ky@`KeGk9ZG}Gd|_Z<D24LNp)`1V z2m=E{EtFpmr9o#vg3N1z@<A6Of%vdX0471jr$XuJP#Sb$5lG!ED1Q!=2HiFU5?=@9 zgKqKx@ee`yN1!z5rXi5{RVe>Dlm^{#1ri5crUjxOLB&DmZi4ur!)8IW6SOk{Iz$%4 z2c0<#qNhT|r$cGbxyK;!nNa?0C=EI}8zjCG%3lqo*FkB}A^ISB(CrH#`YKfXI+O<8 z(*hE|3FY60(hs2YLn!?iN`vp+V_;zT3gv%?(jTGpXDAIiC=z7uPbmL4lm;!C2Z;+n zJ5Lf&S{6#nLum~ttqY~~p|k^(4uH}TP&yV$$3y7^D4hzW)1h<$lrDwR<xsi;O4mZ^ zdMJ%rpMZLohz38nHU-x%ph7V}4{JG|Sqv+PRYAQ1aJRE4wXif3RJ)brD`X^=r9$du zxJgN=FfE3lvNt!eBpFs&1-ZKGfGX<zq7+ciHD4h$ueh`*RiPvU)XU9CRY0nAKy_4( zLV0FRjsnC8P;HA*`+!VK%*jyzmGH@l#i_-54A6QJ($2!x?om+1*T{mlPGD^<a7PK* ziy+;Q?o(okLUBQAa%Ng)GPHI9RnstyNEHU83Wl{~Ky_|XszOR>Dkyjo6>>9+As&Rb zU?A=X#R;f}MvWyz>=mb$lw{_m!|S`E)a3l!+|;}jP>lx)PQ1qClqXgegPKmDinAmi z+$<_i%uR*Vt9lBQ1|lpFKmq0B@9ycW0BZXNBexF0?N(4;`VTsgkb!{#JbA>xK#|pm zKv#gKQsgdf04PwQJ~jlmH$nD`fCvT#2F9u&2F3~jMrj^)4p6xZ+H(r&F)%QI_TMo$ z@d-HcNjUN;G&A=xF}(sY1)TUeK<ZqeX5do?@?Q>A-2qVkbm9|m0vV#<!e`LT(#PD- z#JrK2Pr;E-0xSa>*8puzT>#aS4eIndg7rA@DLC<IIPn=c@>#g>B{Z}5u=TR`vGg;y zF);_R@L4$W8Gz*#oWN!|^1;jk9n1kTjv8ixPVb|ZS+M$!8t#JCX_tu$uN92g!po5_ z0TlM2OE9SAN6?wf)G})ev_7IVyufK6lolBn7`B5P=g23(w3UwoBo3?F(8UdKsQ17j z4y()1&4JZf=;9M_n6m<lIA}}~oR=6F7{Ie`kUR>?P@p_I0hGN!dDM~506c#A25JVl zorR*7xttNE7&_Joy5JoYB*dt70i`tt1_ol(7LcHJ4pc2Memg;e+Al<^1q~u8KnK^r zH>`n6CJ9LS<H%<KDty>_SbHI**MAmJiKGFRg_dECd<h^kQYbV7v@Br-)C_Rj3+@g_ zJ`EQ>hi29uh!d_e@o6}MN=LZ;4j{cxDA20_9S|qS-WUq>&Y(c=6*BdL>M{Y)APfTo z1Grj-<Plh%_Z^l+K<X@rRF^=cx(*`MZGftq49c9S?(0T&-wh(w!6pz0nWq7&M2QHO z0H`|9o$F|AhIPp9tAMHlZSaGtgOyv2$m$kA)q(c#K-IzGV+~9lsBLiqs%{UcuLj8< zj(iF(d;!q5#ac$xwgo5-|3LM0VTNTB%xqAbMTHZjfPvvD$ZX^`2)GOgXlCnyH9zVY z`63+o5}f!locIcy_$r+E8W^4UIvAb!CNMhj&0uumTfpeZw}R1$Zv&$zUqC5pi2-V< zfF`S^K<$f!+6M|4CgvbUPzwy?WRRL2P&ME_yCa`~3!g$W6SFm34ak3YplYsxoR6AT z&cXZ#QpdstQoz7~PaP<Xb)f2ULG>?E7(?4{Oh1r<7*ywg^dvy_fcu`9dYB(FA!!2b z%w=F;m;%)UzP%7RykTv=0OU3wQ#JM`OaQpfdjK`74-}RtVZhvmUgz;}L&AXx>OVw# zm-!d6TG0H51yrpeL<@N0!3Qcf3sn9<{0goOG{EV^0hCUlbwx89YRo&q+o%(uW-J1^ z8=K!JFd}=NxswZ<?->|;7#JAtK+R$TO=e;<s{z%l?_$`@a^wSz&w$#4ptF}j-c1J8 zpV-Vpi5F%sd~NXx$kYsjIH+zSY}P+qW`XM0BT%!z;~vOnA;KNnJvhyT8t$MR$G`yc z8wYq7BLf4to<lYRYno&J&V((fpy@XRYSwp<yReys+Gjb+gUu{x8+-xOtbSbSVF79! zmf=VbAV0o=ngveZ*xZF0e_L_%4FW*puNHiul)%6s38D#`Wy8o9k;a$c2rd{s`2v{F zG4Vyj@+E+ng-oc0rUzdGsBmPe!yYUF44~stc7W_<U|?7ds^<vX?~iUjKQr8ZeI~vL z4{)LF!B^nP7r@kl!(!0lF$3siXa=ryTY#KBnQrq{M1j3iz&x3euOb%0X7a*rLjm&y zM!pJAhC{D3ocLBSy76sbbmH5==*V|~(TVQ_qZ8i+Mo+#11_sb&e<z^s;+6+(mB;8O zyYqcOO((M$`F=2_@ij0x@^vsd@l9az<om$L%*W36gVB+%0nB3x<@>?t1m!Tta`OFP z^nmdUSdn?nAj6_yax*}@1Q@S|iSGxaJ6{8n6VxInz8OqTd<&SI_*O7E@oivo;@iRG z#CL$niSGoH6W;|UC%zj@j(iW8ocLZax$}Ksa^m~J<jB{+?8Mi>?8!HQ*@<rkvlHI} zW+%QC%<g;}n4S1`FnjVHVD{nrz{nH;bu!E{M<mM}`8GiO6^$+Q85kHq<NX5AMH&^L z^o1>5q2;&3?0hR2%b_vjgcLJQd^Z@K_#QAi@x5Sl;`_kpio<0-d<z(ve=+l|V9Z4_ z#T6RAFjE|%rg(x>Gcxk6V2ndj4H9KKi`7@46Plht{iFh_*D(F$$mf8ZW|<8c`8+cC z0v!1woWQvPvnk^WZOVXBC8#Om$hU(L<aZxF2c{mZ&R_tQ89C6&*}KrX7(6}$8p{Ef z)8KX#q<&<&#TQYE!){nJ$`#s-0_6yh-LM?t!xzBRgIdaiYFAL7{teXrN>I@S>g$*D zae(S_7GaP81A`8PVgQLrpoxLzuymken?dRbh9grKwvce;b8x{X4l=)o#^%4FvH3or zKxSZI0H0(_ID9T+3kQ7Rvxdgz^MKbL($4)kQ1hP?mwxt<5<Z~%{S4H6El~a<82-!x zSnGG_ShNIW*#^TikXupO%}keIeFs-i2TKBM5eKNP?*r8XI^h@8SA&f2g3A78@c0DN zLDaTBNKXS9dbU9Ifagz9-L@CzHb*{%EEwAabO{<%4`}f;$Zd$WJ=1cSI#)ggrdSw< zfq?<kY*r8l1psu;+L2G7nTaU|)K~|}*+AvM^VJZ!e2^T-UlC9_@Z2$~8xO*)Ko7qj zsGe6KD^c~Vfa!7MQz(M5LH;@b)$<Nh&lH$CkiWcO90mpk(0u(5sJ{82IswvG0r!_3 zAW_Ba$;jv7#24TMt^_>!9GKFP;?9xJf$2CB9~xFZpg>_@V8{l!1ri>qpzr_*XMhL> z1_md%Tn$JH9L6nBIX}1@lLtr=6pjm^a`QoQs9^#h??4Vmkn$@af`Nenya*WVj#`j$ z3?ZNuy->M+^gQCoXW-6vff3Y6gLW458BwbdM?MZmz6*@i7^0wf@PIA^odz-&#huJe z@V+CmLqWGuGcYhTK=n<;-dAwvYhXsHoK?B8l?tHt5d%X8s0{-(?+mE#56+s<@k&TP z#+`2g6N-8NSh1Ps%C~@t*$`_V$B_?o2{Sm}Y#>X_7$QM&0}f}VU{FAVf*8774nq!9 zPL)9Q8G;5gAZgDDl$ub+GK<*33DA?zp_I=fiZ1|^20c-SH$nCsfSMJEaDz9@4R@e& z-UvBYkQ``C^aoT<6B=KTwumFh0t481D$_F%7dnmP1d4qF29RD8X^?>o44|u@L1hUx zz07|YQ8h#Rh6PZ)0=U|jsC~oZxcY`5ckF?hrHaQ7%!1h6@dK(?8Pqn!OedhBZRB}1 zZyaeM0pt!B=tQ9tXnp}XJ{|esVacq=j1eB7eA58cyBy&M(D)vtzSskvzypW80@Do` z2Ryd11F8?arUPOpxcn-BlwV8>KnV&u4DQNTz_cHU@5ooc<bcEnr6Uf=GDwC+ps0nU z<vF0V0+Lg~Bj*5>!&a`v;E}6<%2gxmD+SpH8n>AOl{<wJCN6xq{Dxc<WAob+sCnpi zf&9iG2XX*rxeV%K%RuD>arJdk(`q5s_Jk{60<$0!xZm#t>DzkpB{2D8D|H<C62Rrk z6sWzR@{9oz7fGP70hQkypmGtQF({;Xht*r|$m6`Od=AVf(JL}9q?mUEFFXc?0|%(z z%)r2q1_};{oe3a2L2@cM<UnPa15^&Y)(klxfzm#FV3?^IG+_-bNgVk&TruhpkXb!c zH0uTx&4O%bW?%r1)#LVKJ~4hQ01aL<P{*u2R5Xi25ft&%aaRZx&00Vuvp{Pv-$2dc z1LYm$c!abK(8mFuGGoi6xH@Ri`Yk{S5{^ef6tW$t`C$byX=M%-&3ZvavrLpho?~F3 zh94WKXx14jnk5067-C>x*a`9~?s%L-OgyGg$t+NRU<1^w<sf&Vw6mEe!@4-g&1_H` z;|WwxBD6k5>ifM#(!-Ph<3Rf}GSJOa*xHsBc;rIx$Q9s`>%k+p0*~Ag9CDyBr3X;C zBcSj?jz_F{o@q8ISsrA*gBr+S1_oM<i7lX^`Jgh9K^@|L1?=+(Xk{YPL2TjU$mhUp z#fD9WfdLdoDNs8=hueeNGm!oQc#Rrl4I9e53)2#8COPs2V4dd#nSTUoKCR*aa%U~= z;<yF0kcokTVLvD;2&d6$*un=_wFC;ECs6Zg6+SMSAcxZ~e3sDId=@Q;`)L*aDNyri z75+zPY`%&%Bz$P){uZeDv<m+xQ1fZ!ei!J(39ZauLSyq;z`H?dmw!`eXg;Xlcm!%b zcpnsU`H9-*E+(d}CIDR--VaLhxXmge#;h1Bnze$8W__WeSq}Q3fMQ^vMtJp5(X1O( zG)o24@MfTn9}B2x)*dRF#Q|N&MU8k2ftm#yZ-cb=U}MXW*#)LJq~TD=h>#1a2xMK- z0xFvIhKgoc7(voKHT>8@MYAqY(JUEb>iIE)ie_!0qFD@}4l)A+1Eui@S~KATH48e_ z!T=hgPk@Sn`|FO-b*fAYz)M*{W2+5NdGN3<c-%DtH0%mqgE0px2TitM^EN=m=7ORI zZr(Jwc^9DazEJsQCMI`~fgtz2fyzaL<QN#hb}*PiILKlmSj052h}mEf3&0|lf<>$X zO$^je>4A#f133w~?#13$-%4sf=nd5TRm7R!NUHfhW*~zZ7-(hw8mRfZK-LfrpNXWp zpT`_zFzwu*Lu2#LK+Ol8w~1w(0eviEKB?iO1Dfn(U|^t?`8`ncX_bE7(Aaz*OWLNN zH8eJ#$BMS@&!MsTXJ~A`4)h=)T9rRNG&cVY)O=dyFCQD)rk^!X^J!83fZ7K<wh;Hj z+ApBxh6+$IaCgd)Pav0%g8?LN0Tl-)IY&N$3O){S`y>D=9ttuF-ahdIw@*Orp#nVe zAU8~a%0nrznM<G?@VP2*GXue9g7h4L%0nq|yXFRz1Es*`yn%9ZQQVdWHV5Q39y^c( z0|PdBkUct3d8jd9dmONcg<uiOfQmt*5FCazP%+qgF{Vt&-rFfqaoGGnQyN5k4G!@m zP;qo~?qCrIRRLe1;`7nhAA!b8V0$V1Igq+zpcTXn3?R1~fKOm#VBmz#B|+9Wf_7*@ zmaJ4FtuAooGhix4;)AB43ZVMIV|}ReSKDFJPat(Opz6S5YN+Z~Bddd*L`g{93nI;f zo#=+oJkW5u1!&_90|Nv2Y#2vS5|RMzL<Q}^4}q+AgY2yV`6UIa7N40Qbv;C?+d_mo z(9(cAP<7zFgYbN_1msu_M?M7xkev*UkTg#yJ~W`}2>BrZs*aF(6;O5f%mWQ?EP$$; z1X`yFY4d{DIf3^zwlOj1!}rgDmnQ~*{B#AXhmafoK-J-M1L%w<74YIF1_tn6c8D7w z``RG=5*I$SePj&Gd>xFANLwCZ`vg4s8W>BlwK^eZK=nZF$wv4UVh?zKzyzeVnM_mo zW-w;(EnxKIo4}X@-HiZZXCsNH^UYv%gQ{UVfwAI-0TTb<MWWETC)9j~k{>mo>SlqG z3#z(Fu>1&GGZg?;cM#+U$oeGM+QtC*y29_^RkbL)L_PTe>iHsk_yThHA~L}HqSE;y z+`x<?z6f`|fLgwYP$(B=k2iF`{t2kP+o9!>BcBAQP-5<5VqOEukB~A9T>e4TzC&NH z2U=nVEC13k_L75#?hK%ZeSpu9g7^=d9-(_cndOkzUok+!I0dQ)UpfPo^*vB^(J1T9 zz<b;SnqgaPdzttmQjvC&F&#$bGb=En3NX1N73APT36w{EK<&ckCXhM>S5Sl#P9Gjn zb@<E!&A}Hy)k%W#9=5!MvVV;EE(>JM9Be<B8$7KSFkis2CbOWJufh$o(TsU1Cu9?{ zCtm@xm@Yy*kCCq;jjw^x5xP0qldqr(A_B7sw9f{VdtiCj4Z6q2lMkE@EZiXBk_OtB zh?EY%<x)Qrb2t}L#mB$^Zhz!J^&AFig_p;Bz~wQhyzYU@pGA@11(pYu*Pwc515}M7 zW;z!`PUj%Ccc5xPXV`<*=_2I`Np!Uw?jTDU7>H48LZn*IxpfIpwczpqIljT`%{L&G zY0RvQ&>)6wWrA(~^5omV$n*f8<UEYh4b+~y1GO7`o*g{AcY?zcRJZ(r%Fly_C9Ew2 zTT9uBoR=N>96)*uKod?33=AQl@(to2aQ+ADX=7rRMy-#)dQzZzKH<=_1B;#+P(3a< z^l+o;0p-;*P(6D<QHfecZ-JH3Aa!4$>b7F4TMJVMN<T85AO#Eznb7b-N<VSP=?7${ z2UIQ6xe?Ivm+1?#nFUaFw?O`axDl$3`5+Tap(CFG$o@G{wUaUJ??AR6)E_wkRSSwE z(AZBN9|!nMsRvMTEvS94IEIzii1>ok4<NsYctQM)uPg(pvw^B>1u2L41+;b>boNXw z%qt*uDNuFz!W*Qn2dWO=*%2VWZh@);pEn8G#}~lI0cz@<fr_tzx(7b*0&1B;>oukT z*tUW?q-p`;cTgWk0K8d%fdNW^+XyO94(KF%XgLD&X9LWip!o8Es(S^BFIby6p&8UB zeh7&#aP<Q|m!JZw_9C*{0I=E<$ZA3Hxdf`#07o1u!t(;cA2*<SU}NVXzrBHqf%~$s z@o&(AbI|!gpfH1qiC_`afQljOwLudDxitVP2D(xlln!C(4O}m@F)?ev%M?ghfa0?S zst2^b8>ELRh>rslo^zn$;Bz*?<(EM-6O%8Wg&UuPC!YaR8Mq{40QV(NK-GfJ2nD5y z3P_*v0aV-{<Uf$OFGTzY7IBdK1;7&r3=H72F(GLhnm?Ih;1=(M#X7`p7pNX|yJMi@ z=ysQ25eM1b0~II5?gLOgu=yLNN{Ih%K*iDR{s0vR?EwRawI3gN?;Zzu^A&0Swt?zF zw<83LI4FPTK*h0?EATydpm?7GRR?aO!P^#)jU83+T_BJ%E)GD|f-bxV<xQ-4ehE0w zL-w+{fwv-f@;Ufn<bP0|DFF5i0|T!4B?G8DI2gh6Rfzc|AE+GiTv7rSu@Wp|9cW^p z<T(c_b`7+*A5zys`a_U@mpk7A)V*Ov?AZENj(iK4A$u9$K+QvUJIF2$aDrf9NX5P{ z$(`>2>iGemd9m9CI%^eVUIf&<Y|!2lY;K>xhO!UsJSTSZCa^)=z5;3<y4ykb(;k7! zT>|wVvDt;ThpvNzuY=i<ZvwL?Ujs99HX}0c846F21G}pln3;{4k+qehXnPOhx$=R| zNCp)<*f1k20|N`gM{siiS^flg-v$eKpBb{a22>n&#xP7S1u71{5)vlJz`&pjO3Vx_ z46rkYVN!dc>S1RL!^Fd(>Ot4cz{Egw6I4CuW*HD4hAp7#VP_D-#6_U$VP_G;#F?S$ zK^I5C#6WZaR6Xo$VvraJD?!zR?qLV<VR!*lJ&X^dr$NO*?Esh<h|Y(KLz{dcAuu+E zibInQSPVq)LG1;PwSokoxEHD(G-L=B0#lVxaZvpV7Jw3)q2k~*#!xX3<qI_*)ZYd1 zVOSQtZ<7Un7B);Aa*RF;1E?<n69ds_K@}$h3j^#7Y>*fTyFu**w^cv_P%Htp7raIc zDh8rBq4t9JFM$N0_yKsoGz$al{7tAZ!%nEZurst_;?YohL38>rF%Z2HD!u{4LBh^Z z_v}Ct12Ki6?g95%Kmt(wN({tcfy_OEm{5Ee>K@S99Z(@Kl?XoTfrSBfRySCXfq}sm z>K;&k6D9_t<)PxB`Uk{^Veok>3@qTbG)xReGc$l1WH26rW`^GqgAjY72)dhqiNPQd zA_hKhje&u|OAaD_0ZrUd8X{f*JuDqoP+XUQiYG(N0k@SI7#P-xLBtI}19q_eRSXOa z2_g{j15k1BSSSMngT4?%ydf204*1+O1_lOg0f@LmIz$|NHX7)BSU!mO1*kaqEHnlN zhCSR6@dKF<_24thKx?_7{#5`E$}=#4&naVIU=V@&w*Yjo8UysqG0+$m)V~4X$p?h^ zeyD#9@*w7e&mLo7U}%N<cLDV9c<}jRpgl-X|4t}|s0W`R#=yWZSr+0>18_r$fdPC* z7Xt%BAumKczzft6XJ7#DNd}!oFAfpEpac;IpM$`_zz{785kH^|5eJ`jz`(%3D-01| z03JYKV1S9gf`(@Uc#|&T%=d@T@N7_nm;*kSfPsNwDG$^fb%;1L`Wa%lAmRehf)ae@ z00RSqoB~9A0#qD)-T(sw!+IHrcma5WGXn$oOaTT4hGa>Icmnv42nGi5xd9-5LH!$` z4Y3z|E&u}qgEG{=AHV~C(7pYjH2~1`lb{Dt52|`WA-)aj-wjZ4X!DF=E!4k|hBX5N zct1ZVeZu?;ZuCO;?1Rz+)W08~;^6)I3=9mv<RR{FFof6(-fs`O8vyEG1!IUfct1S@ z1A{%(zX$9g;^2Mp3=9lnQ2$QwgouOpurn|)yoaWr4`|}eQ2#mvL)1fqm7x^s-+~Z` zICxJuC_JJ5Z3u&igZFzgFfhnN{W~EAA`VR!3^$<p<pA_Beek|)1_lN@Xny%n22l^* zW6i+8a2lE~9I7DV;QiAK3=E5)`Qk%0L>#<78Z=%5_16LD;rrly&Y<{(`m3N0q8=tL z5A~M<^pJj7{C<VzmjX2L4X|<mDh|zN44a|;asVA7$H2e<-qXy$z`z0ZmjL+CSm^pQ z&^RHq9GCzVhuOOs>fZ-YaqymG1_p*osDBwcAnu3Nt2R*o7C^<p`-MU2M-Y;JF7!au zgZKM_u1<pb*P$0x+#70d!d!?rcpV$4JcQaS03JMJU;yteV_;x70xbs`=0ntj_l|+e zE2zB>(8Oo+L)_!A09Ab|G@KWpiE}~2nPDNS`d83$AOTH07FzBdKodU(%`Xl|A?ASB z-!U*Sh(ptZ!!d|BxV_E5!0;00o_i2+@Z2c_1H%%idmNra#KH5TpmW)v@gDF3A`YI5 z0_88LdjwuW#KC<*(6|FM-Y=kuFNcQz1n{5=bd5d(14Aw}`~zO2nqvTq_qV9x524|2 z0J?3G0b$N}X!w6X6F&>}Zvphu2blZIq5d`ah-!Wc)W08~;xP4Vp#I(P303`BDM-8v ze1nL?)R#d0djTpA3m+}0e<ys0s0Yu*F)%Rvg{HTJzYuYlIqRVQRrm)H2hUr9(kIlv z2cY8MacKqyhFMVmPGE$zX~6SH3=9k=Q2z!nL&V>K=8Qn~IMlxm>=1Ei^}tXC^=|<O zL>%V+$x#0qa6-hvb36<T3`S7@PT+%x!_<F;);j_G5OJ8lRzv+OAOI1EsgH!Z{{mDT zJio%g!0-=Nj|4*0gXb$47#QwB^UH#Kh&Xu6n1O*I5bFMf0*E+x%#wkD;U}~nQ7D9n zgU5s!7#KKV?k|Ff!`f*#q3)kh3=s#9_cAar^h4cWPzDhPkL@xrFl0jG%b@}y4j!)s zmD|wxDyV{p!~Dw#^{+uSL>xQ@3p&Rf>fZxUahUt3L;c%O15poaH~xi|0}JXP;;??h zQmB6!njzxgu}205hON+gvjBS83Cul4Q1>%ThNy>?8@*8XT$ln82ajcd>V2qtHY|aN z!_?Qo%-;+V2ag{xFfgP;!}9_3G8CA41!y|l0No=8K2M#2fnh#09t)s*!{FkubO_xO z1rra4#^VPxab9S?+W_5z1XF(j7LU-qH!$&u&~Pw-?lFOhFNenC2Q=|oXgmf$FFOLC zQwwUJK=a)LXvYtHW+wv!!#8NSeE<(OGBCi#cSWJ@p8&o52YkjI0|UbbsCofuNI3xY z27@v*d>qikPebE<LJ&kftp2(Ujh7Elap+JoLnE}_On_dh16w<l4sHKTfQrNFO>JoV zCjnaTz}%k;4W9*2aq##X=>AP;_)LJ-N3gNA3($1+0Zn`{)V~48A?}B%7lZnD1Dg0g zsDA}cpsGIy^=|>1_-bf6ynrTN2u%+LCsEDuhNcGr=%qri@G*n>*8wUH8&`h}4W9z2 zICy;-0|UcMsDC#=#lh>#K<yu>e+6zr?1hQnhvw@7s5orRRy)+c8=&H_agOOw{|elK zm;+Pa0QGMGnz$L%zZ0P1&~6)p2-Lp}w;|@h#JQpVEr5!{%s&bB?*=sS2B?2Opos@V z{cCUsV!jQi(*e383mU%#P;qFtli@AYJrAJbu=ZgaRDA&WFiObTSs<hAp!w(kR2*i` zcBp?1pzR-+cmmX40&gJh0k4Mw^#`HpcEfv!IIQ1&80xQr&k%9&x*kwH0(H-aUl4Kd z8W;u!hK<npN{E4!hv2z$1_p+M(D*Wlg@}Xa%o!LM=0W3&Ar2xAo+oEuU`T<vKOQ0u z?WQu=LBl5@0aaWR>aPijsN&b4@pT{xA`WXm<Ur$PLMlWYHhyynnhpcfAmY&GGD8*A zUmwyT;^6sY1_p*MsJ{ZTAmZRTV+IC>VyM3YpaTHld0_?y22H5H1fcaMXdVR=kL*x? zIn+YT0nZhJ+5^yXX#rFmJXZ)B$AJ1P09p^i%C}-@d>Pb3%z-vT8Qh@$RcM5WgXi%; z7DL@*&<YWUm2Y>U<zxX=9G2g&z}y2Bhn3GWpzgVVChiY)4?`Qoe3&_cQ1=+1i7$eN ze*#n-JTJ$<zz_xv{{`(3bHH<N3=9l@(C}yIfQW<V-az#cH2fbx#i7*?!)|DQA)ynZ z9y-OquoCLu4QS#Ep#Ej(f~bdf?-_!j{x#@^h{Md6hWd8{R2*jhTj)3dLk~nfOgtCr z-vFpM%zPuLe;axs>S6s;PMClDAmT7{9zpvN0Z?(+IB^-&zYCz^F!M8@{=LwTYK|Y& zzY5Uy63l!dsDBfn1putR`^*XH7X(1tQLy^!G_0M2CT<ApM?>3JF!i7_>Vz1W7z)tD zH$v?NB~=gx-`T{#z>onAXV~~ZOxzlpu3$r*u={3qL&Nz1Xss6L%tz3fLJSNH`Ot7S z0Idr`5>JPQGbsK+_JZ&30o{cSO;-ZYvuR=CPod%506nJ?e4h~m1H&O`I46L|j~N(X z>UToJ`2uuc7bac{^{)f;92A(i3DmzEpcx2!#|#4l0~^%851<7Jbhv?GI@G@f(EWul zac`)9Vf*M{;>J+_PJr&Yf{FizrYi;LULBad3!wfD04>l1&BsHk0fs)Pe;c5CKVa%x zq5j<fZ6JW}r(s}Vuz>ov06K626K8<>*8sXk0({Or0|Ub)Xg+d)HmG6ZNl^a=KodSp zTpjA)gkVrCLeI+wm8;NpazPlX_;sj%8=wPhFmtk@{+$5Y_y)UI1hk$Q>fZ^_yP9GB zDPyRAC&WR_hl#U8{W~EZRs01sA5DNxz`(}ak4r=M{Xvw&$yyQY;v1Q;i+8hO7oW(C zUEGruySNSx@k1=w)z9L@E`F68yZAF4?gu3=^f@a~U>xPZZVsrLz@~mC4)>?w5U;{v zem^^QduQPYPeC01ipCKy2{^)23rBc{$zgX-ACB}BheI4R&W$bH{^0O0Xc`Ke`cBXo z87P9#umO(nbi*P38Ao_t$04qWBb=*ns0X!MvAI7Bhx<WytYT9Sx^onpxDk%{EtA3? zUt&1KgK>oaLmcVE7Ki$IIMiq3NLR%;+`kTo_&FTmFO4JoMR3?FjKiJoIK+SANdKT= zMr`p?kHefdIMSg%4)=HBu=fOx@&q*9fXzJ&IKtByM|$vJ#GZaY{U>bZgU%kuCeDK+ zoq+Bn!=`>O4*%}P;T}#L;jkK)y*TonKaO+->aSpP=OG;NwE{={?!yuO5jfJPEe`X$ zaF}0;!@p5D)Z62*7t|id=3he`;d2{@y(e&p@5bRT(0UYX_TGifgET@4dhk6^pgYoG z?SE)TAAIi)0|Ubj=(xcJ=q2Rf`*0W-7;ZwxIUAstkc0250i8Dv9pCr>T`&Y$-@w3N z25rAFKo|Oe?>_;JOTgN1VxSfS0|WRD5e5c^UC{pZ2IxW`=x_<cW2pHJ;KO1W7{GT= zfz(6IpRfetFYp~wpm}+y`3%s@*unQfF)%P#L)(W6&`a6DcRMjKFqlKzhYTAb=D^yM zywLWc0Cb=QeD@Lq1H(h;II6%wh<flnN}zrOw0*eXG(;RWzIp*V?qM(y(vJhLL1bWH zSOy)>X@H7@*BgTRFHrLtmO|8n*Uy30UqR!`;37mEyf%%2fng#v93DU?SYYGl%}{d| z?0|$bY@JRO%so3H;^6aXK<#{}dk&z9--WjG19qXR-wW&4potqm(@z1YzyZxqK!Ste z2DF{e09_aYv-bt8UxOyT1KN*M*o$g!9dtZo1DbdQH2pa2Lsjn#_3s5V@ej~;e!_l; zdRYH`8PvZI(8SxI{!IWaNQ9kl!oa}r2HLNAfF?c#>feNesOB$$`u72vcrVny3D604 zn0uU|{(XQZt_byS!eLbNC7}L&fF}M8n$9Oc$CJTlf-o>JyoILo0_a3KcrP~t1A`XS zzY3=z=EKDGq3*l@6$kHKXJBBs0?l6&&Y-H#frhigSyb^hXt;fVii7uHGcYhHLBr?3 zIf#0gct6bi^AK^EdrF}0cYt103={W-mU|!2#B-qK!vg348%(_dblfB05~}%ZP<t6J zql&MAmWLbA#Fe4>F5wD9JuG~fq4`(gDyn!UG`~E6io@K)3N?SiHHdncxH>eSI$Vc{ z!@`Fj8ove5`4?C@a1*NDKn9{7CO!q~&JSqf)1mI%APZ3szI%s(f#E*Xog3s(#TlUC zyg?pSJQi9mZGbM&1m95uN^j8gya3b?0;MyEHimSldp1DVOTg9-g3i7MjXMQEC$eDT zd!ga;0ZlvwT3<|nF7Sa?8w^rV_b7laBS%`#b{FcN4QS%?p!v&TBE*3(bGAV9*9A23 zE@(I>OhQ$!1a;2`s5tnnYz78~r_g-FFdd>EeD*T~1H){ndoG}fmqOjMU<RuCZm4?- zW}=FFLfzvq3sw9bw0skojVgW)n%^Hl#lhz^gRXvq#`}Uf5cM$em(cP}VG%?ev=<Rn z)c=I$-w#l6Sb94N_3wto5cM$e5U76(mO#Y8=jJjnFi1khC#;5ugU`BUU|{funzLXH zL>y+$2WUP`Sc@ut7Md><)<MK!^ZrMm<;DZ3ILw?5XnD0@Jw!cB+#VWk2^&zw?V$cu z*a#7ana>7w=L4uX%$(IwcP`ijQ4bTJ33X?}W>oPBP<JY9fr!J*cZRz20aP4j4mZ@D z3${Yk!^H1G$K?{Xp^DqV%tvcKK!;BmK*O+kj0_Ba{zCw4eJw~Fv_=UgE(|diQ$3P6 zvU&+fGX_Kb3aB`U0!_6;hc6j+fCLyAz{AEMaoD=-Q&4e`dy<jd`2<NE*<MEIdJ~X( z(A*l#{T4{#pe1uK@lYgjWc58r;>hY(B8elbe}E*8to|>OII?;ZkOLV&Yx)@&kktnw zi6g7;KoUn*zZ6LvS^XU(ab)#Bk;IYJ8$ie9LDI<TeUZeG)wdvtBdfoQB#xY}UV-ko z#S*`apmVgL;gEzBzsAso^C0*5BZ*r>#X->z+Ij+u$8scb<n+@G6$hDvoPO3LiF+fN ze*;Mz+1>|GaddlwLH8y>-H)t39x9Hmz70tn<W5-np8*v|cjpo$ab)v1K*iC`zm6mh zG9Q+YUP8su&G&`QyMV-z<0}ew7ci&}2lZP(g+FNhGgKU;9yz`igYKik5)P}O;vjc| z${bjHJx3BpcIR)XILLhD_>za-MGF!~jxP@+apdp`fQqBrdl)JXG6z}xd8jzL`fo_$ zAa}yzivyJSp#DX6rwEcbviS;7adh+Dk;Fmf!{RFvDh_fdviW<F#F69c6h9<_K;|Qx z^AIWyG6y-nghBT>LEVq+PHCt($Q;mC30QoEBZ(uMpA8iUnUCzwek5_^_}YOaj%@D% zs5rX4#^8M!ka7}Py**SMU40soILMu__^N=4qr0;ONgUby2~cr#^S2|3gUpA;*GZ^2 zy7{`GL=O#T<oL1z1umBIFc2yZG6y-nnxS_!g2a*C*$ov3xf3)O0E@5VNaD!uybTox znS&f(|B=Lz<4Xg)j|MaR4WQ!a_AZ8sgUm;E=X$6(y83HK;vjdz;_C%e9Apl%J0bg< zFx|rdo%cmIUmZytWIimuETQ7)=FdeEM~<)c(2YtU^O4Q@4;2TQgB)M|(EH**;>h7J z9V!ko2Xs{hEWR!yi6fi;94Zbn2RR(Lq4%+Y#F66*G>;D|2|(h=_S!(zqubjK6$hzD zHh&9}II=khpyKG}JVz1-xgQpfKcV92=CeZQ<3Zxc<_ki_(aneKAH-}QdO_8L%t3a4 zEs{8LJa&Qhmtx6x3!&m5bCBclGIXIkNF3Rnx1r)7cY?0dfW@OYC=+5aUmGe8G6&h6 z{z&4;@mPT*j%;rOR2<#j&rorY`N-=3L&ee6t3lU2fW(pG%K|D6G6&h6E=c0Y<_AE< zLFOQbLphQ-$b4A7>x7D<oBtL`967#zLGPdfnU8FaAar3Q$Q<POa)#b%1QJJfr#Dm_ zWDaQ09xT4vk;IYBpA8iUnS&e-`;o+v<Ld>III_JTpyKHECWAJ(L+b@(_4!b7boJAa z#6j+a<*yY`addZXK@vwc{{U1R-TdcB;vn;3@%0lbj&6P|bbSm+967$SK!qBX^xp^- z2dPJnug#!DgC!hxL&ZVv1YuZweMb^Ub|*I|QlaJ`$Co~mIC6YNAc-S~PXbgNWG4v2 z?7a*X2e}_v{e7r7NG%A%)U!d?mxIJW?u5mc1XLVk4zfE{ki?PAH-L(R%mHDT`Qb?7 zAoF4Il?fF`H$M@i2pT@wNc|l}==yb#dSvm3IK&r17aXFiPlT>(M;BLwu3twNe~3eT zA#{Bey82^C;>hXk4^$i!HXtv;!a)$a9t&g+ayWlO5=S=205p+_CEaR3*KeVl(}pCD zY|a6wIJ$dwK+j-DH%AP5#yChE*_;5VIJ!9=(Dh*;^~nBOh9r(`&I718x;ZzXXJezA zV+LJk1`<a$2X+=Ux;c$V>XF@(22Jqj=FEYLqnoo4Dh^VQY|b?3IyR6vvU|=z#nH`q z2o(pZM>Yp`)-OmL*__YN^>8qAK=Z7i_R}w@I7mHmd1Vbf6Bi_ooKHic;xKcd%itJd zpyD9)$mT3U5=S1tx(5}9nFDjrQ>Zw)IU>*n?I3Yv_vqpfk3tehwznCF_z@&=Wb=cd z3-ZzJ&Bh@<6-gY~-UB$q8KGycqMI*<L)-{S9JDnRR!;Ik&oPCCC#-x>hKhsCM@}b( zP;r=gSopU=#X;(k<8eLIK$v<cm*EJM0aA}_z69tTKcsR7mQJ{!>n~yEz{EwM;vjR7 z?KMRbM-ESCs5s0VnEU;q;vjR7)x*xv1c@W(i_K8==;rK#ii6Z6yXQBOIC44>g`OP= zGY94`8K^kAIY~(3$nKm66^EGv^Vd?SIJ!Akki?PQ^A?A=6m;SqWDc^u&N#$dki?PA z7lNMG2r>s*TpNdYD3Um`y$v|T4<d;pn|}?5_$MTB<a}`sde$K<JfX>z;W1Pk<bLFI z`w=P*Qx6M&H|UvwAoa-UAs?y$rXFT~3sfAW9@+dGP;r=gSUNccJ&z7u{0dYYWDc^u zUy;O-!;=}hju~bS)H()!s5r<RWc6N1;>h{p7E~N&4$NQgq2eHOkp1-!Dh^W*vo{TN z=n+ym05hi=DvoZ>C8#*e99a0=go=aIBZos2bbU5R9NGP^pyDudVD|opii6Z6yPpqw zAOlQ2%>8Acg-}@B-whQ<H|G&l9A*y8{V$>7Aoa-Z&w?rdi6guJ7gQW(4$S@h(2a*6 z^~mm*gNnn{!`$Bn-QWWghnX`QDvoZ>C#X0~J=7TtKcV6v^~mn8g06Q5i6gt83%YRz zW)93=d8jx@J+k}tpyDv~F!xV`Zrp>3!^~L?6-PIR3A)Z6rXJ>gPN+CYJ+k||ki?PQ zFBJ}I9bt~c=tIRp<{-P@4k`|_7v}zD(2ayJahUseL&ed}5rXaqfT@SMUlJ+~QjhHZ zSxDl@?$?UK?tXiyILI7i_xnM`VfMn@zYV%^1||-3|7oZ=x;aYFeG4%4F!yUh#X;(k z-M<(~9NGPMk;IYJi$V84pqmqlLwqrkIEwj5;wa`r_fcS&k3)Phk~oU_Na85wL-%7~ zn2$qzF_Jin`AFg@=0o>&V3?0Xd@+(ZviUEO#F5Q+hMva+G9OueCz3d_`o~D($m%tr z2l#-@K~~?4B#x|pFOoR2`foVIO`+#NVVI93j%@xWs5mU$!qWL0s5rVgZqRe1K;p>e zq(H?%=76T8VD0l9s5nS{3DUSk6OuS`y?hx-9NGMrNaDFj=KO<-gX{&34?v3-24Uzq zRv>$k^ScIA9Ht(Y->snH=;~)6i6gs57J5(&$Q;nRU6_B>pyD8Nko_A26$hzDc4r|} z9HbuEo%K+0n0lBy??T1V)xU;{!_>pd9|q|8T<Ge9k;IYxm5C&d9L^Jv#F5?k8c7`4 zoCVO0)gXKQkm73tR2<|^(3Bu7+#Vo_gQnVG;xD1%AajtzlNoxx7)Tu1d~T>Xy7}fv z;-K({8q1Im6$hCE+B*QV_ac%wvcF0|8&{y?Y{>a>K9V@{IOJy}apd+qKXk)5$X?`j zyCIS|vc2b##F6c_fu5TNGA95joFkCLk<D*L5=S=w0#qCnZlLgirJqMoagh1Q>p;Fk z#bN4U>5~V#!5^d^Ih`aUi6h&)0V)nN2WIafs5r<RWP7he#bN4U_P&9NgVZD2>j6C{ z4kV6j?_{Vrx;ZPL;vn_N=IlcfM_woM1S*bh&QGW~$Q)$%vqI0O1BoM>V}>M-tlk?c zj&6Pvnm8=nra;9(<|Dg*2a-5)I6N#wZ7;#X^BYtg-5j1Gh<cDXiu<AB=<f7}ii6Z6 z`|CWCII=km&~y6G)r&&K(am>46NiOE2vi(o4stlGMG{92hdWSlbn_oW#X;sE=esM= z1NT7Ug-H1>t_%@A$l{LB^ITx&!`xX2JI@8W4hJS)fhG=%mkCgDki8X1_Fh5~M;_-d zg>IZjx3>mO9A^F$s5rX$*O0`K&6kBPbO)(N7WYIFM-Jz3s5s0$u=YkGR2*auayS>^ z5O2mIJ_U#PQXJyjaEKqrA$|jg_)8q(zi^0i*C5gfvVUc8i0k4Ix5FXsk3&2bDh>;O zSiI*z#X;eZ+#YMfAwC&N9NGO#aENckA$|;p_;noOFK~$e#39aAi#?pBafoX|#bM#| z1)`n76e^A$K5j_j$l(x<Lp%eAcqI<;E*#=BpyDuh!rHw{pyKH6+yoVesfX<gI0zL- zSAQLc_zNU)WdHueA<k6?2|$qf$m*qWi0j}Gx5XjuheJFbhj=rRIC45)fkXT-4)L2f z#NXo(XRSws1G2r+IK&Nch`ZwukHsNgj6=K=hxl};I4qq&r|lRPLdDVJaT5;l!#KpR z;1GX`L;M>KadzlI*XZt%z#*=QL);HZ964T6aEMpo5bs73M^5K+aEPzPA-)fX_<0=S z?~ufi-OmEuFA54DWN}d>ab$ODBZ(uccf=tcgd~n^PBISh5**^KIK*coi6gsb4U#yr zy*rS^Baqrff1u*%>6xPmksp!UpHfh9boFXbaZq@Ij_`%`6ZN6u=<1`<#NqWUR2*au zvcIaK;xKby?V?>!adh>D&;uSp;>hmzMiNJM=N=?+XQc3Xg+u&CHza&u?tzs9PoU!H z_I`tkqpN3zZoGnt!~De$6-PHm5h{+ZUKc73QjhE}GpIOBJ<Of<XyPz?xqBi00-1vx z{#$T}7feK`M-J!r&;w*Z<{*npPsOg@4M`l?{eP!H)Wh5lb0_C?h&a0W3Q%!$^*T^- zka}eEU7_MI^|0^>go=aIBfCEXDvqwc1S$?vk8FMeR2-%r=Ke6~Mn8~xWb@0B#F5RJ z4i$%)19NBPOzhz>4M`l?94F|(wjg_v$A!G0;vn-uOQB);w;C!AQjhG;8A#&D<{zAm z-93$<i$|d2LdfRKLlQ?e=Q5Hw^0?3kBynW-_ks?NftrsjJ{2ks@)u}HHOyaIpyD8Z zA*b_WNaFcO<-j?pILI8(5^0z@%+L!TK;|I(O94q7*<WXn#F5=;wh$3+$m01(;>hl7 zL=s1KXD?J7<WA7iY?wQzB8h{pjDU%sf{LTN|2dL4vip}p4?G8{M|P*#V(jjBfr_KM zrx+>@G6&gTH;}}U&Ci6Mg8)*GEbh1zVJ~vIoq{BeY`*w1gnDH0qd3GXpa-#n>_t|u z1HI4#UHlpj@r}^)8bIoi-FXE`9NGMK=!R&JdSr3y)!5zt5{LL4=z)#s<^-(8Zq6?p z;@6?)N}!w5wH~`UcF+q<(A7W1A-)lMJ_SfUO86j&BZou#ChYcVLN|n>o8yTjj%?0n z9O8!1b1^{X6d;uYu}I>`<{Uv1N6wD|&;zAG<{+z=MG{9=pM)fitiBXU99jJz=)u$= z^O4IRS?EE^AaUgU`w}V+%8#INY}omD^Pn4_LF$q172l0LoIgMh07qB9c`rmgy7`Lx zAmZricS1M(qKjXI9*BxAZUQ}*1SF0eFS$tK$l=h5B#s;oTam<(&AEysj$F?09YVMt z*?cV|ab)ugq2jP`fYsMkXyUN;KpRvX<S*p#pNu4q?9Ta6agh0-Ei$n3d^wUhXzK|~ z{0&qbWInRLzCgu6=76@0z|<>456%UtNA|Bhk~p$|=OKwBtKWqrj;x*mx}hFqKC*i( zk;IYB2}Ke|Hs=|VI4CW{+|LL-Z~$aJa=7tA#X<fBZFzyImxqdj)cYdkcLOAGWOHnw z;vjQCTVY`4_(8?d&54DIgVZD2TMQLPSKo;wj+`Fm;}GA9L;N%j@taU_(6-n%B>#Sa zii6w}gCwqS43Ykk!`T{1965a4q2lQNjf9GW%tsFAEF^JcbIPFNAajtzxdSSWZq7U; zapZ8@f<yci4)GgMaZvcQB89_es5r=8<nWO`jtC#n-A%Ccpo}CAy7C4lo`NKfoX#7N z#F5jvAM_v;kiE$DV;+(?vVRxi5I>A0j%?0jBynVOq)#IJg)E)`6$izO7SjIce5g3c zoyh8&aEQ;qA-)oa_#PbMzj270p2F__d>rB{afqKr5=RcV*GS^X{{0CRhlLNU{AYrm zpM@SiDoEnU=DQ$?Bb(oVL;N6;IC4I^fkRyE3?h7x)obApFGUhZPPdbh#F5jj9Q6D( zkbjZYTOo-ftDlJ^jvNkGki?PQnQ#uf``_ab{|gm|#fuH}{0^1#2y>9vIoKhIha;5( z-bmue?%9kaj_ltHNaD!;Jp#Rm59S_NI=KK9M-R8>P;qqipP}L)^~mP)Uqtu|x!k^v zB#xY}o<YT7=ELS&KS9Mo<|C{B3l#^Ilb|DmVC9>{C4{}m<)jOeIC44ZgCvezPL@E$ zVeWy2&k;0n2Z(hH7og%GcOr-XW2iXH9GLp|P;roY<Z$}|6-QTZ3B9NeCJu9tD^wh0 z4zj%wP;qqiDNu2cdSrWZq2lQ3_u>#g2^9yKgKX~|s5rVgFQDQe^~m;qgo>l9Uw8!) zupsrIGe2SRdk#q)+1{5(;>hMlLND3_nS-pp3`rbW{Wc_V<Z|Ezk~p$C%-0a^L^h`t zNgP@I0wi%{^@`Ua=AegzE>s-d{SHuZboD+^agcgs^FyKH=<1iEiNn(8MyNQ*9Ax(& zfr`V-fvLX$6$hzDw)ZAf99?}J^uhv=dSvsfk;IYBxdau5nFCAz_n_kF=6r;TqpSZ5 z6$hzDHlOVl_VAa7p4SOdkL*qxBynVOCPKww_QJwvE>s-doGnmsboB>th<|~KgUmrL z|Jk7jUBb-CfYvW^P;roY<aI9_ki?PAQMwBW2atMXaRaD0%zTg>NM$%w9Hbt({whQg zN3Or>ki<b|!1DD$9O50&0~JB$BZvP|Byr^MzkVO&D#$q%$m+i!i6g7mfnL-MG9S5~ z@<tLzu6LrK;;?Xl1|vfnR2*auvid@(IC?$R0~H6UN3N%KAc-T_QwNa5k?SeehuHnA zgd~pa-y|e)<nnDgk~ng>Z9x)8Hb>wQ!d_%^T#>|)%}GKMM>b~xR2&wbu>5ijDh>)C z<no^tdQL7#9NBy&BynW(mm!HG$FI>7g!_@xp*NB^ay%yD5YK^%gW|Utsh!pW6$iN! zIeyn8i6h7Fb|i7+`2B(;j_hB7rwI2W`!^Cv9NE85k;IYx%Lu(N7vxT4|BB-fSAvRz z{M&-$Ut6d+$Q)$<rXq<W`!^R!9NE9uki?Pw`w2-L*}sL*1294MBB#TnIK;o<5NC&; zvy7e&ouT3&^O4hG0g^bfJ0~ECBfC@lB_h6%!(S6B4zm|lZree{LG~h-+n15Vk<FO^ zy*L=89$9=IR2*hLNDkzZqfl{>dgOBZK9V?cxxM{0NEc?gdKpO^*}u!)U{`+tNgP?d z=3DIQosq<m)psL_BZvP=BynW*3!oP$gZzuEeh-p3vig+w*xl2BB#x{;_yczJ`AFi( z>g_&aS09BWj;wwiR2&wMuyXP)R2<|l<n+k_y%-22j+`%Sk;IYJ&qWeP4xgP!;>hk{ z_=es6GDzac>ZgGY;)l+YBAdSnNgP>y)KBc@mmrBFtKWbm4oc&&c17$j?B<jsi6fg+ z@Ef5XIoy^)#nHomHB=lF&dA~U9V(8lUJSax52PM>ov=NUICA(zBZ(usvmHqs*}uP# z#A}esXU4w}f5F@XJ>HZ-1}YA659lgtShy)e#bN59*F!QmL&ee6=OT$CyT2Vt9NGPh z{~+!GnS-oe8c7^ky*>0IA&`3HaH~fWM>c0Rk~p$C($I^uK;|H;H$@UhR=*KR963Ea zgNnn#2bLe-K*d4kBd3RCMo5DkB#vxvJ(4)Gy<3pPk?U~>CWJZ2@g9jJj$DuD;}EZc zii7HL<aWy>s5r=-$o2SMByr?={3wz*ay`z?jBqEif7Ox1k^NhYB#!Lg-$>%f{uN<C zn2+pVEga&eP;rodk=rf7P;qqs)**=_`?n2A9NE9ptO)lY`_~*v9NE8eYzXzp>B=96 z_-d#)EWN?{zZcQOVd+p6dayIdU&!e&0xAwO2e$q#9!(s2%sN9aR2*cE4^nw@97!BG zd>$i-Bgcyx^u7v^Imq#tjYIqik~s1@obO2D$maY<5=T~V0lnxPWG`~Ogdm9{$4di} zII{UCk;IYB|A8cqY`zE=B7Tw0cR&(HHs1qD9NGK;s5mITKu5K~%I9XNIJ&>)BZ(v1 z`x!|b*`31N*xlKPB#x|pE|NI1`D>8Gk^QB>gD@Z290w$EWOG&^i6g5&f+UWt{yma7 za=3kmii5%pbd((|+|+onyT=Jh9NGLlBynVal_7~E+gk?}2iXfcDi3DwMyNP?e4RxS zN48gm54$@Zki?PI_accShucadab)#(ki?PwWyp`P7g@Xqhxi;E;*X)?pl|@q(?PHG zWl$GDn2+4=@P&%Q)Wgc9NT@h^xMf4d(bbnj#X;(k{o4Q)M_0cZDh^VQ?BC5u;>hv4 z8!8Sm2Xw?BEPmfX#X;sEhd-Af!oSGwF^7tS%mIZD%)f3>agaI4<!2C79Ht&NKT-x2 zM_1p3B#!L<sYv3;{yl;uj;vl<2;qKYaXln)WOMwW;vjc|jxdCU&qSy=$eqaMEI|@S zHs=sj9ApmY$U~Sp*1`z)Acu1(k~nfWvx*?pBbzUZL)-)^4zd>%FV-Li0|P@2R2<}f z<aApO6$dE;sfWd58&n*m9yuPTL&ah0q1V1LY=erUt3QM!jvQ`Rk;IYx#UhIEFS7b* z9O5&O#F71V2`UbA=X4N*fq~&OR2<|^Wc5POd#6CkK;ZyupU6SQLF$p?%K$2luHFVJ z4pNUCkFHR0boGm%;vn_N;jj~j_%|HlT;hmuLpG-jhj<$f@o6~3SK$!f4;6>`3wk{j z0~7SVE|9;F!&x3l9C>`k4k`{a2NwQbP;rns$l)IY6-QT}0Tl<SM-Kl&s5rX%%TRHU zdgO5XfJ2-^5_>o+;ShJiAs&Q7JROI40}k<(P;r>Q#Gv;^yn%{?{DmC;oKgt)BZt2R zR2*gwtQ~Fw6$hDv9R6-ladh=TP;roY<nWJ%ileJ%hTcmAQjfgPR|hJNuHFJFj;=li zDvqu`11gTLegl#?vO7;Bi6i^>F_JiPe?b6xPZG%dc%=SC0g^bf`Q1q3$mTCb5=S=w z0+Kkg`3BH?m_YU-o9~Szj%<E1k~p&Y6OhD_&HsQTj%+?R^qwb>y~yTkA&D0wg@ZGa zxCWAV7?L=$dkT=mi;&dML=s1FCz3ddJE8YZVYm}X9L1eT;wbJ!5=U_-k~oSxk;GBl zi6oBVPUt;Y816(8M{y^TIEp)w#8KRdB#z=vBykjXB8j886M8QfhC7kOk=^NtB#!K! zXe4oD_p~61BfIAel6V7BdU${&Zh$2I28Z|$9O5iWi1HBG9045SGC0IFaEP1W5O=^K z?t?=-9ZB2@$-j+A;*Ch+laR!<k;E4ui6g5&h9r(`{&OU8GbD3<B8h|6g2CFiJj#gh zL{@K#ByNXfjsuc7vieLUab)%7NaD!qS0RZbtG|yVj;vlq1>sJ0B==Y#iK`)rr{NH9 zLJ~)|cR!Livc3P1#F5R{SH<ogHzaXn^+`zL$o5W05=XXoC6YLDeC<IJM^=9qhxkVv z;zA(DLFY%1%~8W4?vF#f7)cz(Ur6G}=C4E&NAVYuII?<XP-J6qr#_OnEmFK&A&DcK zlZQimBM$L@NaD!;QUn#^SlrWyB#x|pIg&WCdv+p;BZtEoBynW*44R1ahAb|EL);XH zcqEcIvOC+6#F5RPi6oBf&J{@F$m(z65dVckTuTePzrv8jk>j@<NgUbUP9$+;cg{f) zM^=9Uhxi{Pab$bhL4`7wc-O!oo{vMk5lI}`ol}s+k==O*hqx$c(gKTn%#p+`kn+V! zByme5@$*RH$o{>HB#!JJ0bN9VA*)wK5=T}aiX@J#J^@J_S$z|dII{X)BynW*>u`u4 z#UcJ0NgO%-GwLDyg=~H}k~p$^3X#N-)z3o`M>hW;k~p&ZUr6GPNbw@BkKH|*NaD!p z#{x+l+5AWx;**iYk^Qw1NgUan`$*!*{t^W>!my-6btG|QcUmEdBby(KL%bP>_*o=z zW2A8Vh9qu|Brao!2v21HDkF)5vOcU`<cK7W?9OT=ab$C*BZ(ucUx_4+91eSs#F5oM zMG{92=MPBY$m%7H5dK0|uYx3wtlk$%99ew?k~p&ZZX|K!^f?Vl99jKIBynW**OA1L z)pLO&51M|E-Q$iVj;y{6NgP>yBa%3>`i)59$m;hXi6g6jfFzEr{xy;~vU+|K?BOqk zB#x}!7fBr1{0Jm*Wc4*j;>haTk;IYJuSOC_R=*8N99jJ>BynW*Pm#ot)w7!-{EI9e zk3+l=NgUby8YFRKb0**rUxh<_50W^t`Dc;Dk<Gu4B#x~96_Plz`oBox$m%&jilFHh z#DtX_`XCM#@j@Kp%}C<N=1)Nq2QgvhuLE(gn129C9NC=HNa7$S%$$254%8fE^)Hdc zK}?u>K2Tu_RgWwlheNywhxjBM;!Balk=?TaNgUbUOE|=pK#d+O?li+8o{B@f0!bX% zJ<Uks$nIH+L;NcaaZXSp42wH8afsU>i6gtm9Z4M7JvlhU_uvq}fJ6KP4smACpaazX z$nN1o5=VBAGY;`9NaCO(2bSJAt+AIg@<`&y_Ua;uBioyZL%bYG9NC;!BynVOW+RCs zt6zyEj;ww^k~p&Zvq<8|>Ptb5188_6hl2>Hk%2{A4M`l?92+EYWP81k#F5o!;}CB| z5=S<tA4we990iafEbcT#5=U0=jU*0Y!s7iph=awPS4iT>=5W|!PbYFn;vlUs^EHvg zk<GD35=U0=g(QxwJ^@J_S$zSLII{XlIK)>Vi6fiy97!D699aj1f05N|B8elb_lAnY z=2>9jkN_12jSnNMUyDQh5DsxIP$LgZe1#*4Bb#4<L;N<9ICA=z1r0_+%||vT5Qq3F zBymvH4fF2<BynVOe&7(-1uc@pV((NWab$NMLJ~(d|27VBHy1=aBAZi)B#vy(Djed6 zaENQUVmCh=NgUby930|Paft82A$|)<965bHLlQ@}*Ut^RJ0~HDBdcGIL!93oyE!&U z;>hL%;t=17B#s;}_mISq&H02woCUN95}JOH!$Ap29N8R49O7v>#H(?L&%h!6A4wcJ zUkG|4!VTFy+Bn4haEPbj5MPKx{3((+DC@)WDWey5_qZa7Bdae#5=TB?dLoiI^8E$N zki?Pw+XGr`3XLyh@ySqeSowJYRA4eNFsy)zgSg1**F(i&>N}wG`$wSS=;}{H#bN3v zK-E8hileK44i$%~p8-|>2P%%Po*8ub2-5vc3!v)x(Zpf)szAlj&C!O6!|dGvHOCxH z9OfP$s5rVg!BBCSIR~KT#G{GB+*tw@M>nS$Dh@N}1k{{%G;x?a=Rn2L%~=c;hnaH& zYR-BzahN-gK*iC`ISmzunezZ@&UG|#m^<G<#nH|A3>Al&^8#wle>8EJJ4HZ;3SmjN z(ok`jIUk_rsH2I)+-U<9M|Y1iR2*i`52!i*XyPz;ra;Bf&B=y}!^~j-DPUk=C`S{A zxw8i<4pN4kza~S)Vdijv6fiI_%tsT4xpNCt9Hb1{oZV1ym^lI<1q=)f$I--L?z{sP z2Ps1~=P^_qW{v_#0RscWdo*#FJ6S-B<gmmSH&h&EjseshaWrw5J9VJqAZ5tzF@}o6 z%&`C|U|?XdM-zv+GXyFQQig0!G*ldBjt58q0|P@knmEjzHBfPoGGud_q2e%e0ze8F z7#RA|#9{7S0u=`-LpEnMR2*hb1V{k`1H*PSahN;LK*d4Ikj=Ra6^EIV08+rfz;GW; z9Olk1P;rnlWOIH)#bM@TfD|w=FtCFzUqLEwVeXWHii4CPo1+XBhnZ6VQoz8#ppPaF zbEgYb9Hb1{9B-&N%$y340tN<#a5Qn4J9D7oAZ5tr6hp;f<}`p5FfcIGqlv@ZIRz>X zQig2KY^XTQoDPrz1_p-ZXyPz;?tzMflp&jQ7%C1kX97q80|Uc(G;x?apFqVy%8<=@ z4Hbu(vjC)kfq~&WnmEjzJb~ETA;M5`m^mw;=E$Ro!`x{I6$dFpc8>*A9A?f2kOBq< z21hh;m^*`^;vi+n=0rioVdm@rDPUk=NJbNfxw8~14pN3}P7PEXX3hbS0tN<#Ry1*# zJEud%LCTQLnFkeznR5c9fPsNwDVjKJz2XI^I7k_&i3)26zD5#9Ubm(Zgoqd9dt@At z#F5>z3WxY9s5s1>H$V<xU|_fl6$f#V*EeznBkV;sUkfS@GY7UF+Z-y6ZcZYSIC6Wn z9Z4M7{EaxouONwonzXQRdx9jMhBW{614$g&d`HlxGA#9xKU5s%uLmHHFfcGgLd8K` zWb-E?i6i@K9g;Y5JNz(`II?@rhGGxrmq_Bs=|LT|=nd+A<n&;TB#vzUawKtNciun} zM^>*2T67CFA6eWADh>-D=r%nDf2cSpe3FsEKM_eB*_?c+ILsVa`YD5ogUmq==ME%s zWOL?1#nH{#1QiFFgY2FwNaD!myo8Fw%y|LQ$iTqB3_4678V<<eEQ%zKY>pOG9A*y8 zUye|5kg>?-1S5$fo09<*M>nSyDvoYWKax1IIdh@n=;kbkii6BS4(FXn;>hOAj>Mim zS3<>M=EL^OY=(-Xn|~BZ9NGLoIK-8ru-og2Lp&TR4s*{6XuCZRDh_fFvb|Gqi0{TB zeiAB<ZvF$PIJ)_4(b(Oui$mNRDvoY`5L6u9{1P1EvvG*8go>k^e;6tbG9Nj=TtyN` z4mT;#re!So!WKy!S-m$@9A+<U-$W`@9Npe>BynVO_9BTR=cDsT;>hanLd9YB!qVp# zs5r=8WOLX+g)G!x$mWPZ#nH{tgo>k^<A)@UY)%Od@!2@US3<>M_QKNhUZ^<8US#u6 zL&ah0p~u=VJcWvb)Fa35cO-FSb8O=f=@vPBN|3~n)$hU~egY~Ea}O;1&qKvQ?m;ep z9wLb&n=b-dY>g#eq@m*I=Bq-*(akqU5=S<_6o>da9O8SR;xKzJK+BmYP;rpG$mV~7 zio?{y(mziEBAk)qMGi?E*_;$4apZWJg(Qxweg#w<W-s(u7=}GiaddZ{f{Me`!{X~A zR2-xpIldkvi6h%91KNy-CBC$v;xO}J;bsRF2bqs-&J-kZ<nURBB#x|p3sf9tz68iX z1_p)`P;n3!S^YJrI86NxXgt1xileLl0ToAAF9f=b2kKvBcPb-^BikE_Lp&KO4l^GX z{uNMhkb98hy$eYk+58Jg;>hYBA&Dca{{R(7x0fB{8K}RI&5=hEM>eMuhxi1jILv%l zyJ!|v9ON(LbhQRa9NGL!IK=f+u;(L3BynVOJfPy}?g@g5qq`>sNgUanBB(gb99aKu zBUBt@4sv_@D3Um`IhUd0Fmqt->ibY}kU5~F2kY;AK@vwchY1u(NaHXt_XtA8(aq6B z5=S=211b)456qkps5r<RP}Ko*Pa0GlU40Q$9Hbu9)qttrh$Ieba>B%)BZ(u2n=~kK zK+_?zJDYHb&wz@<+yhH*YoX#G_kfZT%-;P-;>hM-g^Ht_^9m}CZq6Shab$CZ(jn;+ z-5hnOIJ!BONaD!m_(R3f%}IfZqnlHPB#vxOD^wiaoPMY{$Q+PYVBxb6NgUan?ND)a zbIw4;LFRy%FmoP&I9TFQA9^4gNIkOozi^1hWJ1CdUHx1nagbFod-ouTBb$E-Dvs{{ z=TLEw`N-+yCz3d_IUQLD_kfZx%-&f@;>hYVL4zz<!r?Ryaj6`H`N;mVL=s0f-ybTD z?ynT6IJ&>eki?PA;Q<X^K;4P#FF7P}Wc8nLh=+hOGt?Yp^-Gb&k^OZ9NgP@IEvPuU zzurT|(f!2;x=aO&y)%)-k^QwANgUbUdeEk8Eap7KA#MaZOc1Ib*<XQ3;>hNwL&eek zRRa}A_g5d1II=lvpv{U<dy)NRfh3Nso(HrU5~?0qJP(KXQ5@n|pyKH6zXufuxgWVa z`G6#jY;OnXl6$CoklnuuNgP={Qz;@}Am>v>BynW*l}O^q>SrK{Bdh;_B#x|}zYJk7 zvifu+ab)#vNaD!q&mf5-hmT-6!hBHDhLtC(NaD!mxIx8X;SZ~?gP`J|@JFt%Q=#JM z>I<RbAoa-gbrn<`UHyKjI7mIRdmcc=(bd0!ii6Z6yXQYt99=y(=ny(+dO&uM2vi(h zeI!&IWInQcs-WWN>f4~=Aoa-ZnF$p~SHBc04pNWoo^?=hboHO1;vn_N?h&bk#4oyf z1*kYkJ+ga@q2lQ3?V;iz^~mn=fQqB5p8ypHsYiCtMyNQt`n^zbka}eIT!4zBtG@#k z2dPJP&r_&4x_Z4TNH~DhBew&ik;IYRnS~?{S~?2L7qv*@$m#_^hb=<W53;x(4sjnG z;^{cVn{bHF#UZ`}hxlV8anMpzn7{Zzhf88{zZ{Y{ayS?wi6i^h6Nh*<k~p%xl}O^q z=FCSDM_%8x3P~JU{WBcm9JPpeN48fCNgUZ6J0x+?7FAgIL?MYIyQc_A9NC<8NaD!$ zUPKZ{Rv%Y~a3`|)jY#6i>iIy2m_XwTS-l~WII{XUBynW*jY#6i>enHOBdfoNB#x}! z9CR1~)IG@S$KsL1k=5rRi6f`0MkH}$^)qpZ??w_w4(F3d;>hN_M-oT&*DoY-Wc4zj zLjj=vLKe5fA)bIFj_jU1BynVOrXh(Vr?*W=;>hkig(Qw_4qFo<{UF<`h$N1zz8y&% zIldMmi6g5&ha`^d9v0AHqgcX67)cyCe$|k~k<E9)A)bpQ4q74&%a0XE;>hN#MiK{Y z4S}hDjU<k2&QBz9WOF1yhl)b|g=|h1k~p$CrAXq)=B&dZegTL0ZzOSK^VvX`he6$g zY`z7OII=s-k;IYBX+;u8HfITvII=mnki?PI|HmP&2)YCg>P}>HT9L#-TV7!Cas^2o z*`4o@#F6clY)7PXWc4aY;>ha5ki?PQGZjf3*__2l;>hM4LJ~(dN3a9o9%S_?NaD!( zs~<@mS^YdDape565lI|b{aGC1vYiO`AeRFgNaD!mWFd(otFJ&3M^=9fNgP@IMI>=# z^;}&D_aKYw;1CZ)5=Rc_nMmTu_AW;fM>hW<4so__?C#M(5=XXo7Lqt}x_yEqj_jVF zNaD!uk?TR&i)?-xk~p$CB}n4P<~+n9Zq$n~A341RB8elLvj|BXS^ZTcaZr^A%coC~ z#6erYVdBhv2zx<W&0yjpNaCO>3np%jBo5lD1rskt5=XYT6-gY~-o;4b$o6hQ5=XZ8 zHj+59y`udH_aob@iX@I~uQQT3vb`Zl;>h+^BZ(v1yA??s+1~3&;>hN|KoUnbpML_v z{mACKB8elLpN=GsY<>ljII{Wkk;IYBzltP|Z2os7ab)v3K$p5f>jh-<^^wGp%}+%V zM>fA5NgUby8A#&D=I=)mM>hW}k~p&Y;*${JiEO?Gk~p&Y{z&4;=C>k=Bb&b*NgUby z9Z2HH=HEvWM>bz)GQyq6{a+6xapZn<8j?6@NDP+m+L6RTTWw(C(~-nMM<c+*cO!`- zt3Qn-j;#Izk~p$@hA9a5Bdb?M5=T~ViX@J#J_<=3S$!6gII{YFBynW*^O3}n)gM6; zM^=9YNgP@IPb6_<^_)|&`&SQ199g{`k~p&Y$w=bJ>Wh)Yk=4&Y5=U0Q0!bWM{aGY& zWc7EE#F5oAO+)w#S-lXFII?<kBynW*?nvUu>T{69k=55Ai6g6Dh$N1zej}1NviieF z;-IaHuyp<!NgOn!4HLJSj_@yXz6(JTN6vS}NaD!(t{F)j**(*c#F5>z14$g&Jv=k8 zyGI2{9N9h2NaD!u2}Tk}c263TII?>>ki?PQa|cNrIefk#i6gs5cqYRA$nH@_5=VBA z8Im}%dm@m;k=?TfNgUZdN07vk-SZep9N9gek;IYR!!`@yeq{G(Ac-Tprv^zJ**#N` z#F5>z8A%-3J%^FRk==6*NgUZdKaj+c-QzPG;eKTIq#%hSyQdjR9N9gSk;IYRvkXZb z**zza#F5=2GY7kSOpwHp-4l!?j_jT+BynVWdyvGD?Y)B}jvNkOki?Pg6`qT5C$ha- zNaD!$`XGrT+gpw#j@&+<h(mlS4)HBW;>i8SV@Tr2=Kn?#2W^Fim2c|v5dK0|?+F!$ zjjMysf(PCB6AKjwjjJQ8Z^9uy6NmUN9OBn;h_lYe?oLS@;s!Xx-EoNLB8el1&qO3~ zWdEK;5=U155lI|bz3u{p`;pZLB8elb??n<vR=*KR99jKaBynW*f(sG$BCGdB5=T~F zh$N1zel3zXvigfi;>hZG7a{CLRv&~Uj-2mOki?PmT>(@amJa`b5*`BsLlsmU#04FN z1xwG9k;IYn<5C>rYoOwA^I1WuoPpsYR2*bJXelDhe1pXZe<8cy8%Z45{mDq;$nKed zBo11_2{Zo#k~p&Y+)EJlBAc&_B#vx;0FpSe`D>8Gk<CAWB#vzUGbC~3_7(F|gnK|o z2*BJgk0g%Vk2b;~UW6o$Z2m+fab)vXAc-TJe-=p`*_<~>;>hMOFGIK=*&Gcdab$Dc zk;IYBi9-@cHm4g&9NC=tNaD!#=6)n`WcBxu#F6d&iX@I~uhepczmUzbL=s0fCjdzt z*_=`&ab$C*Ac-TJvl>Yp*_`u8;>hN_LlQ?ehjj(Q{mAARA&DcK<Ax-T9G+=N;>hY- zk;IYhor5HfZ0|uNab$BIAc-TJ^BqYX*&Lab2!A1)V~r$^Y)%l8II=lqNaD!mOhpn$ zHfIfzII=l6k;IYBd5a{D9G<+Zu)ALeNgUZ;XC!fCdoz&4k?n0q5=S;?9+Eh+Ifs$N zk<EF8B#vy(Pb6_<b0k+|_m>5dII=nZNaD!mBqE6;m%}|s;>hLjB_wg=a`+vRIC446 zwg%x&(3T)rd8>*fj_e)_9O9`+;>hltj3kci&Id^1$nN}&B#!J(;k5{NBAf4kB#vzU z0wi%{^LHbOBb$F2NgUaHhII&gk<Cv)5=S<_8c7`4{2nB6<n%uuNgUbUGf3jd_A0DL zxChx@Yb0@GdxMe0k<D*F5=S=w0g^bf`M;6Gk<Az0fN&48`3^|p$mTCV5=S<FH<CEA z`InKzk<Dk=h_Dyg`~)O%Wb><$#F5SKK@vxa7bJ0Hd(R+=BipO63E>`Od##bgk?jpe z5=S<_0ZAO${0B(l$mah>5=S;)cr(I1$mTmBi6fi807)F#{M|_6$mU;05=S<l0eXQf zsC|oUegcv>via3W;>hOrAc>>I3z9gpy=Rcbk?mF3iU<c}d##bgk?jpe5=S<_0ZAO$ z{0B(l$mah>5=S;)cpJh!$mTmBi6fi807)F#{M|_6$mU;05=S<lVLQTJWb+e{#F5Rf zMiNIhe=?FdviS#)#F5Qc*nzMY*?emxab)v@k;IYBZ$J`9HvbNiII{U)ki?PA=h%sG z4|2LvMiNK1Hv&l<+5BuIab)vrki?PApN=GsZ2l%3;xCZIk?sABB#vw^&o1o#Qb!U; zw$}-Vcm<L;vc26%;>h;SK@vyy?{*|{WP2~+5a-y9a6huW(n#XS_Ua&sBirkaB#vxv z5)SbhNaD!$u0|3^ws#MbII_Lhk;IYh{e(kYV-I%!S|f=g+v|fQj%;r_k~p%xO*q7N zAc-UU_cW3?vb}eZ#F6d&jwFt3uh3qEzmUZ}ki?PgjYbkjwl@b!9NFG>BynW(7vT`U zfh3M>?`tG+WPAT0i6h%9z7M;<jBto&Ac-T}Ta6@+Y;O;eII_LVk;IYxdkBa44<vD9 zd%5=`+>dOp43apqz2->b$o2-|5TA-9j%@F0BynVW&mf5-n=g6*;Z9_8w2{P-&GA7J zM>c0Fk~p$CtC7T!%{hZ4j%<$TK^*Qu5=S=22T2^+oT*6SDDFWLM>gjSk~p$CqK9y} z2T2^+93LccWOJq>iKDm&NgUanGf3jd=7=7~;T|M$WOICw#F5RJiX@KW9wc#ObIu@% zBby_71c!T&#F5SMK@vwcrw&ORw1p1VFMfk04mv6oCeCyeVK3+k9hkT-k~nB<9ZcL8 zNgR2<Ocath^8SKeByrG|JD55Dki<b-e_-Oq#}Mv8cE2x@II_LbP;uCJHEcgl5>y=2 zo(FBYhM8Z3B#yk#w-ZSm+5OLv#F5?OejK|ym*Ws;KY?9+Iu7yUIK<UYVmGH9hxl$J zanKPXuyA;TB#xYJSx+I%M^0}VNaD!jOV&u@$mMV}k~nhuoP#8e?4FZI;>hlKh$N2e z9`@4+cOskbgCve@ekGDPviTE{#F5S4jwFt3{vRZ9Wb?JoAl!p&z7vu-viV_1;>h7v zjwFt3?;a#^WP4vCi6h&~coyLvWP8<-#F5R<K@vwce<qSRviTd4#F5RvjwFt3zRWp< zdyvidLlQ?eKMhG7+58qHapdv6rAXq)_P#+9N48h)Ji<N5_L?DyBikE-B#vxvDUvv{ zy?c<vk?nnnB#vw^;{}9!knL4R5=S;a2T2^+{FzAN$mVZE5=S=wI+8fD`7##~?m;%+ z6G<G|{6r*iWb@mR#F5QEgCve@{!b)vWb*|tA>4y(zB!UOviUVg;>hN&L=s0f{~(e$ zviZ-E#F5R{xr}fRviXrn;>hL~B8elLKOIRN+59_5;>hN6UP0K4Y`!9rII{WfNaD!m z_aKQQo4*T59NGL!NaD!mKSL5nF2~rfBHW2=uMd(qvb|ME;>h++LJ~)|cNvm6vc1QV z#F6dgxrT5Lvb~l_;>h*}B8em0TaF}-Z2lf3ab)vfB8elL&v+f-9%S>?k;IYB&p{GL zHh&(HII{WMki?PAzk(!=T#kK35=XXI?*_u1$nBp@ByrHu5wLc}5**^Eki<b(3c%F= zMiK`djQ|tZx{0tCS$#B;II{YcIK)pOi6fiy4@n%^9M4+_dy&OEafq)#5=S=wGm<#6 zITE)K<|C_5MiNI>zY>S|OB~{wcM#?yo0EYgj@%BvibLG#F2WpS^_y^rtKP$|eku;} z?MULt?fDN#;-I4nVd;VOKEiy^Re>;ZF{n6t`(Fbp4r>1+r)NtfanKR4FmpVR#F6cd zMG{B0HxDX~Zf^rr9Npe2NaD!$E=CeZws!}TII_Jbq2lQF-iC^!+xs3#9NFG~NaD!$ z3O~Re&MHuGbbHO9;^_8zA&Dc~8;K;2Y;PWtII@51q2lQFPK1i1+q)D=9NFG2NaD!$ zo<tHyw)YlP9NpeGP;qp786G0S4cT6PBynVWRglDy?KOppquc8a6-T!>8c7`4-Yg_> zWP9t8#F6ct02N2KcM((^-QI0T;>h+MMG{B0_db$1=t@#pzWV_chuIqeS#HL_^#~E3 z$oW?eNgUZ;T_ka2d)<-5k?l=@ilf_G1Qkd3ZyS<0vb|H0#F6b?k0g$4?+K_ly1loc z;^_9iLlQ@}_b-w-vb{o&5#fd$zsgW?n7y!Z5>u!+y1i~l;>h-fB8em0n}sBfY;QGG z9Npets5rX4^N_@m?Olr`j%@ECBynVWFGI!A?R^RrN4NJIk~p%xtWOZ(iEOVFk~p%x z+E8(Hdu^fO==S;{i6h$^izJS0ZxNC>vc1hvaddm9LdDVTU4|r%Z0}Yiab$Z>A&Dc~ zdmAc_Ztq*DIJ&+6ki?Pg<$a1hoRyHok?l2xilf`>3Kd7UHxfx4+1?B!ab*A2B8em0 z+Xod#w|4<l9Npe6NaD!$9!3&Jw)X~-II_Jjq2lQF{)UR9+spq95uV8Q${>k@j%<gO z!x}imO>l@i;1Ku0As&H4JOzh%0S@sR9O4}~#HZj8Uw}h=4G!@gIK+?O5Wj#!{0<KB z7dXVf;1Fkcj)-66cnn1nM{ZXyf{Me^5A3|t?ND)0`awPi>;#fH@_6`Ns5rVgpP=F( zbCA#Dl6Zk|C$hK#R2*au=qOlNI&_7KgUkUP{Q?tDMiNK%*G#B5$Q&&O1_oHYb`>fP zG6&gT$}bV_K{m$^NgTPq+=(QPY|djOab$D&ULnj!Hpdf59NC=3NaD!moI?^vHiz>y z!hB?Nl99xb&FMoDM>gjqk~p$Cci$k)2OX&e3xD3X5OMTy)`5zH!Wmh85|TJ_|FIcK z9NC@gki?PQ`4UMS*`3bsAnpO#i)>CFk~p$CE0M&J&0&6z-5fn6ab$Bck;IYBk^X=% z2RR%9k;GBL0ZAO${X3!JuyBU8hrdF_LH<Ry*ZCvDUSxCfki?PQzY<9t*&OCi*v+wo zio@Ik%U`8Xadh__MiNJM&pRY>WcO%(M%at&p1Vlmpd+JT=}_wnL_NBDT%h70_aKMA z_*d-e4WZ)b>L=k4zmFu29FNN1Am)S2LH2JXR2<!%Q=#G@^~nBxj3kb14&Qf#`N-ks zi6o9}&RQgKWOJ;3Aj|<>843%}(4W}F9e+W@LGA~+6{g+`Dh?6@9Tf=^k3kX#T}=iP zPl1Yq%mHmBf{9lniG#}R7&b`9Zv|8wWIpJqEtq<}-w5}B)bD|+w?Y%&0u`T(CVm7e zz7r}AG9PpVKFs_NNaD!%1gZT&wbul~W$=NDqnp!&B#vy(G9>XDq<dR7LB&Dg1M(Nl z{pX?LAoG#av)5mU13==)>980{968=sLd9X`!^)GVP;rns$mz%UAHsZOb4sA%Fmqt; zY=DY`%t4+{zl9`@Y)<Nbi1{FMKv4n<|2(KT$Q<N!)qo_9oUS^c;vjR_85kH~=FCSD z2ZiSukR=QZ3}>O@AoG#a)f1>V$Q+P*SonN^ii6Z6=R0u*kV*zHiLBlqEP@bMfq0Xl z6e<of2RXg1MiNI(Cr6RQk?mz-gxCu*2U*-6NgO#Imm!HGhyQ&fapZC!kO{l_El_cg zEV6$mK*iDBvkfYauKp5K99=yxGe{)^_!>lH_h%uABfEbYk~p&aPea8)rh(iEtEcWm z#X<Ihj;@5IlTT1_n0i>e|AUHy)FX$p1`9|fB7R}&P0++)_f2>}#X;sE+nbIgj%@xk zs5r<Lko#fxiL8Q(qnon}Dh^W*yKmwgR2*IXTO@I0dnH*xCLsI;yZ1yFDvoYW0FpSe zIo(L&$nkgvDh{#*<S*F04-cT?=;pJtfmA}$19JQ-Ld8L{Aafc(nVx}x!4xWvZcYM{ zII=klpyDudKzmt1HO~>KILI92eEJ<p966uzvV%;3xDz=XB9O$9)9oavI7k=B{jl`8 z3Mvk=7dhP6IY25Q<|B(6;t+2}5=XXo9g;Y*J6|G+Bb)EZiLe*hoN3$;aS+LYT;4*( zLGDK`w>Kh*BbVDdq2eHOIFZabk0cHXp9#?T{QwmQnU7p<Gx2~-K%`q(zT<?7gVZCh z`|5^@gLEOge+pC_q#oJ*%aFv8-M<bh4l)PX{fCjnLGEt=S;D};@B}IjG9TIfw|PM( zK->>f3M-eMK*d4ok=^qRNgUZd|DfU^(~#XG!Uqz8m=AJKC`b~NU!dY3^O4=-4iyLK z0;z|Eryo=tq#iju7bA%yr;~F?;>hWZlOJR<W_nIW5=S;?CR7}x3*;VHez^)22e}71 z-6{)!R6@*0HpdT19N9gcNaD!myhRd6HYZsSVm^rELQ1zeP;roZkmKtLk~nhtV=oFa z0TC~-`!YPC;vjR7!#NCxcrsKRWEzTlpyD8Nklj;<B#xYZ+MwbfbGVWGH3LZ;6#kro zpi+{7;UrWXWIl5G$(8__011E4Rf@3m{0vDPx%~VM6$j}8nXduz1Oo#Dza&TmVh(b> zse>f0i`0HLhKhrdH|VG^n7wXLagb>s^I_>H3MvkAKeGAdNaD!m*FnY6&0ho+2bqJc zekD{KUHt{9ILtj7AdR5%0V)pSBD;rG3ZxPe4#?*7K*d4kgN_!1`PURG4l)N>y$w_x zU41H499?}5R2-xpIXvs3;viRn!xN;Dfq`K%R2;-bcK-$>ab)*whl+#DM|S@Ms5r<R zWcAOX;vn_N?)e23hq;Fb+TP}q28lqz4cR^VNaD!mn?c3V&5weLqq`>&Dh^VQ?EWsO zIJ)|YP;roYWcM$Dii2DW3QrNJ`*%RaLFOR4|0<F=vit8q#nH`YkO7$t2?u2L>`-x# zdSv&@L&ZV5K<=3VasUGZgE3Sb#6@;b2$DFm`O#2ubn{!F;vjR7)ptY1(baE(ileLF z4HZXMe;FzcbH5BUJfB0wLFOR4pG6j=5;J_bq2eI(k>g7rDh@IS+5Kivadh>bP;rng zpzt(+mT$38agaI4?x{l(M>f9|DvoacN~k!<9AxzypyD9)$nkp<DvqxH0aP5M9@)R2 zq2e(2TR`2<E(a2Ugd4K^RglDy-J=Z^2bquTo)D-wx_hFb;vn_N?#Y9SgKP(drvubI zO;B-=Imqr=j3kcio>fqBkom~&xeOHtnS-qU7E~NvJ+nN>C6I7HR?h_$2dPJPzbsT7 zqzmMJ52*VMq2eHWk=^fyB#vx;C{!HX{7R@e$Q)$#4N!5AdSw63fQrN1699G38mKtP z9Ax*LMG{9g{|ZzbWInQge?Y}S<{+#84;2TgM|O{f0>~wp;i(T5M_2C-6$j}8g=YlR z{n1cykiE$6uRsz<c27N29NqjCP;rns$m-Wa#X;(k{d)*14s%Ze)IHar;vjR7-SZtu z9NGMTP;qqgl@viHV}_3=R2-xpIXr`);vjpG&5wkNgVZCtKL;ufvIP{L8Bq5(K*d4k zAiIAqk~p&YOQ7QD=AVFygUmrze;z81uKp2J9Oj+^sC&La#X;sEyGL9Jq!KfH<e=gp z^O3{H1u70Q2iZN|P;qqig-~&H^%YQYkb30soCXyKsRv#84r`|^gNlP(3kv57sK0hW z#X;(k{dFBl9NC@spyD8Vk^RM@3^Exr9Jrz4=<1cB;viih_cTD=V-6KZcTWhCII?@9 zq2lP~w?M@~<{+!@hKi%BUknw8xu*l_p6yU^kU7ZVa|1~n+5G!Zadh)JR6r(Uh7Uhf z99_KyR2*b4vibH<agciCcn^Y#gKPnX=LD$x)1cxYbCBKNj3kb1eiu|6WIl5IZib43 z%t2Pa3o4GT{t{Fi=AIc)_dJ7&gUms854$QzC1&{WLB&DlBm36~Dh@IS**%s}adh>u zP;qqiDNu2A_2p1;kgGu9xd7_^Zm2lO9Ax*eKoUoG&w8jhy7`x(;vjR7)!%}OgVZC3 z|0k$8%snfh?qO2{i9o^)**)q=;>hOfLB-L{4~L4QyC)7R4pNUC{!LJEboHH3agcgs z_b-HsgIo&=&ka!bZ-t73%t3blB_wfV_uqtygUm;E&tIrG$Q)$#Eb1T|85lt7k=-K$ z6$i<J+_MAf9s{U2$Q)$%_#%lTn;!xdM>oF~Dh@ISS$zvs99{h!s5s0$2cYiR02K$B zgY2HONaD!mUxA9FoBswX4m1A*)O-dFkO*e_kwp?mHeUrQj&8mUR2*a)C_FDf%@2Tz zqq{#BNgUby5~w)HeB}6Thl<0@zX3IWHdGwl{2fT*$mZ{dildu<2`UaV{{hteXHao; z^O-e4Dk0&9Y(5uM9Nm0bs5nR$D12T(%{PRKqnq!CB#vx;C{!HX{0yi#%=`~f^J}2u z=;qHv5=S<F0aP5_{LN5tnE5}T<{yWOqnrN(NgUby*HCeE^Z!A`VdgV{1_c=y7=*My zB9L%KPXGEy;>hNkLB-L{_k@arOap~K2h{vns5rX&tB}Nz&2NN?qnkekDh@MW0BZgU zs5rX$N0G#l%|8Pb2bqstUOj<|!_1d}n*ReTj&8oFHb^BT+>p(efr_J>Zvqtu=>mn1 z0@QpDs5rX$sYv3;=I21g(ampxio?v;fSNx8DvoacRwQv`^Y=i-LFOZu59gucF!K$d z=0Aptqnpp515$|@KI~9&bn~U4;vik1@UeiJuLl)JH{Tmc9NGOrP;qqgQ=#H8^Bth( zS3<?n&7X!Oj%@y1s5rX$8=&Ga^F5&EAAyRaoBt3=9NGLAP;qqge?!G#<_AE{=hp>^ zK*AY0|LP!#Bb#pw6-PJU4Jr;Y4HW(nQ1hdp;^^)#M-oRizYZ#nZvI55IL!P6sQF8w z;^^idLJ~(d|0Gl#WIl5KeFznYnV$hQ|0`4+-FzWEkV;6nA)7A=6-PJU5GoGR1qz=6 zsQIo?adh*Oki?PA&xDGjo8Jf(hnZgiHGe8p9Nqj)NaD!m?}Un@n|~214l}<2YW`EG zIJ)^v`XH5<;ll|PM>k&)Dh|>G3ZD+B`KC~Dbn}Cd#F5<}2^9yKk6ixbK*eF^Pk@@= z02N0!e=d?ZviVD(;^^jYhl<0@p8+-hG*lej{1-^#$mYL?ildv)WB@W5F+aEfYQ7j$ z9Nm0lBynW(t)Su{^O4hk5L6sw3n=_oK+R8sildv~j3kb1eiu|6-TXyRahUlVpyqFb zildu<8A%-3{990Qbn`z!#bM^}fSS){2oiy$L*(*J8A%-3d@ZOry7^8}agb@C@HqfA zKMX34?*3vVab)wWpyKG}PlAfW%s&A&e;HI9-TcEy;>hNof{LS?{|G7$GyekA{BKZk zbn}IcKq?{OhHSnRR2<!WBd9n?7btvgK+Si9ildvKj3kb1eil?5-TWq~IL!P9Q1hoj z#nH{*j3kb1{w}CEy7`x&;xO}HK+S&!6-PIp*%+h}Gkmz9;^^ipLB&D3K;iQNYQ7m% z9Nqk2BynW-M?uBW%`bwA!_5ByHNOoij&A;9BynW(S3$+m%|8Schndg71DW@~1{Fs) z|1**}viZND;^^iJnSe}2ly4kR^VOi@=;k{ki6fiu1r<j(KM5)hvIP|W0#NhIpyKG} zPeu|)Hh&gW9Nqj)P;r>~5>WGxLB-L{e~cuKZ2l{#IJ)^vrXZ6M;iCXGUkoaaZoV;+ zII{UxP;qqggP`IdTR`EX0X07jDvoY`Gm<#6`CU+Pbn_QM#bM?fK+WF<6-PJ!GLks5 z`M03r=;nWdio?vefSS){1`>hfQ<U-_NgUaHEvPuU`A$%AkZGXsae$g11{Ftle=(9c zviVg|adh)1LB(O_dqB-!1{Fs)|1gp`viYZ=;^^i-f{Me;4}hBg4JwXqzOXq+B_!OC z&6k3TqnmF86$j}8g--<3d^e~#y7|dS;>hM_LB-L{Z-R=$%uj%tKMg95ZvJK@ab)v% zLB-L{zXTPBnV$hQ{~1&q-F#*XkV?$(;ev{zo38{F2k8QZPXW|?GpIPa`N2ry$nKAV zilduf1QmywUja404JwXq{$eC?Wb;=+#X;sHx1aYy#bM?*K+V4h6-PJ!9g;Y*`Cp;p z=;m`+f=ot~ZyiwcWuW5d=363(Bb)C46$hD*+`f%~ii2zch5rPo`8iN=bn`or#F5RP z02N0!e+5(=X8sJQ`Fo(^=;q%<5=S=w0aP5_{2x$pnE4B!=JQyAL?G!9xqQ<^5=S=Q z04k1dz6VqsWEv=ZRzS^<fr_KMzY<9t+585mIJ)^WpyDv|H$cr_0~JR%|0I$)viTRF z;vn;p)8}KTIL!PVQ1ib-#nH_du?DGxgd4K?(ok`9^Yx(OAYGvFIRG`^2`Y|mems&m zviWIHagh1Q>9Y<h4m1A*)ci?Madh+7BZ(uMzYQu5G9Nj7PC~_D=3juCe-|o_ZvHPM zab)uuZ9pbK=4+767lDd{WI^F`18TkoR2<!WS0r&{^L?P==<bh)io?u*05!iDDvoac z1SD}}^QS|_(am246^EJs0&4y~s5rX$w~@q=&3^<H2bqr?zrUd3F!Mh^&F8ZPi9pgJ za{kpu5=S=Q2r7<lz86#+WEv>^e?ZNTgNmcOzZyv#+59G`IJ)_>pyDv|8F(S>|8-Dt zbn{Omi6fhT2`Y|m{wt_B%zO^0`TwBe=;lk?fmA}m4cUAps5r=c<n(C@6$j}8g^vK# zd{3x2y7_5H;>hObLdDU|Z-9!!%$I<gKLsj|ZvI9jab)v%K*iC`KMfU!nXdpf|2|Y4 z-TXgD;>hMR+k;Gi<X`0UDGe0|$%4X118TlLR2<!WA0%;P^Mj${=<ZL0io?t|fSO+g z6-PIJI+8fD`SYOSAoG#kzYQu5Gv5Mg{wb(9y7|wM#F5Q^2NegIkDPuO9Y7`{+9wWB z^F^WJ=;j+Ci6fhD2^9yKkL><Hs5r<LQ22X5%}<4jqnqD^B#vx;CsZ8W{Dn|)nE3%v z^S469(apbvB#vzUO{h4!`5&R;F!Ljz=CeA2L?HPTxqMSX5=S;)6DkfeA36MOpyD9Y zK;e@BH9r6<j_&?kBynW(OQ7QD=C?z|VdiH*&7TbwM>l^5k~p&Y`=R3K=3jz}!^|&$ zn*R(cj&44)6G$Z_+>p)Zf{LS?FAEh1=>mmM1=M^)s5rX$en{fT=7&PX(aq0*io?ur zfSO+e6-PIJCXzU^`3s=p=;m*Rio?wBfSP|CDvoac6C`nD^It>7(arw{6^EHW0cyUG zGe`sy&dBLgA4we9d^4yxy7`_^agb@C@Sg!SKNc#E?*1wyab)uwq2eI(k;{jfP;r>~ z3!vt&g^Ht_e+o$)+5C%8adh)vLd9X`uYj8W7b=c!zLX0{B_!OC%~ynqqnmFD6$j}8 zh0g}4`Mywbbn~;2#F5P}go=aAM=l>)pyDv|cR<ab0To9#e=CwWviW<U;^^j|hl<0@ zKL9oVF;pDgd<IvLO3d(Khl-<{F9j6`=>mn%38?vcP;qqgy^+L`-5&%MM>jteDh@OM z0@VCUs5rX$(~!iG&7TVuM>l^1R2*jh4XF7?pyKG}KSUBoHva`w9NqliP;r>~51{7r zyMaU?;f$Prb&$l7%{PXMgUm<HU*1r0kZGXse*rZ=9x9IR{u(54Wb>P$;^^kjhKj?? z{{S_AJyaas{4+@6$mU;$ildwV8Y&Jm{|D6k|4?ys^JUyYDk0&9Y`!v79Nm0ts5nR$ zD0~?BAnkvDs5rX$IY{Ej<`+Z7(arCMio?w3fSNxaDvoac9wc#O^AAJCLFOZu57(gL zF!KeV=D&i9qnpp}0aA$>K73Gdbn_LV;vik1@R5L;ZweJhH$MnT9NGPmP;qqgbD-id z^A(`xH$cVF&7X@Tj%@xCs5r=c<n+G>Dh@MW18V*Ss5rX$Z;`~2&Hn-wM>n6x6J#=? z{cHd=UjZtPZoVy&II{UJP;qqgW1!+7TR`D&0X4q>DvoY`FOoR2`BR|c=;p71io?uz zfSP{*DvoacT_ka2^PfP)(arw@6^EJc0X1L13nT(bhsfodE|NI1`6f_tbn|_n;vmyN z;S&HgKLIL^?*3XNab)vbpyKG}&w+}=%#VPYzX2+aZvI&$ab)waK*iC`e*+bVnV$eP zpTQd>f*C%tNaD!mt3buk&9{MygG>X3PX^Td0H`>+`*V@Rk<BlGildv~0~LpvUjQ|K z0aP5_{Jlux$mSn`ildu<2PzITzXEFh2dFr@`Mf?Lm5^{lHeUoPj&8mVR2-xW6g~}5 z^Bth#=;p^Fi6fhz0u@I$zXmD}Grt3B{sgEvy7_C7#F5S40u@I${|r<dX8r`I`46Dt z=;r@L5=S<l#TR4(=J<&WR2(D=3ZEHJ^9`Wl=;r$(i6fgI0u@Jhe-2a}X8r=G`3+EU zbo1vTi6fi81S*bh{vN0}%={Hl^DjWf(anF0B#vzU7pOS8`8<9glM&_r2B`T8P;qqg zZIQ&0&3A!{qnjTC6$jY@3jZBY^9!Kj=;rq#i6fgo1uBki{u-z_%=`mT^AAA9(apb$ zB#vzU6R0@4`G26|F!N79%@^<oi9qrxO8Ji@j%>aOR2<#>Jg7LxG*I}!&X=fwii6yb ze7;004)NVc;>hPVm;{1MM#S$0sQaU#;vn;p&xOc=ii324ma4<{!IeVALF$pusc1(M zM?R;bA1V$q2ejk`X3hd6agcwH@I%_Gcc9`R^O4=d5d<;;63)oyd&CAK#4C}`m$(&z zUEDDYA&z|RSrn2uvN@K~*wstLU>9Ek6$i<J`~^F2Y7bN#<S*oN;Ur^0Dk1(tJ{N8d zk~p&Z=Sbqn>bJxp%t2N!8jlc1R{sP^99g|b0(SKxi3o9I^%Y3s$mY8wVOPHbNgP@I z{bYoC<aGNBNgO#|E~Q{s{})Ld*`2wm2=&PJZbcGDKJUdX4ZHeHNaD!qE7KwBK_n>N zJD}$v&47xd$NM!Tab)-SWq?e8qz7d4n=&EdAQIX9g-~&H^EW`n(bb=aileLN&H|}~ z*o*9*4M^h1?y1j#s0WeA?wJP_M>l^hR2*IX8K^kAdX8L>N=)~xLlQ@J&(%DLdJu{1 zo-a^wbn}_>K`IgDAuPU>pyKH2qmjgs!)G&+IC8o45-JX|1>_#sIW|fKAQ6bakk2nF zMiNIh=Qff!vU;;ZggMCS1CYdXk;=n4P;rnhWcT<NfkZIfvkXZb+5FIA9O{w8k<}}e zVOQUVB#x}!vmBxxL?XL087ht*FDH@2k;BKT0%QWjU&!$lfh3M>FGD3nJ%~iMR{|;y zvKRRrY-=3iaY*9G?kR?f!_0@(3)`UL=;q(WA<j|-G6CW*Wb^fKh&LmNBl~w9k~s4D zS}&2rk<E9mM%asNPBoG^a`;?E5=T~VU4t+O+5E{!;>havA&Dcq^AD1E3Q{`nsYRHN z9Pb;U;viX2{KE441*kYE{5_G(`G+KqTp!ugflPpeKeGALafok55=ZveO{h4?G?068 zpyzbGgNlRPgB+f(>Om?Y_9EAV%Nh{kWk~Md3l#^+g3O2c_YPDXWIl5J$lnN32{9kp zU$spLab)wSK*d3_$mVZ`ildwV3`rc>e2Hd|2@rdc!{-2093%@eA69-|g^GjBM@~OK zaEME{fJ}gxkF34{hxlR~;ump<f59Oh+lsIk*}t=Kh@ZeA{sV`&b{lr{qj89LBZ(u| zo13BHAk#qMTmnsp`_aT<>GK+zcnwtjC#X0mUXah>WNZhSjHqW}<|shLLF$pyVF!{p za=5+2As*QQF&|_Oay~teB#vxONGC!)viM3I;y-bS>vkc`K@QI>BynW-OhOVzR<GQR zFbCN^OK^z)#3An4gWa53BynVWcOi)*yYmr}II{ZUUhL+7#Ubw5hh6<F9O7q@#F6dg z>PMJ^>`o&jab)#}k;IY1Q*r{r9AxoK9O4Z~;>hJvA5<J<8YsWO%B2}laZox$?x!z< zio?{y>itbnagciCe&}H&ab$C@;1GX>B#vy(ZzOSKb9g3#TmmT%k;Uba#F6g}afOP5 zbb<T}yMM$VDh_f#vN;Jj#J54kVdlWbHx59>(akxFL;Mz09Apk?2_0-a;W1PkWDfGU z#8)J7<Z+3=P;rnsps6^RIXsg<B8c*;1zNt@LB&DlBach$LlQ^65B)QeIP(3Qyptj3 zgUmrrSJF^%kSr*iVd+W<Dh^VQ9L_dK;>hvti6o93kIRw7k=?^S1!N;6Js^vx;}AcN zL;M4hII_R^XCcf-ZeJD6Mu;QlU&%QLab*A2BZ=!EwR7g-5U-t!FbCP*SxDl@;kFfr z_+h9xXuNI;lD#*f;-GkmK@$IqB#wOl9qT-VdqR-ZizA66`^ynY965Yg<|E8O_Lnr0 zII_R=afk;)#nJs04HZZCS1Xb@vcGzf#F72A5J?=_U#k~jcmE+Iab)-3#3B9zDvs`c z=7k9Jk>f=dNgUbzrbyz*?)O9zM|OW1k~ng_BrHPMiySXCNaD!;>cb(v2`Y~6uf0%l z^muuNB#!K_Pe|g({!(9z-JR@9u!~C~i6gsT7l(KVR2<#?F;H=I_je+RBfEbhk~p&a z_accSmoulK;viojm!FrQ;vjpG%ab=q;>iB`i6o9(eyXknnG7i>k^7;ENaD!pp$kbI zS^X*`apZfSKd*wA4<eD>&$k*R0<jl4U5T%Oh=WLwdf2(&Mo@8(f05NEtOcn=)N8Q% z<@Y-5;$rI|;^^jRZ-9t{NMw86pyKH2Yd3;aV%j?cDvqxH36eN+xM^)dH3!z8HG_(S z%t2P~0~H790=W~Wz8oqJQjZ*8i*Sga#UXwZDvoZx;bxEsB)*W%kHH~60ZAM=JzMWa zn1dXTbCAT#k?IlaJqY#4{q#;Gab)+1?M0|Z&KEUE;>hLROdR6R_aV$db|?RSggA2k zGQuJ502K$78&i?|l?)XJ#TRmU-h(8LTy9K35=SmKHX?~5`%Cd4!kx(OH$@UhcE3Lk z@jR$Fy8El4;^^*Qg(Qyb{!K{Y$nHOaB#!KUpTpSQpN%Ar?EVHE;>)4p=<eSL6-Rgf z10-=|_rE|AM|S^zBynW-w;#ps{&`5^$nM{OL;O5c9Nqmlq2lQ7=QxJ27uo#+NaD!u z*Fq9UcK@>D2y>9r&t4>PWcOdkA^s04j_!W$6A1H>)4vsxII{blki?PQAA%%~?EVWU z5#}Si|2>j8viliNA=D#_D?r83-LC}|M-Tr<BynW-CnAX>yT2Mq9NGQfPb1ue?0&v8 z2ytY0YTyv}hl-=SKN2dA?*0xWab)*TKoUoG|8gX8WcM?iL%0*!{W3`6$nMv}A?^zm zM|Xb&R2<#?tw`d??(ansM|S^DBynVST3o>Het#r!WcR1x5TA%7j-1Z-A&Dcq^B9si zvOC`*i6hsizoFtF*Miyuu=WqrMUV)jzDBMGWst;?-LHuxj@&*>zJ@RdxgD|)NgTQU zJ%uEWto{{}ICA@N$xVp)AQIXAr=jAY_(dKcle`U5iD-Ah+J_EMadh=;cTv^D!p8tA zj;?+Zk~p&aw?4pb&Q+*5$Q<PK6Y&UDJ<OfeP;qqilb)cehq-e%R2*Hs<Wq=xkT|kC zEs?~L!<qjXLOpVPS>X`R#vz{i0=qdiP;rnfay%}Bii6yV9FNalf>c7%C$f9~Ld8L{ zAah{hrv3^f0#T1_&ZE}|ab$BoL&ZU|Aah{hx#2BH1d)DV;^&~^Aa^3iBhP#6>V?t7 zVeT}9ildvu^Z{ZHNF3Rnx;Vt+aEN!}5Z{GET=f&gUJ!{K4xUhPkb98*oBkQ35)nQy zf90Wx!@{i}DvoZB<5yI3VCqw$;^^uZenV9cv-c=e99_NN4^;Iq^F@DQ7dM8AqnlIu z8@u`%G;x@FW<kZ#%_;c<F$W}$9KTC(h+n`V&iEI*Ii@(oGjWKY{Rc4zL?XxgN2oZ+ zoyhSn%mBLe8Z+Ld(8OWkYz-AhH|HZGsyQ(AqD&BRboHUk5OJ70Vc}c}6-QUk#)_&Q zX8r{>?BegB;^^iWabQ<(i6#znPdHQ@-5f(sh&do}<akfQA>NBad>;<+PdLQYxUk#X z!wnIKg(ob0HbBKe?nI9Fe@NoU?$_smm;+LeEFOhJJd_ur9%e5r++xtgVeYAgii6BY zcF$!bab$br`BBY>nbQmvM>ppVk~p$Cp9E0Nfw@ym5F(ClPCk-2vN;!pAnIZMg}LV| zR2<zLZ()dfkT`OEy#z@d+5A&bahUnAah_{XaddOuLdDV5|HL5<S(OUO@0tt@3=s~H z3yMJIg5*HvfW-Gf#X)xhB8%rZLezuis*uJ1fEu$53=9GwK?a2RIv~$6FffRqiCaR& zCD6p5L&as##ILzP>{UP$Z*hf)tDuQ*go<mRiHFUDsMkRg=baA`H$W4g1yyf?CVmYn z4ogR{aC-n1M|USwI|FQ<5T>31Vl6`fQ~_KZ#9&}xSb`>g0CagZ0|UbcG;!FyPBu{U zK-xj^2wS(`fhH~>3^Cvens|daL>zkk3-}gbkU0s^2!Sqdgo|H*dUOZKLZo<iP=%Po z0!jXG^&3EjF)%R5potfNDk}yC1`{-K18s;oK4{_}pyDZL;v1k5TZ1NEpbIf)3Yxfq z9z=W%n)nB(_z^Vm4f+uEAJD`fKogD(G$Vn61LV~LBZztrG;s!Kf+|51pI{16zW_~K z!5kuf22DHwngD;Gi8ok6)T=<#3(P$mtRUhUXyOG<5b-r=;s#z2@fT>~4!#g^73jUz zF!KYT6Y2?Q;t9bJ^>fg~3&J4cH_*fzA|c`;&~?W!^C!eW#BI>UV<F-x=;Cn@@g8*X zc!>BGbnyg;_#HHHgG7ip3$#Flx#t2@TnA0OAPJ&A1YJBCB3^?gZjb^IUxFrn04jb4 zO}rr$q8@ya1#-Sihlp!{22PN~FF?g-pot&Igs9(xCa#bT5r2RtUXTM3=KyV@Kr%ld z7a|TThhX7jkOvV@K~ui~THwL%fq|)?Pz+K31WkQFIYb<Gjy_ENg9?ba4QMk4l6yW> zLBwI_j>FU&R71q4psBym2oZmPCf?8k5r>^02{UH`v|z4*R)jEdhLsTYu=BKF;tJ~^ z;yj?m8A$GA*a#7aonHb|FR%q7z64FZz(I&OY(F<l{esgFaU1A97?}8mvk>t;XyO-c zL&Qy>`&3}+8y-W%&!CBacmWX?fvz8csb}DTR3I<V#2dsQ;srtweK7SKBp~7+(8MQ5 zLBu(r^%6|IfHXv022I=nDsF%#UH}!JfF^DLy@>Gxn)nB(cmTA40<(96EX3Xo=;Cq^ zaRE^@f5}6{3(&<CAmSI$#1|++#AU=#&AFfi5f4BUKcEZ|Z$T4ZpaK!!fhOLd3K4&U zF0KX<SAo{^F#j%4hlo3%i3?~z#ADFJCqTs~pote~Lew8Y6Hm~Bi2p$o5735)2S6Kw zF!y`_Z8BqEV8}rePtb#???4mZ02N<?CeEM_QGWwXd;wJa3!3-`sJM?LYP>WULd<DF z6IU>Xh+jbyKVT0LcaTCgX9B3x!@$50gC_m~Dqevm9^eHr=Lnkk1khz}3=9kp(8NDL z#s8p*I|M__v5-b}PXTDKnSp_!1WmjFG>FK+z;FOfd;(~3I_Q38H1PwV#mEc{3?9&S zB0L^JleY{E3@vEl4xr723=9l=(8NDfL)^(Di|Wn;wGeR)H1UEuh`0-yxB_TV8v_GF z0-AUMRJ;aF`~XyZ2Aa4-1H}9nXyO7*5OEecRCi8*iYuUrKY)tQKoe(xHq5u6i5Eb{ zdE`;ezt97*R|8Glp%)_Vf+oHJDn0>CJfIJv{tlY>2dKCPv|SI2#|ixq^(AQH3KJmW z2hhYfK*f0!QQhw_5u!c<P5c5>d<mL(0_ac;P=5za`~y@xL<!Y=hUpOV7odq>fQr9C z6JIa`qTWIo)trKv5b+i?afewD@e63;0<$6FGSH4FEZiPI#WT>v7tDdE--0HdFc%{J z0!>^1)~|&2t6=6gK>MvWXyOl`;t^=#4htaWm!OF+fQnB*6K7ZmQNIRFJOL_x0!{n? zRQw5=xWXccIWB6b;rszAz64Et!(xbf7Ijqh1xp~}8EE1YRzt*3pouS70}<DN4g|o$ zEnzK0yaP>KVI4&L1)BH+sJI8HQ-{=kTd*FYeg&F%!Ul*q2ee-cvsYmwL_7mc`~g(_ z1e*AQO%U}O(EcvWoP^B~@eVX`g)I>A7ii)SpyD3T{xQs)1zRENSD=X}Y=ek%K>N)w z^$Ob|;u&b-51`^F(8L$)fT$ON_NQUy80>_IC!mQRfQqj{6A#!0QU3!?`~g(l1==r% znP0FQqJ9FJIKv)@_#HIy2~cqjXg?lij>2Au`Vutp4N&m|XyOk0AnJJxQPb@OsCWdL zc*1^&`Xy-M51`^7(8LoCK-Akn#}#1id;k^iKod_m2vL6pP5c2=T)`OCoe75^>T}S< zA3()-pou3OhNx$Oj%UE#0~HTI6Hhn-QJ;b)J^?D;f+p^86rz3sns@?Kd<&ZR0;u>E zG;xPx5OY4DiBEuvvp~mPVDA3_6_-F04>%4nM+Z%O1614rO<dpvM12UFcmY&A15Nw_ zRJ;aF+~6d{9N72}%$*aU;vdk|7o38q4=_WGUxm{U@eOF=7og$-&~YZX`DY;N3(&+J z&O*d5poxEgiW@-3rC{b9I0sQb0Zn|ud5HK2G;xOu5b*%$I2O#D4^Z(9XyOYlLevXD z$G2eW11>?t3(&+FE<?mGpowpQiW^v?hFb!3pmYM7xWZM4IUmr(A3((eY*5Xaa1Ek< z1Dd$Qb%?ltEvkBf8xV07G;s&0xDA?k0aQE$O?(4XyaY{L;3mZUDQMyaQ1KOL;v1mi zC(y(NZb8hsgC-sT75{)HJ^?B&V2A2ohT9Nx4A8_2pyDoQ;v1mi5oqEcpyD}b;s$ph z<~N{;7eK{lpou?#if=#@54a04=LDMg0jT%`G;xD_5cNOM#1}xtP3%#_!QnYXeF>U) zzzc}@95iu(mk{wIXyO;3;&0HzC%l5F7lBS-!Sl;&h`0@!xWF5Tcm|qy!CQ#<1T=Aj zcM$O%XyPBB;t$ZoH@t_aXK_Rghk_3faUC>qgO3pL5H#@*Q1Kcx@eQ9K>X)F27kq|@ z-#`-=_y!SYfR2m9!sh~1Tmwyf!gq-J325RUenG@poKgLi@E0PkgC?%<4<a6dCVl`a zeg;i^0wc&}3=BWe!~>Wi;wCPr_Byab#52&u3pgO+6VSvBI3ePH(8MS3LBv&DQOytF zhlu;2i3<oo#7oe`FF?g@+)&N=06jOS08KmrdhW~wG;ssyIWk+KLH$UHz3H-MfO z!{d%>ZvynZ7z;G<3D9$4QqaT?K+k`9fF?cxdJYS0!VlzoP!}Npdfti&bRrNY{sDS^ zN(P#E0Q9_)Iq2fhb3-nmi7$Yj)A0jMJOO&{hJq)me-)tTX!xLsPk^46QGzZGJr`pE zns@>9{EIVa;sVg~DW0H-J3z%Hpz}+xa43MD*WiFAZU8-hAp=eP091Sens@{BJcS)- z;ttSr5H6sJFMx`Rc%z0-0QCF<4K(ouQ1JjXaRcbN1~q8n3efWiR-lP9K==9IK@&HC z?vww3CSCv)XYoOG=K`p>1e*8-sJITAI0JNly91iI0aQE$O*{cA-hn2*0J@KT0h%}i zbieu;H1P*e@h52F3DAA%f6&A?K*dFTQT@vR-GA<ZCT;-TPo97#z5yy;fhNuX-5)*y zO*{Z9egsXt0lGi@4w^Uvbiel(H1PnaIFBEy`xijP9ni!tK=*q`pouF$_dge)i5Eb{ zXP}82K=%V5K@)F)ii`N8x+ej;zt;p!oB_JeHULdL04kn>CcXhGUV$bq0Nqd9gC<@8 z6<>fRegP`}0Zn`ZbUzypbe<VjZZIqbu^1R)(8LR%`;pe5i9dj@pFV*mZU9{m&5)U$ z8DE^7k(yGPlNw)8l%JehTpV9el$l?YSyBm>Ez8MIk59@hiBHZiKoTh~DN4;tFUf!i z=ceW+Bg>ZN6=$aBrKZH^mgbaX7UUplOwP|ME{Vq}4YMiUAie@(e@03U!ignCiFw6| z$t9Wjd0;aVbK;A0^2-_Yic)hD^-5AJN+6m*jt1G0m=m9on3s~18ef)}Q<@r|nO9JX ztOVwDEas*q786ogl$st-gtEN+y!fP?{N(J+ymXkW3-XHynU10@B{e6p5~ex709kKp zNqll?QBi7M2|NZ<@{4j4^N<uJ=jWBB7L~*oBo>v#7pLZ=CL>AamgdAK=jSCSLd8HK zj?4!ICORJ;!=-tNMV0ZnnR%tfs6n5a5|335H37i&6_=#M=j5knCdVfhWtL>b!!5|n zD@jdHEs8J5f!Y9y{<6f9)Oe^bVZKIKpO%@IS)73)j-JR7ieW)hl$w|mUy=_=C`f)u zPlbdlD2Phpi%W`1lS|?YN{SFBK)jicBm%b$IbFe%Kv^P^Qb@qY7bhp?K!sBZ@(Un_ zgCim%H90%JC^0WRH9kKrzBnf{IW<1NfB}@{a-r%#5d_PS$;g7qxdkBq#QOyY`In^@ z<s>3$0Lg{A1f-^<r(%_d=9{$qqU6;0qSTz!#NyQW#JrUFl%mYC)S~#J)Z+Y{QczBW zI2PoH%)GMv?9}+Q(mZe$g-C#WQBag$keCjNG^7xLNQ3kdkWNX>Ni9Jb8xOK3IT3Dk zW?p7VW@1ieRcd@mVsSRQG`IvyE=mR4jwWA{UyzeimWyynacW6?Nk&m>Vv1)<d|G}{ zd}&@$VqQu<L<y2jFh9m8C+6gU^`}(kCFW)($0PYLzAPgJB8fA3Bhq<sd|rM@d}?87 zA_-a$%E8{mn(%NIBw%L~sSi?`B6PsAO-X({DCaQf<>i;8>bd)c>K2zICTBwwf}%dP z3{*0KLMaI@4vKRu;?PJ+%1JCPNrfjgSjvVN3DOG5-w1wbN<n;XVo7pFW?ni%6l+*O zya6t?<MTjHPX|$n>7?sJSd@~QSPU{Zz9<#R7^r8UQcwy-1w86Om0li9FDT3si;5B} z<CF7q3lfV`<BKz^QW3U+Y(lHn;F4*XIjQmGMVTc?>dO-|OThUSl(h3wD?nvfB1{3u zGJN3#s$Am})6<JmL75kBPkd5onj^@K?r@Vp@sd=U=9y-IDhBstGN}Bhfcgn+QgKOc zNql}@PG%mGbK&Yifs&k`n}i};l9`(dt?W}X;?s%}b0J#cen4--z*-;>W#F`qwXngW z4pi`iQWmI$1iKfMnd6Hxi$T>mLJ;I}xT8}t%Q91t?1EGciFqjy13+q_g;{ZFQbAFE zI#OX3pP3d9N!h6o<++JDIr+&9dc`G0C5cH4dc~EwU>e-kDaubtEJ<X58Iv1tXqIG= zWSVAZY-wShlx%7gZ)BQekYbo@8E?suT9KMuT9O)XiC~%-BXKM&8IVgYOABOmNE|~X zwmF&}b7Vb89780w8L}E=ju8^a*c3^XktwP*h6YHo#wJKAQ8-2>=-SW(EQ}Fajgi<! zNNf{BV>DAh0<f?&HnKzteX~?cbCYC41A|nf#AJiCwD{ztB$G4)v*dU~R5L6Lkt{}& zGc-UGFgHL_jKnrVVjCJ*GU!24gI;oRi3K>NL6VLIk^^xk4+}){Krl^=EfE|;B(@1M z$HD?F-5~Us7+WAXhG+^64Ui<w(F`$1=rb`!;us>a&5+d~bBvHV#->Qhj7(AOF*HDu zH8w#~iNY~5LDOc4E?|HrU}20f%NU7mgv2&PVw-?C$l1@r2+05=lt@f5Ha9jgO*6MN zN;I`FG>JDcNwl;~v#^LaMABl2>SA*PBsnCu5fa<b0L2=dMTt2gt(c=my(L1*#2ATV zh{QGlagcp#Xn-VZfo7rwvWZ9>LnO92njUjxJxClwG=+u+NRlSTW=PtM%uvlVG(eU$ zHbquyimnt%*4P9|B?`yL1YH}NfQ2zas}U00#LyU3r-czhp|O!Ua(2g^QjoM5BG^WT zs79L`Aju)I4GmDN!I@Ib5Ge)0G%>bBa14>yCdeEMGt{JIfzV@OjKncSVw<DsF-O*e z#4$uuXlQ^WX<}@Kq|L|-)l5SJBw1rqB$X%}BU5y3NJ@=OP$eyl5yluHu}ut((G(gX z6dD_uA;&b%C@@6Qg2Xm4M&cM5qS|9<h$LxjfH1)biEU^=oC8c5^n9EHJk5>cO%bU9 z!89?pL~sl(O;KXq&;Uu&0#(k^0-?{u7>Q$urqIv;Nzxq65OZWhkT{0u3eAueB6Ez) zP>nP+KohVqMb?VUF)~HhiX>}nf+}fYj4;L+iEV<+F+!FwG)6PQ2$gScgk++TDRIdO zNsA$ZZG^-&L}D8mAk-KdpjvMT639$VO^q*zw@froO*2eRiZ3oriZ>z3B_@z`Wo8}^ zWtkhpSZ0RtCWy3#V44_PA~=RfYzq^VWM^rCP-bF`#4$uuXlQ^WX^v)yIl>S_B(|{` zLfiy}V`PSEf}sJ5Qd1;-MyBXW(F80^5UPz$P!(GkBgrALjgZ(ThQ?@`jF2=L5f?>< zs79C@A{l|iHbP<>qUkX-K$0{vKp0?XK%5(l;mHKbGB=Kgvdj$QjS<NN!89?pL~sl( zjZxBup#hSl1*)8-1wx;RF%riRiEWNhV~E5yHbaP;pm2=LP;D_ZKvHUKimVNpV}#5x zG(|T9O~AqgVU95p+r-cWRi}k9l0qc5i7^t#2#IZCXpClp5t0c;#zbXyLnJLoY$GJL zArjlj0HNN{fH*6R;0XoFGB=Kgvdj$QjSvY1!89?pL~sm|*cJ$36JsQfArjjhS&bPI z$H>eGEyW?p8k-`iMBx}A%NUxXn}H@^VS+Hn*aS_np@|W=0|_1}K^x<XFEvJzgb%qO zH|SA@8lfXq;DJ8S_+NZZYH=~L5$NWFB;nm_V}vVB42{v9i6&rSgivj4WJFxTLN(Le z5XlH6wh<EB5Q%MKVt~+KXn<;%AxHo;xdt9H!WvZ2&;iXKfk*fZA>mGFL=K`pwFESn zY5>!Sr6x5bE({<k-^ju;-Vnkxv4FA6%wa5J6FAez+zcjaWMK+pnj6DdW`;19k%d9L zAtLJ_m?p-S2#%qpAzF$@lC(gmGciWu7$ULF5o(N(*e1qi2niD-Gjv;!WQ|RcRHAT< zkYx-_(ak^;urNWGV~oT$GC|X5h$LxjjL>9)%rQikK;{@55gE0pwwfCvEHXx7n;>(H zkR%KZQ4KHz3BYoviHQNiI3pysp#eGR7?SisqoY`}3i8ZZd@(fb6VL<AUf==j;)2xV z%(TjQ$Ruh?iUC3|WEw5r0FwAEVGK})fQnhb#Z2K$6FAEpCTj*~fpQB}uNh1hlx?75 zCU8MhxU2=7X#x{7fwPQZLgsLmnITLJl*^z78ydtLAo805O184JL`az!BXJCo*cQlY zkT`}GsD>FDAW51dYeME2A#se&kX0dbjLgu@Mv^r)Mbc)3%rP`Y*M=rwVS><VjKnrV zVw)J6pqT;^fF*HbV}uDNhQ<a+<*B(vnrW(WQetAVxrKpYaw2G`J<-z8#30@X)j$g) zgc-(0$VT8Ejx|Iz!rTza2qd-<659}oZDL}8&|rkbHZ*{4;(#oPA&Xqf$pAeW303<9 zbI8F7AE5i!V6u=^o-iH*!#M^9@KGSpV-VmH&}Fg=Od`+&C!jL0b5@{ShLa4CGtyvu z811keqE7>Kc@_f$187@4Xr&DFH~|Le_E&}z+z|Vr+p=NW89bo&TA(=?rXNP{;)Li2 znGM&>z`)QT3bFqqbbmNZ4&D7*IkCGxLj)3j8BqH{?gxp$?1j1Cn+;+=%zPMKs1C6o z#)r{R<6vBd-5g;3&{dLfVTKB*{)9k;7>EV4AH)XXZ5-I$e?b)FS_Xy#(D(<rAEXzA iLHc0sU(3k=J|hJrhKz4iK-`}J-S-4?H)v}Yx_$sZA?_Xk diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o deleted file mode 100644 index 2fe798ad1a282d3f830dac129ce6f12201252ac7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 395784 zcmb<-^>JfjWMqH=Mg}_u1P><4z|g_Y2In|{Sq=<b42%qpVZk2FZzMcAKYMom+qaT| zfx)Bm{tJ)-kLKMOAd~o8_!$`(jx`?uak{&ofRuDM-(X;1=sb1sm;Aw3(u}7%uO0ly zdhi*u$8i@G4v^+<8-vD24;UC2G%sF$-&v!=(d}Zua`{E`b4C!S`2l06iwe*83$4dX zqPx4-K#W{~(?|hqMhYMsDF882#G`jN2O|T6M{kLW!0X^{?-Gx0=L|~SiDW2;;U#e3 zcy_yKc(fiU5%B1CZ~!sbk2^>(F~Gu!(W963)W84#Jvy5~Vd&9(L?YTT?(l>O6Hvo@ z70BnK;f<Cqs2Sd&j^U1Bj-ier!2vFvhaEf5dv<>F?ELG|yT0KF4}(YN_s(mbr(8M@ zdUn15M{M&C#*#AM-lYmhc^Dj<_ZA%GVPGhc-U&+kE}B0XZ+djT_v!rR()kOl+OzYR zi{|hDhkZJqyLA5Z>HO4r6D;2RgR#`Wv+=0KP8kND&i7z3!;^4Tj-59=nvZZqJH|N1 zI>tH1$G!w5a8w~sVwo^ug2(YT0alPKC{gcw0ZQAA$9}LdFc@AkJPFn|0R@0^vp{zr zB$u|K<<jd1|Fa%^&J4?$F5N5^F5NK}ERL67I9`73*!-B$qkEbMBLhRXl#Aiv?jRNy z&Rd<=zh8IcpL&q<vf}UN$Bg__4jBILyvcddfq&|87ljip{M$~rcAj$N_*G)**!+Oe zvH3HjE9YSs#rv(7Kv~_T(?^A;M7{NPsj?&gwnHwQ=Uo+Vf(2a^Zz%rgbW!1W%?Vf7 z?V`fb*>-@HfuY+)g{QOa2rJmz3QP<P9*xIVure_C^tz~Ufcy>)Q;+5&0@2Wrf&_y{ zcUuAz14B1QXI}vu14Fk1OJ`dN8_1M84UfiS5p0l<bKH@_z`%ed{J_a2Bsc(CAg)Hu zpaRSc3=I4&o#2d~0pfUcH-7*Hm<P-Ei>(|bQ9ix9BbXQ%d^-O_9N6u^0WBU1K(PoG z^z1z1aU8^B@aUa<f{B5_@SEXD#~q+F=Fxc^ti<pe$d5jq|9v|jfCXMlcyu>wFflNE zV_+<G^MKd_mcdgLfm{eGiUR(Fiz1NgVTmlxG5+v32NuRsD^gu73Uc{N&;S4bgY@&a z6#W1HpFA^I|Ns9F&Yz$}3#)>_l>wqioB;}C{+8QJprZ8yh~v@S4GM>DN0!b*-!CZ( zg7c>1uKyqh9b)8fJ;1=g;A(ig^?)P))B}+E%BOobI47Jq_(T5S3u(p^orezoVm<hX z*|FP3ToqhR9drbnvlkQzm!BGb<6nG!C&;5aKt!>nqjHWo3qqho!=t+yoX!NgJy@C_ zGJe0*dE)y8#S1GKOE|$1X?XHAQ|AfJ6RsVHJ5PPT)Ox6twey@~=Si2&lP;V$J3qK^ z-YAjeJm}JS>-!}a&RdFy9KT<5;XJ2!?fW&y&QC6_7fYm|k$jv5T%~&Snu472az7}w z;jc1b`MX=hpu5I^<?^e`?>qlBKV$6t(ENdMBcrR~rPd3jTHg*a@=rO~`i+0;0fmDc z2V1|Dh&%94J@)Moqa**60~`k(6+So`9B}2|c7cD}L6^>J->-o~$Z-cKq+5^ix9(+N zU{L(!YIyS7Ax3b}><2lpq@=rB22@OSHw!SLv=PuspKcwC?hp%>%Wp5gYJSA%(cKIR zH)9R%02a=x(9i|*njbNCUif}N@rB{Z<_C=Yt`|G6Hh*OV1p|ZSi&B=(i=Edw&x1mL z7bxypf0VL1cAo4!)p^l{^P)@V2^Y=_C1RWh9XUTaDjxcN$&vG-tKvn+?-yM=Pq?%m z<Zo$YU|@i`crw_<h*WY6(yD=`kZu;E?hqrE%P%g!YJR{7a`SN)6>!tUqq`gIcu=cG zfIE<-GekwCgpKo1XNZabdMuWx2!OJ-;|_jsIz34!4};P?C=dVp1J1*s`~YoEIL5~w zp5W8nHiL<Q!Lgg6vrT~=!~m6eD(s*V4^~}putN$xEX5tDJpwB0_N_%O=z4cMFoKF& zaEdd$<kR^L>`IT`-4%==qmTqPpbPw9W?+C6GI7}K1Lt?F_3?t^`08Va6X5!I!U>A% z<BS88*2lQrf8Z28_a~eNyMM!JirhcrIHm6QINr7bREmP4yLTTbIz1YXO<)GaD%SQy zKzARwMDA`wYo4R$W)X{S7Ymlo>zChOegVp-i%%8twH_$p@;J_-0xB0?>vkS&{>a$+ z6Cwo4tFNV65AaVt*vX>O>7v3?!ozWpf7?kAvy(@qn?<FwErJEy5Mb$Si(vsLXi#eN zXgub?!oc9!`2duUzzH(~(F6z}vhCAN3-@wB8ZIoIZ9I^M3$(rV0k?ZW?F~@%r{LN7 z-J`n=RE%_cuz;!zWr1&p7)!XpT`F*BMH(JxJ;^`yfM<6<xXBU70xFc14IRE6V=UnW zmwtvPUvnCsY`p{u)l;3<Igf){R-NZKk9C%)@RUe!eh2f9f4|OoT=Dz&OPm)Ke}dX) zj@|w?zTNHyort3E@WJ1#2Ol#xKX{;d(6QS@!m&F<g5~nN%deV$%GAmCI&(O7+c4}< z0QK-rbRN9?obzAvVIIeB8;Q%$Ie#@jV{d-M-dUm|04fPRdSg^Le0ob%BtVTffqg6t z3=F$K#7<C$$#EwrIfAQMpU&@DjKSa-1vTutT~s6-JKH7L!HGb|v9n!<oq@r#cmD(? z1_sZ@;|$<p4XoX#^Pg|$2bfNUXi%dXk|qLR>CdCP4^&}$K+4N*24luf78Q@~X%N9a zP$Amw$-?c$(&?kZQo_o4qLT%Z2v4vxFm(2v0hg$C2_B8dHh^3KN_}9f!ImNtV6YF0 zk&fL~j-YmQH>acG&u&K+SHlC2orfJcFPDh8a^7_8mg2n3&8T?8QSq>&;=$L<u7<b2 zUw7<wV&OdA{G7k}5r69eewPa#-EH6&4~OE<ZU+|53!sJr=XH<nX<*SP7H%oU--<UB zZ#s4!=se}ZdCH~p0H}E($_Z*zxN@F$R6P9sq9f-CSH&A3D-Lu{tKeW@aOrHT;Q-|- zaKku(15^ZneH4vcZu@k%U4Ydu8l15DMTZll5mtN%aDwz=Ej}jTE-xRP#aCW7oCBAa z7tT>sUNYREw7kUaeuj(q+`r%=*!>?aQsjPv`?Pbv!WDe(KX3)?eu1kLxj*3<?cDEh z9iRIjTnD?~;08tRpYWD;?oYUd&;1Oy!R`;ZO_BS5{H2}y8}8zBzrsDR`wQ+-<bDlS zSqjHbaOd9z5AeC);UU=l6CP6J{s<o0x&OdpeC|(p0(Sp~CltB=fDG;2|KJ%u_cuHT zyZ^#-iroJ}nRf1Hc!kgX3toZU|KSxy?pM&Jo%<Eu;&cCjw_x`Ryrand0Y<cQzrzQ7 z?tkzB?0$of6uEzg6Yboe@EM=`8NPtsAMk}D_rLI@p8Gu--++22o}FJjJOA!m#{e4S zd=75?dN%J-0S$UG@VDf1fP~km%wS+(a6HzcvH{%0@AgqC@$4>9L7s`Z4C!Eix;F`+ z{-H}Zk6iPk2bvchFTVth4<~qZZc$mmz`)?r?IH(ilovQ&e&N!2viTunr;kd4OXood zE5)(9M+MYH_3YlGg4Rd9dhjpn!KdI^DGQJt-6r~AD=xq5EK#xW=-i_M8tnGyT%*ze zwkSrQrLzZYh~wqgogX0<Sh#fFgs^NtR)I#=J-gSafCm&26JQq({$M@$0Bn^3$SU8? zM=sqZtPEiL9526f=`2w(aOwQs{G1VFA835VqtivDqC13@rL#uGptDD%hk=3N@~h5^ z5X($lyZ5Mo2GU$Qe;)iPfAFQW3*%46&NIyqA2@2BcQricc<>La<H0A)p541Z!&abx z)BxG+)Xk#q()si9i%u664M)Rc%?}tsJ~d!uU~uZrQD*@OyKo)?DS6BJs`)8nXO4=F zYxk-UMo^#N1!RcSh4F=B=fUO&4;(d*Iv)JV>Ui)Wvrp%B-|i-Gh#hxPkpS7{+|9z_ z()ki>mjuKv=k6R1kR1}2;ik(t8h&%(yye*Zj1d$J3ZN0a5EYdY4oA*wU;&WZ!HJ;T zMMa_c0VC&yQjX&;DhZ%&FUakn8BLHHa3p>MNqBTa;>@QzM5Uqxq}T$Yc#aB);nC~N z;?dcnq5v9*>@8*S?2Tga?5$B@@$7YEap^8m0nK};*toWS1F6ygsp{OK0-CBT5qE99 zT`B}~s|09L<fSlZ{^7Wb3OHsxx?8~M(xbZvVpr!YkIuW@GK}3mDg~EccgCm~fZQwr zGPHXS*a_V>?3dqn?os&xn&G?wnW{AC`~hK@fP4myIgrVq;O!P+>V_o4%da{^R5ZG6 z*e<{CyZ}+I0n?`g(g%(OkM1ohpakUz8li-yBT(#1_;wxwB{onvx^%mkGB{p-0n0WL z@C+maG6&R=X8;8%D495Ro9KX&2Pl^)7~TMR6y%$38BN0v&CeJ+OH>p<(yv{(Tfkm& z<h;@Rn6WcPMFnJ(2P9#b2!av@$OsRg&M9DZAcH_*?bIzJ2s6n8r0O-?1Rui-j-1ed zaRALHxpcayxRkKFa31J%QE>p74~_)a)&r%2-7YE)%?}vC(GPMr14tSilHXiZ3>d*_ z!>1eKMvv}2Dj-jIbk6~&E0AA7nI5be<S2%29~F&m7Zsh(b1t0UI!ja}IuC)Szd}@G zN~Afjfdm}CUvuUB?5KG8`$f(Zj*5p}I%8C1x?NOczF%l{QIX(pSqh5t<1Q-T33iX} zDbRG%0!}C0ECS#Z0*V<2P?UiZXSawLBw8K7qu`w(DlQ;TWPrjDWB@ohxQH^q(gR3y z21qj~t->{Dz%=K09B)yX0MZN^-|L<NOBO=i@MHmz?-mgT*$d%7bWc&40@8HcMI{1c z0LXSwpgMN5h=5`nWOGEfh&V`_i%JAUPl!qk$hiR^4bI&xjG)K_DGWeFwFo0bM*v6; zG}7N0q7vfK3yMt8<R6HY;Q<<o>8(-m@aXkX2>^`}MfmjgfIYGgH2Jv;L>L|bS2oQx zDn1OQyxlG;9?d`G`P-C1VGgR8K!pSYs7zJx>0Y7&;`ww#Dk9I`Ju2XlxZV;Kg_lP` z8jicDfD03tQqS%wDj-9;ome^#e!tktRl*N)nFY*<*OILVVC)iMkdzI`2vC`G9Ap(J z^;mS5sMvIVfOt3M`^C=V->)m4=Y&=x37~)ir(K6{#~Dl5L1q}d7HmBLG0p^3yr39o z(CwmP(t5H)xb;9OKcr3om5ndYLSqS>(7TIRx~(|B8(wPu|GzY}_1ke56>wrMaXs#$ zq60DyJTCa!s`JqITdfE9T`z!~0nY6RuWtc+-J`cgMFBj;0}khx`~Lm^-yNcYC}zN; zjU_4?;PFOwkKPa!iPwUymq6|hQIP>v2_XB9Gl9tN7!`@`5EYrudz|M$<pp#sQl&(U z^B;&0%0Y@hzF+3NrFfy!MMb6CMMVXiixf(VJiA-K4(jHB_}Zh}fdvw%KFBfY0bh#Y zssWl}#aM~~Ykz=dPcpv$|L@t^0&b>wG#&v(HDdPtuy5}c6$9|B?G|uLz_WLY$^;e$ zhW$GZh%@X0HA28a0;(%O9FTMdXn?n~M5SVX#(q>aM?oPBPFygL@NeVb-{t^n!&r2> zsMt6{nnNxq%^{=(G?0izTR@|MyxK(r5*#|9_6Vfu(*ka_U|;A0t|QPF<VYZ!Cjl`} z24o(jeba*8zCm&yIQ5{Lr+{o8D4l|06*Tf|0Ls$}pgb+%)9a(6u@5xdy9-1Z9)K-1 z^6lND0$S4mTDD_hcxfj)xN-U4r*jY3Tj0P4MZEzy&}&o*3@?Gljy*c>f#sl63?AL! zxoMDH9<HF}7T-NO-}!Vt1ginX3b<SbW%U!_>4X{;2gJ-fL=kkY1|m{@x=U0l;PYLb z;K&7+&WOnMVC3KCz}o4flF{j+l0ztV!G$Y&=tUrhUIZjIVnDG$ICjBhFS>aF$mRt= z%nJdTM<{k%R6c;pa?qS8N&?&i7Dt#0;e!lrQTYNYkb38Ui))YG9<WMK90Y*kI|5sL zgW@>@5zpYDheoMSca2JcPv?KMsP*Y>Q2|Z<xEh`W)#RX>+oSUxEW+VY+@cZzDt#Cj z7!a|%2P{T7qG6Mqpg3+(0X3;XA%PUlO#Is%*gAW_Qk^X-B8;HXaB$BCM^<i80s9O~ zV1mSv0~5rD1m+u1#|hq}!JVyJR6xrXKrTd$`#oTBWV1o)AZCLipSVN->fB&68zhcw zHi!=~`wlb_tN_)ny*=RK0~Bc>+d*|X$cNaI!WM9V!cs%`7I2pL>HH4T!2nAYSQEqo zM$k%+lRn*hz@;`g)x7iQ1~nEKJUS2gASH_cEXe{SMle};fbu`sDISo70a{)N3L2z@ z!OXwSfxWXw1titkqQXN&!ngo30!zXGi6aLvhz|+iD?}%Z6FAHUi6fg0;zP_n12r3z zKtTQiMJu+tq(ud^xCUfAdZGh~BbyE4L(Dz`O&Bwv2?HbpN*Ey9K?wuoLtF_16riw# zftnyZK*a=>GGYQGN%(>m<Ne28LV)JHL0&+V5OY*OVu+*wtCl>VwE?K9<^XD=`t;VQ zBp~K54G(}k0!l{Uq<0hK9Z>lLPA;H~2I{_p!V^@OU=L65yeF1)01`(IPY@pxo_nBi z1?sMY%m(!ku$#RB<V!4OgT#@|2Js<gZ-K^D2Q;ogG9WL4Y~KeO$=L-W5cM{wPF7$6 zP5yx=4t+ZRfxQkA22I@?UII5K(V_`fX!o|LG(cj@2Q>9P2hv&Uyoa1^3y`ucXd#SG z?-mu17$UA<1qCe5Kz4)L`ruV7FK>VS{~xk05Jm=jbbj8q9^_Bh8Z*eE7U=RSXq(cb zcQ>fEfeY@GXJB9e`^}?w_YNio2KXE)Vt&D+@l6M);_3Y6(%qNC!oc9u-Il-t8dEt5 z8^1Z}*m>IV;BQt((9Ak$9OtBSw~d4|XlmW1^W^3CmtQ$H|CFmUbp+3^n=tGIHMLwc zPhNh;`OmS}na8nPhT-yK&dZ%QnjbKC-n{s-`5_}{hWJG1*Vp2m*P5R(cV2`De&csJ z*ZHsWa_3*L+UCb#bvHOqmx^9|XZW@GDI@3W5-!kcZBPim&IjA80I`<^VebRZ;}CmU z7%o5HJOmnpfLn2Z-{nN-zl-m{g3XV>7F;j{uQMxA;ov;g8KS~d!Upa+bAZ-$g2#25 zKY-Rqad`GdvmAF(;Q^&k$L?t+EDQ{t7a^g<c(L>R!T+o-y()h}vduLr91OnQ>oiyx z82DT6fg%pJ>dK|_fg@;$?cj4(&0n1tFN4M!1U#BgusC+-urgeJ+nJ*x()>%NPRkLr zX4J9Ug%#p4&5M^GaDM1?Q4w%#KEmVN?V<|u9H`qW(ww3qz~7vrBEk=9E`l54&fO-= zpvVE4uHn=z!VHO|&JY!yIt?rV1~OQ~vH38Mb2p2`<p-Rg?u|~ji;4y)%0N_#iVib> z3o~d)3DgY%_Z~srR*RRSAhFIEQ2VDu#R2TS99D+rhYvJQbzZ#u7Nn>G<Ude91k?g@ z?G9mOaJ>Ag`In4K=jG0Kbt+JwmLPokjPo5xkjJq*hLz#+V;9cLKAp##AM<zK_<lk0 z12}&gep|usxDOQAr97^N-&$`w@=rbH()qFZBcp5Q2gmOh9Je#Nv>q(Yb#49T$UpTs zC`@5Cp@ivCs7)@c43{4`avpNwyxRPfzxgje|C9rc-wyHfPd%t`(2?VK=V=#?&n0q( z-<tpOJMd3A#=q?_|F)B^9N%3zKYYK&c~0?|i{dd*!`s2L^9N`Yr@)ba+wt$$T0ii& zc!G*1SPJmyc2TKt>Ne4U$9{JUxGCV&&7yJnMe{G2P8SssPy+B#;c)D(;e{uF=isF< z&;$^o%5eD+c(tBLw~GpBlt!fUQ1c^x(DFS{!Fa0q5u@R^=7;>9J}M%hK?{D@Pn{(y zJf7WcFPIq^x;+A#YZy5g_*;@d>wLQRfl4Y+_n5=*8#sW$1xM=v{+3gmpoZx_kS0(Q znB#RaO1B)`X#{n{EkLpY2)$?)mDqYBEU`ehBo);XP=5-P$H9(xsRdrR;i6*kQh}3! z!MR%poVMROciS*seh(@HI{$UPu7ef?GLYZ`6+n+UUqcE469!OW1R4S;<!*k==m=hi zS!(Xs{8PRLstu+5I114QE<cWQzHffc$lvP9%)rq6ozb!LKPZ=h_lrPdQU?^1pp44_ z8Vd!baZv1Deg}%l5)~d$OuB$#5+&JzaxMpQRt9B3p5_!44p3I+;fLp7=WY=uNbZIC z5XGs-ka8`gsA~ofc51*1H&CAU=;a0F6mTqoIyoB1^&&Won`=~TAOlnu%|Dp=+w?&z zhfs35fMa(bsL1o|o(3w`IuWa`pbJhx!2&A!8M<wZz$>u8duSB8bBtKP#hMCe5teGN z8%MVc6Jib4W6s~5F)9kp$9TF$j4nUo1dSc5G^eO2fPz7V-w{$Pd3Nsu)k;W~9)--3 zfGz4a(eDn?XMrsAlB<*Lb>--mVMn&(Uh`3&ZX12jGA~HU4-TUQq%cZB3Zo2A7$sl} zqZ~*WWq`xT475TYC5$|}eN;S*eN+St4}3ew2p;eAF?LZA`3@QecWM2>-|`>a5F#9q z(BTiHfRum-qzs;blt2kcndTG~2~a@F&^90gkODFUDIg<20U7X80z7cxqQdbqffdyK zYy&kke7pO=QF;(sgC0a}W@w&p?v{~s?hcV;={$J(_2pO1Kjb>Eb>6L$ckK1%aO~FM z1h+FZ4_<!CdDpSGn8&f(#PISn&T9_ejxm*TH$P=^+y^Q_N^P2d$k#wMnZPwY<NS=I z=@Yoz=Dc+gG}O!A3M%TFKQTIXUh@S_$#jRPICOV`(!NjkByieC%%CAn)`5!z$8HlX z@a!45V&`z|j?rQPPp|NRB2B$F6to0UXcs7-fa^y{k<QV46y$!b%g;FPb(W~06azBc zApbjei*SI$0Oo&GuRv;UNqBST;%ms<h6dP2pw`v}P&we!3+ZO|)~Hy3Mz{!<0}nw9 zqfyeh0I1Y+=<Wc8he!7WaCp$CEPz@JN<1FjJHUwtVKHUp!NqrwQZoT1yi$<DD+3f> zgv*3Gpw<vdco8TQK7f-Re@h9t|2=#P23Uv&poC}$Qiw)?LNowdDH{VRWh20)>~B!( z86`vw&|4OUNG%Hk^p*uTBTDnd;N`;a|NnzJ#|AGifqFjZ&7o~zL1=RbJT!}LB52!) zXE(G#1m11%()auS|0t~@$PSC%8qijX5)}?atLWv{A81O!Q;(pk*#p#oLY~Z6_Y+Mi zcqRs<)BvPZ0?E{upnaQ=+zp<A@a&!jYS49ZL8c)*yZgXgM;5~a9*svpT|T6l1R`C| z{0GfBxLwZi7o`h}(%%I2{XIeb&3P`Mt#RG^ELcJP%^R>e{2Pv)2OJOnV0AqB0JM_Z zQS-2Sw+Op)cL+O+OXp3;%dbGKq2ID~_Kv*{9FE;4?BI&PMf2w6XD*!A9D5x=Jx+F5 zkCVOg=E0XtpgEcQ7eNg<P+53_-{r?^MNmV4z4Ibe_Bx8JOXs!D%f7t{ETE1kJE9}X z`MXrU^TWXxOoksWzB4@5{EU(Fa|thO7U`uwC=)mTmaS8SxQzwjHc;0B;x-m`STW6h z@D&rR=7g>IcJ8(Tt=+i%zSBm<x!c6%@;k@o-?E)0DlB#Cn1*ux=wwkrG8WW`WN|$B zim6)$G&{utS_H!0$)j@db>}f~j&ud>M`8zUS8(Ka`3P#VLHmm=U|T`0QVr*B8yir& z`nPOn4Wz&50jhBM;o<z0^Jr&|iUyLspe>Q${$jU}icaV8=I894H7Xh|hL;TwgZd)p zo1Zf_KV<I&NrKkL^Sk`)tWlAH)KLMP*TB<jAth{_pF3kz0=P?50={2^6vz_CT~uU1 zZCA(cX`t;1ofja<gz-Y>!Gk|pdv(r&B%5ngBtZRb23Ami`w^(bIPRhXo@D5J0qJf( zWCho^pbl{Ws5|P|UBk)%ZlQ)W|B|Rv?{($C(%B9GSIjQD43{5pg8Uf5zs*G@pwmSq z0K^FCbWsTbg(0}=cLr@t?Ys$IR>I-jEn)*nr=Sr9P@fwVh9-zGd<Nd$z~R_@0MzFO zB?(ZUn+G!H0E!@v<`fkkcK#NA%o^pTA`=5c=R3#l7*hsN1Nczq#mlcjE04gdNIE}& z{0Hi8gO*pgcKd+3+b^4cNw{>L>%3p50QPAOqO<*+^M0=@4>*)SIp~~6XO2pQPv;U9 z&_udVXNgLN1OK*ToyVYC=?vdGw0w8m1qyah&-)U1%i<xI&Wqrl_Z7$QR~)x7y0ktl zEpctV1nQK7f>#wZA|27|h91U;d);`z!4B$rA9Ue7&A;t*<4;f{nSaV*$8QJ!gZBB| zaOAkxdDw;Ha*3khTZpU!|CFQr+fMUuJK)N3&6V@Y_p6*o70<dTKK1ENQOR)R-*)W# z)z%mMEuaMlpa=ptr$N0lPzZr~XVAX41V{`NOt8MUM5l|2j7N8lN<^J{uP?Ulw*)vz zgy_P0-=MV=65T#3GW^>>Z7lGtB&6@%_z>igP9GJSP8JnN@0$fQ_;~=dK?vUcmIT*N zdsM))d!3KaE1P$Gpz3Rn3P_Vj=d+ia_!t;a%4^g@H~>*6cy_meG{HLhC03r@`@oj; zhNyU;Thk7<W*<lcsA}<mj)H>whOb#c)ujilUICebRIh-zFJ1W<7~H!}KxK&I<#(V! z1tlJ*ZW#;E4(;Evb#{=l3$?KR<_IpVZP+hA=e!SEA;jMK6EYGA9;W;HTG6BP!OJte z3=H667<uf_rSn_oeV5L!U|r46!Q*&8IM0_#!UhW8m+&2DQ33S;z_Izd6jY32FPD!& zoB=ME4`Z|G;ybV?tQv4J{01tVOjI~ecgCo&fXy#q<-FHv0@{1i{F%}4<O)W9m(!g* zD#u+^KzoM3Yka_Iu$x6?1#}&S#B1RVj4cOB!3#4GD-pm$Yo5I=DxlgCl#4;**r2(7 zP&Ek>2Ni>$QMCvV2UOyLI2qj~D&SPud4lr;e~TKZ*$!G(0m`PJ=zyeq8_-Av=wu5G zkQgN0n`nSWDkM5fRCKVFf}m1Q1Dxa`r68z=(E$~H8lWY4Acjt-3uL_oW+@0-Py<N_ zB9<<lH_0s!yM0u6Kx13%ogpe5ou@#%v_e#PnjbJXKV<HNjGcA%sI-8J{a)w_yIy!l z#{)4>1D<||Y?%fHDnk}iC^&(G_HBVz==gN!sAPb<?H<iP*!kOZco-NwP}-gWpm{rx zW>9A&qMO6BJCp%wR03%z1hO;`c_<_S-h)qR{wYz1V<;p6r3aq^3gLuK7nKAMBc;<t zCB?Cu-?Li`yQLMN_(mCjseoHr)BIBwm!%abmeznqD7$@BYG8q00SojRc%Xx3Svq@E zAd@d^R6ty$w!H(<f$jon>pOtM`#BeCctd8AK?OMY9D;5L-`GVZU<G5Tcz27+5(Wka zV;7Z>6^!7um!Me|hVBrRg62n{g^d!57dw4a6uL`PWI9V!R9e54=z~f!1<sF(prvst ziWgtYfCN-P*||hTq4^;rEPBByp%XL(0A1n3-vU~bL@?IDqoF7fU;&Q+8$7XYff4~W zpvVR-yRiT<Y&yZ~Z*a#txU+#`sQ}zk5j?RjfMTf#@-Q+es|mnjT?88IE-D6{J}M?2 zy*Vld@W|ey0-6*hGQRhyfM#tON(H)Gz}mpEJ{7c~4=I;HR;pswl%U84t(OMXI3B%_ zb&BZq*nZG9$8KnK*$Y{V{j!c7H0s3e+sy`QG=f$oGahv8Jmq-s7pvpJN6etPiWBbL zJOa+$Ap$I&2OTfJ1P!&w);T-&dVyNL9N?BOXsF4B^Q>cU5ooN&05sMFS{cpW`4Q5E zX#LOca=!EZYjuxah!0*0fi_`YYkmgrWwe53xLi8Vc3yMoJm2}b`7wL*Gsf22{4Sq4 z&y@-ue9L4A>Y{M|16SguIn6(0L1VrkH<-ZP@XV3(Ba$0Ffo9OqEjV}Z9aHD^=I897 z-o<}@m+LNu=Rj%PL<Ky<1==Zkt<yxM`4gkz$!{zwjQlRAI<Zt%-wr~Sse+cEg0dB) zf;q=|jlac^g@M7h*NX)-x)IUM>DwKP<6tFl_rkGT#~3my1{x;UaO|!zW&yXobwF7{ zy*G@*v0FwMF)H?$6SN{(qxl#pq>Vvi_n_*Df18hrMyHR828f{p>P&zZj)KP9L8YE^ zw+U0{!OQPDA*<n>yG0nmvDi5UTr<?^LL$=!9+1yDzjs2`U?2tOwazVI<=s8tDVc5v zuM=G7OaTjlx{{y;@rIW`5et>~QQ_cs`PEsY!UJ*=XhA>3Nj4xSy@##{bM7`_y!@{D zhivB-aKQz0k`CNSPdWc~t^qG4acn*W>K6!rC;cGh9JKW7?g4A=JO;5IWY@tLOrTyC zDAt>wfje4IHK1z41vKk}Jdy=oubKc_=EQ->wT4*Msj~C8eda?gkX=*)2o{0h^=c@k zkpsLmaskCRj*8C#r8IH@m3$7M<<lSrctN#eH#?}}gAW*Yo;wIWzYCmyyJd_(wt&V; zeR|zkF24n39_d~`j&2(+SoVF+`MbA{r`yKr@^j9=oiCdof_nC#smtc4jIAg6U0!zP zsFZ+e8%QaxBi4BkJab{;*li<l`8_D+YE*3MltHG-z)giDR&W8%Vg&6c+H||9SakcS z*uZK~3uqjjf^_*o6LX;MFsNu(;CH#!8KR<sRHA}vQ_$#`0w|UWFk@*CxZZ^nqad~5 zVzh`CJ+3N1i4nB+6O<T1bvnG}bWy2+R-4?%A%zvVrF<MxDua}Ng9?{`6o5*2kb>?S z6$wyn+Pwx`dUyALi}TJ`ioZa!j-98${dbWP4N#>jz<G=FALmQOkBXpHvWViv*Wks; zB9MI)0-$UJs$NCF3up!STO>jK+-?^Yyp1aG02oTzG62OoIF?NCq%8xKv}FQHQU;wa zDh42iNvDg7360X$9PqkAc=B2UUUt|GNm%eiRR>O{$O-B$$hqLebR68lIrx?dwB=<D zcxm3j_e`)3Pv;&L(9%1klmptV0b0%oYS;Qemo;KbKYu`R46cSjI>6~CmK!zwK-NuR zR>Q@}9oF6{;MG;A)o_UosG5fKCAUE2A&Y82u3~`pBd36Syoiou4}=dLp#%*QB8^bG zcFX&Av$=HMgiIE>Fy2J1za2GCJ9o=KDsWIo<29tK!QXcoG~d?i1ggbh^TIbFGe%CJ zx&<^Zd=0ev9Z`#eyPoe~ZUXIkK`cLq<OWcndGzjqEc)p!QLzA5)L>t|^g$m21`j`h z25SRAJ!%akr8_>O45UI@wJ@_m1v*GW0+NPbUqD0FkO+CX=imSTn3aC#-Og*B_rYEP z5A=b?IX`foD-}NYmg(YqNW0=Y*vQg+a93Et5gaV=$>f`tA8;Oa>;(l2c-|X4nT%*y zfP>}6!52(mt1rHW4C{a6ce!SG7&MM2gJ@b@?vx?3X>o${f^X+n{+2*ySnC2@9)PNx z43BOsCw+hlYj6vrTSpEw5(X)+HM(o$klGijz0MrnENqD8#RE?8IA`-=o^BR7Xo-!# zPiw*iN>!l2L(s?`BP4afM)p9d3ndsqePj-#8XP>b2b$Vv2aoB2N@ZB91++E{#0JGU z2dIa^0Pdv17Kk-3Q31&^H!lIVN|-%*bs_1bMg_B93tHv|>Y6h6c7DiW3<W1kc-I!G zSGyErZa=`c^93l7Bf2?2RE9^l0QUYRZ9BC%ETvMXwmC(m0u%u??4Sq$Rhyuo1VsRd z4T^vYtPuc`1w{a;tBV`~n0;J45rERo^+#Jc04V@KX&JMd>)ZK`te&nCs18Q%>4Ixt z6-ez1>gl3(Zhb*3lpwV){uBUd8X*sB44n=xXrUY^1&FYN!W!I`0G;pyo=g+K689-8 z2F%SVDkg|n$I;nEjrf<`AOHV%>}L1v=0ompA}^xEvbsT**wqb;rL4_Q8B6t>f5;JB z*T8wJ`4J<3D?hlm<_zntd31-UWcYLoA+5lLuVa9mdxgA=!9)eIih%{x#!|z&ior$& zJW6N+Tg1S^zl}$wlSc*ASp)4NVgZFYc(B^J+XS?x0aTiLz}7X$c7~|<fM&QrYddV< z%QQfJv>Fu;=o%-``UX&0>I2$G*X^U?18ZG-fVw}BL3hYBlMi@`2HdVjUXP*yYEK6s zw}(7CPk_tG<{A|p$Vik1xG|K6(eaT0<qLuZBzO!IrGd%;Z=mvk0uM*$hXd5&gD-&M z0kumxz>D^_fsPb)QQ^Ti>PMi*g>%#ot;Yr0ncD56;sOg*&^A?2&lkLm5Y$_7>4cP2 zpbpCva4!_P*2f1i+?oJtb%NS3$e|1i;uJ^_CxC<41g*aU8OQ~t5=em$;TyZCNI(bs zKm)wSE-Et6!9LLPcLwC5{F{qP1tYk>0xGh>{goE*z+^XMoD$?O#?BCxf=(ZmlGc;_ zE$oa844fyL!F%&dKuO3&rQqc!)P^5)8WC$d?&AlPcASd}*x?|h6`<<G0o>dI`~79n zClsZSrW~mL0W~K<y9+=X43IRmd_mEG&l&cpD+(ZS1Tz~H6(F;bo0F4%{{P?n2^<v1 zT~tayO1oWDN<e!^m3>qSK*K)Vj^Nc(u7>|#^SB!R2T$@HckTS=0y+fr2Y(AUXo7*5 z`U14l34KLij1go_lnOjU=pd~K1Py}ZAgu_z1?n7wGK4~>i;4n>0Xlh41vG;JYVF_~ zgU2>73bPzBFp6n8Vqg?xIp)A9D6JqDHzJ*ojx!`<fQC4s83Po%pbj`FQ-C_r$e99O z^y~qj1Oe&IgA{{vhdbI(xQmJfQ3VjVRzoR(47y=nM)Z&|y^QD~gS?EThm0KFwa9ZG z$cOHAo5**E$g@CJV#?OZf?D1>NGma)a=rt#x^3iPD=|R>pq*PFy=3TW{LU%hUNSTU z;YADNAOcW8eqdl=Kn_T74r|_{f~8NZ16nzZGPDfNYsZl`xk0j`v5Shu3dT~Y?iLl$ z-XCKZ6`K`|rJ%77km=B}1jKYyJm%W^zl7VB^Pi*QF-OJYpu_3@yLA5ee!->nLkUv8 zVMfX>48&!Z7Vr@y;QWYaVeJ76LL+gG${Yp;22j4y2Cbw;k4MPXad=&Ft8)riJ^wa{ z$(;}i#044C*#efOY0QGo3jmEmgN_93oT37nk%h)AC~s(hPi*Z0pErRVrAT=LydNAq zQUOv7&KvD$9T>>ALL#yU<m3R1@CBVc01sc#c>;LC7o-*?d_n3#0Sc1ngjfLLf`mI; zAg2?6wuXbYG;w%#Q$6Q^c4;AnFKB-!Quu;K?m<}wqzXs)g0_1>${vtnaQH@J^iM#0 z>$)M^tBoO{y@C-`_%f6Vcekj3wow|lsDL)&fmb@C<dNf!ipRit#PR!e*VcdhEvCeE zHCj|atJE;!3M2?^QLO<VKGXbD0(4vk$TfA~Jb}Ktd=A(kc$~fJoC4MdiX@O>oe+ya zT+mrIoh@KlM^Lqb5?MGGn1YHqLJQ8J#T;mEA1Sg}Kp_EJn!g9U6B86!AXR9Q^|DS1 zv^8sw3dozFu0`iN-)>(9T68a%K-~+bQbXdp7vNza{?^#x-odyCIv%+56xh44!F$}D z3>Ou&P6p(_Z1hgXvC&S3iUYQg#naJ%Z)8aT?ePS)ZjrMJsD@@}u2DhhXmn7!qv2}! z4cylNWk!Y)q2rKV2DFg?8Yb%Q0rxw=ohNXI!$qY8w3P}ps?B*2)OvJLDS`Am3LHC+ zy#%e1L0QxVUMmFZNJw~eK11piRD49~6+pU3pfVCvnSw?NK}~(+)y8X4`yJ2&WI!f^ zw`(D<HlF(x&1_Ip31&8k4Kf?Ki?a0_ng-Ced6)(e+oN|2xb1@EfpGM`3aDm*=>f4p zdO%evlAdPF?ux77Hy7~50Ie=61tr{&4PCB=-(EAjc7Av1{NVyx1SL#dqsv7FTOS2! z*AmX9I%vC=KwT5+^ig1zBl;-lm0FAnqK^XFGKJYk!IrOx=%+wBGRS$#vlCi+VeO~H zP_dr^^ERTNg6VBUKLzA%Qu-;BRp8)B6=+WdTnm6EST3OVRiLF4tgiw&m;^a6Aq5oH zzKSgk`YOj=Tfc$&D&HLyVeK$b#sT$Je&EVB9K>ZCNLK=sb&-0T(7p;JBG-U>7x1<; zdR)!{mklWGGEk=k)DQz5#7JUag*ved>aC!}F4q1^3zq&0Jda@MuS}sue+3rCppFKf zFb0*SC}9jz4+>+D1d06>>Vz?<FM<-rSo<uH!)%bV4pKRUrO%Q=ai0Y#ufY2C-#~}w zwSMPsu>`f$2@iKcx)K<90@TeIf_)ZPkq7FJphOtfeoG7Z^g6T%dwGnPUi}spP``zx z)QGr#3uGA%e{14!@3>%JcZA$^fse4&sAzx|9ienxd^-<9sw}kmksF{by+{LVE-Dg4 zjK9F|Swz~`JcQ>*u!k*X=LH(VKCrHSjY<M&jSf+r7x2|dNC6FL@>4KNGH{(21yVaN zk;HdiI!NujEFq)w;zdU1rHahX%OT=gVZ*fZf<0$pc3+@53)K4s&76R875X#_yaa=F zUwEKLAvgbEFUv#S-C^+()Q2XjC(id9t@8`g399))ZUM1Dy=CN{xF`DVS@22<Y&~(J z3Q}zIJdh?~j>=%{KOKC>1X_U(nd*VmzQ{c&Xhgz`NJtL~6rcEKdq9y1UBOr;f*hHU zX`R<PNRbH<g2fS*5e?8}GPt$_4c0?clQ1uY)P5woua!nzE+1BXEqF=*b+%Ab0;snI zO$nfE1e)*xB>|8^<c1|umWK4UK&b$v7?h>aQUPcj>a{*fDgX(=QUQn!8gc^lI1xim zMC3roOb^Hyl<qI6R|RkCgSuKnqH6|^5>Vd^HA+C8Go&a1%@Kj31f&p0lt4OXpeO+; z#u6o<VLr4d0SSS^5)|toHYiF!L5YYGQf8l!aw0}g?j6;8a#Wmk!Z{O5S<f3Dy`Y{P zYV?A-a!An&I=d1Sy+q8D^fC_^bIdO?ao(VK-@?t;$7L|-*tVhLIi4%@f|Qh~Z+ zoWF(b(*OS;HLz9VAT=+KUWBZB26K*FM5}Q@qOe2*VuKP5sH=@gG%rj3gG_A!@1BNl zgf<7+191!1^F69Bf;7SQkU<Xkum-z?6=dB@5F2(fBZNkrZ-IVa_Y78EJoj~5u<?TK z>+WFVrR2VDk80}OmxAv89US=FAHfNB{|Ziu++Wc|JNMt<#^?SD9<cjQ@KEIb6`izm z{|`QV?w`RAcK-{0irjx=0`1%{A%xHUJA}aQ=MbjI{TwrB=Y9)OeD1#?3U<GS7)9>4 zm`^+RM@Zmv{|^bU`#mHna(~7$+PS|%8lU?mWWesvkfF%^GuG11{WIk7x!*z_?EVgU zirjx<3+>#$LlK|*Bb31IU!g>i`+w}Fo%?U7;B$Y4D%kxeR4H=5#$npI|A#t0_s`G( zyZ?m-MedI{MLYLPXybGL4sEdeIdmv;f5%1Ix!*z$pZjm<f!(j6Pm%j~+@PKNBMkAm z|A!&i{T@aXx&Os|+PS~N1fTmQOu_EYFr~=-63=Pp{u$=@+;3q4c7KNjMeg@_M?3fL zu)^p52y3wWS6EZz{)(@(bN>xneD1HX1H1o(9YyY6@rQQq|KWho{WBcF?tkG(k^65j z%hBw<VhI;~?%&}8c0Y$JMegU|rk(pO-0`{phCA5(8Xgq6-$ICX?vL=o=l&mFVE21? zQ{?^(N!q!;!WW<WCH%nd&+wzj{WBD3=l&T1_}p(12zGx*AVuy!p-wyZ?+C`{{)iB; z`&Wce<o+Lev~&NBFnsQ>2nW0WL^wt6*D#}<`+r2@bN`Geu=`&`QRMyzTiUr_A{L+f zcf^9-&k;wF`#W4{=YER>eD1%I0CvAdB1P`s;Y~aDM<nBO|Bqy_`#n-9a{r4U+PS|X z4WIiZ(!uV}NT<mC5>d2s|BOt0?zhMSyT2ogBKLbF($4)ma`3r7A{Xrb6}c3-zaoQn z?!S?b&;1nzVE3OWpve6z@@eP(A4T}wKcg7z{ujj*x&KBP?c6U>hR^*w%E0dDD5uE% z9CfsFzeOcJ_ur@lyI-S<BKKRg($4)6HTc~BqXz7Lk6Mb{pV31*_gB>8bH79b*!>v| z6uE!KWZJoZMiV~wTQq~+-_cBw`%lcGo%?sR;&XpQ8`%9T+9-1WkA<{z|BViO?yu+s zyZ=NdMef&FNjvxd=*H*%89iY4zv!XJ{Sg~z=YENPeD2@T4|YGt1d80>v7L7Ax0r;_ z{Wm6o-LEm3BKPmuM?3dNOvUH^A5+2Z_n1bJ`(GTTo%<_h;B&vkOtAYiW>VySiL<nG z|BTuA+;1@l?Ea296uIBy3hms#V;(;DN6ZJif5m)?++T5<cJ9Bi5TE-i7J=P=Vi85| zU-5``?*Fj_pZjMl1-t*nQi|Mv<0b9fFR=oj`**AWyPsnvMegVLNIUmitj6d58>_+Y z*H}Z5`z?OZ&ixVV@VWoTI<Wga)>GvEjQ_NAf5k?8?w8mEc7MhuirhbgO`c}+Uo*Dg zbHBw_u=_i<Qsn*<ytH%wj_vr|AF%`M{uMhYa{mtz+PVM6E`08<*bR37iQN>rUqgm= z?*FkDpZjO*1H1plK8oBQp-emXOB}@K{v8Lw?&ml}k^4KeXy<;5Blz5Z;|SRO8b>K| z{|-aixj*7KKKK7P4tBrC35wkR!h&}0uQ-Lz{Sv3a?$0<)k^3baXy^VJXYsk;;vCrh z9p@-=zlS^R+`r=jKKDml1iOF5MT*>C;YU07-?)s={S{Zh?muybBKNNdrJehKT*K%7 z8P~z?e{r26_uq)2o%<zj;dB3vTVVHd+@{F=94WMOzr|gA?!R#t?0$`V6uI9bn|AJx zc!1CSKOTVH@9~f#_h%H*&ixgS@ws2(3E2G^PbhN#j7r+Mf5tO>?zeajc7Mlnirjyq zfp+fS@e-f=BVK{szv2}|?*GwFJNMssgU|gHZ^7<A@s=X@YxL93{XgF0bN`GFVE4cH zK#}_+rqRy*5})z8f5&IA`#HW)<o=Giv~$13H+=5D@eS;Ljqen>f5#Hqxj*73KKK9l z33k87FN)m%Vm0mDU-1W@`z8K@-JkK7BKJ#drk(p|{Kw~h3kE*${$B<@iuV8RqMiG9 zF!ABpzZ}5~cK-@yirimukaq6B!HUoQ6>MPlpJ1cN{VPt;&iy|)@VS2mC)oWjI4N@f zjq|i~zXT6H_wV2VyPt!XBKLD#r=9yP`0=^_20z&S8Uhr#-{Kza+#ex?&;37y!0z`D zrpWynPig1=3Q>ISmk<NHKSPWn_s@7kJNM6!z~_DoNwE7nBq?(LiO;li{|;$<?vIcG zyMKiYMehIci+1k6A&1ZX74l&BpOB}>{TfUPG@C#Ap@`4@GnByYf1yN?`y)7M=Y9!Q zeD2?&3U)t-8b$8!5TKp=Ei~}C|Aq$G{TiASxqpW^?c5)sjnDl*w88H8(4olvFXU+F z{t7*O?w8O9yFWvpBKJ$E(a!xd4Dq?&!U*jC4kL=(@1aXO_wO*l=l%#&u=`h-Qsn*$ z6WY1|hB-d>S6G1Ef5L(y_ph*~o%?@S;dB2CYq0xYSX1Qw8&0%yzl0q=_wTR+yPw0J zBKLE6(a!xAj`-Yv!x8L$4JV4+ZxKj4_eZ$kbN>$)u=_n+DRO^C1nt~k;f~M!5*}dp zXLwNL{u%MKbN>u4eD1gK2D`t*n<Dq0NTZ$mclhFSe}o^{{VV(^a{rH9+PVKm06zCu z1cKdvB9J2YYn0N?{Xc^7xqn6o*!?d;C~|*94ei`75suIOJHo;4=ZK)l{T<D;bH7Ct zKKI{<0=r)$nj-h_=%StbBVzHn|3@s?{T^`?x&OsP+PS|X0iXLN62b1zNTkU95;JM% z{u#;m+;5Qrc7I0-Meg@lKs)#ENW<s;h;*>~SEN(q{)*+abN`J@eD1Hv0=xf27Det~ zv5t1`|B-{w{WEgG?thU>k^66KrJegF3h=pqM*-OV9EB9QpJOlW+;35g&;2)w!S2^6 zp~(FfM`-8%h%$Wc|4{~ZzehPm?$0<)JNH*q;&Z=571;e5RTQ~@#wFUhe?|>H_gmD0 z-QQ75k^4{Fq@DYB)Z=r1L<89UD;g+r|BnZ>bN`JdeD1Gk2D|@6Gez##ctJb&|7gYM z{uymx_rGYP$o&!TY3F{4PJHg)(Ft}xM;Arz@AyVL_gnPfbN`JVu=_Q7DRTdgzqE6I zL_a?F|L6z1-(vzr?tj6eNVEB`ib?p~FEJVH{*1{KxnF{ZcJ7}s6`%Vprh(nxF^wYk zdkE9c{X1shbAQB4u=`icq{#ghQnYjbjoJ9zUoi*l{u6U3a{mfN+PVM7Jbdn-F(2&y z7xO7{{|yb=xnE)tKKJif1a?2iVv5|)VL&_gTP(%r{u@id?$=mGk^3#oY3Kfk75Lo$ zV+Gj#9xEwwe}*0H++VR8pZg`&fZd<5h9dXRaHXC5XRO2Lev9>B_jjzP$o(gLXy^VN z8}Ye6ViVZ?D>hN&{vW}#bN`Jk_}pKy73}^KTPbqCMl|i*|6@Bo_s`e?cK?eV6uCbl ziFWRn*p1KqJ9dNJ&#{Lh_jhE{&ixkq@VWoSKCt^W_EY5k9R;*=f5bt2?*DNR?0%0! z6uJLJIqlqEaRi_HC60pKpK+8T_e<2%&iylv<8!~o39$P+PEh22k2c!5f5$0&?vFSP zcK?dg6uG~mmv-*IaTcHZE6#!4f8rcP?q4y5cJBXi0iXM4Tm-xS#YKwTe`7Z7+%Itj zpZj-Q0lS~$Dn;(+SWG+jTU^KI{u|f9?$@|Mk^3!H(a!x5xA3|D$1Sk?J#JIv{)~;Z zbAQEMeD0UH2X=qPJ&N2vV+ZZrKjQ&D_gg#!yT9WhMeaYbpLXuw@fe@`Bc6cWzv2l+ z?*DO&cJ9CN44?Zeo`c<g;yFd`*EmNz_y2f_&;2uAf!+V&6-DlkxJo<sOT5MB{vB_@ z?&o+%k^4LD(9Zo9AMm;V#s{$bH9k`0{vD5L=l+P#_}u^FGuZteUnp|_i&wOBf5kU^ z?w9xuc7Miqirg>piFWRv@e`l>Eq;OB-|>qg_j~-Lo%?tE!RP*nzhL*T_)C%dD;Sh$ zHh*;EKR)+YFz|!+U!P#$r)2;23U=DL{|6I4p8eM|n8EIU!Az0+Z}8F1{Ss{W+`oej z?0yb*irmj3MmzUgaN=|S4NkE8HMl5pzlALA+#kV%&;37m!0z|prO5pmDztNd1wTIb zO9+77pCLez`)6p=&iyll@VVbY80`KIVT#;;!iaY6-yw?6{Sjhd_pcD6$o)SoY3KeM z68PL-AqjT>2}z3Fui;2L_y3T_=l&ToVE4a}p~(Fa9<+16ggid??~n((pF@En_jmZy z&ixij_}qU(3G99iWs2OtBaC+Lk5I+u{vWDf_j{;O<o*}2v~z!j20r&oXoB6Jp-GYZ zB~oeU{u$c%+;5=+c7KNsMeg^=p`H78=;3pJgg)5)EA%OHe?>9v+<(ImpZhC}!0ta` zM3MVfRMF1;KTPnse}*a8{Vz-@a{rA++PPoC0-yVLSb*KnVM&quIXY?QehX`S?!RFT zcE5%VMeetlKs)zG*x_^k4?D2?J?trRf5vp$xxc~@pZg`8!0yj*qR9O-=F!gmGhFbw z-@+B_{tj1)+<#&z?cBe^9iRInJizW>;X#r6f2^UM`)_#RbAN?5*!?HGDRRHY7TUT0 zhc7<&&+r4g|Aik#?vL0_JNHWj;&cCwK(PBcf+%u-$06Fe-y#H``)`DR-LDZ!k^6U? zq@DXC!tuHPM>yF19uX9||HTE`xxXR`pZg`E!S2t9rpWygH)!Yn8L{}>ZxIJ}e@7fe z?)SJ)JNNHMz~}ymM6ml;BvR!5if6QQ|BYmP?ypDzyZ=NAMebkmmUiy{k%rIxGt$BC zf00g+`)_=qo%<!S@VS3S7TEn9*%Y~-;}7lJZ;^}7{Wo&K?$^kp$o&?~$~2q*iYUP6 z{vQQk_j?pl<o*mU+PS}?7@zwkO2F>VD51#xGX!bp{uyQX+;341c7I1XMeaW#K|A;F zsKn>~h$^uAS5#5t{vYzRbN`JReD1HP1-t)5Ek*9vP^X>yf7Ii1|BME(`(HFr<o*af z+PPn%8K3)iG=tsG(L#~?J4|Wkev3AI?!VCncE3hDMeg5WLp%3JbmDXWk4~`rJ-R4z z{|jf@xxb<ZpZg_x!S2uKrO5pf-n4W7jDCFXx0nESf5!xh-0u-YJNNIHgwOpElfmv^ zF_|LwS47gz{WqrKbAQD&u=`I;qsaX$5@_fCA2aZ|f5uF(`(MnY$o)6cY3F{4Ir!Ya zV-DE;9CImhKSw_8+;1@-pZjmj2fJTm0Y&b&D5IVGBNpLv|Bppr_j@d+$o(0$v~z#O zQhe^0SO#`~#xjcBKcj_q?w_#&pZhIVg5BS-k|Ot?=%$_fcdW+e{)jbT_pew(k^6s4 zqMiG1ti$L2iuGXkpIA?k`!#0K&iy|&;&cCuO<?!G*hG>0BNo!m{SsU8xqru2u=_c- zQRM!P6|{4|#SVP#zp(@CevO?Jxqruc+PObsH$M0O*bR2S#~zB@|6&{M++VQ|pZg{D zgWaF8pCb25?4zCgXB@=mev3n3_jeqk$o(EiY3KeONAS5n;waetD~?j+{)#iSbN`Lw z_}pJ{0_^@1Cn$3Nip#Wf|BqAn+&|+q*!?d~Q{?^|w`k{niF5eezvCR({T$~hazDo- z+PUB2B0l%uxCnN?#wCi}Z}F0L?vJ>F&;38HfZgwLl_K|Ne4w5CE3V^nzr+o&`!jA( z<o+4oY3KeKxA3{&;x^d*9k(fR|A~LJbN`OJ_}m|H5A6OG_b77z4^|bL&41l^fY1FE z55ev~@sJ|-Yw*&}{XZV#bN`GdVE4axLXrC;L}=%JiRbv-zvDUB{TweSa({<3?c8ti z3ZMILyaKyl<26O@-=Rc1_eZ?N=l&mW!S45XN0IwqXwuI86(8`qU*aR!{TUxAa=(Nj z?c6`(Gd}lQd;z<^;|oRZ_pqRy`*(c9=l+QAVE3>1PLca7>}luz8$a>6zv36z{U?4= z<o*?Iv~&NDKlt1~<1g6#FaA>G{u{oubH4<G0G|EJI~W8&`>#0|1t{5n%@ImF_ggUI zbN>xyu=_PwD006=4DH+>!G_QMKiI(T_h6^U{Ta!$bAJUVKKDy-f!&|MMUnewWYNz3 zGkEa1-+~wH{tjM>+<&5wcJAN7kI(%P0$}&A5TMBYKPqVF{u@I0++QIKcK-=sirlZ! zKs)#U5XI;I8De1fzYwFy{Soc7bH9WnKKJjC1iPO@iX!)S^wG}!7BcwUe?tcBehpcQ z+`nTg?c5(BkI(%-<iYOuP@u^DFXqtB{S`|1+%KUFc7KL4MedhaLOb`*P{rqd3pKF& zJJcw0zsG9YxqpWSKKDmxg5AGDlOp$5Y@(g}Z)oFle}xX%{U>xNa{r2*v~&LtJ$&w; zp$~Td3w?^*f8zk{-0zW&&;2jb!S1)npve6TxYTGiewdMk&;1<PVE0F4Q{?^&g0ypg zM=n11Yvh65Uy(<V`xzu@=l&H1_}uSN2zLLBLW<mPpg=qKpD4!X{)`f^`*)O3<o*P8 z+PVKl89w)Sl!M)Wqnsl5Ptc>C`#Gxcxqn3!*!@4MD02S+Q`)&-qZXh0Pt=0lFHuL4 z`#;#w&ix(@_}u@Z0qlN@MvC08;6gk1XEft;KSvAL{Shq`xj(?0cJA+J!{>gDcCh;^ z+9`5>LlEuUzoHYL`#rkA?w`>`k^479($4)SdhoeFqZjP{9laE}|3L!n-2b8<pZhx| zfZcy%0!8i@$e^A3IVR(C|BA_A_y3qok^3F;Y3F{8Y53fKVj9@}64NPie?b}T-0v|H zpZj0T1iRm27Det~P)j@aXUxIpevY|d_ead7$o&^uXy^Wp`S{$gu>kD;iUkz8pP`3# z?q9J8pZh%)gWW%4F-7h-m`pqOpIC~|{Ta)^?%%PDBKIfEqMiF+tib2~j+J2d-&jeJ z`zI`<o%=b~;B)_qHDLGuSVNKf53Hb_`!&|%bN`9;VE0RGpve6n*3-`Y9-HvF|HUS- z`z<z8<bH+iv~z#PR($T~*amig#5RiDAFz*h?(f)v&;1%Z!S1ivNs;>-j?&KkD|X{^ zzsDZ1`)BN-$o(76(9ZoQ_Th7X#(uE-ckHLg{SPkF&iyYA;&Xq;A+Y;z9HPkm0=H@B zevYH~+`r-|*!@3_QsjPzN3?Ul#tD4xKXC%=eu<M5xxe5g?cDEi8lU@LoCdq!;tWOZ zU+{r;?$0=f&;1<d!S0VZPm%jCe5alJJ1*jLzs4o7`ztO{<bH<#v~&N8EBM^+aTV<T z8CNNCzX6*%h4V+j9-W^X_FrdZU|=W_^w__Qk%2*)fq}vB+Y1H;28Qlt2VDk+<_G_L zx|;(u85kV9Ihr3bavtpL28nk!yFg?eyFFN-;vf-^-rX893=AH<n>BP97z{5No&+1_ z(Ysqhmw~~fdo#$WU5pG23_C#tO!9*?SPz3P0|V4LWUU``5L%hgweEmuy`h6u>j@;S z%;;JhAX+zI*SY{nD+{_-4~W(d>{=_3w1R>c#jgSotqIt*1|Vr=L$}pJ9vn;-*tKdP zX=O*($^g+SfJJL}GlLFlOnWdwqZB03-OK`!cI@_Gg^GhjJbHJZkORBtg*Iwb-_S<H z7bm(yCP4HY(8d~H8<4bep=*tRXq|ywYX_26Zgi~*5UmB+wI(2G<w4i_LKf^v5A0ek zkhJomYuy0Rs(@Xq0FqWdbgdN-tv|G|2Ga{IL<sYPv<fgVFu*d?4;HY4FCc3L?e&E# zIDn*E9;6#ND>^_dUV*F|nv1)eXK0}%6j+%6lIU)p1Ce&@_TYgg6p)BV?`{r=p$%H7 z38etZA&Te@xd16gBCt5bqj$Fll2#>jtur864X|rfK+>v=q7_>9c=Ya0fSAAmb#U}c zP?0zR6$GP}pT}5H%1@u}W(jby$<X|Ov9sAh4^)IYuz)!(dY~BEZJ-FEHf!i1g$lAZ zrp{&wur?2ti!UJTi!YlWGIn;$Kn(by05*UDmjNuD%^!3@_Ij`!e8B|a9DK<HHsTAY zJnr3n0Axht;TzD>+N1Lx+^?aI;f`UBp^hQJ9*u7*7#SEmIzM}M{`K5v%)r1P3a%-9 zI=_QcooDY_hSTB<`$2`>K2T8Y`v3p`|DB)`*VXWV<4zC{tk9#oxdBwUbaya-obJNG z<k8upA^|e|c#DbxBLf3SkH-#BfeX?ElGOmo_D)f`!N35j@jN<nR1!Qo-+|5RY@Wfy zz~I?i#|W*qJbIfQm_bf;QQ`3HZryKW&fwYId)&yJ!K1U=11tkp;M2R?feGY<)f&tU z4340_7=z&@P?wUy)$o8%=YN<Dp1pNUFtd>z-%!KB$jsl$z{tSh*?f%I@Ea^FT)M$- za%?`pv0t2lfdMHzKwPB2@a%looo(U4oNWNn0?wqG2X}!ov*w}ZpZ^^9g2K{~-|y;i z7nJ}|M(=b{3F!<`i8$_}5(5gK<^vqZT~r)E26wusxO9f7cpP_8@c~JB^wy{Zc=VR2 zIDqS0aBxB02HJVfVE7FZNg!j990hTTqvnz39|ErYzE3^6Yg9NqI^X$pJ^~wIcnK0d zKAqoOIv>7_W&%Y@jfwy$S|mJs>sVb4|3ky8yBicaj+$qh53+-U0%|4LoNi|eALe8O zrv@7ZX8u+wNHDUI6O7%?79Pyb2B4&}1C+MF!Qbn_u?yrg%|m-Z!MP7aXdY|+_0N&t z@B8r<l>-b64B$}fQ8~iEz|grx<pd~2?f``xs5k_fb_OKU8={io)A`<`yF?`c>~L4Z zC!U?hUAniZY+ztuAUZ@fk2e1haOC%W0}fE1&VL@AufXPk0~91E0J1}(^Cei+1)8&7 z{$~IuFb;A;#-+R1!iTvSHF<%<11XL1`&~coqGA9FtWFmdlg<zoi{maTHlTojq%H+; zIJu~(bcU#C9CuOC0ZD;UmjNhsDUgx6U}5nd<ZTY0&Tsg`!lUsBsQ8I?jB$*0jB|{S zJq#|0LB$iO4EEUX4=<3wVTV%4G}v%3mkRiFZ!Ta0#n$c$CI*Jrd_LWqJs|u5CI$v@ z;zZA<J2)5^7?3iCcQ=cjcejrnhev1k4@g1s0Yvpy2Y56dzQGJC#9`$Js2Byg6s6j5 z3=0kb7oq<=_6MN47~KJ&<{N6k>Dk?O9$bhuF928XU`sn#7#P4YxfA48SHlAyo%cMN zk8ngg#-Z2@4z3B1@;oHiwez@R=Q)qg&mNtBJ5TuZE@6*gV`cE^eD2bD2poQ`2TGDW zdiQ?dR);hhn)ep4u`)1}NO<(_-NDMr;L&-{rSp?Z=TER|kIutBozFcw@A-88f(SrW zH6Go-AdXP%+4%w#gP`~WI}XNyMCODEc-)u4PQZN)>@fG8U?awTU{#GrFR)X`eFA(~ z-6sYQKLtLR`wDo8aUWP!<IxLj)N!8#Kf3$En)hn(!`xKCM~s`msv3_@U?kQ}xYHYh z02cQM2*BKvz)y^Oz^WRL7BErno)_5M^8@Z44*_D_16I{|G=Q0M_goOf5<U+EVc}yS zNQ`^Hsv3_Puu$%v9YR>#b3zE_9tk00+yhqCcvOIua`!9{#^Rn0!Z7zR2ovKTu&TzR zA4qf$s68Cu(s{J=v}fmc&(427y~`aK#TlCS2QZ2=FqFu8_U;1}d*Cj==1s<zKArzM zZ-L82Pf)r0)=~4W<Nu>RozKAqrVF&XZ~nnpq6pP$c+%DIBv{t9^95LE>jD0jT4n}@ z*4w43u7(F(4Nv-XJ_j2N)!urbgvYaY--{4fiSwJWT)*{giMHboP-Dgs>>BKP7R1B# zFqIoL|79xDcH99PWpUgIBC%`INQ7%*25DjjX#%y}9l>pW?3y+t!8NgfG_im*fg1Xb zJ3%CNO%^F|O{^eItRPLGZieGd5Q$yWfmFCAHjpMZkS5S*isMcYiCvRNI$RSwNE16q z6R09~+zBGFYr2pD*TezR!~xO-s*D}MRWf!>5m|6eoFGk{AWfiw633k&61%1c*>Fu< zAWd8#O`stX$DJS&yQYFLxF&9pCT@@>(BO#UP7sM*(~oetCLWL`9*`!`u!!SM5Q$w= zLnK@iFGv$FNE2v4#BnEx#IA`W8m@^Cq=^rt2{aVqxD!NT*EAspu8AL{i65j1)OvLU z_n)w9l8A$90%skR%;nj543uHPy_)Y}8JEtNkX96|y$Nowpb8yEv{zg@4?A|A^X&ZY z(fQY>cew%+s5CHO0+j~x9=&@(6(%_UxoCc5yy?^V&!zJdI9G#u5t^U>gIZ56o!@*q ze|6pji-XDnIjBZ(SpboB?7RV%Zaq-q2x^_>A^Qkbi3g;b1~&-bL!>%y!rEJ4&%ikF z#urli3(Y?a%pm^=FvI)<s&L8j4@e_!|J(?~>mQJ2>iFjY*gqfO{sC3kWcdfA5x0K= z0`U3=q?tPYIluynp9?Io_yJY;WcdfA5x0L5g7NwXq?tPYS-=YN&jwbQe?Scevit+m zh}%CE1$g}f(o7xyG_ZmEGl31}A5epXEdPKs;`UEQE?)nDG*ibv3G5*M6tKhm1L~xZ z<sXnn?EWzYm(q}e3s&rTK)ORD_yN}cKr7D@IKb}bfV-bv95NY2YWWQ6J(A{rc5%?) zqDLojWirJ5(Dvrx&a;rlW`r0kgHP}N9iprZE}bqaESjf5HOT+YQ_$MOvlHC7eCN@5 z2rAP2gRwNjqt_NRmbgy=Hc{Z&cq{>AROff_*k0#LSU`ir9whb(CgRfzYHBgSs{j8! zo$oqdb^EBWpjL_CiUm~&xq5;2@4^0Y?L6iP^3ZpW&fh-0%QeJV8JhQ7h=UsN3Ld?? zJH%LFQS#D-@gum-gbbE}>delsE}Cz_O=uU8Gk-zbz#wlrfE^DJc7!&bq2_rs9=0$P zXMmXH()rP|^9HJ02{|8+`yYVa{{ilP2XX4UA7q|K<KYMmYPsK~6P%u){g)H+py0nD z4+?%z|3yO{>U_<Y;06nHpc34Fx$CI;7TSM#=hOKMssB=%-ukw*7NvXg3Yr3250s?9 zBve$OEi{;<W9Jdqj%BNAv=|(}9VsX=@a)~EP@@GId2;Po)_lT<!LxVYkK;xVq1R%l z(RLWB#;03FrTH&od1&kHl0Yoo9+-8l2TF|aNIQ14Szk0_SWy7(P;IyXwYB*#Q+ZhP zZ>FL^ES)1%qd~nUl)e*8+OebU^G&$X88_iZGlPs~1{safg@WmYxEiOlV@KQSM{uJR z9>I-f=Wn0K$iUG2n}xq=DkB4fBY0*7rALFXg1@B*Gz{On?*)@KB(R(RvXlqH^dNQ8 z5PCp?36enR!@;B-JKB<8!<}{G72H{@ARn`Wd<-gCP`Yw3y$~Pcly>ZByZi@kw8tN~ z(QF{2*+52vx_T%bK2)P|N;`J6b+a17k^lp%F*GcGv-3C222B?20QCoPgdr$Aa7sIN zv^n#^P2Rx^Gx;w!ESflA(FEy3B6ZRbj)zB+!3=0NY5vOriYAC25Q&z>AYloTK<Spk zjCAa1`z;1{R)HAYS)8D-<OGE!s9%cGJB8_m7>!ffv7>FXJltpvdAQMBAfvfJMuU2< zD1BH|qj5?*cC=+{z>R*O4m0{UH-FO?a8d__9!f_S)nuH~jvZ~vhH#T-7{E;a%g^6F z3!IyH_?sqztpIh1QTm+-EBIUTAh}6nA3U0PK+y!z10u0T6G#G9)q)3kU`9H2wB5FZ zJ1f8v?krwVSn`6x64cd3>2SmJLZS($v|~rxWGA@M98Pef`9MbVfs6)q$WglGs7B+I zcI;^L_J$jMzzb&dZ+?)e82xrsQ*lZ=cC_t|ftzX&12q*~{ev3?u%R>0-hH6z4AjYY z2iKmRuR3q~c78yuM8I`FqP^wO{6@m1^C(giW&4d{P#yWA7*t2fd-U$MD2CRNE}AdF zgM2QCTCy`og$LS1`3`A|K$|Fzoku_}EKw2g>4eIFyY!CDe;7;k96OJ7G_S7F0+l=l z9=*HawV+GK=F|I)7(9A+JM4#+bKqJ~0@RC-1<f<X9)_whJPDdo6M)!?)B*xI*Q4>U zhZ{HyT)@pY9!L`i$rNxfKqiae;{n*(YXMcDz(}Y91qP_SwxJRc7}RL5fz0!0Je(l` zb1jwIYaG=e_e)g6+@DcJUH5~`^JqN00g~ceI$u%Q{RhDAzW{f?LN%H0_W>=;+XF40 zKuPmCM#=%14T=i~lH&rAp90X@`zLBZ;eVqB7XBJFWV#<mdmm&Td2WU$e!S`bLM_Ps z4{BlVH>jnq`$6W>()~B;K<<B02Xntg9d+FgGLM$-=V$`CU!n=-{)|R4-49CtHyEIe zUF_)}WVT1+;R7yIO#cGSAonXY!`xrcM5g<3q<@fk<hhx+^e@o@a=%6k%>5P3)OA0| zJX*S6p%vtQgI1XP8(OIAevo;zbiYO$$o&>=F!y(~lIea>`d65SxBLg0?a_F6hX)nY zzd<|5{SNIg_fKde)BQNoKgc}t+)P~hx99-5-=hQO{u%Ambw9{FTDsq%6XgDYPMG@_ zbWqp*AoFPH{u})u_rK_ex!<Cny6y*==h1jrgOlVmPh9#Dcms03#v7RX3tm&#{UGx| z;jcgi_lE?7$Is8e<~O(h_yuyjz;BS_LGzmtzu=C42p%qUfvyw<jn!&C28~XCcj<hF zGQU}><<WR_gR44&N9TKR4+vEELRC3--T?J$FxQDe+LKWCoCi;~Zr{Nv&fw9z9~4ud zfpDZz@FU<Z)<4u?a3_!6y&X~-kO3W!#-j&7+Cjtd|9rp;+F&EzQ0M&f>3oFKIneQ7 zRQE{mgWc1?C(b~udn}|8?oj|~r>1*6#KG>_Ax6A=ULd(A0HmFo?)f1Bb`Pj$M{M}a zkU@kGgCuD2(0i9os>PQK*gYN6#D|ZEEW$ksAnnu)9}h*adv+)g@17q>?uh_tr>1)< z)WPm~p+>xW1QZbA(*V*=P4~Re0J{e?6GCkINzg*L=L1MPHQjSVAM73veG<Y)8{wV@ zAnnw2&j~}Ydq6W?#D-6S4#GVbK-#J49t#t&dsY||A3h4Y2={n^v{U4s-~iZMmrw6@ z328Ql=KUJdY@mgD9*xH<q}U+yj2IJLny<n27I-KTI*A3EUz7n?3}8`5*!&^9qJj)5 zg1C@rPjG#OV!wtQ$bJhsnEf5H^tInY5oEuIBFz373iP#KLkDEPg$~UA4sH6{FJTU{ zU&9<`e}x%+?dNa;*)QP)vp>U;zV`p{0ol*t3$s7Mhraf|2nX5!BOGSGM>u`$zmWv8 z|3ebYev2gf+J7MzWdDO)nEeL1G`AnTe=oolvR?U{XXk&9-t`SPaBNTKZ<)@(z~I}v zT;V2Yi~9b8n*t0BB~swkJ(@RN7+-pHzW3?;24By7%%$_K=3Veo4A8>KPta)&P)Qls z{9B@22fT+x0=#eUIaniXy+G@M5`NFd<1hR)7<@Ya!@B_BMbV!eJ6}M`QexI$g6Ah- zU6gO&Ucvf`o5&vYg)RgEt*cCH-kWhtfPulMcdx`P0frK3u-{xX!95p{-w>UbV=j=+ z%YSg^<x?koab~F^s1|?<!>a-OZUyxV!Sw+oKG59z;5HujUbq8sZ^NAdcJF!5&TpQb ze?5BFd)z~IuP0LYmihKBeQ^(zc=lS{2PGa@0RLpX2}wLI&|N~FoyS}>e}ih-=aA++ zQUDuxHXfbf4@w${-XT;KRl?ihKC=7K(uctVNO(WEKfvLA#uKzL?ypbp`V|lHxOc`Q zh<iOA4X}F==|kWNvU`!z2Y(A__pfj7(hX0*X@ub^*=fYev0?871`P(rQhv|IqXG<+ zrWV+GZbW&4@Xv{-g#4574D6o+&nWVb1vAV)JD8~NA4GcUc#iBJwDjNb0+OCIUJP)0 zLb%uCB_8)Wyn?v*#mhnCUWd1M+-vX-;@$^u2aS7IyvO6-86P0-_4qJo+-va#k9#$~ zLfm`f%b;;@#CJUI_4omC?~m_;#=STG;c@SY{}A_9{2yTVBFg6<jDmRX>zlzO2)eKD z1(P5p_w{8&Q(7XUxBn3C|G<RL{S%nM?tj2M$lQ-8pI<N|yC1DSxxpd`Zf|$62o9R| zHVYp2USNf|w}Ewl-HS*cH&~I~i>*CX!3ItvC)mhNBcS%w0!CQ-LxGXf)Q+z`b)#4a zPkyZ^5d!7c6D2~F<kuBVTA<Tn5M4`1FN1>q10p_tl;Ly#jB>F1UzAhi{u`aNbH79t zKKJja0=u81nj-geOr)LrEo$+(|3)p?{Tg)?xxZi`?c5*HfY1Fu8o=)NXr##f3s%t1 z{T0pl+%M4rc7H|-Mee__o_6k^(T30c7VTj7ceGRFeunL|bN`M`eD06v0=s`j7e(&R zI8HnF-{`^T{)%3(`%m;z<o+4wXy^VP{rKEJV*=RyFD6jr{u5Ve=YEOF_}sr^GT8kb zQz&x3!86*q-(ng*_urTXcE84Sirk;@mUixsn2FE*KW2j6?=g!a_fPmjJNH-2!RLO7 zxnTEa%%#Zv2Y%De{WIp{bHBv`u=_g}P~?6M9&MVnKX)v`=l+PrVE3<BOp*H|glXsg z4_olLf5KL<`yXtj$o)H9Xy<-`9r)b8VF%d#3_B@u{|j&0x!+(nKKEbP4R*i69*W#A z5llPx2kgV={tx@W?swQvk^4QOXy^WdgZSJpa0u-FghLd$zao)#?w@c3pZg7tg5BS6 zlp^=9$e^A3Hyp?3{(uu;_b)g>k^67t)6V@DPT_NZ!D+Dj51gjR{T$`AbN`34_}o9? z9N7I2&Qauki#po5U*IA>_iwlec0a=<irk;kN;~%(T*2r53s=DISGY=%`)Bmf&iw(` z@wxxQb+G##Zcya@6O(D@{(@Wh+%Iq&?EZw?6uJM$EZVt$!d-msH@F9Of5SbB+^?~S zcJANs0H6B<9)jJ!;2}lsk61}N_g{F7&;12Y!0tcrgd+EMY@nU{KRm<d{t3^)?tk!{ zBKPmuPCNGtyu#=H4X?oNXLwDK`(Ny%o%;>m;&cCnw_x`xyrand631xg{(uko-2dSN z*!>P4DRRHZS=zb3;4?n=3w!~)Kj8~S?ytB)JNHlchR^*5-@)#0_)d}gSKOwZ`#1c= z=l+0SVD~ThMUne&JffZZFZ{vh{(`??_aFF6k^4Db(a!xJ{^N811O{Qy{O<z>VM^wI zEk4rD{Q}Iwc;>G+FoWICz(SGxGk(y{{RV9K+<$=$?0yAyirhcrKkeKfz=_ZOA2`A8 zci^JP{U_LTXf}RZz=O~I0=!`NC-73_{vW)wbN>W>eC{_80K30IfFk#6h|<pe8-(z= zKR_7l{sqDmxj#aNcJ99*iqHK8Vqo_l5TnTb9m=$G{|5<t?w=qDcK-uOirl|Li+1i8 zkiqBv4KiT&Gssfp{uhR{bH9N+KKEac2fJTEfg<-ySklh@0ZRDX|3L}teg|cW-0$H) zJNFl;;&Z=%8rb~_Y81J@!ku>RpP+%y{RWy~_cv%#<o*?Yv~&LkZG7$z&;h%DfeuCP zzY$71_g~P%=l%kHu=@|_Q{;Y*SlYS&gCRcmPcQ<z|A7%j?zc#xo%;n$@wtD4DcJoC zW)!(UBb#>aH?Y9x{tFgh_bXUZ<o+2&v~z!eH9q%$um-!|!G<FDpQxmr`wQ&wxnIB@ z?EVCMiroLBfp+en;E2!t22No2H#kw`evJ;=xqpKTKKBQ>g5AHsl_K{?^wZA$7u@l= zzrX|R{sSHqxxZr??cD#t3!nQZc!S;lz?&lX@0d$F_Y3&pbN>cEu=^SODRTddCA4$D zK_EW&UkC)dUm=Jh_e-pyo%;hq@VWm(2-y7&p%l5_V>9jCUl5MZ{Q?nS_a{V9<o=3X zv~&N2D17cWhz7gAA(|rhuQ*6M_iu>B=l*~=u=^LpQRMy`CuryX3kmq#UyulP|A9n` z+|O}=cJBX>jL-cOQo!zikV29BEw0nf{Q~Lu+`l0m?0$v}irk-Zk9O`i$inCT3t3?I zD`ZpT{uxhc=l+0PeD42{3wFOl9!2gy@rHKpFDSt0et|-;`x6Q&a{rIdv~&N2Vtnp5 zC;_{_p@bs$Yy762`!|%~bALcN*!>I2DRO@VlP=BXk1kZ=bALe<*!>5pC~|)XC+*z- zp$4D(C)9%7|Dcv4_wNv(o%;nE@VS3O1K9lxjTE{6g*ffpZ_td-{TG_S?pJ7`$o&%X zv~zzz8$S1cXal?7p`9Z4d#KUQ{RN%)+%M1tc7H+_MeeWArJegH^x$*9K`+?-4ZRe( ze}xI{+`pk8pZfzQfZe}f0!8k>VNE;tUzmi?{RNZ3?msY@BKLDR)6V@Lrs8w|glS;+ zKbS_5`z^d^=YD~i_}sr?CfNN9vnX<ZMj-9nZ!ia+`!CD^yI)~0Med&wK|A*c%*W^c z5A(t9cUVA?`%lEv&iw_8@VQ@LG1&bHiz#ydk2Ko3f5K9H?l)Klc7MY%irlY}M?3d# zSb@*|0V~1oU$BxQ_eYe{&ixlw<8yz(8nF8htf9#L9W}Ib|A%$>+&^JG*!>UIQ{?^~ z&9rmBz$Sd|->?bneum8yx&K8M?c8s$6`%VrYz4btVH-v6mzYF5_Xq62=l%~n!0vb0 zNs;?KX42061-tRNUtkZ|{Rw+0a(~4F+PQziK78&s*bjDp!+wg~zhXJ<+`r)<KKBP4 z0=s{~A&T68V;$|>f8hu|_ZJ)myZ^vZirmk!jdt$;a2%ieC!7Gg|G^20+;6d$cJ3EA zjnDlXPJ`XgaE2oHXB?rO`wh<FbN_{NVD~GWr^x*?PSei)0T=PP|HDPF`yDP(<o*+v zXy^WdEBM?ma24$SgsT*}|Hn<*xqrfSeC{{60d{}G4T{{a@sM`z-*5|``vY!+-M`>A zMedJyK|A+fxQoyI1^2-2KX8vC_jkOfo%=sLz~}x655ew#@Q@<+@AyVL_X|A1=l%^( z!0u;wN|F0t{H2}y4W8q3|ApsZ_ba@h$o&$mdNiB=3V4Oj{U2U|-S6<4BKLdn(9Zn@ zZ}GWb;2qfg3GXO!e}ypZ+&|$1KKC1Z1iQcCBSr3CAw@g)Z}^PQ{Q+OV?qBeQBKO}= zq@DXOe8cDdg70AWANWp@`#Cge=l%~r@wtD(FR=R`{G!PH76!C)zrbI7?%(hi?0$xS z6uCdcoObRvU=YExfB6D~2x$Mc0;32e`>$u%(a!w=%=p~@ff?+62NsIlf5Me^?k`}& z=Y9cpu=^9(DRTc0AKJNp0w+HA8*qW$-@rwY`!zyn=l%^m_}m}B3wHkkUW(iw5luVy zU*N~*{sIB8`ws|E<o=E%+PVLO5I*-$5C*&dfiOkx-;qf>_X~*ObN>c0u=^RrDRTdd z0@}IXKoXz(FGzyjuOLN{`z0!9=l%d0eD41s19rcIEJg13sHdI#3*_;+UqAuu{saYz z++Wc~JNHje!smViWw84jlqqumieB2ee}gJM_Xntf-M>JMBKO~zLOb_g(7@;Z0!^^{ z4`@>4evUb`bN>fzeD0s119txd9g5s<v6y!57tqJ&{tfzI_cIt!<o=9Rv~$0K5kB`{ zFao<@!I&cV&)7&i_Xn8bbN>fZu=^d%D02Ua9kg?QfdxMI3s{2PpI}Lm`+w}Go%<(P z<8!}(4cPq+HWayE<2dcyzrhZl`vdI3?q6U}k^3Xg(a!xB9PzoozzOXB15OmVzvC+H z-2cG^pZh1cg5CeXl_K}=xI;Vl3wYpj{{|1R`x!hba{r6Rv~$0KH$L}Y@CLhI!G|LE zOT4C?`vd&&x&MP7*!>Rv6uIBy6Ybnz5QxwH0zqK+Cj?RC{)(TpbN_@8eC{_01-ri? zlp^=9V9=-8{LzMReC`j30K0!d1V!$@!A?8(Ux>oz{(@+*`wv7@<bDo*+PVKjEI#*7 zhy%O-K^#Tyw-BS9`vns5xqm|<*!>Ji6uCb`mUiwpNWtg+3n^gtE2L87{uwH?bALcO zKKFk}2fN=PgCh5z(59XH3$pOJUmzRo{)B9b-2cOfcJ7~$i_iTAd0_W9<Wb~)4J+EY ze?tL2_XiY$-M^raBKJo)($4)Cit)L>paksx10@u>zr%xe?*CAR&;1k1!R~)hPLca} z_|wk)0#*3jzo823euip_-2WnscJ4Q*#pnJDwP5!v)KTPqi8$K1KcE4h`#&^*-S5yy zk^4PTY3KfeW_<1!XaT!Fp@ky%SLD#n{S(^ox!<52?EZ#!irl}Vn0D^p(239e0bOAC zFX*Dk{Wq#;=l%;l_}pL63wHm3UW(k$(L_7<f9S{O{s|Mn?td_WBKKQ#($4(?lkvHK z!(_1g8KzL={)`E<bHBkfeD1$64eWk}=@hwt#&p`bKVT+4_kWlPcE7_cirjx<9_`#; zFbALe1?Ga?pD>pq_y1T*JNHkRkI(%E3&8GgSU{2cHP+J3{TmkHbAP~Mu=^J*rpWyf zTWIJ03rq32zhD{I{Rfs&<o=G`v~&N575LmgVI|o84^~p-{vC&C=YD}T_}sr?4cPq* zYbkR7i<7i-zrlKZ?!T}e?0$s}6uDpGBJJECunC|0KWqZK-(fRF?)SJsJNFlC#piy3 zZD98&Y@^8i758c9{s}wqx!+(X*!>MVDRTdcXS8$whTZtwAFv1P{sns|a{rCDv~&N3 zefZp8upjLH1N$j*KgU<vx&OmKeD0rc2<-j`hbVHt#UI+aU*IS{_is1~c0a>0irk;U zY(TU5FM|{K+<)N&*!>D8DRTb|F50<2;50t>e>e?xzrz`d+<!ulcJ41YhtK^2=fUnz zI8TxLe@M{I{Sz+YbHBkQu=^V>QRIFN1=_iP!xen)54Z|;|AMO&xj#akcJ9A$9iRIP zZh+l?;08tR@6e;2`#;>m=l%(|!R~)>n<Dq`Fr}UQ1@7T<|Au>D_cPq5$o(&DXy<-| zhxpuo;UU=l3Xdpqzl00z+#m1+pZh;N0lVMfDMjx0@TQ&n3!dY1zrYKy`x9PJ<o=2v z+PQziD}3%Zcnx-c!)uD%zao-$?%(hhpZf#ef!)909YyZHkw825U-*E}{RJPv?mzI6 zBKLD-(9ZoIKI3!$gfC$CKlnnC`z`Wm=YE0j_}stYJJ|gUKPYm4Mj7qgZ}1DB`!D<g zyI<iqMed(bOFQ=m{Ke<~4}Zb#clbw<`%kpc&iw@pqImXS3owd;_FpG3ic+%w`bRhI z+&_UCpZg71!0vBgp~(FjlWFJv4Q%+_AHWWF{{nW3+#fNEcJ9BxiO>B7TwwPf;G)R= z9Sdpa{trC(+&_UA?EVM56uE!L3fj3}KmecnHwb{;&mc&V`(Lc5o%;=h@wxwkFxdSH zA{4n_Vms~JA0UR${U5}@?spKU$o(GsXy^U{Nqp`XkOI3uL5d>xR~)6C`zOfYbH9Ns z*!>N%6uE!J8QQsjgFHU>2PlBuzd(T^_usfoJNI8u!sq@1Ww84XC{yHqj@z_z{|8lk z?w_CrcK-u4irjDUh<5H5(8TBd4Vqy0GiXud{*0HjbH9NOKKEbH0lQy8mm>Gi_&_`N z2k7H-{|9}r`yC7@a{r0%v~z!f5kB_|7=zuPU`&zwfBd7J`zM&<bH9NZ*!>M=6uDo6 z&5&mEUmGm&xj(=X?EVFo6uCcwmv-*IV2#iH1vX&!AF!dw{T(8-bN>fBeD0rM4|e|p zdy3q@Lz;H(7jVMo{tZrG_cJ(C<o*{*v~$0KD?ayMa0R<x!HpvKOK8!~{Q(~M-2cG? z?0yGNirnvENIUlzc;j=wfDhRH2|g6Lzruoc?w{a?&;17eVD~rpQ{?^?_Ox^VhCqDo z4+sLge?bsM?!VziJNI7*!RP*hP_X+Cgi_>w4nNwt|3f%F_fLobyZ=E1Meer<rJef) zqVc(ZLp0d^3^5eBKO=^A?l*|T=l%<EVD~G;Q{?^`$+UBSKq5Z(e@Fzo-yw-2_n*k3 zo%;(?@VQ?g73}_mREpgHqmXv)pOB8v{RSCe_cvrv<bI7x+PQy27C!d}WP{zmAe$oh zM>NpR{TFiaxxXL}?EV9J6uG~nop$d3P=L?<6AHoZe^5w~`*-xw&iw)<_}sst1nho> zQi|OFVk+(2Z%~fU{TIr??pLUw$o&#?Y3KfcDtzw$Pz83sLp4S2_gF$Z_ZQUSbH6|x z*!>B06uG}*HSOF#p#h)!4I07jZ)l{*{VO)n&ixyj@wq>s1?>I>Efl%`#!lL~|3Vu+ z_ZPH--G88+BKLC~q@DXebmDXWgf6iAA9PXVev1>dbH6|@KKF0v1-qZ2k0SSHoTr`p z4JP1o|Ah%)_bW`K$o(^}(a!w=lkvI#!(_1g9i~v^{u6g;=l+6e_}nir9qj&u=@hyD z#}nGQf5J?B?l+hPc7MYxirla9hIa1XFbALe1LlI=zhEv!?vMCPJNI9hkI(%D3&8F_ zuz(`>cl@HA`#&tg=l%(c!R~*sm?HP@U^JrH{E@&ieD2?{4D5b}<rKO91qbciZ?F=d z`!B2nyI)}yMedgnpq={z*5Gshhc#gLJFKP1{T|}9bAQ2leC`+60Cs=E28!HYAxAs+ zPuPUd{RW%C?r+#kk^5Ju($4)Gw&HVtz&5b^7i^=*{Wo-I=l%;j@VUQWC)oW5c2eYi z4innB|HE#4?w_y+?EVLPD007rHSOFlupgiMH|z(ypWy&S?$2<do%;<A;dB3mLtytS z9Hz+qGdyYM{(z(S-2dSy*!>R2D02Ua0NS~~-~>MR3!DVIKj9=r?*9=^JNHjGjnDlC zXTa`nI75;9HR5UK{tf5wxj*1M*!>I6Q{?`LG}^iU!bN=UFSrDD|A9*sxxXWqcJBXh z1)uvTTm`%T!BvXfzoUe9?iaX$&;1*2fZfk<lOp%OsHUC!4Q}Ie|ApIN_bc3?$o&${ zv~z#JJ$&x}a1ZQ$hx-({-=m9m?q47#hG+kmgSZ%I|JMX@F-rD-30yIzS^xKdBtG{i zNP*qIL5d>xJKUz7`ya^QbAN*@*!>q|DRO_oBigy2K>?rp7bt+;|3QHw_b+%!JNGLn z<8%K3Ww84NR48))g%7lIzk?b+_dieryWc>aBKI@=pq={@H1WBgK@05u04<8#Z}6XX z?r+e+=Y9oUu=@*iDRO@Tn+eUz&jtGU-0xrjcK-weirhbemv-(yV1&>83C3XeZ!o6F z{Rc#7=l%z#_}t%M26q1iGm6~*L7H~%XRyTQ{sop`_kXaY$o&e+v~$0L4L<iDumQVY zz?LHS2WZjG{SNl{-2cEH?0y3Wirn8|NIUl@IN@_YgEQFu0nQY;e}e_>+~44e&;1H+ zVD}feQRMyy_Ox^V0uOxdckl$ee}X4P?iX;Uo%;`X<8yz457_-1d?<3igCFhO|G*EQ z`y2eh?!Vwqk^2imY3F{1Abjp$5CnGrhaigFzaWNo?pFxK=l%nsVD}4zQRMy$$+UC7 zLj*qeKZpRk-yo7A_cLVE&ix6|_}tGB19pEv3`OoYD59PF8{+V}Um+gs{(^Xl+@DZM zJNGY0#OHp8B(VD@BvIu42@SM!|A7>I?oUVsyMIF}MeaY)PCNHMNXO^?h77R#FJw^U z{ttb$b3a2iKKC!k2D|@5Hbw4Nm_|GIE9BvG|A9QP`vvkTa(}>F+PUAM5TE-W6oTDv zP(+dY8<x<{{Rt)b+|N)7c7H%AMeg6Qns)AQD97i1g$l6y3o0mb|AS4mbN_-WeC~Is z2D^VkHAU_h*hM?{AE?FW{)9TP`#024<bH>Pv~&N127K;sXau|eLL)`)FE~Lv_cOHM zbN_-Cu=_u>P~`pv=P7l6ut)P70gukl4g0UNGB7Zd2zu-{XJBApVqjn}{Pu!@fq|jB zyN8j1!K1smfsuis^VGp#@&{i@GoI?acJLqT!Dq~kj~*~EFlb)v7BT2{F<`m;>ieb3 zFE|f1KVa;1QQ>KR#Ml|4!qGW-g8&0VXY&>T1_qDb-2!Y33?7Y#X9zGb`1HD{a2Q@P zJn7MS4{V)B^AUmQ!xLb@F%0BF3se`{p}El8#-rQW0Ml(A$6Zu3z<#hXfVuPX`_38_ z4X{&RG(TqqaUgEh>F!>`0QTqt25cS$8K!{EFa>186d;DFbay|27<L1vVG`I3lR!31 z0%Dj<w|9w0w{r$|4+>y2MgZ9u0f;do-Q6;fm=wSolOPXrU^9#Z*)R@>VLU#)Au1X^ zy)h~ZKD{L>1|GdWDjcB56!7WwQIXgON=&;z#14>&KAqnkgB*k55!~&fV$j)~AjrVb z?V@7R*_<K>P8SW3bm1Y$z+iZ3CkrS5JMZ~+et_w-h<1!aBn@y9aSVr~5KtQS?EL4s ze;zb#?Arj=ejloLw*nsn0|S4{DFz0HW6c#Hj$^|<NSf#N?5$^nr}j6j;6(6%6;~o4 zK8!qicdy`LU^vdA0@CBrTLMYyjyw1n7#Lg)PlDZs;tVFJGdy~CKi~qnWAg@t0ibMx zLob)%H=oY`VDmh?-84K}50nUabUQeJ80^O#BtZEY;%!EcUe@3L{{Q#rYz74hIJ-H< zImSc76_ndRVTn{8EQN+B%xT@-FSr;Ox*5AYS-xN3Jkk7+vH1ZbJn?vRgPq(hrg#XH z^SS+4I(<}lO4K<|fw(Z)Iu>p_#e<4JL2})GEZlsGhZMmIx?NN_I-3QAz$t^Lvspw4 zoH7Eq!6n8IK|&=4C_OlafP5k0(fJviBGyCQzi%UIidX?k1pF;tjGz?30E!ZnsQ3l* z?{OCua31sMZl1u+z|hUn?ZEQ=V)Fz3&O_fXDW3Xvh`&^%^#Di}Bd7%BIPRh%0%G~} zF75!ScTo}fexcPxMZmGE4V2*cTQnIN7+MaLfYRM>m@U1#CAg82iYX}D;E8aM-al}? zVAZ>r85kIRI-i460o*G{DZ`_?IRfM$50>v2TRBQXu&M$117x>H_hgW7cl`hV|9^88 z3&Tzjqm-?i>Hh)4lbtRq0-Zi8BA_HKfZbj`&*tMliAt5Apa-SOa!9HKMT<xC5s7HW z7;w6T2GoDJXGsbu{?<SL{{Mf;`2YWZaCs4oT9bfECs5vi8t&8Ge1VgJ!Lgg6v-yA! zh~dD}*?a_&1`j~e;0hsd=_C$LO!uN+f&vR{G?+j$e<w8UA<XCC0vXHD*<2tDVt~vq z5eBF69}x2+gz=k?QD5&uwWGWH52Td;K!sAibGL#BsNz-;0XuO44>%igh~UhIpqz(R ze(Xkfp9vGFWNX%7qP&Fd+<gJ;zAGs1+W~XmE>J$i*|q>h7#8>LL3eKs#Jverac_et zC_F*iRy}%mL&Fnv2m`3@<k$sHi@4fCINZAz-Mvd7?wvsu_kt$4JDW|!kmFxM3>yF7 ztWRqA?n8I)9f*4`P{q9u#6aQu1jW54;O+&rDM)qiesuSWFoV-C2QxL&?*ws>d#8va zhi`>AEPO#NC{o>f3)Q_I-OU;7;A$&?<={)E&I<=$Ff~75Y`w(qa;WoA^C!mEQzc>^ zkb<w9qtizPRKJ1R?yq%P50s>MbWa8qi9X%U7NE@ETcg6U57ctq2_hW9B)GzXRNM(N zu!=iH2JE8<PH>6gAp`Z1;ia9>k`t^8-26D~0Wth_!-NS~^5bpt`~xazvHJ%k<I~+- zK!kq;WMTdhkp=r_0>nQ*2>AzOILJSs_6W>R;MT}vsBiXd0#_jL_Nf7=0m9$H32u#a zfH>d)g4fZY3Ln-;_2_P10E$vbMb6)Hg@J(qMIpQy*}eM)J1DV&<IwOMBDz`+l=6Zi zot=T9xgv$3M9ZUh_XV)h&7kOpHd&#ug`?34auPGNX7lLYEx-Y`2^6|mY~tWRvI)fT z=-v!cN|a4VjTk)5TTrNh8WMXT4GB<)g3}kc838IhCrp^&alGw-ECU0mUhwGM=fMj~ zoyS(lf>I|auQ7m{T*y_r$MLoTIR*xhoJa3I0f_ntIVANvLCr3>7LVg?3i6;P0VuWX zy8%jKjmJ3Tk<=TWge#sfVFD<9IEFfg1bcRV_3Zrbxz7QXJ~o3}bMK+40NOnV2gG-< zm}htE4mJjcZjXTG8b%I=5-ZQ{y$3)Ys9sT!{f6ImiZL)SfR(l$C<*fH-V0Lh(F<-X z8eT%kzGnC61^JJ`v%42$z%B-c1OK}X6|e38|KFaWTSD<S=jTpIsHAcri*f}E=k?A? z7Dregf!njUE*hE+JbU+ox;$`e!1kl4j6tXbb#Z)pRl&}^<kR^KRWYAu^RYBg3li>M zaB~{wUu)0qy`UDV2i(7)!X0cx>wywK&+fe-<#309yOUs9&(0&B-K`+4-5kviKR9-a zs5C#~=RDOZ02B6L0gH#Ius|dNSUek#PT&W%uHS>2rLmwC1&PJ(zA3y63_jg$9lR*D z!G(iASPwp6ZhrJY^P*$74TEcU2m{OI_l}oeHUE^ZQ|=AmaO@TlaNG$RQE=2e>C$=f z@*~dc%?EfKyIB}6KXBwc)cjoDvH6jF=e`T_3=A%v)2_&alhh7K65JsVZY6@e3~>k| zeFt#C)3*btCD?e(f(xV=HQ_<kqqSEp7#J8p=@}YFp!P5msF}*p+04KVVu0GKETD#J zZ?%R;<6%%w5R_#+I-xCLH1jMm%mdY$;P&+fxOrP3<|TlJgt#Ft#burqhItOmAZIaj zHYf0a7$EnhfO1{$?hBv-w(+nB51NrspF=1#_gZ6^UjZ{8l=mIGLFS8qqM&y-2RLvK z|G;ZLIDdx(2Y@@H|MzVHxv3LU===0;-T^9cn|D882NmF5?V#RaNm0uI&pn_KE>Jv_ z@ObvtsK5)^-rXlaZ6#P91}A5D=Hl~gKEeXZFOVLfN8@1&P(uZ#*aK#5=Xa0hBOIV~ z9OoDhPCO_!f{Pfa5qRwbI}KhX;jj(Gbxa7?ec~4YmpMMYtUUk!|KA5Hkio5WpU&?- zogdL%2#G9Md5H+mt*GH?0jh$UcPD^`TO6U`>C$qbMAT!y8#HD;nvcV4$Hv2;#11ba z2!s&Kgc9Ts!eIp5+q|Ctk05;Q)61Io|Nnpf@B_qp7%ly5L-p?tkbk?IAAqRV10_EH zAHXdZ_1N!&@G=WDLwhtHE+8^dc<zDehntD&ajXWx)uMVFDY`*joY(PKOb4eYq`1Lv zJ}9*_BSIF*f}j6LNKa__Uj!Ot(BSgvZuVdV6)T|e0A3ISl>d)F@_z*+|F7W1SH6Ja z4`c_pZr6jFzHd8HG{Qp+9J-LY&ZE2e1}k#i?$Ny)G$4tn>p=Mhoc&u5lsI~H?*=J{ zC*+-QS$N$Js_Ga$x+jB7>E!BmU;&NUb$5fgj;K{RJWF>sg9hpm4GfR&-4ZAb47fE= zM}U+Q;|P!`NR9w=(HsF!2i?scD6Jfi?%e@+9RX5Kj3Yp%AUOieMRNqa-0f}#4Naqj zL<e3+fRq#C2#_gAjsSDf9Ki}riyqz0pavX@BS6hH+-U-&oES%dOhIx4n2Y8JHiRQS zphw3KydeQnPK+Z!rXV>2%tdnqJHinf;O-Bs<?GSC+W=IP!drsq2@|B87)O9iL2?9` zi{uDUi<ARY=D>4l0=hduU2WVk0#Z(lJ3yu&xdY5~WC7Lapzdeq{b+at6x4QR$oc{5 zH83!A9EQ+fK}aJI6cQjVhz4O08-!P7fk=oN2n#}PkONPcF(k-C=mQE6x<C;^D=0ze z3sAa28NxS!OtdjPfYK9GA>s~d5c&g@UZ4))2WUWO22BXP0ZJdxhVXCbLg)@X2yLMc zp<h7h83qu(harUi0i{<MLHH5I5SqgTLSLAs1@_>HJrMfAEeJi~HiYhA)CS9U@PURW z7#MblLFfz#2;CtKp<k#$Xb*h|ZD9zZR~SR+4~`Hz!Wlw)xIt(KF9;28^e`|mfGQf0 zg^X1}42%^5jM6;p91|EB7(lL-0ZA}0Fyw+F%!yCHkx#;f&!CyPkBK>nkx#;rPr!)} z)WQL&^&nDh3}|Wssunb0%m6k;!ii78iBH3c&%lw-!jaFRnZ1Xtm$i?jpSg{RX(C9- z04%NG#3uoE2!|`5g9|os&<qJ^7y@L45{O`6U;z08Tt_i5Fnj@tIr0g(@F_GiF}($O ziUXtv)QAR28G#4}1_n?E1T;$t;ub*t54K#vkx#>s&!CyLhoz6XpNZ)XhzoU>6CZ~o zp8;5J1V|491A{%N^$ho;BcFx~pF=a$XUuxcNSYnNVF_}}6sTT9kmU>v3@Qu^3@e~w zi6Aj>5J))kDS+aFDHIkJps>9FRY!}k1(|OEYBn)2Fwnw$kl!aj&4<MuD1Cy)kwM;G z4k8@+1elicfkyrr_CVDli-Y3r3RFBA6c$dPR0;|!P{4zujG2Rx&%==~z?09Rici52 zoT9)98Dy6LD83jN7&2gXrSWk{FfcG^K*di&#haO!4uc{L<YpJB94x*-;S>QCqeVD@ z%-=y{^Cdt%dK$$u$o&;GHva~V&9{ISptOqL88kNk2aU~-U;||o+LRNZ^aE;Bf^48w z_)CC>LTH)(X>2}dxSdwv1L}{1MmRv`9})r$8G*}K<T~7)?*lV(CC+@316ytF$oGMn zfdN#$c7PfZ3=9muAVVGb1e%$c+(G3l$gU+&xm3_X33y!!ZV-Ul3+{Xe7*Xu{%!}Qw z1B?s|b3knXsCk#1aJanz)$JV|d>zb=d=r>G`5Ks+vl)?j&ro=JIDFZ_%xuhztgReH z+j|htm9K%BfdQ1Zr*MJ-fp&T43ysYW;i9ekx6s&p5pLSLzl6r-U!k%2COotapD8pp z{|k-H58<V4_-vuE`68flmWFj-360IaLSyqyK(pdB44)}9HvbEa%?|-}if9-<pw-); z97e0QpNJsHblTOwB{Vkw3XRP-0k!#P7{60!Z2lJ-n;#-f+w`-A#^#HF20~~Uza=y_ zAG8P)6a%y>A56dtTWD84fbJrorTf3o*!>}(1wS-QKU-*Qz6fN9oF-$Op#EJ6jm^J8 zWAjZw9d;VV?-UxF|Aofphe(2QFYU^QEi^V?M2fcVFQKvdS7>a$i8O7)X9|tY|3YK) zLqHR5G%O#s(Aa#?5^GQl)2jVYA`3E|cICqr8k=t-M_cz#p|Sa2Xl#B6bm29v($5we zn=b-d3{1oPTS8;=uh7_h6Ga-Qe;S+rg~sNGKqv2Mmi}pMzKAky<F|yy=3k+)`6i$R zDKsn}rqI~@FElnk1iX`kcJ=!f8k;YoM&tBPWAm@j*nAUp+J?^*8k_%x#^#5B76j6; zeAq%`^F=gi8^0wqHvbBZ%{PH8Ri)YZ*c2L@|Aofphd`F_(ky(o(Aazt9U7;98k>KG z#^#&o(l&gi(AfMhG&Vm3w7-a!<v)$h7tyC}{Fczz{3|pz-^75n;WLHC=6|8F`61AS z;56(1(%5_v=q3nSxxa+Q=3k+)`6k9RPX9DE{|k-H4>6%__-vuE`6A$>DQMS!FQKvd zS7>a$i5YF<cM6To|3YK)LqI2&(z5+SWAjBoo2Y2${t_CSe}%^8n}ALep<(z;p|Sa2 zXl#B6=yVhshR+rnn=b-7eT9bZFQKvdS7>a$i4Bd*e;S+rg~sNG*wQw9w$Rvo5zwhW zv`qgrHvbBZ%{Q^9ZTwE5vH4$UY<>vz^aon?AGgrhd=W?5#%~FY&A&op^G!heCTUnc zOrf#)UubN8h%;@|&lVb+FXBR5_m|Mv{3|pz-^7)+;WLHC=6|8F`5~Ya>S$O#Y@xCF zBJQ+}-x3;|e}%^8n?Miyp;i4pg~sN8p|SZPp0rIrTWD;)h!<_$UqWN^uh7_h6K~pv z&lDP)|Aofphky?4pk?__WAjD8hmg>4{GZ0=U!k%2CVn(d|1>uL3ysYW@uzM0Y@xCF zA_25@e+iAvzd~d4O#*2fK2vCH{udgX9}+~{@YzCR^F@Mb>;4iNn}3DI=9`4jHhiYg z*!(XvHa{ekw&Amd#^#HJ(boMXG&cVVjm<X+r)~I5p|Sa2Xl#B+1Z~4-3ysYeiKMOj zOK5EV6&jmw5=GnanL=apztGtHkZ9V5&lVb+F9JHGgoge15*nL-g~sNa#L_l?r_k8^ zFElnkB#yS>vxUayi^S8`{UtOu{|b%GH%Xvv_)MX(`Cn*kehB!Ge%g&6ZlSUHB1yE3 z-x3;|e}%^8n<UdVe5TOY{4X>%KO}{=;j@Lt=8L4#*8L?kHvbBZ%{NJ-ZTL)~vH4$U zY<@^OZNp~^jm;MUUw%Tn`m2P-=3k+)`6ijPjo&FWHvbEa%@2Xz=|!vcAzNr{zDPE0 z<F|yy=3k+)`6fBE4WB7AHvbEa%@4_?ZTM`VvH2o-v~_<8jm^J8WAjb&X&XLMXl(u$ z8k-+dK-=)yLSyqq3Tf;95*nL-g~sNa6wx+(rqI~@FElnkq?oqhvxUayi<HpT{UtOu z{|b%GHz}oU_)MX(`Cn*ken=T@!)FVP%@--Bt@}%8Z2lD*n{QG<yYK-W%|8Wdz9Hz2 zO7LBP%-XDc9N>EbH$dg?f}8-BW4aEyClIux{|r=aHt6n8Z1)6iK)u(mo(0=Ifsnh8 zB`QG{FfgnDQSduto52^zGR;EV84Ef})CH;*T&<wq&6@_hn-}E19H_b(ATyEO2f9y~ ztp|E5FjF<?B4p@|!j60#uAobiHNclELhn8XnYDw8X0cR(9K^st4R-}V&FTReiQA9) z#Q1Rz70r4<MYBw*L7rn^poSkCsA$$1DwzeE9+Rkn_>m8LUP8O0oB1g-wycEfl5PeD z22dKVf!c8tWEJkTvVxeja)OFxiPVA|#K1recO_8KtTj|L>jxFha;bxa*G>?HJ09l{ z6OR+9WEN-%$Q`IzN6_7c{hs;Rq$FXG`8M?+gBch$gD7NwV>iExRP*Q1(0ouH{{uB& z0dyBJVfP=z=6**$2WBfaY%-9$Y!ezlfy2PS4!VmS(+(HD0OYHCnU-KP$&oLh8k;yM z4h}%gr&Sy%G(y}@EAtzm=I;ktgBd<(<t)=QY~g^bm<5H;1E~45a=$|p$l<ih0}E(u zK0`Ca{j>`I1gQD63jYH%HeaCy5<awYe*@HfT7~}usQI*Vze6i+!)F1F&1Yz%t@{&b zXg;V7c>rqu1dun7%TLrkRWUJb51w|AAq))tAPToxMZ}mDK}EBcP|>UpR5Z(`0~Aoy z39k++nstSWW+`-1&yP7&G;0SH&0^`Io*x6CW`Vn+@I2(mrvb{3un~?p5Eo^H!v$3Y z(m$L-MYCQ|(JYg0NO)1hj}25b>kJjmk^m2GFfdTVk113%YXcR{`a>nNK<#XgUPzj_ z2cqEV-U(Dy7&w9&?G7mYoUI@s1F$r5K5%fsCJr)x1=Rdi#F^iS%^ZCF1dcwC!3+$v zGCu=qKCRXSoq(E8EB9-F8wIorpAM+`CqU6aIQ`5gHGW^v*nAIg1B`azvx3Ivb4&yU zJnh_{L1Xhz(Aa#9Nwf{04jP;P0%|_3${&x(kno|E`75C2)2e*qm;!M>t<2AWnoq0p z?F7_(T7|#HR7m*H%KQ!*oBx8w=6g(|ZTPI9vH2X+Y3u$B8k>KD#^!5)Cx>abC!mAI z=D(n^`5rTAn|@Z%*nEyzv~_<5jm<wnWAim;(>8oMXl(uq8k_GihqmFfg2v`^%%!dS zGiYr72^yQPF^{(4(?MhNU(ncmkNLC>pA|GVpJM@S-Jd~Y^H0#&e2s;)4WAAgoBx8w z=6funZTPI9vH2W}Y3u$B8k>KD#^!4*p>6nd(AfMJG&bL3DQ&}N1&z(;SVmj-XVBRE z6ErqoV>xZZr-R1kzo4=C9^i%Yv>P8-L1XhdR?;?pGiYr72^yQP0Uj8pUHo>?*!&kX zHs514ZPU*R8k^6thPLj{pt1QUXl%a5TH1zB2aU~tL1Xhh*3mY6R?ygdj`g&4e+G@s zKS5*jH8#*Td^%`s{tFtL@3E1#;j@Cq=5uVKt@|@*Z2k!vo38<0Ku*K<4~@-#L1Xhh zw$L{Hte~;^99wDY{tOzMe}cy5Yiy%!_;k?N{1-Gf-(x#%!)FDJ&F9!bTlZ(s*!&YT zHeUn0xs`_HKaI_QL1Xhhzzd^j7d|U!Y(B?s8mE66n}34F=4<SsZTNK1*!&kXHs50} zZNp~;jm_uSM_c!2(AfMFG&WxYyg`+A<y!}h&3{2-^F0pGHvO!ivH2VaY3u$B8k>KD z#^!4rqHXwe(AfMJG&bMkFm1zU1&z(;03VV<yYelA#^#@(vH2R{!+>ZPJ{>eR{{@ZB z_c%u5^iN~+IgZoT{TVbi{{)TA*8p$ap<()`vH34(Y`(`y+NPfsG&Y~(6m8v~L1Xhz z(Aa#9)3gnr4jP;Pg2v{1oS|*_te~;^9A|0k{tOzMe}cy5Yn-EP_;k?N{1-Gf-{U-O z!)FDJ&F8p4TlZ(s*!&YTHecf+ZNsO7#^%4EvH2dCXd6B&Xly>mW!kzwgU05cpt1QH zS7;kP9W*xo1&z)3xJujbSwUm-Ij+&x{TVbi{{)TA*SJpG@adqj`7dZ}zQ+yPhR+Hb zo6m8Rw(ifMvH2%xY`(@V+J;XDjm>{SWAi<3(>8oo(Aa#AJG6Cw293=>L1XhZz!#3t zaQu_T=D(n^`5xed4`~-ZD`;#!$9)>7e;S*Ag2v`+JfLm(bkNxR7c@5C;~{OsX9bPT z=XgY0_h-=9{1Y@bU*j=t!>5DB=D(n^`5sSb8$K&&Y(B?R+PXi3#^#@(vH2R$Xd6Bq zG&cVQjm`IXPTTNVL1XhdUeMP488kNk1dYwtcuCvv>7cRsFKBGO$1B=~&k7ow&+(eJ z?$4mH`6p;>zQ!BchEE5L&3{2-^F7|uHhfml*nEz6v~_<5jm<wnWAioM(>8oMXl(uq z8k_I&fwtkZg2v`^e59@WGiYr72^yQP@rkzK(?MhNU(ncmkI%FXpA|GVpW_Q{-Jd~Y z^H0#&e2uTP4WAAgoBx8w=6igjZTPI9vH2X|Y3u$B8k>KD#^!7Mpl$ec(AfMJG&bMk zCvC%L1&z(;_(fayXVBRE6Erqo<2P-?r-R1kzo4=C9)D;XJ}YQ!KF43$x<7-)=AWRk z`5OOd8$KO0Hva{U&G-0E+wfUIWAix}7{ML@AG1RE{=tc)-anW@WAjhY*nAB}+J;XD zjm>{SWAi<jXd6B&Xly<QGi}|UL1Xhz(Aazp7TShS2aU~tL1XhhSZNzRD`;#!2ODkO zpFv~uPte$W4R+dwPX~?7e?epOJve9^J}YQ!J_jdl-Jd~2^JN$q7*0UV2VVo}$S2^! zr_jvAG=WdRk&nZGfq~%#R9=XQfq}sZBrM^=7tqYy$HaVukuSoDFTs;9pn^{V-1OsM zU;ycn;ev#T7l?A=6L11aE4c6(G_&+E_cJkDGx8}o@=1VYI2afhK<)^D>H!}&2zSRO zkcAu;3=9kfP<d&nJDfoJ6&(3AT=*QCS$iM`?`P!GaO8ttjPAt8;mGG8!N9<<2C6p< z)KYci6KG~)3gF{lU;xRTz##{6(-Wv1_=+gFn`VOD1d?ar26>Qy0epoHTz(E*UWI_X z3jz5Q0`e_*<P{hg7?wcg!CRQ&?%M};pCg|F14zvY0%}0<`39<{6XacRtVuZXA;o7E zT6_vHFfb_afD|(@XoA9=fq?-eW&#z{K@#(Tiow)@;yMN@wjX2!#6BlbtZF#&88ox? zu=YY?dnF^E23Q=Hl0bIMfa>i)vSSTYObIFmiuVIhu>g=5Bu#k1(!?D+azCJQ!3cf6 zFnuDtAO|xrpv!qMFfbTE<=jC^;C^xhrB?%3`em93;v$l-E1v<=G*lr5kXbcQv%pK2 zaG8~isu5&XDyk6JtP@bP!tuE)4OJt^tc$2Z;JhTl2QmcH{~93wL*)`!Ams?8On?>$ zK`fx$EZ_tRNKm+9!K};-3@i*Ep}kpzf=Y7`8)PVmM&LZCIH-I<h(cJ*44^^;!bT>U z8Ca3IV799y1A`C)6GK2c#Bb2+WEg&nLc|#|AmT+})eH<v_#xsOrb6rhmw^ln41T;2 z@dMK#;^1<Ofq}u^0wTU431ZG{s8?J?AmRZFAnL(+kAZ<90&0H2B8WIRk1#MW{5OZH zUj`8er!xiyhQo#s@de8v;@~vHz`zio3lX2N0wNBMe+C8yYjuctz$%D1IKCJd7%nJ5 z#6L`eh=c131_lNpS%~<A$q;dHJ;A`h@D8e;0qS3Hxz51A@ENN9!AyvHaGA`&z`zL& zXNOs+;$qNnSO66Vm&FVW4BpUiaF`8I4=#fl7#PI3Any5qCjJQ;J`3ics^10;pMbfj z;?B_UVVH+1zE%Tb{sLx5gu}{|-%$TvfQmz>6&cdxAnGqb<JAbFjllxy-vgWw0YeDI zum~DHAGjd`F!TRNK+I9#frvw|Wn$PO3=zM8CjJ!a-v(ZY`e;b<Vn~Jh_W>V7JOe^8 zm_hv;zz-3C9vj54T@7Ns0;q6hU|@i`b34?0h-wCgT&Vd4P=6Ib`7m)wWr#TwVjvQR z(5!Yt9wL4r5h4!VZp4rb4F>_JI+%GMpyANK2C>;58s2TtaA4qqh$liQhRxa#^EW`_ z5nLBCFfepL-P15162CC<K1GQ72MZzMuzD#O>MsFkzJt|a8^s~&9iZYc^#`Hp(_jh2 z99Vrc4^|F9#bNOq11$$WK*hoJ5(5K+iz(Fnr4Vyq;)kH|H32FPu5%a|7;b4n)PFz| zH;4N7!%B!bF!g0ncPdCh0_>0wgf`}engjKyFGQGOGb5xfhjQW6YF6yx9yrt+Fk@H0 zj1RlGAP#dtsRo)f;cfvj+;F&u6NmT=7VP%!!C~)R9OB1u_-io}cJouAZ6lDIk?~a= z?vcl#-XDj!84i1;aEODJ`(g{vIXL`VhQpnpdIOs|4{_LgA4j->rZ2IXqlUvhQ*p#^ z7!G^8aEQm4V~?*xIMnCju(uG0JAavBH{TaWIJDvruh+$H4(M8QY~iMlBfh@lFkcTx zIDn4L#AZG(4s$^D1~&DqIKopBM|f_*;r@R(!Wq<F#%Aw!XnE+M3(0k<(E2bPT90qA zhKOHsg3z;}_09uG@yP(5?_gkH_@o0VCkvqU4ov(CA4J>$T93oTKSImx1gN86;`d?Y zupq=fn0P4Eoe$8&y`lBR0%&;+Q*WpVu{S{&)f{_hd9EOWDsBvIpFBVlzXGk_7l@*& zKL-tm1Tj=`5vacu#8Jh+LBrtzn)n51xGey6#u%Xce?je4sQLs+RCCrELHsqL4plrE z+CB`ZM-|V4wqpevP{pG;A?92_6E~HCh)-xlRWE1&5f5lW6`v^x5f^Ai6<?tO5x;;Y zzK9JXKA{CweJ`|K5zvY%{?ZJhUZ4$CJOdj37tq8PLEAYK+ELZ_Lfabw&;|%Bo%Aa} z&F@52?`i@OzknvrAqEkj(1oi0AGAFj(2XiC2u*JSJ*eUg(DZfzP5c71T{@u`RecJy zT^G=YDxL&wmkRWwipOw3+<5^_+)NrGK4Ai?dLey?c)&zd@jU_%ae+yw;yuuGdjU;6 z4VrEzOh#274Q)>bOhFYFgtqGhrlN{(f`;=2H1VC#d_7?rs`}&5a1MZW$YAk%6B^C} zGf>r6LG#fCG;w8UKAJESRlOuM9|eFa6r_C02F*tTvr*MQfQIu0H1V_0aGo#+Rec&X z9|g=s6)%P6BY}CS;`z{gbOB9V2wHAWK)&yufq~&BG@JvV9Y<LB<U-r+0?7B9GcYi; zLfg+5(8QZ~An9QO@_phA3=C7C{g(h}2NY($n=wSa0P_9O3=9l^py7N0O`I8;k0v1B z*UZ4c@C6#q0m%0hGcYjRhn80Y(2gL?-c``@>H?a$kr2c^6QBbaF!heA5b*%ydtgE1 z0PGNP0p$Bn85kJUq#)uK(8QNQ%c}{<_l+_zFqlHis{rJCHW?Tg;-KY~0P_8k3=9mm z(DLd6ns^MfyqbV~pCbbU10%G&3P8Tckb!|=J9K<T0Qvqt1_p-H&~UzhCVme(ZZHA) zzB~p7h6B)kXaMp(aSRL$&Cv2n0Qr721_lOxXnA!3O`I26UQIy0kBotVfgM_21t8zU z#lXPu5E{+`$oEfy#<KOG;g2R>0WGg4Am8@{iZ5t+6@Yxt5d#B*Ahf&^fDX*S(gPc` zyt;rUz6To46QByA6hj`gyb3_RM}~ocp%hwP2_WB}0tz>1d36CzyaifbO+db{gn@y< z3|d|VAm7u$z`$?`8qNa9_fs%1FkFR(^93~VL(p)ZfP5bW0|P@hw7d#Hz6XJUfuRCg zUI`$d{|^c`XnA!3O<Vz5UQIwgZ=ZpIK^t0L1%MjNNag1_XgCWXpRdlqz|aCMuP&g8 zOF+x33CQP}gT_^%<y8RkIo=Em40h1+N&xx%YS6eJw7j~2Ce8#cuO>hTeqiyt16p1M zAfJ=Wz`(E{S}zD7pAXEyz_0;YUR^*F?}C<B6Ohm2Wnf@PgO*nT$mh_4#t)(4EC3yt zfw|`|G@LJ>iGPQN^91DcP8k>&9>LmI$me`AFfbHB%PRro^DP+|7?_~t)de(hZD@Hl z0r@;h1_lN$Xn7TYe2yXm1A`{Cyb?e@Kahce;T$xaFQAFHK+CHM$mjKe(kHaM3P3)m zj)8$;HMG1EKt7+1fq|g`T3%g16L*G|R}+xWLt|iIxC5;h0+7!E1C1j=>jeSi^RE~f z7_LC;g$ron>!9V;1myFk7#J8Tq2*No@;OTk3=FQ&@=5?Y;0KG}&(LtbfF^zq8qO1t z&+}nmV7Lqo=K$n$Y#10AI-%v20P^`Q3=9lD(DLd6ns_j@yqbV~UJ3&PLn5@i3P3(5 zgn@w}6k1*hAfJ!Hz`!5^Ew3)1i7$qhR}+xWqhMfQSOzVx0+7#PU|?Wa04=Wskk21r zU|`6EmRA?h#KWNF)db}I{tOHZ@1fxw03G;;h4VjXzfu4+;Db~yaYFOa1vK$B(DG^m z@_uRt28JYPc@==XkC=gh;TtrZ1(5g8GB7awg@*G5G;wZdc{KrfzbXR*gDkYX3P9ec z$-uyH9vaR9$omsP?Kfz7bpcJh2U=cDK;93?z`#%oEw2KQ_rWnRFoZ+PD*@#FUknTk z#?bQW0-E@4Xn8dOdA}6{1H&n3c@+Si5P*gM5okCIAn&hXU|?7eEw3)1iQ7ZVs|m>a zSwP_ctrr52_i->VF#Lel3j)ad7Z?~Au0zB50-E?YXgE&*4Y(nthuP5bDgb$%JOcwm zAhf&^KwcjXnxBG}R~OL4)1l?n1myMDp!y41UIiepLk7)fLBm-9dHpQ|1H%kxd36Cz zd>XX8ngE?4goX12Xn7TYyv~z>fguZ8UI`$tZv?ehq2<*DG;u~~KAM2Mo{)ioVI8b} zg}jaqG`|3AUm>p_1En`;KDvM=ZVb&w6Oh+yfy!HGJ_<lyr^LX(a2uMB1d!L~FfcH@ zgqBwq(8Pa3%c}{<>tPre80J9pQ2=zE2Q1uzp!rAux&{I!?g7n57tq8tp!sM5bgckP zJrgt^1wiM`VdC$h<&^+*{uw6T0nJAj(8Q-h^U(z8JTgrEL})$=;0MhFAjXkgq5VMt z0aS4wXg<1tCf*OtM-xC34M^#K2Q(iA2%(y@1e%Wogi*z}LG#fCH1P~*KAIqcs$LG7 zj{-zd#Z{sCNI(o#Tp5~=E})4sLi5oCaa8qZp!q030#!T}nvVn|QN>H3`RD?gcpfw# zO^`xW?*Yw60n(`A$D!dYAcHC%4r^bbi8ny&{Ry(D>T96&et;aRcpbFf7m!C4H-Xms z7tq9CLBn~10;>AA&~Oe=L=|U%<|6?mRPjg9aK3;hz7*EJQbtwp1g-Z2R8YnBq4mCi zDyq09wBEmfCe944_a}fRK9JJqIcRwmppI(JENFQppn)px0WGgCpotqp%c}{RsOmMK z<yC+ds`x!<I16Z_icf%+R~OL4r$fuD2|B3imqNoiKo?bf8MM3-&_flEfR<Mm(8Rw$ z!+C-}s`~HHa1Jm)6@LN^X8}W0@wL!!zJMk^3tC=HFhW&t3@xt$j8Vm%pyic-397g+ zw7j~2CjJ2$&J#>g)h~nA3jt=R;#Sb|O28adJP2A|T|g60fR<MiEKt=KLCdQEOH^?c zXn7@Ig(`jvS}$Bc6MqP;7baMvs=oxS7XoZh#n(XV1p!-B@eXKtbpcIW09sy6utQZZ z4=t|(>`}!fq2-l;1FAR+w7j~2Ce8;9w+W7@>Sdte7T|;`t_KY_0cTY42e9;sCO!=s zZWCNk)z5{7TYxL7cpo&}1l&-?Goayi0ZrTm8g3Jy3x8ns;~7}`1Wm*vl^eHV>C+R{ z{Hw6^i6(vk+Af^{UC;zGKLA?J2Y92J1Dfvvt(y|?K^5nOmh%_T#9u(ed4eyhdUj|z zAK-^7z6O>){ZYk}pym7pH1SMmIX@u)RedM4J`D&&6%T@z^8!Jr;)>96{sNl#E?D{u zMpeH9mOevJ#W%syXDF(84=jD6iHAeW`3YgD>Xo78d;oL-7c5>bLc>`g0#*GUXnlGC zO?)FXoF_!0s!xZO^8rz);#$yhUI4nV2xhMWw4A?yCawf6&l{lY<)G6K4C>HyrH~0R zA10m(oew>LCLRWjuY@d!dgwF~0}pgQ^aGmsCun>%WTUE&h0a$B<e-X2Li6JSH1WI8 z_M`!HK@-egFK9kJ02SwhuJbw!oj<(*S^&VnzyKZ2V|WM6rwfW8_QJ$fq3$UtMip;? z)?W@KsN(yf?hz<O6<2|l4-e49_d?rU8_FQ+p~Jfj?a*{vP>w1t0S$kH3Wzv#_>!Rx zT5mE`Ld2oN+ziX0{yl&uZUU`08>%4c71$y3IgC*81&t7KXm^F78yb%rnjqpZ^KU@Q znSy3iabak_Fld2@Lx+7Cs-f+i4^VNKIa8tI9vfOA>S5w0(C{p1LlqB&wu=nfA>uIe ztDx@u02POs^BY=UZ|H!ihl!tu#V>RrCro@IG@lxDLDa*{FNeDG15_NQ-V54J*w778 z4-*f9=A(ihRPp7|dd;90Ra_6|el+nD(0sa~527AAUCQ79ONadsap?3a!#ZfVT|g5* z4{b*!Oh8q?2AU2(poxb-(_zCzRQ2r8bSN+hRon`i4i}(_PlcvKgUP7s_dwIz0W@)D zXuJeWK~<j&Ew>+_iT6PLTQC)(z8aF88APD|{eULk1@&*iG*tDW(0cg;n)p*_dMlWY zsy-H4Uwl9lZ-M%^U<RuC`_O#x0ZsfNG+z|VL{*;xZ4Z1v6K8^&Q!opn9y<KW5D7IW zU^YY?IvvPR15Jkvpao+L3=A;wOlW%B02PPT7bl?krC=_qIcd=JuP_f)JO<kCy#N)5 zPGc}+L+iB#^C9YC;_spLSHS{^ILtjI(0rk=5LJ9KG`(Fw6IX_s)369t{Y_|l!(cJ0 zcpfxeJwOw;f|@^J399;Y&~n>hDMTC=KKG&NhhZ72xFNK^a{ww1^Oq*n{DS2W^)T_d z&~&S?0wN9zp9fHPet?R@>^%oHXTwT}dYHHiG+qi;p^7&_)f=ov73YAe|9~bg3ri1c zP}Pe;(@()#RB=maJ!`NIRa_VvZXeLZK^u`k?avMCQPo#M!?R!ms`!3rdN$aID!vJt zA3vapFN6AP!zNVq8=&D(09q)E)ZSKusyEnzsy-MRj~~#)1EJ;ZhOMaTy`kk^!8TNJ zRcQHRupL$W12q4BKoegLZ8vV%fvP?W8V&_JQN>-L;b5=}RooI<AALX*XMpDG4ZBg* zFNC%m3qT8Nk-}#MwB2a17ghZ>==i_~H1X}QdTJl4`b=p5s{pz&0+w!Bq5Us|1E}gb zpzXa6XyRX?;k@A>s``D<a4tB6D!v+8zZe`w6?cJ^^JwD1(DHV}5mfbY(EeA!QB-k8 zX#O=ghAO@t8qOck#J!>A<c8y@>XV`6Ucm`e@j__-%ituccr&!0`vFbd6x#pVa0*rZ zYiKwZoJJM@1Py0{GpOQ^q51j)n)qI5zTR*aRsBq8`?=s8s<<Mw{a|n&RonntkAFZD z*Miok8!n)#7lrn}3NE6GPl2{S4KAUIt3b<>4`|}P(01L1%c$ygp!HP26;yF=X#dOL zDysMgXgGgB6JG|ccQ#x@Rlf_`&n>u)Dt;E)Z!)-nD!v}t&;5WVUIgtoZMcc5{vR}) z3vQu`|AB_H!EIFWZ_sf5fF^zc8qOQ;psJq@Ew2hd3+$1~hjeIpWpEEwy(qN2`hX_R z04=XJ+(%Xa6&lV34^YKdL+f{ghp6JQ(DLd7ns^GdyxQ;xRece(yefE%DqajNuMD1` zimO7)s}E@62ch-ChNr0Nk3j2%f@i4W8=>Wu!E;pcDrkB20Zlv|T3&5<fvTPXT3!{r zL>1?UmRAO^P{pO7<<$o?@x9P`VZ&=w^~KQgs^AT(I6JhwGI)zBE)OlQKA?%~Ld&ZS z?@-m-L(8jz_o(6@q2X-s0abiCw7mL&CcX|@UTye@s(wDSyejyFDqaaKuM9q;iibkW zs}E@6ccJ0D;R~wzH_&h{_=+n23>wY`-%!ObLfcCp(8Lp=<<*AosOmpL!@1xGs<;%i zyfXNSD*h81&L7akC7}6e!!K0zd!gl3!EaRYVrY3~@CQ}A3R+%$Kog$@Ew48GMOB{< zEw2hd3;vPH2P0^CW$+(W{b^`<^#M)%B(%KR0No1;YbP9rmRALgkcIv*@ww3Q%76(~ zJOf%@eLxd8ftFVrm{HX~f`)Se3##~8XuV(n+7N-{uS3vq{(vT40WGgKu%Vh`4K1$< z*iprupyibT2da1gw7mL&Chh_)uQqU^s%M7gqXI5e@k!9~%77bHd@8iO`hX_h4=t}Y z@Sv)XfR<MUyr|-?(DKTF4^{jgG@L)6iNA%mw>R*ks{g?XSwC4IfGR#4T3#6lqKXGX z%c~D);!mOByaBr56_!rkK*PB}7}cC#&~P>oK^5nLmRBFp#P>nNd4njb`Vwe)RUn2c zUJWg;48&2zbD-tb2Q+avXnD0k0#&^dw7e>iL=|5JEw2nf8^@5+=T2yO^#M(MGqk+g z0Nv;e3!iz=@~S`v)qFi@d1WArD!va|UVT6le-5n|Hpro>KL#zY3gl76pF+dgKmk>} z3tC=%KofU>mRB1TQPsOc%c}w<RB=~md1auCDlQK#uRfrO--U+r1{GBGWzh1fKowQI z6<S^ysG*A2L(8iVXyTF3@@j)Rs(KY@c~zi+D*gf5Z#B?F6`u=hU!jSY!`fF`sOoc| z`KUk}Ra^<0j|_BB#ScUC(FZi~Q_y_0K^Im14QM_p&_fk}1ud@(^ijpTq50?onz#ux zA8jx|Rj&ojM+Jtc;^NSJWMG6U{t;SUeLxex2Q9BQ7^A8$hvuUK6IAgwXg)G9MHL6_ za|VrLd_WUdhV}<HfHrs{<;Pdha4s-MHKz`mj|?nO#iv2@(FZi~$<TbX!4g&dQfNLZ zutF6Nhvp*#YgBPwXg>OYCN2WaM;mNV)w4qLQGqS0_y=ftWnhOYz8jj4KA?#^L-WxF zdsOv7(0o+jfGX|-%|`}~sN&|(eDncLd>gd9+Tet$-VNFxEO15@&xh9g1}>=LQP6t- z1Dbd)wBFy~imF}+TJIOQp^Be?hO>b?s`wpfIDbGBe-91k4IZfKFG9<!0#8)&DX{jH z7pk}dwBG-KCN2l9_cwT>s+WM)`vpFz;-8@5Y~YJ3z86|veLxfMgx32T{7}`KL(8iI ze^hZLXnAE2fGREyEw4VHiJygr^M*iF_4Uy5svrneybW4j83dz>Pl1+KAJD|7Ld&ZS zA*kxzq2*OUD605lXgC{yHZmjS_h-;>{(vTa85+(T!conc11+x#B2dLUq2-l9B&xU) zw7mL&CT<8VuQo)Xs<(mG`vuXc;`gE9Y!HJgJ{4MCeLxe}gqBwuVo}vQLd&ayI8<?e zXnAE2k1C!BEw4VHi3>x^s|^XL>Q6%Jg@Qy>@e9y;!5|4${2;Vm_<$xp8(Lm%NJdp( z4lS<=Qc%VJL&Mo16;+%MT3&rX6X$@IR~yn$)qjSyuhLP)o1x{EK?bV0Ftoh-fF^DO zEw46YqN<mMmRAK?sNz=8^2#6^Rs23QoIjw6Plc9O8*)(9&w-X#1-Yo=d!Xf&K_063 zL}+>S0ZlvvT3&6)M^*n8S}zn7po+hShO<E-s`yiAIDbGB-w!RXHWZ<%?}C<B1;wc1 zVbJo*pafN%1zKKxKofrt4d)G|sOq0U!?~agReTn-yfP?96|aDX+XpmpM`*Zhs6bUO z01dZ-N>uTc&~P)TLKR;Q4Yv<y;tQeSwxJqTeKj=P3TjZr-Js!SP>U)q1P!+jXyON8 z>9Y=1{c32q71X1OFMx)dK?ACI7&P2IpovRD%lQqBsOtHl<$OUCs<;xgoHuAj6&Hk- z^B>T}A40=<Lkp_<QfN6}(26Qv3@zsk+EB%Fq2>GsG;w!mIlrMDRlPE_oG<7=6+a6N zXM;{u@jK9d^anKY=g@xi2G9W-NcB+|v|U=zjcSf8to-Rg6<+`?=Rcr{pM;k48+uXI zZ-tig1%0UE$D!rCK|iW^C9M2G6W528KNC>Zo59MTiKyZx(0pV7J^u>UpM@TSzyMnI z13F9T&wmJjE(ZmR$Ak9$LWKXfL58Qm;>hYj=fi-O5`fG>R?h=H-v}fgiDZryl6WYR zco33!G?I84k~ru{3z$3Gk;HwF)bB$QPeu~o1#$=j=qxq{2GH3xFmwJPiKii{SBIY8 z1d>MfZ#0s4IFkATByk5M@ySTy$nIQ%Bp!sMejk!J=&U1{J9*hap$u_9vb{=3;>h+I zL&ZU<0c0f1oIt2J$Y03jq#=nTn^O)IhnWLCZi8VaR2*aua`>!)u9JePhuPZ%JueKT z9(2YPO#Cg9IC8uQK+h!ssYf<n4l0grz6Mkrq#ijPTH+A*!XX}uBn~>`4d%`{NaD!p z`4o~ka(aG*B#xY(zafbur)P2Kc`G3IBd3!XBynVWyO6|@?OlW<j%+VzJ{pvQK;|Rc zdmTwVvb}uJbJ;-Zk@L|(Byr^UDukZb22zhKJ{fvG4M-ex1{f?n&mxH<t9OE);|5ZX zEG`N?cLpS$f|Or;k;IYJ_aKQQhubbBapZ8jgd~m}ZqJa!k;9E0daez~J;>qagCve@ zZxxa_vb~d##F6b?h9r(`?{Oq?WP5p_=jnjlgKV!Ak~p%xK}h1r_NF0;BijpFZwX63 z$o8H=Qjcu!FC=kfdxfCq`+(euY_A%UII_L&NaD!$_8^HP+q(-%9NAvbzI&Mak?nnk zq#oH`c4$EdawoFAK1kxo_EsT@BilO(NgUbUWk}-4_8vzPN4A#-dY%!;J;?T2A&Dc~ z8-yf|Y;PKpII_L%NaD!$o<R~vw)Yp3II_J$(1r!boyhj8A&Dc~>y9LjY;O;eII_LF zki?Pgy@Vu=Z0|E9ab$Zz^R}?`fNZZ1^t>mK`;qOfLJ~)|cM_5~vc1cY#F6bijwFt3 zFAwxQDRg_Ski?Pg4MGw}wl@t)9NFG>BynVW&mf5-`}Y@;II_J$(DSW8?nJg%4M`l? zUUwvMWP5v%#F6dYg(Qw_?<FL0WP6_>i6h&~4m}qV<W6LJ9gxJ4+ZAg;he|`+hk;1- zizxJ<OOQFBvm{~l*FGe1<o1S!GALC++G+Mk=J?<cZ&X33N49q<l6V}FIR|lwpNEQr zno|Wx>YqZzLGF)266aDy*oz!KLP+8vNa{6_#F70~sD>~H+5N3Z;>hlwgG2lPR2<#? zC!pf!?*EJ=j_m&5NaD!u=T%3z6WRSWphKRq#QSU{ab)*{&d~*#1ac3u_!X#nbobwf zii6angg^AaRggHc`-PCik=?I`B#!L<sahb{K++p>_^&|{M|S4{9O93l;^^*w1r-Om z6WRR|+6a4*-LHToj_m$WBynVST0+lh1(}a59)Ki{?EZ8d;-ye=ko)tI((N>;ILLft z_a8wLM|S@iBynW-|3wl<E;qQL=P;u8M}(l_AbXL^2L-4&Og%JvGU!0XLF$qHYl$R| z+#dm*hYX4Vkb30ua3ScxN@#jUF0W1@i6g6jg(Qw#-WnT&OlJVEGC}S?`a#7(_9Dku zxCyFyXg7hO2r7=Qet{{fdRVz?4L<)I)7~(sIJ!C6po8~8=ie|efKNe(+1mycM_0es z61%-OpyKH2RjeTDLE^~amIW1u#Ur%Y#!v<oM_1ni6-QUU9V!k|j~ri*aES9l&-X-E zF9{V#H@^@nj;?+V4)MpJ&ObE$Ap2L*5fKi^?({+uM^>MOB#xY}R9qnDz}yLocW<aT z$eqaj#F?(B>S6JF04k2IzRev~J<R+KP;qqiLZE|IvG~{28@oB7P;rns$m#zEs1U)D z4u3<%(bWrq4n9Uw4|AtAR2*IXOeAsSaNda|jvUVYpg@HB3pu`a;Shg~L;NXda0-h# zf1u*%@u&tGw1TP!842@O8mKUZiX*$H7Ag)i2Nu7}q2i!$KsF}{R0v`*rx+>@GY4j` zK?L@A^n;3n%twyL9#CTxOT0`*6NkBTBUBvSoF-6ZgT<X|afsi;A<hYkY%Jzj;SgUM zgWbO;q2lQ7d>)Ic9_FuiXyUN&<OfwwQ1>8*+d)tzhb7#eLdDVTRRj$JBB_Vj>k1V| zSAQ-E)txZ+Oa%=FA(;ac-wYK;H|Hy8kO+(VKWO4G_eg;Tg|Ybe3z9f;dR9$`xD#YA zvUmUv@kSitTXBfL#3AmR39%PF{TD*TLGD40ugO`c>S6w!g(eOQ&)raQbaM)GP|bm< zp9&R6SAR7ZRXxn!pHOjh^=+WW9G3VBC`2^}W^W!;9NnBv(2Gvc!)GU&ILtlQq2lP~ zY%GS@3lc|;-$yvac}uXXx5FV`ghPB84so6`i23OLGJuMM+=(3Tbx7jK?q82X{1y)J ztL3Qn!ouwinm8<8{zApk-4k2^F$W}$?4J9T5OH*WF;_vvLF$qH6@w&>Y)%n$qbtlD zm^-II#nH`qk0g$4PGBv>UYI#Bf0aVT(akxHBn~R7Vd_<&=YWIMBb)C96^EG*Yfpwj z#X;(k&B=v|qpPpPA-)GH4l)N+Wx?F@3P~Kf{lEl0mmH)X*<LXuapdt9b0l$4lLuyg z4U#yry{nMKk?lQ%B#vzFH6(Fld%q)zBim~OJtrOHeq?*Iki?PgZ9)=9ws#tmII_Ll zk;IYh{evWqY_Asde0Gp~knMFs5=XW-3`rc>-f|>yWPA4@i6h(l3P~K<UMA?d@F4df z+bf17j%=?vk~p%xHAv#f_O3z_N4ED6k~p%x*O0`K?fs4<j%=?D^qhK-`;qO<LJ~)| zw+Trc+1_bL;>h-HM-oT2_Yaadvb|c+^YKCMLAKWkNgUbUFeGtgd&`l;k?q}sB#vzF zD<pAbdzql;@`K!iY_AxSII_LwNaD!$)*y)^+q()$9NFGONaD!$UPBT`w)Z=dII_Jq z(0c+v?nkya3rQT=-X<h*WP7I}i6h&)9Z4M7-akm<$o6VM?<WAc2iaaHBynVW!;r+0 z?JY+VN49qlk~p%xuaLx%?PY@AivV&Dvb|zR;>h-zBZ(v1TZ1HyZ0{;0ab$ZBA&Dc~ zdksk(+1~F+;>h;eK<{Y)xgXiyEF^Jcdz+BNk?oy^B#vzFb|i6Rd;cJbBipM5y&nSP z9%Or+ki?Pg4MP$~wznKf9NFGINaD!$zCsd5wwDQdF9paw$o7gMi6h%<jwFt3Zw-<- zvc0R2#F6bigd~n^?=>WGWP86Oi6h%<13hR6<bGs(vyjA*?QKF5N49qwk~p%x+mXbP z?fru!j%=?M^nMPIdywsQLJ~)|Hw;M}+1_#_ab$b<Ac-T}`wB@M*<L2-y&xd>Aloa3 zB#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$k?sACB#vya4fG%#ko%GC%|a4KwzmmM z9NFG!NaD!$ZbuSFw)YQ`II_K3&;x%!?m@QK2}vB;-Y_I_WP8hz#F6dYgCve@?<*v6 zWP6z=Li!6J_aNIVh9r(`uQ`%9vb{A(;>h-{LJ~)|_Yjgevc1=k#F6d&jwFt3uMPB` z7?Ass?ae|GN4B>KNgUbUX-MM8_HIWKN4ED5k~p%xTG0DxK<+`d*9l1++1@ZDab$bT zk;IYh-Gd~KZ0{>1ab$a$p!ec{+=Fbd7?L=$z2->b$oAGCi6h&)3P~K<-a|;@$o5`C z5=XZ8JCZoEy*AK$dO+?+wl@n&9NFF`BynVWry+?W+q)e}9NFGKNaD!$YC-P@0=Wm- zUMD1RWP8Jq#F6bSM-oT2cMp;{vc0d6#F6b~g5FC6au2e-Vo2i1_L?J!BimbpB#vzF zDkO1adk-OrBinloNgUbU??~dv_S!%XBm}u1+1@N9ab$a&ki?PgorWZiZ0~j?ab$b{ zAc-T}s|CHE3FIDRd!3NPk?jpb5=XYT97!D6-aSa-$o9TM5=XX|33@LS$UVsRiXn+3 z+iQ*_j%;rYk~p%xtB}Nz?LCAfj%@EWBynVWzaxnw+iL^8rwZhLWP7ua#F6c7LJ~)| zcN&s7vc21p#F6d&gCve@uNL%vERcJU?R7#DN47T%NgUbUawKtNd-ouTBis85NgUZ; zCg{CfAon2KD~2SFY_B<zII_JpNaD!$u0j$=w)YT{II_Lhki?Pg{f;D#Y_ARUo-mO6 zk?qYw5=XYT2}vB;-f2kU$o6hW5=XZ850W^ty;{)w$w2Nww$}+s9NFG5BynVW%aO#9 z?cIYUj%@EMBynVWnV|Qgf!u>^uNaazvc2X=;>h;aAc-T}y9!Ah+1^7);>h-1LlQ@} z_dAj}vb{FYd)h$mN47T$NgUbUCM0oWd#53ZBip+jNgUbUKS<)p_G&@zhXc6>*<L3k zab$bLki?PgEk_bZws#MbII_L3ki?PgWrE&I2XYUxy<$k>$o85ei6h%vgCve@?<yp5 zWP1-Gi6h&44M`l?-tS1_$oASm@5uwXAKBh4BynVWn~=nj?VW}sj%@FCBynVW{~(DY z+p7h=pAY07WP6>E#F6a{LlQ@}w;V|v+1@=!;>h;CLJ~)|mkD|=Ajmz)_KG2iBin0^ zB#vxv4U#yry{nMKk?lQ%B#vzFH6(Fld%q)zBim~Oy{8c5eq?*Iki?PgZ9)=9ws#tm zII_Llk;IYh{evWqY_AsdengOaknMFs5=XW-3`rc>-f|>yWPA4@i6h(l3P~K<UMA?h zj3D<Q+bf17j%=?vk~p%xHAv#f_O3z_N4ED6k~p%x*O0`K?fs4<j%=?D^Z-(j`;qO< zLJ~)|w+Trc+1_bL;>h-HM-oT2_Yaadvb|c+`zb;0LAKWkNgUbUFeGtgd&`l;k?q}s zB#vzFD<pAbdzqm3VuIX*Y_AxSII_LwNaD!$)*y)^+q()$9NFGONaD!$UPBT`w)Z=d zII_Jq(0e*T?nkya3rQT=-X<h*WP7I}i6h&)9Z4M7-akm<$o6VM?*|3B2iaaHBynVW z!;r+0?JY+VN49qlk~p%xuaLx%?PY@AOA2xivb|zR;>h-zBZ(v1TZ1HyZ0{;0ab$ZB zA&Dc~dksk(+1~F+;>h;eK<`NfxgXiyEF^Jcdz+BNk?oy^B#vzFb|i6Rd;cJbBipM5 zy`L529%Or+ki?Pg4MP$~wznKf9NFGINaD!$zCsd5wwDQdFD%GC$o7gMi6h%<jwFt3 zZw-<-vc0R2#F6bigd~n^?=>WGWP86Oi6h%<13f?<<bGs(vyjA*?QKF5N49qwk~p%x z+mXbP?fru!j%=?M^nP5BdywsQLJ~)|Hw;M}+1_#_ab$b<Ac-T}`wB@M*<L2-y}Tgz zAloa3B#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$k?sACB#vya4fLL1ko%GC%|a4K zwzmmM9NFG!NaD!$ZbuSFw)YQ`II_K3(EEu&?m@QK2}vB;-Y_I_WP8hz#F6dYgCve@ z?<*v6WP6#Q_acMbgKV!Dk~p%x=1Ahm_SPVYBip+QNgUbULrCJt_Fh90N4EDnk~p%x zHqeVaK<-DjHw#G|+1@53ab$a^A&Dc~yB$d!+1@`$;>h-DK@Ua%xd+)^CnRxXd&7{# zk?k!<5=XXo50W^ty|0kOk?m!I-b)Q~53;>tNaD!$nj?uL+gpPqj%@ELBynVW4<U&o z+j|X39NFIQNaD!$+CcBg2Du;E-Yg_>WP6*C#F6cth9r(`?{*|{WPAT0i6h&q1-+je z<Q`;uosh(l?F~Z`N4B>dNgUbUJxJon_P#<AN4A#<dM`N0J;?ToA&Dc~YmOw2Y;O&c zII_K~ki?PgJ%l8VZ0|KBab$bHBZ(v1YXiOT1>}BYd$W+lk?n0l5=XXo8j?7&z1xw* zk?sA1B#vya7W95}kb98rbwUzHwl@q(9NFG-BynVW_aKQQ+xrSh9NAtb=)LS9_aNIV zh9r(`uQ`%9vb{A(;>h-{LJ~)|_Yjgevc1=k#F6d&jwFt3uMPB`c#!*%?ae|GN4B>K zNgUbUX-MM8_HIWKN4ED5k~p%xTG0FHLGD4e*9l1++1@ZDab$bTk;IYh-Gd~KZ0{>1 zab$a$pchMl+=Fbd7?L=$z2->b$oAGCi6h&)3P~K<-a|;@$o5`C5=XZ8JCZoEy*AJb zyg=?pwl@n&9NFF`BynVWry+?W+q)e}9NFGKNaD!$Y8^wYmq51H2}vB;-Y_I_C#3zJ z<w)Yl_U=IvN4EDBk~p%xOve%KLAF;6NgUZ;b0l$Odux!yk?mcDB#vzFAtZ5Rd#@ph zBis8ONgUZ;8|Xzj81aiFj%;rek~p%x(~!iG?cI(fj%@EABynW_YMn%cC$haxNaD!$ zh9QX~+gpw#j%@E9BynVWUm=Mj+skwc;T~jr#gN32?KMXdN4B>HNgUbURY>B<_8vkK zN4EDGk~p%x-;u<T?X@|La6huWSxDl@_BJ7jBilO-NgUbU?MULt_WnT<N48h%48lFg z_BtVnBikE>B#vxvIg&WCy?c<vk?nnjB#vw^(^-UjknI&i5=XYz97!D6-WnuvWP4X3 zi6h&42uU2--fKwW$o76m5=XYz<{ZNP$o6I-i6h(Fgd~n^?=&QFWP7(Gi6h(l2T2^+ zUaj*8_aNKrgd~n^Zy1s|vc2U<;>h;yK@vx{_Z5;jvb{_f5bi;?R}4uU*<N!bab$aI zki?PgU4<l$Z0{i?ab$b1A&Dc~`yELf*<PEA2=^n~n}sBfY;O~iII_Ldki?Pg-Hs%V zZ0{c=ab$b7E+O25Y_AiNII_KANaD!$mLrKH+q(xz9NFGiNaD!$GF?Wv2iaaRBynVW z&5^{B?X5u)N49qrk~p%xhmgdP?Y)L1j%@FDBynVWZLT2Pk8E!ik~p%xO-SO%_D(|* zN49r6k~p%xe~`qH?bW)9a1XM*PDtX&_J$#eBimb!B#vzF9wc#OdtV`mBiqY#4dEVS zd&Q8%k?l1{5=XYT21y**-c?BA$o3vW5=XZ88j?7&z2A|<k?pm)j&MJ+y;(@&$o4iN zi6h%P4M`l?-t9=@$oBq05=XXI>juI-$o4uRi6h$^h9r(`Z#j}Uvb}qd#F6cNg(Qw_ zFVjtgdywrFLlQ@}*BnV4+1?r?ab$Z}A&Dc~dk9G!+1_hN;>h-XM-oT2*X9<&{mAxa zA&Dc~+k_;JZ0|HAab$b9BZ(v1`v*xJ*<P*N2=^e{>x3kZY;PEnII_LvNaD!$?m-eq zw)Yj1II_J=cM$GDwpR>E9NAuTBynVWYmmf|?OlZ=j%@ECBynVWuOW#e+xs0!9NAu* zy9oCq+na?Xj%;rek~p%x(~!iG?cI(fj%@EABynVWwV)T&g8FO7_BtVnBikE>B#vxv zIg&WCy?c<vk?nnjB#vw^(|ttvAloa3B#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$ zk?sACB#vya%>#t{k?qYw5=XYT2}vB;-f2kU$o6hW5=XZ850W^ty;=_u?m@QK2}vB; z-Y_I_WP8hz#F6dYgCve@?<*v6WP6z&A>4y(uNaazvc2X=;>h;aAc-T}y9!Ah+1^7) z;>h-1LlQ@}_dAj}vb{Es5$;E}Hw#G|+1@53ab$a^A&Dc~yB$d!+1@`$;>h-DJwdn! z*<L3kab$bLki?PgEk_bZws#MbII_L3ki?PgWqOKm53;>tNaD!$nj?uL+gpPqj%@EL zBynVW4<U&o+j|X39NFIQNaD!$+B`$JAKBh4BynVWn~=nj?VW}sj%@FCBynVW{~(DY z+pF~);T~jrosh(l?F~Z`N4B>dNgUbUJxJon_P#<AN4A&g1;RbZ_KG2iBin0^B#vxv z4U#yry{nMKk?lQ%B#vzFH6(Fld%q)zBin2965)Pid$W+lk?n0l5=XXo8j?7&z1xw* zk?sA1B#vya)+>a2knMFs5=XW-3`rc>-f|>yWPA4@i6h(l3P~K<UZ&Rw_aNIVh9r(` zuQ`%9vb{A(;>h-{LJ~)|_Yjgevc1=k#F6d&jwFt3ugx2T`;qO<LJ~)|w+Trc+1_bL z;>h-HM-oT2_Yaadvb|bw5$-{@*9l1++1@ZDab$bTk;IYh-Gd~KZ0{>1ab$a$-XYwB zY_AxSII_LwNaD!$)*y)^+q()$9NFGONaD!$UPBT`w)Z=dII_Jq?-A}twl@n&9NFF` zBynVWry+?W+q)e}9NFGKNaD!$YJEVs2iaaHBynVW!;r+0?JY+VN49qlk~p%xuaLx% z?PdCia1XM*Vo2i1_L?J!BimbpB#vzFDkO1adk-OrBinloNgUbU??~dv_S$?xxF6Zx zEF^Jcdz+BNk?oy^B#vzFb|i6Rd;cJbBipO>8Q~sed!3NPk?jpb5=XYT97!D6-aSa- z$o9TM5=XX|=?lU=$o7gMi6h%<jwFt3Zw-<-vc0R2#F6bigd~n^?=>WGWP86Oi6h%< z^A+KKWP7ua#F6c7LJ~)|cN&s7vc21p#F6d&gCve@uhut&dywsQLJ~)|Hw;M}+1_#_ zab$b<Ac-T}`wB@M*<Pma2=^e{D~2SFY_B<zII_JpNaD!$u0j$=w)YT{II_Lhki?Pg z{f;D#Y_H7^g!_^0%|a4KwzmmM9NFG!NaD!$ZbuSFw)YQ`II_K3KN0Rhw$}+s9NFG5 zBynVW%aO#9?cIYUj%@EMBynVWnSLSMgKV!Dk~p%x=1Ahm_SPVYBip+QNgUbULrCJt z_Fh90N4EDnk~p%xHop<>N47T$NgUbUCM0oWd#53ZBip+jNgUbUKS<)p_G<k>xChx@ zCnRxXd&7{#k?k!<5=XXo50W^ty|0kOk?m#ri*OIJy<$k>$o85ei6h%vgCve@?<yp5 zWP1-Gi6h&44M`l?-tS1_$oAU&L%1K=-Yg_>WP6*C#F6cth9r(`?{*|{WPAT0i6h&q z^&jCLWP6>E#F6a{LlQ@}w;V|v+1@=!;>h;CLJ~)|mx%##AsJ}A6xm)eBynVW&5^{B z?X5u)N49qrk~p%xhmgdP?Y)L1j%@FDBynVWZ5R>mN47T$NgUbUCM0oWd#53ZBip+j zNgUbUKS<)p_G&R9+=Fbd6OuTxy<te=$o7^ai6h&)2T2^+-d9NC$o4WZBiw^*uNaaz zvc2X=;>h;aAc-T}y9!Ah+1^7);>h-1LlQ@}_dAj}vb{De2=^n~n}sBfY;O~iII_Ld zki?Pg-Hs%VZ0{c=ab$b7SP||)w$}+s9NFG5BynVW%aO#9?cIYUj%@EMBynVWnb;8S zLAF;6NgUZ;b0l$Odux!yk?mcDB#vzFAtZ5Rd#@phBis8ONgUZ;8+L^Ik?qYw5=XYT z2}vB;-f2kU$o6hW5=XZ850W^ty;>Xy_aNKrgd~n^Zy1s|vc2U<;>h;yK@vx{_Z5;j zvb{{42=^e{D~2SFY_B<zII_JpNaD!$u0j$=w)YT{II_Lhki?Pg{f;D#Y_AO$!u`nh zW+90q+uMXBj%@EVBynVWw<C!o+xrJe9NAtiZiIV~?R7#DN47T%NgUbUawKtNd-ouT zBis85NgUZ;CLV-)knI&i5=XYz97!D6-WnuvWP4X3i6h&42uU2--fKwW$o76m5=XYz zh8N*}WP7ua#F6c7LJ~)|cN&s7vc21p#F6d&gCve@uNEJ|J;?StA&Dc~8-^r~Y;QS| zII_Kaki?PgeT5{BY%dc(!ad0LiXn+3+iQ*_j%;rYk~p%xtB}Nz?LCAfj%@EWBynVW zzaxnw+iN3$a6huWSxDl@_BJ7jBilO-NgUbU?MULt_WnT<N48f>5aAwVd!3NPk?jpb z5=XYT97!D6-aSa-$o9TM5=XX|NeJN{WP8Ps#F6baM-oT2w+2ZZ+1^!1;>h+MLJ~)| z_ZpHovc2Dt#F6c_5k|Nl+1@N9ab$a&ki?PgorWZiZ0~j?ab$b{Ac-T}t0jVP53;>Z zNaD!$h9QX~+gpw#j%@E9BynVWUm=Mj+sh=1a1XM*Vo2i1_L?J!BimbpB#vzFDkO1a zdk-OrBinloNgUbU??~dv_S%Rc+>dN;7Lqu!y-i5s$o5V{5=XXoJCZoEy?>Czk?qwI zN4N*sUMD1RWP8Jq#F6bSM-oT2cMp;{vc0d6#F6b~l0diz*<LXuab$bVk;IYhtw9n; zws#ehII_Kmki?Pgy@n)?Z0~m@ab$aKBoXdMwl@n&9NFF`BynVWry+?W+q)e}9NFGK zNaD!$YDpp7gKV!8k~p%xVMyZ0_Ld`wBip+NNgUbUS4iT>_A*H$+=Fbd7?L=$z2->b z$oAGCi6h&)3P~K<-a|;@$o5`C5=XZ8JCZoEy*4rk_aobzg(Qw_ZxfO@vc1!g#F6dY zjwFt3?;j*_WP7z_5$-{@*9l1++1@ZDab$bTk;IYh-Gd~KZ0{>1ab$a$<Ph#bwpR>E z9NAuTBynVWYmmf|?OlZ=j%@ECBynVWuOW#e+xs0!9NAtQd4&6s?ae|GN4B>KNgUbU zX-MM8_HIWKN4ED5k~p%xS_%mFAlvJNB#vxv7?L=$z2!*a$oB3*5=XZ86_Plzy-bP- z_aNIVh9r(`uQ`%9vb{A(;>h-{LJ~)|_Yjgevc1=k#F6d&jwFt3uZ<GI{mAxaA&Dc~ z+k_;JZ0|HAab$b9BZ(v1`v*xJ*<LMWgnN+fbwUzHwl@q(9NFG-BynVW_aKQQ+xrSh z9NAtb6@+_`?G-~3N4D1-NgUbU8YFRKdsiWeBinljNgUbUYe?eA_I^haN4D2S72$ql zd$W+lk?n0l5=XXo8j?7&z1xw*k?sA1B#vyamKwr6$o4uRi6h$^h9r(`Z#j}Uvb}qd z#F6cNg(Qw_FOxdLJ;?ToA&Dc~YmOw2Y;O&cII_K~ki?PgJ%l8VZ0|KBab$bHBZ(v1 zYomd1KeD}9NaD!$HX(^4+dB<O9NFIONaD!${y`E)wpU9N;T~jrosh(l?F~Z`N4B>d zNgUbUJxJon_P#<AN4A$q3*jDQd&Q8%k?l1{5=XYT21y**-c?BA$o3vW5=XZ88j?7& zz2A|<k?pn7Mz|l@-Yg_>WP6*C#F6cth9r(`?{*|{WPAT0i6h&qrGsz}vb|17;>h-f zA&Dc~TaF}-Z0{Z<ab$a6A&Dc~%cP5N53;>tNaD!$nj?uL+gpPqj%@ELBynVW4<U&o z+j|X39NFIQNaD!$+UOzNk8E!ik~p%xO-SO%_D(|*N49r6k~p%xe~`qH?bXspxChx@ zCnRxXd&7{#k?k!<5=XXo50W^ty|0kOk?mzNK)46lUNIzbWP8n##F6c-K@vx{cNLO2 zvb~3p#F6d2h9r(`?{_3|WP5E45$;E}Hw#G|+1@53ab$a^A&Dc~yB$d!+1@`$;>h-D z86n(*Y_AiNII_KANaD!$mLrKH+q(xz9NFGiNaD!$G8rS>gKV!Dk~p%x=1Ahm_SPVY zBip+QNgUbULrCJt_Fh90N4EDnk~p%xHYN!7BioyWB#vxv6OuTxz0;7yk?q}%B#vzF zA0%;Pd$mjv?m@QK2}vB;-Y_I_WP8hz#F6dYgCve@?<*v6WP6#+5bi;?R}4uU*<N!b zab$aIki?PgU4<l$Z0{i?ab$b1A&Dc~`yELf*<KrSg!_^0%|a4KwzmmM9NFG!NaD!$ zZbuSFw)YQ`II_K376|ts+v|iRj%;rjk~p%x<w)Yl_U=IvN4EDBk~p%xOqK}uAloa3 zB#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$k?sACB#vyajTOTE$o6I-i6h(Fgd~n^ z?=&QFWP7(Gi6h(l2T2^+UM*{cdywsQLJ~)|Hw;M}+1_#_ab$b<Ac-T}`wB@M*<L0a zgnN+f6+;q7w$~g<9NFF)BynVWS0RZb+j|H}9NFG$NaD!$en%2Vw%5iM;eKR$vyjA* z?QKF5N49qwk~p%x+mXbP?fru!j%=@%9l|}x_BtVnBikE>B#vxvIg&WCy?c<vk?nnj zB#vw^lRd&c$o7gMi6h%<jwFt3Zw-<-vc0R2#F6bigd~n^?=>WGWP86Oi6h%<<A88K zvb|YI;>h+kA&Dc~I}J%3+1~9);>h;?K@vx{SIZIM9%Or+ki?Pg4MP$~wznKf9NFGI zNaD!$zCsd5wwK8X;T~jr#gN32?KMXdN4B>HNgUbURY>B<_8vkKN4EDGk~p%x-;u<T z?X_`6xF6ZxEF^Jcdz+BNk?oy^B#vzFb|i6Rd;cJbBipOxf^ZMAy-rBt$o7UIi6h%v zjwFt3?;a#^WP4vBi6h&~<ce?)vb|zR;>h-zBZ(v1TZ1HyZ0{;0ab$ZBA&Dc~dksk( z+1~F+;>h;exFOt+Y;P8lII_J>NaD!$PD2t$ws$*{II_Keki?Pg)pAF;2iaaHBynVW z!;r+0?JY+VN49qlk~p%xuaLx%?Pc;nxChx@F(h$hd(Dx=k?pNP5=XXo6_Plzy@!y* zk?p;PB#vzFcO-FSdu==s?nkya3rQT=-X<h*WP7I}i6h&)9Z4M7-akm<$o6V^A>4y( zuM?6uvb|wQ;>h-vBZ(v1y9Y@e+1^)3;>h+gc_Z9|Y_AxSII_LwNaD!$)*y)^+q()$ z9NFGONaD!$UPBT`w)Z=dII_JqJ_z?C+na?Xj%;rek~p%x(~!iG?cI(fj%@EABynVW zwR{ooLAKWkNgUbUFeGtgd&`l;k?q}sB#vzFD<pAbdzt(Y?m@O!3`rc>UUMXIWP59n z#F6b?g(Qw_?;#{{WP7h6i6h(l9Z4M7UK@Xe`;qO<LJ~)|w+Trc+1_bL;>h-HM-oT2 z_Yaadvb|aX2=^e{>x3kZY;PEnII_LvNaD!$?m-eqw)Yj1II_J=fe7~?+bf17j%=?v zk~p%xHAv#f_O3z_N4ED6k~p%x*O0`K?fs4<j%=?@5W@Y)_GTf8Biq}AB#vzFG$e6k zd$%KrBis82NgUZ;tzd+EknMFs5=XW-3`rc>-f|>yWPA4@i6h(l3P~K<UZxO)dywrF zLlQ@}*BnV4+1?r?ab$Z}A&Dc~dk9G!+1_hN;>h-XM-oT2*CrI<eq?*Iki?PgZ9)=9 zws#tmII_Llk;IYh{evWqY_C=r!ad0LIw6T8+Z%=?j%;r^k~p%xdyvGD?R|wLj%+Ve zIKn;1_KG2iBin0^B#vxv4U#yry{nMKk?lQ%B#vzFH6(Fld%q)zBim~efp9;vy;(@& z$o4iNi6h%P4M`l?-t9=@$oBq05=XXID-z)zWP6>E#F6a{LlQ@}w;V|v+1@=!;>h;C zLJ~)|mnjP29%OsPki?PgHAfOhwzmdJ9NFGgNaD!$9zqgFw)Yy6II_Lpk;IYhwTVW! zAKBh4BynVWn~=nj?VW}sj%@FCBynVW{~(DY+p86Wa1XM*PDtX&_J$#eBimb!B#vzF zDkO0ir1RdtBZ;RXiHpP{+~bQRZiFO`tll3<99exXk~p&ZE+lbe^(&CXk<}kX5=U15 z7)cyiJwqIJ_sb!PBdfPY5=S;a5=k6ceHoHCvid1V;>hYB;Sm3iB#vy3Y&^pK$mUof zi6g6zKoUn*Uy3A-tbQ_*II{W;NaD!q&moB;tAC9oj;x+D0lWLvki?PIyC8`po1cgz zj;y{3NgP@Id?ayX^?Q-Tk=1i2Vt1zok~p$CZb;(D<|HGDBdc#j5=T})4@n$Z{az$- zWc9C*#F6u_ViI=uC*crZi9`H4k~p&Y49N)d-I2<H03>lcB=JNfab$BgA&Gk-so#qv zj;#JM4sqTT?Cz;Y5_d&1e-V;6vilDqiRU4ye~ToJ>>jREguQM^>a~!>k=2JFi6h&4 z7)jg~$(%1p;>hZS(-8I|yHgWM963A<k;H9~%y&T&M|Ni(k~p%xcag-A!;>K$;T~l5 z@<`&y?leUbM|Ni_k~p$^b|Z-+oBst#+#AVX;u#3{Ae&=}B#vxO9+Eh+IZKhmbCJy7 ziX`rcBz_r5+yhDc36eN+IB;ho-06v=-W5q4+1^kjab$aok;IYhZ9x)8ws$p>II_J@ zk;IYh{fZ=xY_D(@!u`nhsvwCY+v|-aj%;r$k~p%x%aO#9&EJ6}j%@yYBynW(MY9p^ zL^j_XNgUaH4<vD9^Yf9!k<DL<B#vzUaU^kM^KT%DBb)ypNgUaH(;V#X4@VM5Ha`PN z9NGMSBynW(k0Oa9yZ<?oII{Uaki?PAm(NAG6WRPwBynW(%aO#9&F?@GM>c;wk~p&Y ze~`qH+sP7n2=^ejlg*ICvyk##IFh(Ol6X3jIC8tX8%Z2l{cI$0Wc3G-#F5osKoUn* z{}o9bSv_k$!e7YhwUES-)mtHnBdd=`5=T~_k0g$)ehQK}vic=R;>hYxB8elbzlkJ{ zto|R8II?=a0_^@ZMiNI>?~EjlY<>okII{W*BynW*bCJZ6)vrYoM^=9cNgP@IBP4NT z_3VWRe<7<EM-oR?Z-XR`tlkGn99exKk~p&ZMkH}$^^=jrk^70ek;F5R@&!*3!u`nk zP6bIEIo~-Wi6iH`U?g#5_oN|-BfF;qNgUZdcaX%9-SY)W9N9g>#R&H!yGI#G9N9f) zNaD!ui9ixZcF!6lab))#K@vxH&toKUWcPeV5=V9qTM5Gb$nMcV5=VAV4U#yrd!`_X zBfDoak~p$^4kL*pyXP8`II??wAc-Tp$EOtGeq{HgAc-Tprx{5c**%ky#F5>z3`rc> zJtvUFk=-LxhTT0TNaD!u2}Tk}c26>rII?@nki?PQGXqH+**$NN#F4{?r5xc-WcMf| zi6gtm3P~K<-WVitWP8^ji6h&41W6p(-p5Gd$oBq15=XXIrUK!9WP6j4#F6bSK@vx{ zw*e~7#K6G7%D}(?>f3-$RO^C@gU&H?Mk=osBZ(usXDbfzJy3DD`4DF_JcNpa%#TGf z-=Pws03?p={%9m|WcL>%i6gsb0g`wOlKBi(*v*$l5=S=Q7)c!2`~)O%Wb^kRi6guJ z29h|k`QMPlk?R-XYJ@xekle42B#zvVaKa(pgd~n^{z4>iWb=0*i6fhT7fBr1oIgn7 z$mR&wAl#2^js=o9vN_>M;>hOYA&DcKGaE@9*_`!A;>hL3c_eXU_3x0xk?m!z#qNGB zBynVOJdwna%}GEKM|OWJk~p$COOV8o&Do74j%?0-BynVO{vnAYn<H9>-Cs^f;>hNN zA&Dc0XBm<>vihk=;>h-{K@vxH|3xHmWOF_si6fiCUXR`VI!NNk=6EBCBb$?iB#!K! zHY9OmbCx2BBb&1aNgUanmq_Bs=KMtxM-ETf286$m)!QJ6BikE{B#vxv1(G<jIn$BE zk<D3$B#vy(Wh8NAb3P%7Bb&q7h;To$Ihsh~$mVz;i6fg6k0g$4P9c&waydK)NgTNx zeuN~BTn_(35=SnF#hMWAk3cGKO_9Wr-Q$5nyc9_s*`14##F5?k0ZAO$o!rd`_aM7d z8A%-3`~W0zWb-#5i6fhT8c7`4{KrV*$mR>QAl!p&egTp=viaRe;>hODK@vw!|Lc*& zk?p;MB#vyaK`X*N$o6_8i6h&aj3kb1{sbg(Wb;2Di6fiO-G;Ci*?eUrab)uYki?PA z-+&~JZ2oB^ab)u!BZ(uMFVK!~53>0MNaD!mcO!`-n?DCh93@_m#F6d2gCve@uR#aG zJ;?TYBZ(v1n~WrmZ2kl!ab)v9Ac-TJ&)tcz7ukGeBynW(1CYd#&EJ3|j%@yEBynW( zA0vq)n=jCXa1XNi1xVt^=655BBbz@5NgO3!ki?Pgy@Mo<Y_CB#!ad0LdLxM=+nbCe zj%@w}BynW(KOl)Co6p^Yuou~UWh8NA^8=8?k<H(LB#vzUX(VxE^B*ILBbzVKi*OIJ z`2|Sg$mVw=i6fi87)c!2{0m6p$mSdLA?!sq-y2CB+5BWAab)u+Ac-TJ{{~4M*?g9M zguTe-OCX6Orz>M5ab$Zlki?PAuSOC_Hopf+9NGNkNaD!mAHpI214$g&UhWCl{Uw7W zj%=?vk~p%xK{&)aki?PgosA@p?B6v=;>h+MM-oT2_Yn?piHQh*A=|5sB#vya4U#yr zz2QjW$o3ZD5MO~Lj%@F4BynVW&mf5-+xr|z9NAu`NeF)-i(4RxBirkZB#vxv43apq zz2!*a$mUPNA$|f$9NFI6NaD!$zCjX4wwHY}c7G}15RX6-N47T`NgUbU8YFRKd#59b zBl~v~4)GUA;>h;?MiNK1muCvXU&!{VBZ(v1>x4tR0!bX%-fkpuWP9fzi6h&)9Z4M7 z-b*;dIi@1qk8H0rk~p%xI!NNk_PQg9BioyVLwqTcII_LFk;IYhy@Mo<Y`*F=?C!Tl z5=S;C21y**oTW(ODDFWLM>gjUk~p$Cs?%|}2T2^+oERi=WOJ4xiKDm&NgUanJ4oWl z=BUoV;T|M$WOHJW#F5QeiX@KW9wc#ObM7FCBb%c-6Nh_{#F5R3K@vwcXDO06ihGd6 zk<Gb-B#vy3>MR`YK@vwcCk9Cz*__!(;>hbN&mf5-yYnfMII{U1vk~q=9tV&{5=YK= z)=1*W?x{f%M|RIjBynW-97GaFcF%Jpab)v#<{;dOY<?t?II{VLNaD!mPe&3*HvbNi zII{U%a}o9;o3Df<j%>aek~ng>g(Hb0+dBtI9NFHJNaD!$K132nwwHY#!kx(G`yh!U zn_r0}j%@x!BynW(w<C!ooBsz%9NB!m`3UzQo9~7sj%<Dkk~s2sPAif)vb|@J#F6d& zha`?{uh;^FdywrlK@vx{Hxx-6+1@!w;>h-%L=s1~_aTxvvc2pJ5$-`Y-v>z?+5Ac* zab)u+B8elLza2>&+5A69;>hM}E<(5m*?dPNab)w;k;IYBUxOr$Z2nCoab)v9B8elL zFTNPz9%S=lki?PA??e(uHh&?KII{W2k;IYB=UIZV7ukGEBynW(1Chj$%`Zn1M>c;C zk~p&YFOkHN&1YPSa1XNi>PX_q=I0=ZBbz@9NgUbyO-SO%<{v{6M=r;nBZ(v1tFsK@ zPGozdki?PgEkY7UwzmyQ9NFIGNaD!$zCjX4wpVgF!ad0L8X}1!+Z&D~j%@xMBynW( zPa=sUoBt3=9NB#K6$tkro9}}pj%<D%k~p&Y(~!iG&EJ9~j$DpiMG{B0S7s%`J;?TY zA&Dc~n}j5eY;PHoIC6YVM-oT2_YRUcvb|iZ5bi;?R|!cR*<Ldwab$bLk;IYhor5Hf zZ0{)~ab$ZRA&Dc~`wdAP*<SJ02=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC z)*#%2Y_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$a;-(U2iaaFBynVW&5*>A?F~l~ zN49qkk~p%xr;x;v?R|tKj%@EYBynVW#n&O+k8E!Yk~p%xT}a}{_AWvaN49qxk~p%x z*OA1L?Uh-Na1XM*UP$7|_9h{TBimbsB#vzFbR=<Pd+#8LBiqZh0pT8GdzFyHk?l1@ z5=XW-97!D6-Z@C($o8H>5=XZ85t2Bvz2A_;k?j@Vh;To$y)j7Q$o6(2i6h&)2uU2- z-fc+Y$o5`G5=XXIW)s3a$o6_6i6h&agd~n^ZyAy}vc1!h#F6d2gCve@FV|*-dywr_ zLJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}S9}Y?{mAylAc-T}+l3^K zZ0{l@ab$b9A&Dc~dmTv}*<P8g2=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5 z+Ys(SwpR&B9NAtoBynVW!;!?1?VW=pj%@EKBynVWA0de&+xrbk9NAv+?FjcH+Z%%< zj%;rik~p%xi;%>T?cIhXj%@FBBynVWWp*IkgKV!Ck~p%xNl4<z_Ld=uBilP2NgUbU zJ4oWl_Hyk+xChx@B_wfVd(Du<k?jpf5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&PGl z+>dN;43apqy<JG+$o4Km5=XXo8<IG(z1NY%k?ob)jc^aLy<SM-$o3{7i6h%vh9r(` z?{p+_WP9%*i6h&~wFluIWP6p6#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds z#F6b4--~cRvb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2S7sl=J;?TYA&Dc~n}j5e zY;PHoII_Ldk;IYhy@Mo<Y%kY-gnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGS zNaD!$enS#RwpaWB!u`nh#vq9!+uMaCj%@EDBynVWw;_om+j|{J9NAu(g9!H^+v|lS zj%;rdk~p%xWk}-4_D)9<N4ED4k~p%xT!#?uLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzF zDI{@ZdmkZ*Bis88NgUZ;@xuuBBikE;B#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu5Iw z+=Fbd7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6s_MYspqUL_=PWP8n!#F6a{M-oT2 zcMg&`vc0E}#F6cNgd~n^?>8iIWP8PrA>5B_Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l z#F6clIgW4-vb|nN;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%XI?b9%Or!ki?PgHA50d zwl^F}9NFGENaD!$o<b5ww)YW|II_Lpki?Pg6+ek^KeD|sNaD!$b|Hx)+q(!!9NFG& zNaD!$UPlr~wpZp9!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B}j%+X2X@q-_?Nve& zN4D1tNgUbUa3pbLd*>jDBinllNgUbUM@Ztx_I^VWN48h|48r}$_QoKIBiq}BB#vzF zA|!ESd$%EpBinl&NgUZ;nX?G@AlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<F!I z?m@O!2}vB;UNa<dWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?Uh(q?_aoaIgCve@ zZx@m{vb~Ft#F6dYh9r(`?{y?`WP4>UAl!p&uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y z;>h-LT|~GC*<K|iab$bVki?Pg4M!43ws#JaII_K`ki?PgeS{>AZ0|QDab$bNFCpBI zY;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYhmAQ;?53;>pNaD!$CLxI<+gpYtj%@FA zBynVW?;wdI+skzY;T~jrm5{`d?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x-;l(S z?G?X@a6huWF-YRb_I4qOBip+ONgUbUZAjwC_FhL4N48hy8p1uu_Ie?SBioyVB#vxv z8Im}%z0;Azk?p;MB#vw^*L8$@knL4M5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{ z$o76i5=XXI{074P$o9q{i6h(Fg(Qw_?;<2|WP7(Ei6h&49Z4M7UYVN+_aNKrg(Qw_ zZxWI?vb|+U;>h++M-oT2_YRUcvb|il5bi;?R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ zab$ZRA&Dc~`wdAP*<SJ62=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC?jYQQ zY_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$a@|F^2iaaFBynVW&5*>A?F~l~N49qk zk~p%xr;x;v?R|tKj%@EYBynVW#qS~9k8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L z?UlKYa1XM*UP$7|_9h{TBimbsB#vzFbR=<Pd+#8LBiqaM0O1~FdzFyHk?l1@5=XW- z97!D6-Z@C($o8H>5=XZ85t2Bvz2A_;k?j?Kh;To$y)j7Q$o6(2i6h&)2uU2--fc+Y z$o5`G5=XXI<`Kd@$o6_6i6h&agd~n^ZyAy}vc1!h#F6d2gCve@FV|y)dywr_LJ~)| z*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}SNsXW{mAylAc-T}+l3^KZ0{l@ zab$b9A&Dc~dmTv}*<P8a2=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5&k*iG zwpR&B9NAtoBynVW!;!?1?VW=pj%@EKBynVWA0de&+xrbk9NAv+=Lq*B+Z%%<j%;ri zk~p%xi;%>T?cIhXj%@FBBynVWWnLiMgKV!Ck~p%xNl4<z_Ld=uBilP2NgUbUJ4oWl z_Hw;MxChx@B_wfVd(Du<k?jpf5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&OTN+>dN; z43apqy<JG+$o4Km5=XXo8<IG(z1NY%k?oavjc^aLy<SM-$o3{7i6h%vh9r(`?{p+_ zWP9%*i6h&~^#<V{WP6p6#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4 ze~WNGvb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2SLPkUJ;?TYA&Dc~n}j5eY;PHo zII_Ldk;IYhy@Mo<Y%kY)gnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$ zenS#RwpaWE!u`nh#vq9!+uMaCj%@EDBynVWw;_om+j|{J9NAu(j|lf5+v|lSj%;rd zk~p%xWk}-4_D)9<N4ED4k~p%xT%Qo`LAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@Z zdmkZ*Bis88NgUZ;@y`hNBikE;B#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu6^L+=Fbd z7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6u5MYspqUL_=PWP8n!#F6a{M-oT2cMg&` zvc0E}#F6cNgd~n^?>8iIWP8QGA>5B_Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6cl z`HpZ8vb|nN;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%k=}{9%Or!ki?PgHA50dwl^F} z9NFGENaD!$o<b5ww)YW|II_Lpki?Pg75|BFKeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$ zUPlr~wpZpC!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B}j%+X2Z-jf0?Nve&N4D1t zNgUbUa3pbLd*>jDBinllNgUbUM@Ztx_I^VWN48h|55oP(_QoKIBiq}BB#vzFA|!ES zd$%EpBinl&NgUZ;nZF44AlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<Gng?m@O! z2}vB;UNa<dWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?Uh)43_aoaIgCve@Zx@m{ zvb~Ft#F6dYh9r(`?{y?`WP4>8KqrebFo4brMYh)qNgUbUBqVWUd&`i-k?oz1B#vzF z9VBsNd$|}9?m@O!2}vB;UNa<dWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?UU4Rb z`;qO9K@vx{w+l%e+1^D+;>h-HLlQ@}_d1d|vb{3Q2=^e{>xCqaY;O{hII_KENaD!$ zPDc_)w)YN_II_K5EC}}?+pB~mj%=?Pk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%% zj%=?uE5iNA_QoKIBiq}BB#vzFA|!ESd$%EpBinl&NgUZ;88(D_knQzC5=XW-2}vB; z-ZCU{WP7J0i6h&42T2^+UM_Zodywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J; z;>h-XLlQ@}SDXXkeq?)Nki?Pg?Lrbqws#SdII_Llki?Pgy^bV~Y_AL_!ad0LdLfA; z+na<Wj%;rkk~p%x(~-oH?Y)B}j%+U%7s5Tr_9`KXBin0+B#vxvIFdNBy>pPnk?lQ& zB#vzFBP4NTd%q!xBik#^jc`A*y)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXIh6mvu zWP81k#F6byLJ~)|w+u-f+1}|$;>h;iK@vx{mx~wS9%Or!ki?PgHA50dwl^F}9NFGE zNaD!$o<b5ww)YW|II_Lpki?Pg73V{^AKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g z+bhG5a1XM*UP$7|_9h{TBimbsB#vzFbR=<Pd+#8LBiqX*fN&48y-G;p$o85ci6h$^ zjwFt3?;Ip?WP48`i6h(l2uU2--fu|a$o7g0BHWK`Zw!(+vb|kM;>h+cLJ~)|cN>y8 zvc1=l#F6cl5kj~J*<LRsab$awki?PgEkhDVws$&`II_KWki?Pg<q}4?2iaaFBynVW z&5*>A?F~l~N49qkk~p%xr;x;v?R|tKj%@EYBynVW#YGVAN47TxNgUbUE+lbedlw;z zBip+TNgUbU>qz3r_R5GN+=Fbd7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6uHA>4y( zuM(0tvb|<V;>h-fBZ(v1I|oS|+1^t~;>h+sLJ~)|_ZyNpvc2Ns2=^n~8-pZ{Y;PBm zII_Kqki?Pg-G(HNZ0~g>ab$aCBoOXFw$}?u9NFF^BynVW%aFv8?VXM!j%@E8BynVW zxg-(pLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;aVdoRk?oB^ z5=XYT3rQT=-bF~_$o6hS5=XZ8I+8fDy)x1W_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2 z_YRUcvb|g~2=^e{tAr$uY_A!TII_LrNaD!$&Os7Kw)Yg0II_Kuki?Pg{e~otY_GU1 z!u`nh#vq9!+uMaCj%@EDBynVWw;_om+j|{J9NAtOIfQ$V?e#(uN47T!NgUbUG9+<i zd#59bBinliNgUZ;E_sA|knL4M5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i z5=XXITmj*JWP4+f#F6doLJ~)|cM*~}vc21o#F6d2jwFt3uZ$wXJ;?TYA&Dc~n}j5e zY;PHoII_Ldk;IYhy@Mo<Y%iA*!ad0LDj|s@+iQj-j%;r@k~p%xbCAT5?LCDgj%@EE zBynVWzafbu+bgb&a6huWF-YRb_I4qOBip+ONgUbUZAjwC_FhL4N48f+1>qiKd%cjv zk?l=F5=XYT3`rc>-swo<$oAer5=XX|OBLZBWP6p6#F6baLlQ@}HylYE+1@!w;>h-% zLJ~)|_Ysmfvc2Ds#F6b4S3|fT+1?l=ab$bDki?PgU4$f#Z0|NCab$b1BZ(v1E2EBZ z53;>pNaD!$CLxI<+gpYtj%@FABynVW?;wdI+smbaa1XM*N=V|!_L?DyBikE}B#vzF z93*jMdru*WBis83NgUbUZ%E?E_KIsF+>dN;43apqy<JG+$o4Km5=XXo8<IG(z1NY% zk?obyLbwOnUN0nZWP6j4#F6bSLlQ@}cRG?dvb}eZ#F6dg(nh!k*<K|iab$bVki?Pg z4M!43ws#JaII_K`ki?PgeS{>AZ0|QDab$bNbr9}Hwl@Yz9NFG3BynVW7a@rw+q(@( z9NFIMNaD!$%IG58gKV!Ck~p%xNl4<z_Ld=uBilP2NgUbUJ4oWl_HyYV+=Fbd5|TKw zy=F+_$o7UKi6h%P2T2^+-cv~8$o4)$5=XZ88<IG(z2f=^_aoaIgCve@Zx@m{vb~Ft z#F6dYh9r(`?{y?`WP4={5bi;?*9%D;+1?~1ab$bTki?PgosJ}qZ0{W;ab$bB3=!@@ zwpR&B9NAtoBynVW!;!?1?VW=pj%@EKBynVWA0de&+xrbk9NAuRBZT{r?TtYaN4B>M zNgUbUMM&bv_HIKGN4EDmk~p%xGR6q^AlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*s zy<8>;_aNJ=gd~n^uNjg!vc2I*;>h;SK@vx{_Y{&ivb~Rx#F6d&h9r(`ued3~{mAyl zAc-T}+l3^KZ0{l@ab$b9A&Dc~dmTv}*<KklgnN+f^+FOywl@h$9NFG7BynVWrz43Y z+j|E|9NAtjbA)@4?Nve&N4D1tNgUbUa3pbLd*>jDBinllNgUbUM@Ztx_I^VWN48ho z0^xpSdt;Eqk?rk55=XXo5t2Bvz1xt)k?p;XB#vyaj3vT7$o6_6i6h&agd~n^ZyAy} zvc1!h#F6d2gCve@FP9a<J;?SdA&Dc~Ylb9_Y;QP{II_KSki?PgJ%uEWZ0{o^ab$bH zA&Dc~D{hT&KeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$UPlr~wpYdm;T~jry^zF_?M*@w zN4B>NNgUbU=}6+p_TE7fN4A&C7U3RbdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ8 z5t2Bvz2A_;k?j??L%1K=-WVitWP7`i#F6b?gd~n^?=~cHWP7h8i6h%9V~=nTvb|nN z;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%jJM@53;>VNaD!$njwiJ+Z&D~j%@E7BynVW zPa%mT+xrMf9NFG)NaD!$iaR3Qk8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?Uivt zxChx@FC=kfdy|mFk?k!*5=XXoI+8fDy?2nrk?rMjMz{yrUL_=PWP8n!#F6a{M-oT2 zcMg&`vc0E}#F6cNgd~n^?>8iIWP8P35bj5|HwH-@+1@TBab$ZJA&Dc~yA4Sk+1~3& z;>h;OxFXzxY_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$a=9VggKV!7k~p%xW=P`5 z_J$*gBilO%NgUbUQ%K^-_C7)qN4EDHk~p%x;_e9dBikE;B#vxv7m_%#y^D~<k?q}v zB#vzFbtG|Qdu2Qj?m@QK3rQT=-XtV(WP8hy#F6ctjwFt3?;Rv@WP7<h5$-{@R|!cR z*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<Nukg!_^0jX@GewzmsO9NFGQ zNaD!$ZbK4Bw)Z-cII_Jm-U#;~+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4k~p%xTs{c* zAls{iB#vya8Im}%z2QjW$o9@b5=XZ86p}cyy^oN@k?sA4B#vyaxG%!}$o9q{i6h(F zg(Qw_?;<2|WP7(Ei6h&49Z4M7UKu}xdywt*LJ~)|Hwj4`+1@fFab$a^BZ(v1dk0Az z*<LPxgnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$enS#RwpTm=;eKR$ zW01s=?d?JmN49qnk~p%x+mOVO?Y)j9j%=??Ai_P!_Ie?SBioyVB#vxv8Im}%z0;Az zk?p;MB#vw^R}jKI$o48Bi6h%<h9r(`Z#a@Tvb}SV#F6big(Qw_?;|8}WP86Mi6h%9 z9*l55vb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2S0)7E9%OsHki?PgO+pe!wzmvP z9NFIKNaD!$-a!&awwEgu;T~jrm5{`d?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x z-;l(S?G+D0xF6Zx7$k9Id%KXtk?mcCB#vzFHY9Omd#@vjBikzzj&KjMy<SM-$o3{7 zi6h%vh9r(`?{p+_WP9%*i6h&~6@hRMvb{=3;>h-zA&Dc~8;&H7Z0{T-ab$Z>A&Dc~ z`v^%K+1_tR;>h-jM<U#hY;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYhm5D;Q2iaaP zBynVWlaR!b?JYwRN49r5k~p%xcaX%9?d6I_xChx@B_wfVd(Du<k?jpf5=XXo4w5*s zy{C}Gk?nniB#vzFHzaXnd&OfA?nkya21y**-Yz6@WP2AOi6h&)4M`l?-s?!>$o9&_ zBHV*)uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-L#Ub2-Y_AfMII_KFNaD!$h9ik1 z+dBtI9NFGeNaD!$K0*>lw)Y#7II_Ls@d)=L+Z%%<j%;rik~p%xi;%>T?cIhXj%@FB zBynVWWfBnXLAKWmNgUbUBqVWUd&`i-k?oz1B#vzF9VBsNd$|%3?m@O!2}vB;UNa<d zWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?UhyP^`;qO9K@vx{w+l%e+1^D+;>h-H zLlQ@}_d1d|vb{3N2=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5DG2u<+pB~m zj%=?Pk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%%j%=@ZD#HE9_QoKIBiq}BB#vzF zA|!ESd$%EpBinl&NgUZ;nKXoZknQzC5=XW-2}vB;-ZCU{WP7J0i6h&42T2^+UaoY6 zdywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}S3CpZeq?)Nki?Pg z?Lrbqws#SdII_Llki?Pgy^bV~Y_Civ!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B} zj%+Vi7Q#Kq_9`KXBin0+B#vxvIFdNBy>pPnk?lQ&B#vzFBP4NTd%q!xBik#Ujc`A* zy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXICI{gjWP81k#F6byLJ~)|w+u-f+1}|$ z;>h;iK@vx{mn#?H9%Or!ki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW|II_Lpki?Pg z70*MsAKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g+bffga1XM*UP$7|_9h{TBimbs zB#vzFbR=<Pd+#8LBiqYWfN&48y-G;p$o85ci6h$^jwFt3?;Ip?WP48`i6h(l2uU2- z-fu|a$o7gCBHWK`Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6clDMGjh*<LRsab$aw zki?PgEkhDVws$&`II_KWki?Pg<tj$F2iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v z?R|tKj%@EYBynVW#Y+(GN47TxNgUbUE+lbedlw;zBip+TNgUbU>qz3r_R5qZ+=Fbd z7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6uTA>4y(uM(0tvb|<V;>h-fBZ(v1I|oS| z+1^t~;>h+sLJ~)|_ZyNpvc2Nv2=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC zDiH2Lw$}?u9NFF^BynVW%aFv8?VXM!j%@E8BynVWxhfIvLAF;3NgUZ;GbC|jd&7~$ zk?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;@hXJ-k?oB^5=XYT3rQT=-bF~_$o6hS5=XZ8 zI+8fDy)xAZ_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2_YRUcvb|h22=^e{tAr$uY_A!T zII_LrNaD!$&Os7Kw)Yg0II_Kuki?Pg{e~otY_E7N!u`nh#vq9!+uMaCj%@EDBynVW zw;_om+j|{J9NAu(I)r<W?e#(uN47T!NgUbUG9+<id#59bBinliNgUZ;u6l%fknL4M z5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXIyaC~UWP4+f#F6doLJ~)| zcM*~}vc21o#F6d2jwFt3uS_GtJ;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%f<6 z!ad0LDj|s@+iQj-j%;r@k~p%xbCAT5?LCDgj%@EEBynVWzafbu+biCTa6huWF-YRb z_I4qOBip+ONgUbUZAjwC_FhL4N48g{1>qiKd%cjvk?l=F5=XYT3`rc>-swo<$oAer z5=XX|s}<oMWP6p6#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4Z$r2r z+1?l=ab$bDki?PgU4$f#Z0|NCab$b1BZ(v1E7Oi}53;>pNaD!$CLxI<+gpYtj%@FA zBynVW?;wdI+soB~a1XM*N=V|!_L?DyBikE}B#vzF93*jMdru*WBis83NgUbUZ%E?E z_KJ5R+>dN;43apqy<JG+$o4Km5=XXo8<IG(z1NY%k?ob~LbwOnUN0nZWP6j4#F6bS zLlQ@}cRG?dvb}eZ#F6dg>PEN+*<K|iab$bVki?Pg4M!43ws#JaII_K`ki?PgeS{>A zZ0|QDab$bNdl2qNwl@Yz9NFG3BynVW7a@rw+q(@(9NFIMNaD!$%Jd@KgKV!Ck~p%x zNl4<z_Ld=uBilP2NgUbUJ4oWl_Hy+h+=Fbd5|TKwy=F+_$o7UKi6h%P2T2^+-cv~8 z$o4)$5=XZ88<IG(z2f}{_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?`WP4>MAl!p& zuNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-LO+>f{*<K|iab$bVki?Pg4M!43ws#Ja zII_K`ki?PgeS{>AZ0|QDab$bNCn4OAY;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYh z<(rIfj|&3>!=L{UP>Up<iX^@PNgP>y+*E`)fk^77A&DcKa|ua214;cKBynW(^QIxp zPe4-Nj3kb%eif29vif~U;>hadrX$Qp7GIAfj_m#?NaD!u=bM4uoDv-3oltR*E>;Ex z1|0?nm<<&Nox7fh<lhZQ;>hmY4i$%)BLgvp;RsY5WKI&2IX95Rk<IyoL)>O2$YjVl z@W|$bAc-TJGZ%;WCa5^bG?0Jc?u3ei+==YpUr6G}<{QldnE-JQa=b(#i6g6@gd~ov zejk!JvU;=G*v*ea5=T~l6G<G|e7-pdbCA_{B8elb--jfQtln}i!W?Avc}U{O>R%#> zBdeF2hcE|O{Y)frWcBBe#F5pT&PSM&jg+pkk;IYBnTI5fY!2%J?B-Y_i6fg+gd~of z?|P8L(~;b>5J?=_-cvZlpCE}Nm#gv%5$;4zpC(A+$maVYi6fiSi6o9}&RisMWOM#N z#X+`%@(ZkdU|j?f!A$=;P;rnfNc|khbrK8~P;pTHLJrRus5neLEI+0~#nIJILJ~&~ z|7A$x$nHN16^EG*t6wfc#X;sHhtFfEIJ)}xP;roY<a+Q2R2*Hs&0>%UBz%zF;{_E5 z$%4WM=AJ;PILQ2Xr1X=9B#vxO2~-^2oI0pDx;fL3#F5R}3Kd5;=O9!ZWDaupT!xCH ztG^Ew2dPI6AMGU|m5^`<L-N-aB=KY<@q0MLxt1c#L3Y0lk~ng@ib4`cR^Njpj;wwa zk~p&Z`$*!*>baI-caJ@iII{Y5Byr?)I}=G9+1}+)agZ&@>0}*L92CyT>EsxaII=lc zpyKG}+=GgvoAVJ#967!?mxD}z#3Qo#;!tsrEXbWa(0X4EDvoZx36eOnIZjY<baQ;5 z;^^k2Ac-TJlLHk8nFGSG{52UW4l*YPDZOn+5=V}g7f9mB=6{2VgUkl`7iK=g3XljS ze30Ws7)cyCJhhR;k<GV+ii1o8nGXw3XQ(*H{mAA=A&DcK(}E<9?BC@`;>iBJjU<k2 z&P%8`%snvweuj#pyN7KhNF^j3ko~KIB#vyp5mX$c3*=vz`8H5-bn}Ce#F5R3hKhsC zVMdDI7N|JL9Ax#ApyD9)AbVlqJO?TcQjZ+YTad(&&DjGL2bqIx?_;Pq$Q<PG=UN3) z2?-x$bL^4Ck;{!dByr^UYC;l6HfJGJ9Apc~U$F383l#^s2e~}o4;4pOe;O(dQjc7o zUxA9Ft7l&g62bJB8dMx4i|igFs5r=cWcRp0#nIIVK*d4ok=+vs6-QU!0~H6UM-HFW zP;qqi+o9qh^~mly2^B|Ie-$bYQjhGOdr)z7^@3|aBADT002K$xB8QI+R2*bJvU~iX z;^^w5pyD9)$nHsoileKa1{DXXM-HE@P;r=gkUXeJKL`~E@sZtg87hvh{ytP3q#oHl zFQDS+>ZR9$L@>k03Mvkg1%(gDJdk_bpyD7tvU?(+;^^vApyD9)$nMF7ileJv02K$R zM-HF;P;qqir=j8?^~mnI3l&FK{}L(=QjhGOPf&4m^&0CyBADUh4iyK<B8N{fR2*bJ zvU^gY;^^uNq2eI*$nL3vileJv0~H6UM=s|TK#{}10KPp9BnRa(fW~}4Y>+u1@d9YS zpaLX_r2YX&0RscW4K(oupu&}bf#D6B_ywpq57a!6c98iOKm-E=g94hk04R_c7#L#E z#2eTk2G2kfKfnnQUxOyjzy%S%f+k)76^9<r1`h`VZixCRAO|A(_XAWMTAjkxD?lrx z18C|mK*evMi8t^<%ol-%2S^$e1P}Ni;yP&J0sIhg4>WNF0f=}Ens@?Kd=Hwqf*?e_ z49GGhe?5ST*Pw|n5Q3<`gC?FJ3=y}1#t+Q>3L+5kIcVY!pyGef#21J{)W<;M6J}0= z7({#znz({EL|ld)Lc`QQfQr|ki7$|VsJnwEo*)Skx8Z=$Fmn{7Ap9OQ@ek0B^a?a_ z2VIEzA86tmtRdnvpy?52{)9S+_zyJkfO?2{1T;Ou)C)8~#CM>HUx12BK+_{k{e(t{ z`U*7hfF_9e4K#6qW{9{2G@ZiCxd0WPfhIno1)}~3ns`7fL_7kTu3_c~v_Zsopow3A zic3J#J52qAc8K~4H1U8Ai1-aOae+>VxCJzSz|6S-6`z47KA{Vu{s)?PKsQ7@0-A4N z<_Ppa#CM>HUx12BK=Tbu{e)hK`U*7hfIf)$4K#6qeu%gQG@rrDxd0WPfhIm-0z~}} zH1U9m5b+3TzJ!@0FbN{Q15Nw_R9pg@FJbB@OophhKobv`0ujG~CN3})B5ncA$1rm) zK*eXEiBFgYQU3!?JYYIRJOY~UVde<TfQauv6Tbizmw@JbnEDAbA?hp8!~<qQ#BZR9 z3(SUyTR_VRm^l}q;xo|1C(MDU|A8hRFc%^o0WDWx<_OG#i0?oXzW^1NfR;Bf^%Lep z)K{R12P}Yy-#`-=SO^ifkcQAOb1p!|XP}8sSOih`15G?&F+@B9I-mzLM_>s=d<UBN z1*o`$EJPlre!@}+zXDA>U>QXG2Aa6Qa)`Kv9E66Ma{($o15JFw3W&NNXyO4YA>tA8 z5E^EVz$yrT2b%Z=sJH~Q0R&S&VKqd31)6xk8i@D}G;x8o5OE7d2n{pm0#tkkn)rlu z5OqJ$!~@nt#3Pg-G|U`<4G{hgH1P{iaS3IJJWTzBjSzkXns~q_i1-aOae>VcaSIg) z4KwEgRD1@S_=GJGbwAL=1GYlMBUB+Y%p8Gj5dID{@e5FK2{nj3O#OuI5Pk)kc)$*b z_zg61ft?U>3v~z$Gv@+Sd<L5Mgk2DIKhVSjc0<G?G$1t09DzL${th(p3s7+hO^7^9 z{e-;`eg&F%z&?oh4K#6q{Sa{rEeH)W=K@rG2AcSU0}ypT(8L1{Lc}AqAvDY!fkP1f z4m9x#P;m)py9TCy!eNN|3N-P6BM|W$XyO7#A>tO$b`Z>*3sCVHXyOx&LDc_16Aw5J z5s%P=&@giZPC)oO(8Mo5#U=D1@-X!iPD1z<XyO5<AmTUB#05@6#4QXUG|Zd}Q1KaP z;uFq5)crsc4>$`Ek1&MLFmnXXLHIk+#4kX_C5#~QF!dA8L--YF;sF;R;y2L51ujCw zEsP;F%$y5Q@fm316D~p2{Xi2BxC{}GFoDo8a|EtH_&d<VFF?g5Od;|x^%Jf__!Vg4 z0oNenH_*fdu0zBv%pf$(oC{F#8EE1YpdHO0XyO4kA^IcCAvDY!fm;y%4m9x#P;m(h zh&)XFgxe5)1)6xk9f<f1G;x8u5OE7j2n{pm0#tkkn)rl!5OqJ$!~^a_#3QUAG|U`< z2N3=aH1P{iaS3QY1Ezk$Lx}ncH1U8(5b+ym;sTE$;uba#8fMM~sQ3&t@d-~L>VBY! z2Rwy{N7zDWm^lK^Ap9L@;uoOe5_S-InEDCNA^Zw7@qiZ)@f&F30xu!r7WNPtX3hnu z_zX1h39lgPexQj5yoQKJI6!EaIRelDj2&p=7og%2j;QJ<yoK;9(8L4YLBwyMi3_}k zh+BXb-yrqJFF?g-povfT08#$~O+4TuL_ES7Lc`tv3BuojCVl}bE&&=WL$Y_mXNdX= zH1U8h5b+ym;sReG;ufwD8fNbWsQ3&t@d@7`>VBY!2YiQ!N4P;~m^lJJAp9L@;uoOe z67CRrnEDAnA^Zw7@qk|t@f&F30>2^R79J29X3hnu_zX1h34b8!exQj5{Dp`|ctU8H zIRgJ6{2gfG7og%2UJ!Yh`U(Fb{0cPj00zjw)eSUp0Y-?pg*QYW%$y5Q@fm316PO_C zf1rs6Fhj&6paXv}a|Bo*;ycj9FF?g5d{OP4zzR`cfhHcn1`)r3CN97Z5x4L|HRl3U zd<L5M1P+M$A86tMoDlH{e^he>xFF&?(8Mo5#U%nz)lcAtsINd14}cC>-ar!<;DxBS z04-ue%10NV;xo|1C-6bk|3DKD;D?Au1fjY|Kma1X15Nw_R9qq$Rs94(i24dN@c<!+ z_zg610bz)^MF^@n7og%Z(8MQ*K-B+06AuuDh(~}1qmjZvKnx<j15Nw_R9pfy7>%TU zf;dEd1)6w(1VsD>nz(=@MBE}A&HYgE8EE1Yq#){lpos@aL&PH@P|XpLfr#%w6Tbiz zmjErkM0UR{M12LCcz_&4{05r1fILLpA_~>s3sCVHXyOx~1LQx@!~+x|=0rrJnj@eD z5#NC(egP^j5re9Jf-*#X1)6w(3Pk({nz(=}MBE}4)tn1Z@fm316VxE;f1rs6s6)gf z;!w>I(13{VKoh?J6_)@_`XYzFCPaM&ns|T~MEnMtxPUf9+yb=d6Um$lQ1KaP;uCZr z>VKe#2k1h?BS4F^kjxR#gNW}y6TbizmjEq}L{dLNAELejO+3H=B7Or+T)+?_ZUI`9 zgJjMHsQ3&t@d-u{^*_+W1B@Z!5h-ZlZvqkDfhK+dDlP$<{6?~Of+<9O1)6w(8ASXB znz(>DMBE|`)!qwG@fm316D%O=f1rs6SVF`jK#Td1+#_HG5#NC(egP^j0h**oQa`~O zqP_x6JirDbegjQhz!oBIk%{JhsQ3&t@d<Vi^*_+W1MDH<5m~6_2sl8*cc6)1fQn0i z7E>a--w~p|0!=)?2_k+2O<cekB5na%^nhf}1*rH8H1P>85cNOM!~<L*;t{!M?stQT z??4m302P<WLsdV)9iqMhO+3H@B7Or+T)-0|Zjp~_&IPFW3^efxUJ&&^(8L40A>t7Q zsOAXxK*V>TiC=(<OBAB2pWq8oUx6kb;0F=EfhI2C4-vO0LN(_CRD1@S_=Et6`X6ZG z0f7+lh+<T81cD&qJJ7^0K*c3WP}NTehN!PV6AuW1h~GdH7YK!jTa==ja{($o15JEF z7)1RKH1U9Nh<HR9syPA?5b+&o;uoOe66L7sCqzQjSD=XpL_x%Fpot4aL&Pnh6Wg$M z?**v%3^efx(23|RXyO+VA?92_6W@>o5tpe%b!R|2MBD>SoFM}uUVtXv02SYZCa#bP zQO{9@YW@MJcnF$!LKZ~*0yOatQ1Lft;tkml^%m8r<_qLN#9PqB7eK`?potsgLe$ID zpqg_4D&Bx5exVSe{tTM<f+C2xL@la01;r5Y6f|*%5{UQ)G;x7ai1;5g@dr?G59mBC zEIn)}gQ%Z^CSFhu5r2RtZcqUccYx08!pvc)gorOd6F&eIXMoP@!qhiZLDZ+9i7#k` zh#x@{-_QgRSAot0!^|mYhKRSIi5s*)#Gjyve}Ia+K<ABN=4@z%s9%C6UeE>+XMxTe z!_*tJL&Q_i#6LjAkD!Tf=zyqKfzC6-%qi%Eh_|4L8+1X$pP-3<fQq|7=cQrhZ0Lrl zUxFrH&;t=?fzC_A)Eo3d#8c43KS0HgpowqjgQypP&SS&Oap;GL$DoN{fQqj`6Hk}` zQU3)^`~y_n0XiQJGrwUXM12pMxWFWc_zg7i1yFGn=sY>h9D~Ua^#y3+2cY76(8L3# zK-6<U=hb26Jb;Rapoteug{WVECjJ2`{sv9FU>Zcd1$2HMX8s4Lcng|%!E}iF3uxjW zpyD#nd3%^S1<(aV8EE1kpyFH5#0zFZ%x8ek^TW*f02TK^6EBzrQNIRFJYY6NoCmrt z0A>yYbOS~Xn)n8&_!%_ug1HcLbfD`6VCE>ygNXN_iC=(<zd;jUFdw4c2fDrhW=_EZ zi1->bafO8taUSTp1DN^?Q1Kiz@rFeZ^=Ht;4HiShb)f4JVCFo4iua(2Pgnv`{{~Im zVJSr12fBU%W)8zLi1->b@dHqC9_YFTnEHa{5cN4|;tDGu;%CsrKS0HGpz9rA=4@CA zQQw0mUa$%x{sv9lU^PVC2f982X3htw_!>0v4bTN{JkWI$F!cp%A?D<ui5skgh@U|d z{{R)&fv%^3nX_R%M12pMc)<pU_!~5FgN+bzAL#lEm^mMy;%m^vH*A8a=Yg)vfT=Io z3=z*k6F1lb5kG?_{sAhk16{8HGiSqAi25Eh@q%p-@i%DV2HPRxKG5|YFmpaY#n+&T zZ`c7*&jVfe0aIVF6C$32CT_3`B7O!<`~y^62f7{vX3mD)5cNH1;stvk;&0Hz4faCB zeW2?{VCH;)imyQv->?s&o(H<F1g5@VKSVqSP2AuBMEnez_y?%C4s^W<%$yAeA?kb3 z#0w5V#NVKa8ytp+`#{&Hz|8po6<>oUzTpT&Jr8u<3QT>$QHXdBnz+F+i1-;a@efdO z9q4)%m^mAcL)7=6i5HxJh`&J-H#i9q_kpf|ftm9GD!v9ye8VY-dLHPy7?}Ek(-83- zG;xD75b-l;;vb;mI?(kpFmpDXg{bdA6E8Ri5r2awZg3tV?gL$412g9XRD2DZ_=XD* z^*qpZH!$@D7a`&~XyOK!AmV4x#6LjAb)f5UVCHPN3{l^MCSCwt!21SG+~6uiy$^K# z4$PbnQ1LZr;v23()bl{s^}y5@T!)C~potsYfQX+#6aN4e*MYA0ftj=6CPaM?ns~u2 zi1-^caf90saUbaVAecEHpyF%L#5df5sON#M8-l4XxC;@_K@&H)2N6GmCjJ2`t^-|9 z1T$yDeTe!VH1UE55b-x?;sy^P;y%#zM=*0fK*iUfiEnrWQO^TimjqK^@E9VVgC=h9 z1R{P0P5c8?TnD;d31-fQrx5i$XyOIWAmVS(#0{Q9#C@Ran_%XAfQqj{6W{OxqMir3 z?g^&8;3Y&n2Tk1I6-4|Dn)nB(xDIqZ6wI6ruOaGt(8LSgK*Zmmi5t9yi2Fd-Pr=Oj z02N<@CcfbvL_H65T@_4y!Fz~!4w|^Z2Z;C?H1Q8maUJM-E0{SOK0?&@potfJf{4FC z6F2w_5%+<v&w`or0V=)*O?<-_h<YCAx-FRcg0B$q95iu*ZxHb_XyPBB;yTdvTrhJs ze21v-K@%_d0TF+LCT{Q(BJKlS{{=JW15|tsn)rra5cNFJbzv~|1-~KUIcVYre<0## z(8NDL#dV<T#bD-a_zO|rgC<_^4<h~sP2Au=MBE3uz6@s02dMZOH1Q4KoevBQJkWJ# zF!cqDAQl5d4w|?D6GZ$Bn)nB(xDIqZ8b}prtHB0l5Q~AK2Ti<y1tR_iP27MLBJKlS zzXsxg%=rKnUxOyTfeoaBfq@6Qt_{QksV`s$u^1R~(8LWmAmV4x#6LjAb)f6rK&n9I zY~Td37#Moc#0$6};&0Hz4Y(oVKG5}XARfq^4^Z(nXyO}qKq?p*c%bX%Ks=E80$vb{ zfguM?+<*@veg;ka15{iGx}FZC3S`a(eh`a+p$AR8Kma2C22I>R5F+jaU4IASfz0^; z6<>oUzCj42f`Netx-JjI1F0_%2C*0za?r#LL?Gg4(8NDL#dV<T^+2jX=4=oJu^1S7 z(8LSGAmVS(#0|tD;y%#zeIOploDWd(HE7}+BtR+{7<i!T{y;pC`T|K1i-92rP24~V zB7O!<`~y^62f7{*qzYut25AtBfuRRYyg&vb{sv9lKo%nI16@A|;(^Th02N<@CcZ%q zq=JEg2fD5h!~>}>kO#3C7;@0W4HO{aXVAnyK*e>S>kUDwK;~>v1hE(xdeFoRlpx}7 z(8LXtA>uyJ^@$)J$ea&Q@il1T8&p6l7#Mh<>lQ&gkop2u5Q~8!2Tj~S4I+L9P5c8? zTnD<I5u^%a&IWZ5i-DmBO}sz@BK`(V+&~i|?gL%_2;zav`2ZDPgC@Q~3#5X9fd{%S z62t?kFVF_D7#MQU#0_*H;%CsrKS0HGuA`2JZ_tIP??DqU(1VD-K@&I7hlu+?*H?mg zAoqNLimyQv-(Ubz!N9-+U3UrMfz%fmf>;a;IcVYrMiB8cXyPBB;yTdvm>^Xkb2b=* zSPTq3XyOIXje2j;#0^X#>V2T=H$gm*IUk_nYtY0un1NI<Fz`Uvb%J;x^#$f276U^L znz(@lMEnez_y?%C4s^XINEOJO4VEAl149p*c!3o}{0*A8fi*<j2f98K!~>c00V=)* zO?-n5NCg7}4|LrqhzC+%U<+a~Fyx?#8`wd_&!CBafQsut*OP)&fy~)p4`MMe^q`3s zI6%bTpotqm@3Z%Tu0I8-0-5sxD!v9yd;|2pc^>GxRG9h#=soT^XyOLY`_#{%iGP5K z>p<75!pzwKz3;pSO}xMjq=JFr4Vt(C^geGN==xTWDzN*Z;%m^vH$d;(=7Fwzg{d!q z-eaAECT;+|Px=g+_y?%C4s<;%%$yC-`<{Ey#0#MJD8E4yH-O$}>;qjt3p3{fRD2DZ z_y*{G!#vP+wJ`Ms(0hDy(8LX(_vxNN6aN4e*MY9Lg_*Mfdf#mins@>99@#f&;s((B zTz#PHb7AIufQqj{6W;*6Z<PnSZWpG$0D6yU4w|?D^ghuuXyPBB;yTdvyfAY%go9WN z3_WP#1<-pm-=K*bK<~5kfv*1r=?14CsQ4N*@eR=XCV8Oif??_lp!YcDpotqm?^8U3 zCjJ2`t^-{!3^Qi~^uEI$H1PuHJ%Vr0#0{YL`T0QC7sJf?02N<@CcXiB-yRQi-7!pk z0rVcb95itQ=zVf$(8NDL#dV<TkzwX+fZq4kgC<@8y+`d0nz#Y<J~JQa`em3oAE4rE z(8M=D?;GQRu4{&=FM!_Tm4ha30KHG^44U`{sJISvy)(?54bc0pdeFoRp!Z0<K@&HC z-sj{4T^|iI=L1xH4Vw4{=zU8(&~?)=^##y-jB?P#4WRc4ok0`-02SAPuBV2XvjKYF zPY;@S0rVc7H)!Gp(EDtBpzE(;=6ryPuR#;v0KIR92f8jBroI4rk4p}kxB>J&l{0AK zAE4qo(Dm9db2dQlJLy3aFM!@7@&--Z0D7N?4|IJu%$yHU@il1T8=&`X@Icpn!_*f* z@3F{16E}d~CvgT%`~y^62f7{{X3hraeGffo;swxq6yBhT8$j<f@PV!$hne#MD!v9y zd;|2p0UqeOa+vx8=sEs5XyOLY^YqW4iGP5K>p<6=!_3(LJ@38;O}qelj{F-maRcai z?mp1<=`eFXK*iUfiEn_Ox6T7yw+>TZ06oV%2Tj}ndY<?hH1Q8maUJM-c9=OEpyz$} zpotei&(VH^CT;*d&)NsN{vBq{2dMZOH1Q44^QL*A>*8VR3!vvX=b(ujK+jV?gC_m~ zDy{=vFAp<k1N6M(9yIX+=sCh~(8LX(=lS|T*Vn_$`2ZDPgC@QKdfqM%blp8neF5|w z+Z;4;1L%3OXVAnyK*isniBEu@8>;|arw=oK1N6Mt5HxWH==rK8XyON;;v3M!A3)Cu zJ%c9h06pLH1)BH*s5l37e*nxq4$yNvHPFOAK*c@K#1}x%>&!qC4}hM(*?}g`06kB0 z1)BH<sQ4K)@doI*nIF)^1EA+rszCQKz})`;dS0Xjnz#V;oJ1cqaR;b)0-AUMRD2Ga zxB>Khzzt~P2cY6d(8NDL#UG%FJ3!C%`-3Jv0V*y5-ERT&*9WM$37U8S^t`+VH1P${ zbK=gRiBEtY^dbS>w*faFdR~|bns@;8ye)>z?9BM$<c!pm(wx-zf};H7)Z*g!f}+g) zqRf&?uxwdQetLXTW=VW<egTq5aY<2XUV2FeL^wA!HyK$Lras;vz5-%OMoJFCqLQM- zyyC><lFa<P_~Oj;yu_UN;+*_)2EC%xoJ75n)QS>_CXm%|*J06=mRO9eGPNW=xwNP# zHLnEjm6ZIV+{8R2MalVj$%#n(w9LHB;tZI2u(fa-q2U1*1P4QUD#YaY<ovvn_~MeH z(&Uo(f|4Szip<p1)cCy8+|;7X<oM!}l=#v-kl#{M;!E=5GxJJP(^DY|!A8L?LH1Ts zPJVKBW?p)HSt62Bh?C=slM{2G!YKv$1z<bNK*5@vk(!(xUzC`ao*JK@7N41yl3Edu zM?rB;W^yV>0VvjTp$3728XBj`$b!ka1@UExIq`nMLH=c_MLCH`8bETPE&-`2>8V)d zGZOPsa#G{d@{5vF<BL*rQWJ|);}i2z;!}z;%TkNti&Bg8b4o$U0OA{vBQo>K^0QOp z(@OKe=>sAG@@7F%enDb-Vo7Q|QjkHULHY<tr=;ejmLQCc2U(Mx2)4Q;zaS^4EEi!^ zacW6?Nk&m>Vv1)9$no)|c}0nNDftj3nR%HdnTa`>RY;~KC+6fJ>4#+~B!|Z5<>$r2 z<2NNWC$SQm^hwbNN>GV840?I_C8>JuexbU>C5g$|5EDRQlv)N#{2<pP!Noy=gG(H1 zDuh@P56WEedHL~0sp%jpF+Ck(56C<s^&vE*q$U=F%mtZ-5KS&h1;r;+3Q85FLM;M$ zD6yz0u`)h6Ker&UC^fz~vnmy#yF4+o1e}^c@s*ca0m_kyB~S$*&G=jiDsSQw)6<Jm zK}ia1XmLqyNql}@PG%m|43JOZnHW-}<tBk-A>~wRMtoXPVlG56+%9OL0WU=3b4znd zG7EAb%D{OaYf{Cc4piKfrWC}3@)y{J7)1;yNK;clrAvHbN(zHsaY<20ViJR1ab+%; zF3L|yEJ<WQPKRcemX;_2rsik@M(6^jCg>{A1<Z`mR2ZWRm>Qy~FhUnFGeA>ej4ohk zX@TZ+3p4>EbOB>?G!>?%XaYv)0%j&?DvZ$uOpVY~7@-T88KS8$K^HJa7cjLnNA;W$ zx`3GlnhIld0aG(H6-MX+W~OK=OpVb5jL-$ljL=jVqYIcAqNy-7Koc-R7cjFlL-nmO zx`3%UnhGOy0aFun73czH#%L;x(FIHm(Nq|r3z!+8sW3(tFtId6_Y0bUA-W1v3p5o* z=mN&(Xevxi(FBap1<Xv)R2ZWRm>Qv}FhUnFGc>hiNUcasE-guoHvw^w%MN370doU1 z15GVW(8B^vzzAK1nFX2(V{`#iGc*-O=mKV@XevyN(FBap1<Z`lR2ZWR7#X0cFtap9 z1dfF<sz(jc1x(G+R2ZQPn3|xgKo>AGMpI#oE?{birosqaz{~(mg)zE-sf7`$UyRTN zjLp$hn3|#q7@-T8nV_jKMi($OLQ`RcE?{PerotFqz}x^$g{h?>nqSZbj4jYqn3|yp z7@-T8nWCvMHAWLK0tvwKjS-rp8M=T8x_~jdfRTYABB_~M8ld{g3|+t&UBJ{FO^Xq_ zfSDPZ3R4p_0V8w)Gh;Lr#^?g3hG;5G&;^Xp1<VZ4v>1Z~^dK!4z2xE&3vkmXH!&wC zKiLAM<zixKi7H@dfi7TffvUp95KX|)!W>-%nt-vT8JY?s3;|OM<U$jPV_=G^*Vxho zA!}ibDrsVAgeG8Qgivi{h$?AnV2F@3w=_VNH9;3Jv_Ml~YH4YX5}Zcp0%jIyDvZ$u zOwG_#7@-T8nWCvMHAWLKLKiSILQ`RkE?{barosqaz|7JD)pMriXaYv)0;VSDD$oVY zjL}pWqYIcCqNy-J7cfE>Ff%~YVvH_eXlah-7Yj52BXj{{b2JsErf332=mKUYXex}+ z1x$_5R2ZQPm>Hs}FhLhEMi(%(G(+{AF}i>ex`3Glx)yW+Q!_LbM(6@&rf4cmjnM>* z&;`tl&{UY93mBsdm>QsIF+vwGvotk_7aiuNs6k<hE?@)_fYmZ4rs&E|&;*Ro1<Z`m zR2ZWRm>MFv#{|SdZvPpf3z!)oD+h6ql^dfA7+RX3`OpGQzzAKy*c?rTsVSO(5xRhx z37QIHbOBQ%G!;hZ0%nG2DvZ$u%ni^~m|7a6dd>)4z}Ny!g{c{ufDyWYnJJnIQ)4s% zBXj{XBQzBz=mN$d0a!9JGDK5uWPm1MW@&`#F=KQAQ*$&GM(6^jCg>{A1<Z`mR2ZWR zm>Qy~FhUnFGeA>ej4ohmVTkG%BXj{%Q*;&R0%j&?DvZ$uOpVY~n4k+7p$nK9qG>Tk z7ce(4L@FvQ4N(1IiY{P;E?{hduEh*ZzzAKy%oI(9sWF;>5xRhx5t<5PbO9p+G!-V6 z7G@|75fei+0Yh_hbQNd<#+GKNDhw^q1Wb&~%#cc21lz>a6p3SKifWFDr3tElF-QQG zc}z@A5PFRajZu}F7#bpE%`FWO98&`{l}2a+hL)D5C}Co1fhJ&tE?{hqroz+|O~43U zz{~_qg)zE-sS%nABXj{XLo^k}=mMse7N~wPLKiTzKvQ9iE?{bgrosqaz|0g)g{d)` zfDyWYnGu=_V{`!%Lo^kp2516C=mKVz=BU0kHAfRLLKiSKL05q;U}lV_!Wdn^)DTUD z3A%t0x`3GhnigYp0TW9zRKFOb3mBpcm|CD|F+vwGHb+xoYKkUcgf3uaf~LY4UBJ`` zO@#@%fDyWYnIW1MV~_wmXB(i&np&EohJ_KjfSCoF3S*D}%s_KANmDa40V8w)GgDJj zc!^~K;vhG!OpVd?qYIcBp{Xzi3BcTAVu&VdWPm1MW@&=zV`FpyLklz&rsik@Mj!#W zm8NL2rY2|tM(6@&#%L;x(FIHm(NvhA3mBmbm>HmHF-8|KwJ=8Yx)Hj7u{oLwQ&Thn zBXj{X6EqdZ=mMrjXex}*1<VZ5R2ZWRm>U=)QjHmigOX}2jnF)XE?{hdroz+=O~43U zz|0g)g{d)`fDyWYnGu=_V{`!{12h$8mWHTKH%1pQHAhongf3uahNi;Q1WmvQUBJv3 zO@%SKfT<yx3KMhzBXj{X12iqhAOToDF}E~8^{J@^nt&0yfT=0E3UmQ86EqdZ=mMrj zXex}*1<VZ5RG6R(7^4fA8yJ`}6qhCy6y>MK=f)={8>A%~7^Rt5S|(W<r>4dyC7C3e zCdONuptN>O3@y+EjLgvl4ABIPEzL|2rKN!xs&ZpXQzThKWR9r`s!|h6V}w#O17lQK zQv)M}thuEjs;mjRfT0Dt3L|s@LqlW(4bTiUL=!MIFfbt|JdIJp)6CKmRlw97O~43U zz|;g?1-gKlF`5cvbOBRCG!-W30!HWpW(H_ljL`)QEiKUeVu2=Lgf3ugj;6xY6ivVg zUBJu)O@%SKfT<Ch3L|s@Gea~LCg=jj=mMse=BS=CLKiTzKvQ9iE?{bgrosqaz|0g) zg{d)`fDyWYnGu=_V{`!%Lo^kp2516C=mKVzW~jb3Mi($OM^j-05`YzyCZ=ezrY2|t zM(6@&#%L;x(FIHm(Nq|r3z!+086y`pXnp|6BG<XbmZqpG4ABKlEzndLp$izBqp2`8 zMH4VW7cetHQ(=rQU}}V>!U$c!%n(h5F}i@c0h$U^OA}Pz8lejqTcD{hHA53HLKiSI zH9-n-5C=JcO^wm@qYIcBp{X!N7ceqFQ(<OljOq_#bOA#PG!>@iXaYv)0;VSDD$oVY zjL}pWqYIcCqNy-K7cetGQ(=rQU}|B6>Nz8H0b_GC6{e<W0!HWpW+rGVjL`*5jnGt> zpbHqG3z!+AYe5$<Mi($QFhV32b4x>1pPHfz7@-RoTcB$(LlZDU7cetLQ(<b1CSZgv zU}l7-!Wdn^#1Kt|k%1wy#|%(CW@d>dV2mzcYL2GD2wlL;3{8cp37UWrx`3H6nhIld z0TUxM6{d!00w(AJM(6@&254H0(FIH_EsapxJcbr%0w#uN0*2-ms9H=6(F6=F%u!Vs zTbdamihfgMjv+F~zzkiVDXM^pk%<v_VjH|75Wad4xmZJ4F$!AgmzWa|UdRbr-x;5i zT3l?5aErO6A({n-=mM4os45IC&;(43&;<<91WYY04N(Hw2wlL;0!@W6x`3$}nhGOy z0W(uH6{f~$0!HWpW=3c#jL`*54AE4W8lVZ7pbHqG3z%72pnBgJUBJ{FO@$G<fT;<( z3UmQ8V>A`U=mMsOXevz51&q)I%nZ=97^4fASem1H&JbO|)B;U~5xRh}IhqPnQ#1i1 zbOAFHG!@3^0;Wc2DvZzt%nZ>~7^4fATAHDH&KO<52wlL;0$mHbfT<ap3L|s@GgC7| z<l+L=4W`EE`q2f<jL=jVg9PBsUqfWm4bYStp$nK<nxfioj4ohmj;6v0UBJ`?T?M*; znK7CQV{`#iLo^je=mKU2Xex}+1q>}sQ2k<RfhJ&tE?{hqroz+|O~43Uz{~_qg)zE- zsS%nABXj{XLo^k}=mO>jXevxCjZr;kgf3ugfu_RL3{AiYUBJu~O@*m3nt&0yfSD1R z3KMhzV{`!{12ipWmPV+4F-8|KHAhongf3uahNi;Q1WmvQUBJv3O@%SKfQb>B3R6Qg z0V8w)GXpdg#vlP$ZZx+vMD?ku1)6{nx`3%Ex(ajwGZQow#^?g3MrbNb&;^Xp1<VZ5 zwV(?aqYIcDplLC+G(h#O5xRh}1)2&|Gc*AsbOAF{G!>@CXaYv)0%k^NDvZ$uObpRf z7#SEC5@>qCH|mrn7R48*mVkEC7!c3{ZJ~iTmZYR0sfKK`iZ@`;^KlOFG&i+CFwNo( zP?}RFhL-387N`QomgWYC8pRx4(hOC=(83H!wJ9>k5Se3urq9^Y6xARTOA|B!LlcC_ zM#iX;h89K$Npnj>R9O=vbOA#Q0R!m8m5}3t$R^LTGB7}|mPaw;#W~0Y?{lE{B*G*i zr^~^33=E)SltE%_2q7>Fdd(ighIOEwmS7<;0lj`3%mxvl<EugEYk=6ucmp>?^g%Vq zbOr{{HR~Xgpx3iAK(B3Pn90Wg-pLNVMjLL#2dKU1_QCYS==-b?{UEd9nn8^95E1CH z&>#^oMmKLeGekdF5{X!`0iqvC2+V}|2FwH#HW2%vr!jzqzywTx1ax02Sdf8%0p@NP z-<*|!0TNO$5ePk@9TI;L(0lSg;m3}o9~OSsSs9=w07CS^$^DR2u>pEt9LU|EIEL8| G(+>c79mhui diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c deleted file mode 100644 index cc3096d..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c +++ /dev/null @@ -1,1437 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/**********************************************************************/ - -#if defined(_WIN32) - #include "stdio.h" - #define IKI_DLLESPEC __declspec(dllimport) -#else - #define IKI_DLLESPEC -#endif -#include "iki.h" -#include <string.h> -#include <math.h> -#ifdef __GNUC__ -#include <stdlib.h> -#else -#include <malloc.h> -#define alloca _alloca -#endif -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/**********************************************************************/ - -#if defined(_WIN32) - #include "stdio.h" - #define IKI_DLLESPEC __declspec(dllimport) -#else - #define IKI_DLLESPEC -#endif -#include "iki.h" -#include <string.h> -#include <math.h> -#ifdef __GNUC__ -#include <stdlib.h> -#else -#include <malloc.h> -#define alloca _alloca -#endif -typedef void (*funcp)(char *, char *); -extern int main(int, char**); -IKI_DLLESPEC extern void execute_218(char*, char *); -IKI_DLLESPEC extern void execute_219(char*, char *); -IKI_DLLESPEC extern void execute_4057(char*, char *); -IKI_DLLESPEC extern void execute_4058(char*, char *); -IKI_DLLESPEC extern void execute_4064(char*, char *); -IKI_DLLESPEC extern void execute_4065(char*, char *); -IKI_DLLESPEC extern void execute_4154(char*, char *); -IKI_DLLESPEC extern void execute_4155(char*, char *); -IKI_DLLESPEC extern void execute_4156(char*, char *); -IKI_DLLESPEC extern void execute_4157(char*, char *); -IKI_DLLESPEC extern void execute_4158(char*, char *); -IKI_DLLESPEC extern void execute_4159(char*, char *); -IKI_DLLESPEC extern void execute_4160(char*, char *); -IKI_DLLESPEC extern void execute_4161(char*, char *); -IKI_DLLESPEC extern void execute_4162(char*, char *); -IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_7903(char*, char *); -IKI_DLLESPEC extern void execute_7904(char*, char *); -IKI_DLLESPEC extern void execute_7905(char*, char *); -IKI_DLLESPEC extern void execute_7906(char*, char *); -IKI_DLLESPEC extern void execute_7907(char*, char *); -IKI_DLLESPEC extern void execute_7908(char*, char *); -IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_4150(char*, char *); -IKI_DLLESPEC extern void execute_4151(char*, char *); -IKI_DLLESPEC extern void execute_4066(char*, char *); -IKI_DLLESPEC extern void execute_6(char*, char *); -IKI_DLLESPEC extern void execute_7(char*, char *); -IKI_DLLESPEC extern void execute_8(char*, char *); -IKI_DLLESPEC extern void execute_9(char*, char *); -IKI_DLLESPEC extern void execute_10(char*, char *); -IKI_DLLESPEC extern void execute_11(char*, char *); -IKI_DLLESPEC extern void execute_12(char*, char *); -IKI_DLLESPEC extern void execute_13(char*, char *); -IKI_DLLESPEC extern void execute_14(char*, char *); -IKI_DLLESPEC extern void execute_15(char*, char *); -IKI_DLLESPEC extern void execute_16(char*, char *); -IKI_DLLESPEC extern void execute_17(char*, char *); -IKI_DLLESPEC extern void execute_18(char*, char *); -IKI_DLLESPEC extern void execute_19(char*, char *); -IKI_DLLESPEC extern void execute_20(char*, char *); -IKI_DLLESPEC extern void execute_22(char*, char *); -IKI_DLLESPEC extern void execute_23(char*, char *); -IKI_DLLESPEC extern void execute_24(char*, char *); -IKI_DLLESPEC extern void execute_25(char*, char *); -IKI_DLLESPEC extern void execute_26(char*, char *); -IKI_DLLESPEC extern void execute_27(char*, char *); -IKI_DLLESPEC extern void execute_28(char*, char *); -IKI_DLLESPEC extern void execute_29(char*, char *); -IKI_DLLESPEC extern void execute_30(char*, char *); -IKI_DLLESPEC extern void execute_31(char*, char *); -IKI_DLLESPEC extern void execute_32(char*, char *); -IKI_DLLESPEC extern void execute_33(char*, char *); -IKI_DLLESPEC extern void execute_34(char*, char *); -IKI_DLLESPEC extern void execute_35(char*, char *); -IKI_DLLESPEC extern void execute_36(char*, char *); -IKI_DLLESPEC extern void execute_37(char*, char *); -IKI_DLLESPEC extern void execute_38(char*, char *); -IKI_DLLESPEC extern void execute_39(char*, char *); -IKI_DLLESPEC extern void execute_40(char*, char *); -IKI_DLLESPEC extern void execute_41(char*, char *); -IKI_DLLESPEC extern void execute_42(char*, char *); -IKI_DLLESPEC extern void execute_43(char*, char *); -IKI_DLLESPEC extern void execute_44(char*, char *); -IKI_DLLESPEC extern void execute_45(char*, char *); -IKI_DLLESPEC extern void execute_46(char*, char *); -IKI_DLLESPEC extern void execute_47(char*, char *); -IKI_DLLESPEC extern void execute_48(char*, char *); -IKI_DLLESPEC extern void execute_49(char*, char *); -IKI_DLLESPEC extern void execute_50(char*, char *); -IKI_DLLESPEC extern void execute_51(char*, char *); -IKI_DLLESPEC extern void execute_52(char*, char *); -IKI_DLLESPEC extern void execute_53(char*, char *); -IKI_DLLESPEC extern void execute_54(char*, char *); -IKI_DLLESPEC extern void execute_55(char*, char *); -IKI_DLLESPEC extern void execute_56(char*, char *); -IKI_DLLESPEC extern void execute_57(char*, char *); -IKI_DLLESPEC extern void execute_58(char*, char *); -IKI_DLLESPEC extern void execute_59(char*, char *); -IKI_DLLESPEC extern void execute_60(char*, char *); -IKI_DLLESPEC extern void execute_61(char*, char *); -IKI_DLLESPEC extern void execute_62(char*, char *); -IKI_DLLESPEC extern void execute_63(char*, char *); -IKI_DLLESPEC extern void execute_64(char*, char *); -IKI_DLLESPEC extern void execute_65(char*, char *); -IKI_DLLESPEC extern void execute_66(char*, char *); -IKI_DLLESPEC extern void execute_67(char*, char *); -IKI_DLLESPEC extern void execute_68(char*, char *); -IKI_DLLESPEC extern void execute_69(char*, char *); -IKI_DLLESPEC extern void execute_70(char*, char *); -IKI_DLLESPEC extern void execute_71(char*, char *); -IKI_DLLESPEC extern void execute_72(char*, char *); -IKI_DLLESPEC extern void execute_73(char*, char *); -IKI_DLLESPEC extern void execute_74(char*, char *); -IKI_DLLESPEC extern void execute_75(char*, char *); -IKI_DLLESPEC extern void execute_76(char*, char *); -IKI_DLLESPEC extern void execute_77(char*, char *); -IKI_DLLESPEC extern void execute_78(char*, char *); -IKI_DLLESPEC extern void execute_79(char*, char *); -IKI_DLLESPEC extern void execute_80(char*, char *); -IKI_DLLESPEC extern void execute_81(char*, char *); -IKI_DLLESPEC extern void execute_82(char*, char *); -IKI_DLLESPEC extern void execute_83(char*, char *); -IKI_DLLESPEC extern void execute_84(char*, char *); -IKI_DLLESPEC extern void execute_85(char*, char *); -IKI_DLLESPEC extern void execute_86(char*, char *); -IKI_DLLESPEC extern void execute_87(char*, char *); -IKI_DLLESPEC extern void execute_88(char*, char *); -IKI_DLLESPEC extern void execute_89(char*, char *); -IKI_DLLESPEC extern void execute_90(char*, char *); -IKI_DLLESPEC extern void execute_91(char*, char *); -IKI_DLLESPEC extern void execute_92(char*, char *); -IKI_DLLESPEC extern void execute_93(char*, char *); -IKI_DLLESPEC extern void execute_94(char*, char *); -IKI_DLLESPEC extern void execute_95(char*, char *); -IKI_DLLESPEC extern void execute_96(char*, char *); -IKI_DLLESPEC extern void execute_97(char*, char *); -IKI_DLLESPEC extern void execute_98(char*, char *); -IKI_DLLESPEC extern void execute_99(char*, char *); -IKI_DLLESPEC extern void execute_100(char*, char *); -IKI_DLLESPEC extern void execute_101(char*, char *); -IKI_DLLESPEC extern void execute_102(char*, char *); -IKI_DLLESPEC extern void execute_103(char*, char *); -IKI_DLLESPEC extern void execute_104(char*, char *); -IKI_DLLESPEC extern void execute_105(char*, char *); -IKI_DLLESPEC extern void execute_106(char*, char *); -IKI_DLLESPEC extern void execute_107(char*, char *); -IKI_DLLESPEC extern void execute_108(char*, char *); -IKI_DLLESPEC extern void execute_109(char*, char *); -IKI_DLLESPEC extern void execute_110(char*, char *); -IKI_DLLESPEC extern void execute_111(char*, char *); -IKI_DLLESPEC extern void execute_112(char*, char *); -IKI_DLLESPEC extern void execute_113(char*, char *); -IKI_DLLESPEC extern void execute_114(char*, char *); -IKI_DLLESPEC extern void execute_115(char*, char *); -IKI_DLLESPEC extern void execute_131(char*, char *); -IKI_DLLESPEC extern void execute_4067(char*, char *); -IKI_DLLESPEC extern void execute_4068(char*, char *); -IKI_DLLESPEC extern void execute_4071(char*, char *); -IKI_DLLESPEC extern void execute_4072(char*, char *); -IKI_DLLESPEC extern void execute_4084(char*, char *); -IKI_DLLESPEC extern void execute_4085(char*, char *); -IKI_DLLESPEC extern void execute_4086(char*, char *); -IKI_DLLESPEC extern void execute_4087(char*, char *); -IKI_DLLESPEC extern void execute_4088(char*, char *); -IKI_DLLESPEC extern void execute_4089(char*, char *); -IKI_DLLESPEC extern void execute_4090(char*, char *); -IKI_DLLESPEC extern void execute_4091(char*, char *); -IKI_DLLESPEC extern void execute_4092(char*, char *); -IKI_DLLESPEC extern void execute_4093(char*, char *); -IKI_DLLESPEC extern void execute_4094(char*, char *); -IKI_DLLESPEC extern void execute_4095(char*, char *); -IKI_DLLESPEC extern void execute_4096(char*, char *); -IKI_DLLESPEC extern void execute_4097(char*, char *); -IKI_DLLESPEC extern void execute_4098(char*, char *); -IKI_DLLESPEC extern void execute_4099(char*, char *); -IKI_DLLESPEC extern void execute_4100(char*, char *); -IKI_DLLESPEC extern void execute_4101(char*, char *); -IKI_DLLESPEC extern void execute_4102(char*, char *); -IKI_DLLESPEC extern void execute_4103(char*, char *); -IKI_DLLESPEC extern void execute_4104(char*, char *); -IKI_DLLESPEC extern void execute_4105(char*, char *); -IKI_DLLESPEC extern void execute_4106(char*, char *); -IKI_DLLESPEC extern void execute_4107(char*, char *); -IKI_DLLESPEC extern void execute_4108(char*, char *); -IKI_DLLESPEC extern void execute_4109(char*, char *); -IKI_DLLESPEC extern void execute_4110(char*, char *); -IKI_DLLESPEC extern void execute_4111(char*, char *); -IKI_DLLESPEC extern void execute_4112(char*, char *); -IKI_DLLESPEC extern void execute_4113(char*, char *); -IKI_DLLESPEC extern void execute_4114(char*, char *); -IKI_DLLESPEC extern void execute_4115(char*, char *); -IKI_DLLESPEC extern void execute_4116(char*, char *); -IKI_DLLESPEC extern void execute_4117(char*, char *); -IKI_DLLESPEC extern void execute_4118(char*, char *); -IKI_DLLESPEC extern void execute_4119(char*, char *); -IKI_DLLESPEC extern void execute_4120(char*, char *); -IKI_DLLESPEC extern void execute_4121(char*, char *); -IKI_DLLESPEC extern void execute_4122(char*, char *); -IKI_DLLESPEC extern void execute_4123(char*, char *); -IKI_DLLESPEC extern void execute_4124(char*, char *); -IKI_DLLESPEC extern void execute_4125(char*, char *); -IKI_DLLESPEC extern void execute_4126(char*, char *); -IKI_DLLESPEC extern void execute_4127(char*, char *); -IKI_DLLESPEC extern void execute_4128(char*, char *); -IKI_DLLESPEC extern void execute_4129(char*, char *); -IKI_DLLESPEC extern void execute_4130(char*, char *); -IKI_DLLESPEC extern void execute_4131(char*, char *); -IKI_DLLESPEC extern void execute_4132(char*, char *); -IKI_DLLESPEC extern void execute_4133(char*, char *); -IKI_DLLESPEC extern void execute_4134(char*, char *); -IKI_DLLESPEC extern void execute_4135(char*, char *); -IKI_DLLESPEC extern void execute_4136(char*, char *); -IKI_DLLESPEC extern void execute_4137(char*, char *); -IKI_DLLESPEC extern void execute_4138(char*, char *); -IKI_DLLESPEC extern void execute_4139(char*, char *); -IKI_DLLESPEC extern void execute_4140(char*, char *); -IKI_DLLESPEC extern void execute_4143(char*, char *); -IKI_DLLESPEC extern void execute_138(char*, char *); -IKI_DLLESPEC extern void execute_195(char*, char *); -IKI_DLLESPEC extern void execute_196(char*, char *); -IKI_DLLESPEC extern void execute_197(char*, char *); -IKI_DLLESPEC extern void execute_198(char*, char *); -IKI_DLLESPEC extern void execute_4152(char*, char *); -IKI_DLLESPEC extern void execute_4153(char*, char *); -IKI_DLLESPEC extern void execute_173(char*, char *); -IKI_DLLESPEC extern void execute_174(char*, char *); -IKI_DLLESPEC extern void execute_175(char*, char *); -IKI_DLLESPEC extern void execute_176(char*, char *); -IKI_DLLESPEC extern void execute_177(char*, char *); -IKI_DLLESPEC extern void execute_178(char*, char *); -IKI_DLLESPEC extern void execute_179(char*, char *); -IKI_DLLESPEC extern void execute_180(char*, char *); -IKI_DLLESPEC extern void execute_181(char*, char *); -IKI_DLLESPEC extern void execute_182(char*, char *); -IKI_DLLESPEC extern void execute_183(char*, char *); -IKI_DLLESPEC extern void execute_184(char*, char *); -IKI_DLLESPEC extern void execute_185(char*, char *); -IKI_DLLESPEC extern void execute_186(char*, char *); -IKI_DLLESPEC extern void execute_187(char*, char *); -IKI_DLLESPEC extern void execute_188(char*, char *); -IKI_DLLESPEC extern void execute_189(char*, char *); -IKI_DLLESPEC extern void execute_190(char*, char *); -IKI_DLLESPEC extern void execute_191(char*, char *); -IKI_DLLESPEC extern void execute_192(char*, char *); -IKI_DLLESPEC extern void execute_193(char*, char *); -IKI_DLLESPEC extern void execute_194(char*, char *); -IKI_DLLESPEC extern void execute_200(char*, char *); -IKI_DLLESPEC extern void execute_201(char*, char *); -IKI_DLLESPEC extern void execute_203(char*, char *); -IKI_DLLESPEC extern void execute_204(char*, char *); -IKI_DLLESPEC extern void execute_205(char*, char *); -IKI_DLLESPEC extern void execute_206(char*, char *); -IKI_DLLESPEC extern void execute_207(char*, char *); -IKI_DLLESPEC extern void execute_208(char*, char *); -IKI_DLLESPEC extern void execute_209(char*, char *); -IKI_DLLESPEC extern void execute_210(char*, char *); -IKI_DLLESPEC extern void execute_211(char*, char *); -IKI_DLLESPEC extern void execute_212(char*, char *); -IKI_DLLESPEC extern void execute_213(char*, char *); -IKI_DLLESPEC extern void execute_214(char*, char *); -IKI_DLLESPEC extern void execute_215(char*, char *); -IKI_DLLESPEC extern void execute_216(char*, char *); -IKI_DLLESPEC extern void execute_217(char*, char *); -IKI_DLLESPEC extern void execute_230(char*, char *); -IKI_DLLESPEC extern void execute_2136(char*, char *); -IKI_DLLESPEC extern void execute_2137(char*, char *); -IKI_DLLESPEC extern void execute_233(char*, char *); -IKI_DLLESPEC extern void execute_234(char*, char *); -IKI_DLLESPEC extern void execute_235(char*, char *); -IKI_DLLESPEC extern void execute_236(char*, char *); -IKI_DLLESPEC extern void execute_237(char*, char *); -IKI_DLLESPEC extern void execute_238(char*, char *); -IKI_DLLESPEC extern void execute_239(char*, char *); -IKI_DLLESPEC extern void execute_240(char*, char *); -IKI_DLLESPEC extern void execute_241(char*, char *); -IKI_DLLESPEC extern void execute_6034(char*, char *); -IKI_DLLESPEC extern void execute_6035(char*, char *); -IKI_DLLESPEC extern void execute_271(char*, char *); -IKI_DLLESPEC extern void execute_4196(char*, char *); -IKI_DLLESPEC extern void execute_4197(char*, char *); -IKI_DLLESPEC extern void execute_4198(char*, char *); -IKI_DLLESPEC extern void execute_306(char*, char *); -IKI_DLLESPEC extern void execute_4248(char*, char *); -IKI_DLLESPEC extern void execute_4249(char*, char *); -IKI_DLLESPEC extern void execute_4250(char*, char *); -IKI_DLLESPEC extern void execute_4251(char*, char *); -IKI_DLLESPEC extern void execute_4252(char*, char *); -IKI_DLLESPEC extern void execute_4253(char*, char *); -IKI_DLLESPEC extern void execute_4254(char*, char *); -IKI_DLLESPEC extern void execute_4255(char*, char *); -IKI_DLLESPEC extern void execute_4247(char*, char *); -IKI_DLLESPEC extern void execute_308(char*, char *); -IKI_DLLESPEC extern void execute_309(char*, char *); -IKI_DLLESPEC extern void execute_310(char*, char *); -IKI_DLLESPEC extern void execute_311(char*, char *); -IKI_DLLESPEC extern void execute_312(char*, char *); -IKI_DLLESPEC extern void execute_313(char*, char *); -IKI_DLLESPEC extern void execute_314(char*, char *); -IKI_DLLESPEC extern void execute_315(char*, char *); -IKI_DLLESPEC extern void execute_316(char*, char *); -IKI_DLLESPEC extern void execute_317(char*, char *); -IKI_DLLESPEC extern void execute_318(char*, char *); -IKI_DLLESPEC extern void execute_319(char*, char *); -IKI_DLLESPEC extern void execute_320(char*, char *); -IKI_DLLESPEC extern void execute_321(char*, char *); -IKI_DLLESPEC extern void execute_322(char*, char *); -IKI_DLLESPEC extern void execute_323(char*, char *); -IKI_DLLESPEC extern void execute_324(char*, char *); -IKI_DLLESPEC extern void execute_325(char*, char *); -IKI_DLLESPEC extern void execute_326(char*, char *); -IKI_DLLESPEC extern void execute_327(char*, char *); -IKI_DLLESPEC extern void execute_328(char*, char *); -IKI_DLLESPEC extern void execute_329(char*, char *); -IKI_DLLESPEC extern void execute_330(char*, char *); -IKI_DLLESPEC extern void execute_331(char*, char *); -IKI_DLLESPEC extern void execute_332(char*, char *); -IKI_DLLESPEC extern void execute_333(char*, char *); -IKI_DLLESPEC extern void execute_334(char*, char *); -IKI_DLLESPEC extern void execute_335(char*, char *); -IKI_DLLESPEC extern void execute_338(char*, char *); -IKI_DLLESPEC extern void execute_339(char*, char *); -IKI_DLLESPEC extern void execute_340(char*, char *); -IKI_DLLESPEC extern void execute_341(char*, char *); -IKI_DLLESPEC extern void execute_342(char*, char *); -IKI_DLLESPEC extern void execute_343(char*, char *); -IKI_DLLESPEC extern void execute_344(char*, char *); -IKI_DLLESPEC extern void execute_345(char*, char *); -IKI_DLLESPEC extern void execute_346(char*, char *); -IKI_DLLESPEC extern void execute_347(char*, char *); -IKI_DLLESPEC extern void execute_348(char*, char *); -IKI_DLLESPEC extern void execute_349(char*, char *); -IKI_DLLESPEC extern void execute_350(char*, char *); -IKI_DLLESPEC extern void execute_351(char*, char *); -IKI_DLLESPEC extern void execute_352(char*, char *); -IKI_DLLESPEC extern void execute_353(char*, char *); -IKI_DLLESPEC extern void execute_4256(char*, char *); -IKI_DLLESPEC extern void execute_4257(char*, char *); -IKI_DLLESPEC extern void execute_4258(char*, char *); -IKI_DLLESPEC extern void execute_4259(char*, char *); -IKI_DLLESPEC extern void execute_4260(char*, char *); -IKI_DLLESPEC extern void execute_4261(char*, char *); -IKI_DLLESPEC extern void execute_4262(char*, char *); -IKI_DLLESPEC extern void execute_4263(char*, char *); -IKI_DLLESPEC extern void execute_4264(char*, char *); -IKI_DLLESPEC extern void execute_4265(char*, char *); -IKI_DLLESPEC extern void execute_4266(char*, char *); -IKI_DLLESPEC extern void execute_4267(char*, char *); -IKI_DLLESPEC extern void execute_4268(char*, char *); -IKI_DLLESPEC extern void execute_4269(char*, char *); -IKI_DLLESPEC extern void execute_4270(char*, char *); -IKI_DLLESPEC extern void vlog_simple_process_execute_1_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_4311(char*, char *); -IKI_DLLESPEC extern void execute_4316(char*, char *); -IKI_DLLESPEC extern void execute_4331(char*, char *); -IKI_DLLESPEC extern void execute_4333(char*, char *); -IKI_DLLESPEC extern void execute_4335(char*, char *); -IKI_DLLESPEC extern void execute_4347(char*, char *); -IKI_DLLESPEC extern void execute_4348(char*, char *); -IKI_DLLESPEC extern void execute_4349(char*, char *); -IKI_DLLESPEC extern void execute_4351(char*, char *); -IKI_DLLESPEC extern void execute_4352(char*, char *); -IKI_DLLESPEC extern void execute_4353(char*, char *); -IKI_DLLESPEC extern void execute_4354(char*, char *); -IKI_DLLESPEC extern void execute_4355(char*, char *); -IKI_DLLESPEC extern void execute_4356(char*, char *); -IKI_DLLESPEC extern void execute_4357(char*, char *); -IKI_DLLESPEC extern void execute_4358(char*, char *); -IKI_DLLESPEC extern void execute_4360(char*, char *); -IKI_DLLESPEC extern void execute_4361(char*, char *); -IKI_DLLESPEC extern void execute_4362(char*, char *); -IKI_DLLESPEC extern void execute_4363(char*, char *); -IKI_DLLESPEC extern void execute_4364(char*, char *); -IKI_DLLESPEC extern void execute_4365(char*, char *); -IKI_DLLESPEC extern void execute_4366(char*, char *); -IKI_DLLESPEC extern void execute_4367(char*, char *); -IKI_DLLESPEC extern void execute_4368(char*, char *); -IKI_DLLESPEC extern void execute_4369(char*, char *); -IKI_DLLESPEC extern void execute_4370(char*, char *); -IKI_DLLESPEC extern void execute_4375(char*, char *); -IKI_DLLESPEC extern void execute_4376(char*, char *); -IKI_DLLESPEC extern void execute_4377(char*, char *); -IKI_DLLESPEC extern void execute_4378(char*, char *); -IKI_DLLESPEC extern void execute_4379(char*, char *); -IKI_DLLESPEC extern void execute_4380(char*, char *); -IKI_DLLESPEC extern void execute_4381(char*, char *); -IKI_DLLESPEC extern void execute_4382(char*, char *); -IKI_DLLESPEC extern void execute_4383(char*, char *); -IKI_DLLESPEC extern void execute_4384(char*, char *); -IKI_DLLESPEC extern void execute_4385(char*, char *); -IKI_DLLESPEC extern void execute_4386(char*, char *); -IKI_DLLESPEC extern void execute_4387(char*, char *); -IKI_DLLESPEC extern void execute_4388(char*, char *); -IKI_DLLESPEC extern void execute_4389(char*, char *); -IKI_DLLESPEC extern void execute_4390(char*, char *); -IKI_DLLESPEC extern void execute_4391(char*, char *); -IKI_DLLESPEC extern void execute_4392(char*, char *); -IKI_DLLESPEC extern void execute_4393(char*, char *); -IKI_DLLESPEC extern void execute_4394(char*, char *); -IKI_DLLESPEC extern void execute_4395(char*, char *); -IKI_DLLESPEC extern void execute_4396(char*, char *); -IKI_DLLESPEC extern void execute_4397(char*, char *); -IKI_DLLESPEC extern void execute_356(char*, char *); -IKI_DLLESPEC extern void execute_4399(char*, char *); -IKI_DLLESPEC extern void execute_4400(char*, char *); -IKI_DLLESPEC extern void execute_4401(char*, char *); -IKI_DLLESPEC extern void execute_4402(char*, char *); -IKI_DLLESPEC extern void execute_4398(char*, char *); -IKI_DLLESPEC extern void execute_359(char*, char *); -IKI_DLLESPEC extern void execute_360(char*, char *); -IKI_DLLESPEC extern void execute_363(char*, char *); -IKI_DLLESPEC extern void execute_364(char*, char *); -IKI_DLLESPEC extern void execute_470(char*, char *); -IKI_DLLESPEC extern void execute_506(char*, char *); -IKI_DLLESPEC extern void execute_755(char*, char *); -IKI_DLLESPEC extern void execute_756(char*, char *); -IKI_DLLESPEC extern void execute_757(char*, char *); -IKI_DLLESPEC extern void execute_4543(char*, char *); -IKI_DLLESPEC extern void execute_4544(char*, char *); -IKI_DLLESPEC extern void execute_4545(char*, char *); -IKI_DLLESPEC extern void execute_4546(char*, char *); -IKI_DLLESPEC extern void execute_4555(char*, char *); -IKI_DLLESPEC extern void execute_4556(char*, char *); -IKI_DLLESPEC extern void execute_4557(char*, char *); -IKI_DLLESPEC extern void execute_4560(char*, char *); -IKI_DLLESPEC extern void execute_4561(char*, char *); -IKI_DLLESPEC extern void execute_4562(char*, char *); -IKI_DLLESPEC extern void execute_4563(char*, char *); -IKI_DLLESPEC extern void execute_838(char*, char *); -IKI_DLLESPEC extern void execute_839(char*, char *); -IKI_DLLESPEC extern void execute_4060(char*, char *); -IKI_DLLESPEC extern void execute_4061(char*, char *); -IKI_DLLESPEC extern void execute_4062(char*, char *); -IKI_DLLESPEC extern void execute_4063(char*, char *); -IKI_DLLESPEC extern void execute_7909(char*, char *); -IKI_DLLESPEC extern void execute_7910(char*, char *); -IKI_DLLESPEC extern void execute_7911(char*, char *); -IKI_DLLESPEC extern void execute_7912(char*, char *); -IKI_DLLESPEC extern void execute_7913(char*, char *); -IKI_DLLESPEC extern void execute_7914(char*, char *); -IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_20(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_21(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_23(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_24(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_25(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_26(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_27(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_28(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_63(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_64(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_65(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_66(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_67(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_69(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_70(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_71(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_72(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_74(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_75(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_76(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_77(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_78(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_79(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_83(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_84(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_85(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_86(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_87(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_88(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_710(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_711(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_712(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_714(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_725(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_770(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_811(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_819(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_820(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_822(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_823(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_824(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_825(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_826(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_827(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_828(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_833(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_834(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_835(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_836(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_837(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_838(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_839(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_840(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_841(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_842(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_843(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_844(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_855(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_965(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_974(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_975(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_976(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_977(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_978(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_979(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_983(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_984(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_985(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_986(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_987(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_988(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_989(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_990(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_997(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1014(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1019(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1047(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1048(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1049(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1050(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1051(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1052(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1053(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1054(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1055(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1056(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1057(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1058(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1059(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1060(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1061(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1062(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1063(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1064(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1065(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1066(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1067(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3937(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3945(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3946(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3948(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3949(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3950(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3951(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3952(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3953(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3954(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3959(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3960(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3961(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3962(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3963(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3964(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3965(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3966(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3967(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3968(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3969(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3970(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3981(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4091(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4100(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4101(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4102(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4103(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4104(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4105(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4109(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4110(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4111(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4112(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4113(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4114(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4115(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4116(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4123(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4140(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4145(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4173(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4174(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4175(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4176(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4177(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4178(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4179(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4180(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4181(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4182(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4184(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4185(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4186(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4187(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4188(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4189(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4190(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4191(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4192(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4193(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_194(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_195(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_263(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_264(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_265(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_266(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_296(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_707(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_708(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_709(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1076(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1077(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1080(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1084(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1085(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1088(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1091(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1093(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1095(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1097(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1102(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1105(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1111(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1132(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1139(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1140(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1141(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1142(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1148(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1776(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1782(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1788(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1802(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1808(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1814(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1820(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1833(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1839(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1845(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1860(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1866(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1872(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1878(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1892(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1898(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1941(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1947(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1953(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1959(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1965(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1971(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1977(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1983(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1989(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1995(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2001(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2007(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2013(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2019(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2025(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2031(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2037(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2043(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2049(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2055(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2061(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2067(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2073(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2079(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2085(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2091(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2097(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2103(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2109(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2115(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2121(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2127(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2133(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2139(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2145(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2151(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2157(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2163(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2169(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2175(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2181(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2187(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2193(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2199(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2205(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2211(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2217(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2223(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2229(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2235(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2241(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2247(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2253(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2259(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2265(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2271(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2277(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2283(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2289(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2295(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2301(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2307(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2313(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2319(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2325(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2331(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2337(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2343(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2349(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2355(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2361(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2367(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2373(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2379(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2385(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2391(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2397(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2403(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2409(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2415(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2421(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2427(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2433(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2439(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2445(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2451(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2457(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2463(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2469(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2475(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2481(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2487(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2493(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2499(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2505(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2511(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2517(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2523(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2529(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2535(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2541(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2547(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2553(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2559(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2565(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2571(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2577(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2583(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2589(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2595(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2601(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2607(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2613(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2619(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2625(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2631(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2637(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2643(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2649(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2655(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2661(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2667(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2673(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2679(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2685(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2691(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2697(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2703(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2709(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2715(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2721(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2727(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2733(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2739(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2745(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2751(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2757(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2763(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2769(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2775(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2781(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2787(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2793(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2799(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2805(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2811(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2817(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2823(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2829(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2835(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2841(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2847(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2853(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2859(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2865(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2871(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2877(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2883(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2889(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2895(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2901(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2907(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2913(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2919(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2925(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2931(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2937(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2943(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2949(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2955(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2961(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2967(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2973(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2979(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2985(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2991(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2997(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3003(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3009(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3015(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3021(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3027(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3033(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3039(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3045(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3051(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3057(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3063(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3069(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3075(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3081(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3087(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3093(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3099(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3105(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3111(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3117(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3123(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3129(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3135(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3141(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3147(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3153(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3159(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3165(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3171(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3177(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3189(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3195(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3201(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3207(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3213(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3219(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3225(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3231(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3237(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3243(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3249(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3255(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3261(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3267(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3273(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3279(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3285(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3291(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3297(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3303(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3309(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3315(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3321(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3327(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3333(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3339(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3345(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3351(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3357(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3363(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3369(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3375(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3381(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3387(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3393(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3399(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3405(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3411(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3417(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3423(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3429(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3435(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3441(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3447(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3453(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3459(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3465(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3471(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3477(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3483(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3489(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3495(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3723(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3729(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3735(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3741(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3747(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3753(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3759(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3765(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3771(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3777(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3783(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3789(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3795(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3801(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3807(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3813(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3819(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3825(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3831(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3837(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3843(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3849(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3855(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3861(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3867(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3873(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3879(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3885(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3891(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3897(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3903(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3909(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3915(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3921(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3927(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3933(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4202(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4203(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4206(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4210(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4211(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4214(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4217(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4219(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4221(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4223(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4228(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4231(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4237(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4242(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4258(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4265(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4266(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4267(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4268(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4274(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4902(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4908(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4914(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4928(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4934(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4940(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4946(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4959(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4965(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4971(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4986(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4992(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_4998(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5004(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5018(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5024(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5067(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5073(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5079(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5085(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5091(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5097(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5103(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5109(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5115(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5121(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5127(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5133(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5139(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5145(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5151(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5157(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5163(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5169(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5175(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5181(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5187(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5193(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5199(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5205(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5211(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5217(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5223(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5229(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5235(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5241(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5247(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5253(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5259(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5265(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5271(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5277(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5283(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5289(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5295(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5301(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5307(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5313(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5319(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5325(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5331(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5337(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5343(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5349(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5355(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5361(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5367(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5373(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5379(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5385(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5391(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5397(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5403(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5409(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5415(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5421(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5427(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5433(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5439(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5445(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5451(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5457(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5463(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5469(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5475(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5481(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5487(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5493(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5499(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5505(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5511(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5517(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5523(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5529(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5535(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5541(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5547(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5553(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5559(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5565(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5571(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5577(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5583(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5589(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5595(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5601(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5607(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5613(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5619(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5625(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5631(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5637(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5643(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5649(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5655(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5661(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5667(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5673(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5679(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5685(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5691(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5697(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5703(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5709(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5715(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5721(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5727(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5733(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5739(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5745(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5751(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5757(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5763(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5769(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5775(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5781(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5787(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5793(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5799(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5805(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5811(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5817(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5823(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5829(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5835(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5841(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5847(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5853(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5859(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5865(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5871(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5877(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5883(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5889(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5895(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5901(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5907(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5913(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5919(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5925(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5931(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5937(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5943(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5949(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5955(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5961(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5967(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5973(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5979(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5985(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5991(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_5997(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6003(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6009(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6015(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6021(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6027(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6033(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6039(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6045(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6051(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6057(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6063(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6069(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6075(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6081(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6087(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6093(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6099(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6105(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6111(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6117(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6123(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6129(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6135(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6141(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6147(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6153(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6159(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6165(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6171(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6177(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6189(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6195(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6201(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6207(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6213(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6219(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6225(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6231(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6237(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6243(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6249(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6255(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6261(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6267(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6273(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6279(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6285(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6291(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6297(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6303(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6309(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6315(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6321(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6327(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6333(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6339(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6345(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6351(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6357(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6363(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6369(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6375(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6381(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6387(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6393(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6399(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6405(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6411(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6417(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6423(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6429(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6435(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6441(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6447(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6453(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6459(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6465(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6471(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6477(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6483(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6489(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6495(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6501(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6507(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6513(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6519(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6525(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6531(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6537(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6543(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6549(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6555(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6561(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6567(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6573(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6579(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6585(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6591(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6597(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6603(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6609(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6615(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6621(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6849(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6855(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6861(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6867(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6873(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6879(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6885(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6891(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6897(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6903(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6909(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6915(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6921(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6927(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6933(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6939(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6945(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6951(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6957(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6963(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6969(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6975(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6981(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6987(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6993(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_6999(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7005(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7011(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7017(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7023(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7029(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7035(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7041(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7047(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7053(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_7059(char*, char*, unsigned, unsigned, unsigned); -funcp funcTab[1270] = {(funcp)execute_218, (funcp)execute_219, (funcp)execute_4057, (funcp)execute_4058, (funcp)execute_4064, (funcp)execute_4065, (funcp)execute_4154, (funcp)execute_4155, (funcp)execute_4156, (funcp)execute_4157, (funcp)execute_4158, (funcp)execute_4159, (funcp)execute_4160, (funcp)execute_4161, (funcp)execute_4162, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_7903, (funcp)execute_7904, (funcp)execute_7905, (funcp)execute_7906, (funcp)execute_7907, (funcp)execute_7908, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_4150, (funcp)execute_4151, (funcp)execute_4066, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)execute_20, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_58, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)execute_87, (funcp)execute_88, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_103, (funcp)execute_104, (funcp)execute_105, (funcp)execute_106, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_113, (funcp)execute_114, (funcp)execute_115, (funcp)execute_131, (funcp)execute_4067, (funcp)execute_4068, (funcp)execute_4071, (funcp)execute_4072, (funcp)execute_4084, (funcp)execute_4085, (funcp)execute_4086, (funcp)execute_4087, (funcp)execute_4088, (funcp)execute_4089, (funcp)execute_4090, (funcp)execute_4091, (funcp)execute_4092, (funcp)execute_4093, (funcp)execute_4094, (funcp)execute_4095, (funcp)execute_4096, (funcp)execute_4097, (funcp)execute_4098, (funcp)execute_4099, (funcp)execute_4100, (funcp)execute_4101, (funcp)execute_4102, (funcp)execute_4103, (funcp)execute_4104, (funcp)execute_4105, (funcp)execute_4106, (funcp)execute_4107, (funcp)execute_4108, (funcp)execute_4109, (funcp)execute_4110, (funcp)execute_4111, (funcp)execute_4112, (funcp)execute_4113, (funcp)execute_4114, (funcp)execute_4115, (funcp)execute_4116, (funcp)execute_4117, (funcp)execute_4118, (funcp)execute_4119, (funcp)execute_4120, (funcp)execute_4121, (funcp)execute_4122, (funcp)execute_4123, (funcp)execute_4124, (funcp)execute_4125, (funcp)execute_4126, (funcp)execute_4127, (funcp)execute_4128, (funcp)execute_4129, (funcp)execute_4130, (funcp)execute_4131, (funcp)execute_4132, (funcp)execute_4133, (funcp)execute_4134, (funcp)execute_4135, (funcp)execute_4136, (funcp)execute_4137, (funcp)execute_4138, (funcp)execute_4139, (funcp)execute_4140, (funcp)execute_4143, (funcp)execute_138, (funcp)execute_195, (funcp)execute_196, (funcp)execute_197, (funcp)execute_198, (funcp)execute_4152, (funcp)execute_4153, (funcp)execute_173, (funcp)execute_174, (funcp)execute_175, (funcp)execute_176, (funcp)execute_177, (funcp)execute_178, (funcp)execute_179, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)execute_184, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_188, (funcp)execute_189, (funcp)execute_190, (funcp)execute_191, (funcp)execute_192, (funcp)execute_193, (funcp)execute_194, (funcp)execute_200, (funcp)execute_201, (funcp)execute_203, (funcp)execute_204, (funcp)execute_205, (funcp)execute_206, (funcp)execute_207, (funcp)execute_208, (funcp)execute_209, (funcp)execute_210, (funcp)execute_211, (funcp)execute_212, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_216, (funcp)execute_217, (funcp)execute_230, (funcp)execute_2136, (funcp)execute_2137, (funcp)execute_233, (funcp)execute_234, (funcp)execute_235, (funcp)execute_236, (funcp)execute_237, (funcp)execute_238, (funcp)execute_239, (funcp)execute_240, (funcp)execute_241, (funcp)execute_6034, (funcp)execute_6035, (funcp)execute_271, (funcp)execute_4196, (funcp)execute_4197, (funcp)execute_4198, (funcp)execute_306, (funcp)execute_4248, (funcp)execute_4249, (funcp)execute_4250, (funcp)execute_4251, (funcp)execute_4252, (funcp)execute_4253, (funcp)execute_4254, (funcp)execute_4255, (funcp)execute_4247, (funcp)execute_308, (funcp)execute_309, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_316, (funcp)execute_317, (funcp)execute_318, (funcp)execute_319, (funcp)execute_320, (funcp)execute_321, (funcp)execute_322, (funcp)execute_323, (funcp)execute_324, (funcp)execute_325, (funcp)execute_326, (funcp)execute_327, (funcp)execute_328, (funcp)execute_329, (funcp)execute_330, (funcp)execute_331, (funcp)execute_332, (funcp)execute_333, (funcp)execute_334, (funcp)execute_335, (funcp)execute_338, (funcp)execute_339, (funcp)execute_340, (funcp)execute_341, (funcp)execute_342, (funcp)execute_343, (funcp)execute_344, (funcp)execute_345, (funcp)execute_346, (funcp)execute_347, (funcp)execute_348, (funcp)execute_349, (funcp)execute_350, (funcp)execute_351, (funcp)execute_352, (funcp)execute_353, (funcp)execute_4256, (funcp)execute_4257, (funcp)execute_4258, (funcp)execute_4259, (funcp)execute_4260, (funcp)execute_4261, (funcp)execute_4262, (funcp)execute_4263, (funcp)execute_4264, (funcp)execute_4265, (funcp)execute_4266, (funcp)execute_4267, (funcp)execute_4268, (funcp)execute_4269, (funcp)execute_4270, (funcp)vlog_simple_process_execute_1_fast_no_reg_no_agg, (funcp)execute_4311, (funcp)execute_4316, (funcp)execute_4331, (funcp)execute_4333, (funcp)execute_4335, (funcp)execute_4347, (funcp)execute_4348, (funcp)execute_4349, (funcp)execute_4351, (funcp)execute_4352, (funcp)execute_4353, (funcp)execute_4354, (funcp)execute_4355, (funcp)execute_4356, (funcp)execute_4357, (funcp)execute_4358, (funcp)execute_4360, (funcp)execute_4361, (funcp)execute_4362, (funcp)execute_4363, (funcp)execute_4364, (funcp)execute_4365, (funcp)execute_4366, (funcp)execute_4367, (funcp)execute_4368, (funcp)execute_4369, (funcp)execute_4370, (funcp)execute_4375, (funcp)execute_4376, (funcp)execute_4377, (funcp)execute_4378, (funcp)execute_4379, (funcp)execute_4380, (funcp)execute_4381, (funcp)execute_4382, (funcp)execute_4383, (funcp)execute_4384, (funcp)execute_4385, (funcp)execute_4386, (funcp)execute_4387, (funcp)execute_4388, (funcp)execute_4389, (funcp)execute_4390, (funcp)execute_4391, (funcp)execute_4392, (funcp)execute_4393, (funcp)execute_4394, (funcp)execute_4395, (funcp)execute_4396, (funcp)execute_4397, (funcp)execute_356, (funcp)execute_4399, (funcp)execute_4400, (funcp)execute_4401, (funcp)execute_4402, (funcp)execute_4398, (funcp)execute_359, (funcp)execute_360, (funcp)execute_363, (funcp)execute_364, (funcp)execute_470, (funcp)execute_506, (funcp)execute_755, (funcp)execute_756, (funcp)execute_757, (funcp)execute_4543, (funcp)execute_4544, (funcp)execute_4545, (funcp)execute_4546, (funcp)execute_4555, (funcp)execute_4556, (funcp)execute_4557, (funcp)execute_4560, (funcp)execute_4561, (funcp)execute_4562, (funcp)execute_4563, (funcp)execute_838, (funcp)execute_839, (funcp)execute_4060, (funcp)execute_4061, (funcp)execute_4062, (funcp)execute_4063, (funcp)execute_7909, (funcp)execute_7910, (funcp)execute_7911, (funcp)execute_7912, (funcp)execute_7913, (funcp)execute_7914, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_20, (funcp)transaction_21, (funcp)transaction_23, (funcp)transaction_24, (funcp)transaction_25, (funcp)transaction_26, (funcp)transaction_27, (funcp)transaction_28, (funcp)transaction_40, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_63, (funcp)transaction_64, (funcp)transaction_65, (funcp)transaction_66, (funcp)transaction_67, (funcp)transaction_69, (funcp)transaction_70, (funcp)transaction_71, (funcp)transaction_72, (funcp)transaction_73, (funcp)transaction_74, (funcp)transaction_75, (funcp)transaction_76, (funcp)transaction_77, (funcp)transaction_78, (funcp)transaction_79, (funcp)transaction_83, (funcp)transaction_84, (funcp)transaction_85, (funcp)transaction_86, (funcp)transaction_87, (funcp)transaction_88, (funcp)transaction_710, (funcp)transaction_711, (funcp)transaction_712, (funcp)transaction_714, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_725, (funcp)transaction_770, (funcp)transaction_811, (funcp)transaction_819, (funcp)transaction_820, (funcp)transaction_822, (funcp)transaction_823, (funcp)transaction_824, (funcp)transaction_825, (funcp)transaction_826, (funcp)transaction_827, (funcp)transaction_828, (funcp)transaction_833, (funcp)transaction_834, (funcp)transaction_835, (funcp)transaction_836, (funcp)transaction_837, (funcp)transaction_838, (funcp)transaction_839, (funcp)transaction_840, (funcp)transaction_841, (funcp)transaction_842, (funcp)transaction_843, (funcp)transaction_844, (funcp)transaction_855, (funcp)transaction_965, (funcp)transaction_974, (funcp)transaction_975, (funcp)transaction_976, (funcp)transaction_977, (funcp)transaction_978, (funcp)transaction_979, (funcp)transaction_983, (funcp)transaction_984, (funcp)transaction_985, (funcp)transaction_986, (funcp)transaction_987, (funcp)transaction_988, (funcp)transaction_989, (funcp)transaction_990, (funcp)transaction_997, (funcp)transaction_1014, (funcp)transaction_1019, (funcp)transaction_1047, (funcp)transaction_1048, (funcp)transaction_1049, (funcp)transaction_1050, (funcp)transaction_1051, (funcp)transaction_1052, (funcp)transaction_1053, (funcp)transaction_1054, (funcp)transaction_1055, (funcp)transaction_1056, (funcp)transaction_1057, (funcp)transaction_1058, (funcp)transaction_1059, (funcp)transaction_1060, (funcp)transaction_1061, (funcp)transaction_1062, (funcp)transaction_1063, (funcp)transaction_1064, (funcp)transaction_1065, (funcp)transaction_1066, (funcp)transaction_1067, (funcp)transaction_3937, (funcp)transaction_3945, (funcp)transaction_3946, (funcp)transaction_3948, (funcp)transaction_3949, (funcp)transaction_3950, (funcp)transaction_3951, (funcp)transaction_3952, (funcp)transaction_3953, (funcp)transaction_3954, (funcp)transaction_3959, (funcp)transaction_3960, (funcp)transaction_3961, (funcp)transaction_3962, (funcp)transaction_3963, (funcp)transaction_3964, (funcp)transaction_3965, (funcp)transaction_3966, (funcp)transaction_3967, (funcp)transaction_3968, (funcp)transaction_3969, (funcp)transaction_3970, (funcp)transaction_3981, (funcp)transaction_4091, (funcp)transaction_4100, (funcp)transaction_4101, (funcp)transaction_4102, (funcp)transaction_4103, (funcp)transaction_4104, (funcp)transaction_4105, (funcp)transaction_4109, (funcp)transaction_4110, (funcp)transaction_4111, (funcp)transaction_4112, (funcp)transaction_4113, (funcp)transaction_4114, (funcp)transaction_4115, (funcp)transaction_4116, (funcp)transaction_4123, (funcp)transaction_4140, (funcp)transaction_4145, (funcp)transaction_4173, (funcp)transaction_4174, (funcp)transaction_4175, (funcp)transaction_4176, (funcp)transaction_4177, (funcp)transaction_4178, (funcp)transaction_4179, (funcp)transaction_4180, (funcp)transaction_4181, (funcp)transaction_4182, (funcp)transaction_4183, (funcp)transaction_4184, (funcp)transaction_4185, (funcp)transaction_4186, (funcp)transaction_4187, (funcp)transaction_4188, (funcp)transaction_4189, (funcp)transaction_4190, (funcp)transaction_4191, (funcp)transaction_4192, (funcp)transaction_4193, (funcp)transaction_50, (funcp)transaction_194, (funcp)transaction_195, (funcp)transaction_263, (funcp)transaction_264, (funcp)transaction_265, (funcp)transaction_266, (funcp)transaction_296, (funcp)transaction_707, (funcp)transaction_708, (funcp)transaction_709, (funcp)transaction_1076, (funcp)transaction_1077, (funcp)transaction_1080, (funcp)transaction_1084, (funcp)transaction_1085, (funcp)transaction_1088, (funcp)transaction_1091, (funcp)transaction_1093, (funcp)transaction_1095, (funcp)transaction_1097, (funcp)transaction_1102, (funcp)transaction_1105, (funcp)transaction_1111, (funcp)transaction_1116, (funcp)transaction_1132, (funcp)transaction_1139, (funcp)transaction_1140, (funcp)transaction_1141, (funcp)transaction_1142, (funcp)transaction_1148, (funcp)transaction_1776, (funcp)transaction_1782, (funcp)transaction_1788, (funcp)transaction_1802, (funcp)transaction_1808, (funcp)transaction_1814, (funcp)transaction_1820, (funcp)transaction_1833, (funcp)transaction_1839, (funcp)transaction_1845, (funcp)transaction_1860, (funcp)transaction_1866, (funcp)transaction_1872, (funcp)transaction_1878, (funcp)transaction_1892, (funcp)transaction_1898, (funcp)transaction_1941, (funcp)transaction_1947, (funcp)transaction_1953, (funcp)transaction_1959, (funcp)transaction_1965, (funcp)transaction_1971, (funcp)transaction_1977, (funcp)transaction_1983, (funcp)transaction_1989, (funcp)transaction_1995, (funcp)transaction_2001, (funcp)transaction_2007, (funcp)transaction_2013, (funcp)transaction_2019, (funcp)transaction_2025, (funcp)transaction_2031, (funcp)transaction_2037, (funcp)transaction_2043, (funcp)transaction_2049, (funcp)transaction_2055, (funcp)transaction_2061, (funcp)transaction_2067, (funcp)transaction_2073, (funcp)transaction_2079, (funcp)transaction_2085, (funcp)transaction_2091, (funcp)transaction_2097, (funcp)transaction_2103, (funcp)transaction_2109, (funcp)transaction_2115, (funcp)transaction_2121, (funcp)transaction_2127, (funcp)transaction_2133, (funcp)transaction_2139, (funcp)transaction_2145, (funcp)transaction_2151, (funcp)transaction_2157, (funcp)transaction_2163, (funcp)transaction_2169, (funcp)transaction_2175, (funcp)transaction_2181, (funcp)transaction_2187, (funcp)transaction_2193, (funcp)transaction_2199, (funcp)transaction_2205, (funcp)transaction_2211, (funcp)transaction_2217, (funcp)transaction_2223, (funcp)transaction_2229, (funcp)transaction_2235, (funcp)transaction_2241, (funcp)transaction_2247, (funcp)transaction_2253, (funcp)transaction_2259, (funcp)transaction_2265, (funcp)transaction_2271, (funcp)transaction_2277, (funcp)transaction_2283, (funcp)transaction_2289, (funcp)transaction_2295, (funcp)transaction_2301, (funcp)transaction_2307, (funcp)transaction_2313, (funcp)transaction_2319, (funcp)transaction_2325, (funcp)transaction_2331, (funcp)transaction_2337, (funcp)transaction_2343, (funcp)transaction_2349, (funcp)transaction_2355, (funcp)transaction_2361, (funcp)transaction_2367, (funcp)transaction_2373, (funcp)transaction_2379, (funcp)transaction_2385, (funcp)transaction_2391, (funcp)transaction_2397, (funcp)transaction_2403, (funcp)transaction_2409, (funcp)transaction_2415, (funcp)transaction_2421, (funcp)transaction_2427, (funcp)transaction_2433, (funcp)transaction_2439, (funcp)transaction_2445, (funcp)transaction_2451, (funcp)transaction_2457, (funcp)transaction_2463, (funcp)transaction_2469, (funcp)transaction_2475, (funcp)transaction_2481, (funcp)transaction_2487, (funcp)transaction_2493, (funcp)transaction_2499, (funcp)transaction_2505, (funcp)transaction_2511, (funcp)transaction_2517, (funcp)transaction_2523, (funcp)transaction_2529, (funcp)transaction_2535, (funcp)transaction_2541, (funcp)transaction_2547, (funcp)transaction_2553, (funcp)transaction_2559, (funcp)transaction_2565, (funcp)transaction_2571, (funcp)transaction_2577, (funcp)transaction_2583, (funcp)transaction_2589, (funcp)transaction_2595, (funcp)transaction_2601, (funcp)transaction_2607, (funcp)transaction_2613, (funcp)transaction_2619, (funcp)transaction_2625, (funcp)transaction_2631, (funcp)transaction_2637, (funcp)transaction_2643, (funcp)transaction_2649, (funcp)transaction_2655, (funcp)transaction_2661, (funcp)transaction_2667, (funcp)transaction_2673, (funcp)transaction_2679, (funcp)transaction_2685, (funcp)transaction_2691, (funcp)transaction_2697, (funcp)transaction_2703, (funcp)transaction_2709, (funcp)transaction_2715, (funcp)transaction_2721, (funcp)transaction_2727, (funcp)transaction_2733, (funcp)transaction_2739, (funcp)transaction_2745, (funcp)transaction_2751, (funcp)transaction_2757, (funcp)transaction_2763, (funcp)transaction_2769, (funcp)transaction_2775, (funcp)transaction_2781, (funcp)transaction_2787, (funcp)transaction_2793, (funcp)transaction_2799, (funcp)transaction_2805, (funcp)transaction_2811, (funcp)transaction_2817, (funcp)transaction_2823, (funcp)transaction_2829, (funcp)transaction_2835, (funcp)transaction_2841, (funcp)transaction_2847, (funcp)transaction_2853, (funcp)transaction_2859, (funcp)transaction_2865, (funcp)transaction_2871, (funcp)transaction_2877, (funcp)transaction_2883, (funcp)transaction_2889, (funcp)transaction_2895, (funcp)transaction_2901, (funcp)transaction_2907, (funcp)transaction_2913, (funcp)transaction_2919, (funcp)transaction_2925, (funcp)transaction_2931, (funcp)transaction_2937, (funcp)transaction_2943, (funcp)transaction_2949, (funcp)transaction_2955, (funcp)transaction_2961, (funcp)transaction_2967, (funcp)transaction_2973, (funcp)transaction_2979, (funcp)transaction_2985, (funcp)transaction_2991, (funcp)transaction_2997, (funcp)transaction_3003, (funcp)transaction_3009, (funcp)transaction_3015, (funcp)transaction_3021, (funcp)transaction_3027, (funcp)transaction_3033, (funcp)transaction_3039, (funcp)transaction_3045, (funcp)transaction_3051, (funcp)transaction_3057, (funcp)transaction_3063, (funcp)transaction_3069, (funcp)transaction_3075, (funcp)transaction_3081, (funcp)transaction_3087, (funcp)transaction_3093, (funcp)transaction_3099, (funcp)transaction_3105, (funcp)transaction_3111, (funcp)transaction_3117, (funcp)transaction_3123, (funcp)transaction_3129, (funcp)transaction_3135, (funcp)transaction_3141, (funcp)transaction_3147, (funcp)transaction_3153, (funcp)transaction_3159, (funcp)transaction_3165, (funcp)transaction_3171, (funcp)transaction_3177, (funcp)transaction_3183, (funcp)transaction_3189, (funcp)transaction_3195, (funcp)transaction_3201, (funcp)transaction_3207, (funcp)transaction_3213, (funcp)transaction_3219, (funcp)transaction_3225, (funcp)transaction_3231, (funcp)transaction_3237, (funcp)transaction_3243, (funcp)transaction_3249, (funcp)transaction_3255, (funcp)transaction_3261, (funcp)transaction_3267, (funcp)transaction_3273, (funcp)transaction_3279, (funcp)transaction_3285, (funcp)transaction_3291, (funcp)transaction_3297, (funcp)transaction_3303, (funcp)transaction_3309, (funcp)transaction_3315, (funcp)transaction_3321, (funcp)transaction_3327, (funcp)transaction_3333, (funcp)transaction_3339, (funcp)transaction_3345, (funcp)transaction_3351, (funcp)transaction_3357, (funcp)transaction_3363, (funcp)transaction_3369, (funcp)transaction_3375, (funcp)transaction_3381, (funcp)transaction_3387, (funcp)transaction_3393, (funcp)transaction_3399, (funcp)transaction_3405, (funcp)transaction_3411, (funcp)transaction_3417, (funcp)transaction_3423, (funcp)transaction_3429, (funcp)transaction_3435, (funcp)transaction_3441, (funcp)transaction_3447, (funcp)transaction_3453, (funcp)transaction_3459, (funcp)transaction_3465, (funcp)transaction_3471, (funcp)transaction_3477, (funcp)transaction_3483, (funcp)transaction_3489, (funcp)transaction_3495, (funcp)transaction_3723, (funcp)transaction_3729, (funcp)transaction_3735, (funcp)transaction_3741, (funcp)transaction_3747, (funcp)transaction_3753, (funcp)transaction_3759, (funcp)transaction_3765, (funcp)transaction_3771, (funcp)transaction_3777, (funcp)transaction_3783, (funcp)transaction_3789, (funcp)transaction_3795, (funcp)transaction_3801, (funcp)transaction_3807, (funcp)transaction_3813, (funcp)transaction_3819, (funcp)transaction_3825, (funcp)transaction_3831, (funcp)transaction_3837, (funcp)transaction_3843, (funcp)transaction_3849, (funcp)transaction_3855, (funcp)transaction_3861, (funcp)transaction_3867, (funcp)transaction_3873, (funcp)transaction_3879, (funcp)transaction_3885, (funcp)transaction_3891, (funcp)transaction_3897, (funcp)transaction_3903, (funcp)transaction_3909, (funcp)transaction_3915, (funcp)transaction_3921, (funcp)transaction_3927, (funcp)transaction_3933, (funcp)transaction_4202, (funcp)transaction_4203, (funcp)transaction_4206, (funcp)transaction_4210, (funcp)transaction_4211, (funcp)transaction_4214, (funcp)transaction_4217, (funcp)transaction_4219, (funcp)transaction_4221, (funcp)transaction_4223, (funcp)transaction_4228, (funcp)transaction_4231, (funcp)transaction_4237, (funcp)transaction_4242, (funcp)transaction_4258, (funcp)transaction_4265, (funcp)transaction_4266, (funcp)transaction_4267, (funcp)transaction_4268, (funcp)transaction_4274, (funcp)transaction_4902, (funcp)transaction_4908, (funcp)transaction_4914, (funcp)transaction_4928, (funcp)transaction_4934, (funcp)transaction_4940, (funcp)transaction_4946, (funcp)transaction_4959, (funcp)transaction_4965, (funcp)transaction_4971, (funcp)transaction_4986, (funcp)transaction_4992, (funcp)transaction_4998, (funcp)transaction_5004, (funcp)transaction_5018, (funcp)transaction_5024, (funcp)transaction_5067, (funcp)transaction_5073, (funcp)transaction_5079, (funcp)transaction_5085, (funcp)transaction_5091, (funcp)transaction_5097, (funcp)transaction_5103, (funcp)transaction_5109, (funcp)transaction_5115, (funcp)transaction_5121, (funcp)transaction_5127, (funcp)transaction_5133, (funcp)transaction_5139, (funcp)transaction_5145, (funcp)transaction_5151, (funcp)transaction_5157, (funcp)transaction_5163, (funcp)transaction_5169, (funcp)transaction_5175, (funcp)transaction_5181, (funcp)transaction_5187, (funcp)transaction_5193, (funcp)transaction_5199, (funcp)transaction_5205, (funcp)transaction_5211, (funcp)transaction_5217, (funcp)transaction_5223, (funcp)transaction_5229, (funcp)transaction_5235, (funcp)transaction_5241, (funcp)transaction_5247, (funcp)transaction_5253, (funcp)transaction_5259, (funcp)transaction_5265, (funcp)transaction_5271, (funcp)transaction_5277, (funcp)transaction_5283, (funcp)transaction_5289, (funcp)transaction_5295, (funcp)transaction_5301, (funcp)transaction_5307, (funcp)transaction_5313, (funcp)transaction_5319, (funcp)transaction_5325, (funcp)transaction_5331, (funcp)transaction_5337, (funcp)transaction_5343, (funcp)transaction_5349, (funcp)transaction_5355, (funcp)transaction_5361, (funcp)transaction_5367, (funcp)transaction_5373, (funcp)transaction_5379, (funcp)transaction_5385, (funcp)transaction_5391, (funcp)transaction_5397, (funcp)transaction_5403, (funcp)transaction_5409, (funcp)transaction_5415, (funcp)transaction_5421, (funcp)transaction_5427, (funcp)transaction_5433, (funcp)transaction_5439, (funcp)transaction_5445, (funcp)transaction_5451, (funcp)transaction_5457, (funcp)transaction_5463, (funcp)transaction_5469, (funcp)transaction_5475, (funcp)transaction_5481, (funcp)transaction_5487, (funcp)transaction_5493, (funcp)transaction_5499, (funcp)transaction_5505, (funcp)transaction_5511, (funcp)transaction_5517, (funcp)transaction_5523, (funcp)transaction_5529, (funcp)transaction_5535, (funcp)transaction_5541, (funcp)transaction_5547, (funcp)transaction_5553, (funcp)transaction_5559, (funcp)transaction_5565, (funcp)transaction_5571, (funcp)transaction_5577, (funcp)transaction_5583, (funcp)transaction_5589, (funcp)transaction_5595, (funcp)transaction_5601, (funcp)transaction_5607, (funcp)transaction_5613, (funcp)transaction_5619, (funcp)transaction_5625, (funcp)transaction_5631, (funcp)transaction_5637, (funcp)transaction_5643, (funcp)transaction_5649, (funcp)transaction_5655, (funcp)transaction_5661, (funcp)transaction_5667, (funcp)transaction_5673, (funcp)transaction_5679, (funcp)transaction_5685, (funcp)transaction_5691, (funcp)transaction_5697, (funcp)transaction_5703, (funcp)transaction_5709, (funcp)transaction_5715, (funcp)transaction_5721, (funcp)transaction_5727, (funcp)transaction_5733, (funcp)transaction_5739, (funcp)transaction_5745, (funcp)transaction_5751, (funcp)transaction_5757, (funcp)transaction_5763, (funcp)transaction_5769, (funcp)transaction_5775, (funcp)transaction_5781, (funcp)transaction_5787, (funcp)transaction_5793, (funcp)transaction_5799, (funcp)transaction_5805, (funcp)transaction_5811, (funcp)transaction_5817, (funcp)transaction_5823, (funcp)transaction_5829, (funcp)transaction_5835, (funcp)transaction_5841, (funcp)transaction_5847, (funcp)transaction_5853, (funcp)transaction_5859, (funcp)transaction_5865, (funcp)transaction_5871, (funcp)transaction_5877, (funcp)transaction_5883, (funcp)transaction_5889, (funcp)transaction_5895, (funcp)transaction_5901, (funcp)transaction_5907, (funcp)transaction_5913, (funcp)transaction_5919, (funcp)transaction_5925, (funcp)transaction_5931, (funcp)transaction_5937, (funcp)transaction_5943, (funcp)transaction_5949, (funcp)transaction_5955, (funcp)transaction_5961, (funcp)transaction_5967, (funcp)transaction_5973, (funcp)transaction_5979, (funcp)transaction_5985, (funcp)transaction_5991, (funcp)transaction_5997, (funcp)transaction_6003, (funcp)transaction_6009, (funcp)transaction_6015, (funcp)transaction_6021, (funcp)transaction_6027, (funcp)transaction_6033, (funcp)transaction_6039, (funcp)transaction_6045, (funcp)transaction_6051, (funcp)transaction_6057, (funcp)transaction_6063, (funcp)transaction_6069, (funcp)transaction_6075, (funcp)transaction_6081, (funcp)transaction_6087, (funcp)transaction_6093, (funcp)transaction_6099, (funcp)transaction_6105, (funcp)transaction_6111, (funcp)transaction_6117, (funcp)transaction_6123, (funcp)transaction_6129, (funcp)transaction_6135, (funcp)transaction_6141, (funcp)transaction_6147, (funcp)transaction_6153, (funcp)transaction_6159, (funcp)transaction_6165, (funcp)transaction_6171, (funcp)transaction_6177, (funcp)transaction_6183, (funcp)transaction_6189, (funcp)transaction_6195, (funcp)transaction_6201, (funcp)transaction_6207, (funcp)transaction_6213, (funcp)transaction_6219, (funcp)transaction_6225, (funcp)transaction_6231, (funcp)transaction_6237, (funcp)transaction_6243, (funcp)transaction_6249, (funcp)transaction_6255, (funcp)transaction_6261, (funcp)transaction_6267, (funcp)transaction_6273, (funcp)transaction_6279, (funcp)transaction_6285, (funcp)transaction_6291, (funcp)transaction_6297, (funcp)transaction_6303, (funcp)transaction_6309, (funcp)transaction_6315, (funcp)transaction_6321, (funcp)transaction_6327, (funcp)transaction_6333, (funcp)transaction_6339, (funcp)transaction_6345, (funcp)transaction_6351, (funcp)transaction_6357, (funcp)transaction_6363, (funcp)transaction_6369, (funcp)transaction_6375, (funcp)transaction_6381, (funcp)transaction_6387, (funcp)transaction_6393, (funcp)transaction_6399, (funcp)transaction_6405, (funcp)transaction_6411, (funcp)transaction_6417, (funcp)transaction_6423, (funcp)transaction_6429, (funcp)transaction_6435, (funcp)transaction_6441, (funcp)transaction_6447, (funcp)transaction_6453, (funcp)transaction_6459, (funcp)transaction_6465, (funcp)transaction_6471, (funcp)transaction_6477, (funcp)transaction_6483, (funcp)transaction_6489, (funcp)transaction_6495, (funcp)transaction_6501, (funcp)transaction_6507, (funcp)transaction_6513, (funcp)transaction_6519, (funcp)transaction_6525, (funcp)transaction_6531, (funcp)transaction_6537, (funcp)transaction_6543, (funcp)transaction_6549, (funcp)transaction_6555, (funcp)transaction_6561, (funcp)transaction_6567, (funcp)transaction_6573, (funcp)transaction_6579, (funcp)transaction_6585, (funcp)transaction_6591, (funcp)transaction_6597, (funcp)transaction_6603, (funcp)transaction_6609, (funcp)transaction_6615, (funcp)transaction_6621, (funcp)transaction_6849, (funcp)transaction_6855, (funcp)transaction_6861, (funcp)transaction_6867, (funcp)transaction_6873, (funcp)transaction_6879, (funcp)transaction_6885, (funcp)transaction_6891, (funcp)transaction_6897, (funcp)transaction_6903, (funcp)transaction_6909, (funcp)transaction_6915, (funcp)transaction_6921, (funcp)transaction_6927, (funcp)transaction_6933, (funcp)transaction_6939, (funcp)transaction_6945, (funcp)transaction_6951, (funcp)transaction_6957, (funcp)transaction_6963, (funcp)transaction_6969, (funcp)transaction_6975, (funcp)transaction_6981, (funcp)transaction_6987, (funcp)transaction_6993, (funcp)transaction_6999, (funcp)transaction_7005, (funcp)transaction_7011, (funcp)transaction_7017, (funcp)transaction_7023, (funcp)transaction_7029, (funcp)transaction_7035, (funcp)transaction_7041, (funcp)transaction_7047, (funcp)transaction_7053, (funcp)transaction_7059}; -const int NumRelocateId= 1270; - -void relocate(char *dp) -{ - iki_relocate(dp, "xsim.dir/audioProc_behav/xsim.reloc", (void **)funcTab, 1270); - iki_vhdl_file_variable_register(dp + 1557080); - iki_vhdl_file_variable_register(dp + 1557136); - - - /*Populate the transaction function pointer field in the whole net structure */ -} - -void sensitize(char *dp) -{ - iki_sensitize(dp, "xsim.dir/audioProc_behav/xsim.reloc"); -} - - // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net - -void wrapper_func_0(char *dp) - -{ - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642776, dp + 1560744, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642720, dp + 1560800, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650416, dp + 1560968, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650304, dp + 1561024, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650360, dp + 1561080, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650224, dp + 1562088, 0, 23, 0, 23, 24, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650264, dp + 1562144, 0, 23, 0, 23, 24, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1654656, dp + 1562704, 0, 23, 0, 23, 24, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2558192, dp + 1562760, 0, 23, 0, 23, 24, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642456, dp + 1640648, 0, 7, 0, 7, 8, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642496, dp + 1640704, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642552, dp + 1640760, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1655152, dp + 1660296, 0, 15, 0, 15, 16, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1656968, dp + 1660520, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657024, dp + 1660072, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657080, dp + 1659960, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657136, dp + 1660184, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657192, dp + 1660632, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657248, dp + 1660408, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2558688, dp + 2563832, 0, 15, 0, 15, 16, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560504, dp + 2564056, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560560, dp + 2563608, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560616, dp + 2563496, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560672, dp + 2563720, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560728, dp + 2564168, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560784, dp + 2563944, 0, 0, 0, 0, 1, 1); - -} - -void simulate(char *dp) -{ - iki_schedule_processes_at_time_zero(dp, "xsim.dir/audioProc_behav/xsim.reloc"); - wrapper_func_0(dp); - - iki_execute_processes(); - - // Schedule resolution functions for the multiply driven Verilog nets that have strength - // Schedule transaction functions for the singly driven Verilog nets that have strength - -} -#include "iki_bridge.h" -void relocate(char *); - -void sensitize(char *); - -void simulate(char *); - -extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); -extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; -extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; - -int main(int argc, char **argv) -{ - iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; - iki_set_xsimdir_location_if_remapped(argc, argv) ; - iki_set_sv_type_file_path_name("xsim.dir/audioProc_behav/xsim.svtype"); - iki_set_crvs_dump_file_path_name("xsim.dir/audioProc_behav/xsim.crvsdump"); - void* design_handle = iki_create_design("xsim.dir/audioProc_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); - iki_set_rc_trial_count(100); - (void) design_handle; - return iki_simulate_design(); -} diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o deleted file mode 100644 index 585e8796c954eb04d1d1afb19bc0674202cacb00..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 96880 zcmb<-^>JfjWMqH=Mg}_u1P><4!0@3IA?g4Yc3==<5M=nwFZV0hqx1L9Z!8Q99^IlK zDUV)L5cL8?c=Ya$I3&&hV^4swqYs00dNjY`KvCU%gaa%AGSZ`W`-%(V3?9AvB?KiI zvKX@%9e09^bld?V!OUGC7S!y`55S6dKM)|SxcP{JNAKp2iy+f?f6ybWp?C8Eh~fq! z6hln^0nxC72o1fPUt9${#Q>sM!f~fK0|NupqaY@dM<J#wTmx%}AVNd$=71Yu#SQjg zj}l>e2SmdM4s}qt<JHi+`2>d~gGcY~8~k9?IUIMgBis&RVz`}w6Rd%Oh=}UlYynYh zL4;yR$aI8)O)qc+J4e8ACqKeDASRM?dN*f;ffaXnfED9SU=Y(+gn%_1AVNd$W($bo zA4DjIm@WZvyMZTR=k#vA0dac<5sD$E|A3gjfCvq}n}5iugJSgo7dQ#v4MvFRH{`(@ zeh7j!;0?;&%@PpP4TK0QhM2xW7HoP35gK|ocR);EK!jq5=^hGT({B)=p?7lz#G?Yj zM7bSO&_T*Gq++r2KDgKd7iC};L|tews7UPm?a}!aRKPWW6DzcY^ysxk6nCBPpeCS* zBB?-_92%U(5P)2CdUW%G41$%`-C$>-nA3bjVSfq(1EknSnB>uXgd;lkFi3Mnab~Vw zN@kIMVrfcden3%va(q&1Mq-&hSfVI3CqEgai~-EWsjj%Jq_Q9tq!7Ql<f5|Tl+xS+ z1_I{grsgta7H8(><}&0KGk~fjklCYnGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnizcA>i)pY^9(PnpB!sQmSBRtY@replenN<{H%*ndljqXhMa- z4q;(nU=UznU|?lnU~u;fWnf}pH~<o32Fo%qh(Kw^svri&3IRrG9(Ilij0_AC3=9l1 zP&q3Q?aC+6%v8e1!2r=?0TN?iV2}jS9()1;d>jln7#J7=Kzs%Uh6^A|z<PrDB%JsZ zviUTe_zdDf3Wpxok&gou9y-t^{u&@Zg8h~R4i8XRctGV=Lgm_+9Qh=gnO*o4nEd%P z9Qh2K_$(ax918gqBKRa6`2-xnIzfuDV~}wy3?KhvlV!%T1Az;y5ERQ`2Alw`=w@JG zgY#hwNW8N#fEJ*`#6UDSJ{edUz<vY?K(QQ{W`)Nun9IPxpbTcPGE{(R1mVTNz#xR= zPH-AxU;vv7N{<MY5SASS1A`y~D0M;j8`L4R8#MhwcnC5Whj=;EUYLCl|1dBV<51rS zRSz-`WI8zAGB7Yqz@h#ORJ}4ZsA1uB6^HttIK=rGA>rc<H3yt-KzWN1l3zh?LB<+5 z#C>sy=im_U#UZ{Fhxm3J;^%OPKVk&MBO+CSiUE*6KHyOQ2ZuNZ6ZUWr#UZYQLtGz+ zxD5_*PaNW5IK-22h!@}xuf-wWg+qKg4)G;8#5dv)e}qH)Jr40dIK(-bvB!%T4sm51 z;s!XxQ*ekE;t;RHA>NHcd@2s{`8dQ^;t=1ALwp|&@sl{juiy~Bk3;+w4)L!z#2Hwy z#}_vaaWNd?ia5k|aEP1Z5O=~M?u$b_0*81q4)Hu3;*~hWTX2Z?;}D;PLwqR?@eMe{ zcjFL0hC}=!4)HrU#Gm63|Aa&QFAi}IR_y6V7>BqV4slH!;wCu6?Qw{E;SdkSA)bIk zJR66284mGA9O6AV#HZsBUxY(^Ee`P=IK&U*5I=`Q{3Z_ZCpg64;}HLaL!6ZjdpZ%o zAuf$WTn&e~Ar5gH9OCXc#Dj2%$Knvrz#(3YL%a@$cqb0=DLBOE;}BnkLwqX^@dG%- zPva23hC}=z4)HfQ#J}SZXJW^mPIz&MOW+V!#v!hUL);RFxC;((e;ndbIK)$Nh!@}x zuf`$XhC_T34)J+7#8=@E--bi{5DxKkIK*$^5Pyb4{1XoGe>lXsIIyP^F&yGbIK=gE zh+E+hcf%nbghM<Yhj<PS@k$)xZ8*dy;}BnfLwqd`@m)B?kK+)(f<ycv4)J$5#DC)u z=itO1zoIzAm2imb;}EyOA?}GoJPe0;G7j+q9OAV&#Jg~aPsbs?1c&%W9OC<Mh@ZwG zeglX2Qyk)-aESlMA<n~vJ$@x|h^yfcH^w3EfJ59Dhj<hY@pK&GB{;+zaftWf5TA`h zd<72itvJLF;SfKML;Ma7@s~Knzu^#P=Efe60yxBFafoZ-5I4sm?t()+5Qlgi4)JUp z;uSc=TX2X^z#%>dhxiH{;#+WtAH*Sk4u|+H9OBP#h=0N%{tt&Z7Z3J$7sDa0ghN~p zhqx6EaW@>|K{&+YaENE&5HG_a-h@NE4~O_H9OBDxh;PCnz7L1^DIDV0aEL#`A^r}B z_%9sdY`oaxT?mJ`91d|U9O7m;#GP=6`{59e!Xci9L%axwcpVP$E*#?1aELF$A-)cW z_--8HCvb>g!6E(thxi*D;y-YRv+!Y$cL5yYGC0IFaEP1W5O>5O?uSD>3Ws<)4)GEk z;*B`OdvS=*!XdsKhxis8;s<evpTi-38;AG{9O7Sbh%@nHk6%6<;!-%o)o_R#;SjgO zA?}4kJPe0;5)Sb^9O6|t#M^L)Pr@NS4~O_F9OBz>h#$fseh!EDEga&{aEO1xA^s1C zIF|tS^dN>qTnUG`9u9FU9O7;`#Dj2%$Kepq!XaLUL%a!xcpnb&SvbU(;Sk@1Lwp|& z@l!a&ui+4XghTut4)I?&#MuO~$GZ>?ad{l#Iyl5FafrL&5D&&7o`6F<7l(Ki4)Jyz z;!|*lFT^3f4u|+|9O5T%h+oAa&L)ICUW9RoE8q~<#UXBmL);yQcnA*hL>%IIIK-=Q zh<D%+pNd0#5f1V7IK=nh5I>1S{2C7N$2i14;1K_dL!3((d;E&y5Ldw=ZiqwN4u`lm z4)F*a;;A^qi*Sh7;}Gw`AwCm__%a;gn{kLAz#)DXhxjcV;?Hr2f59QnD1tp6`EZC! z;}F-tA#RF8+zE%cKMwI29O9Wc#LIAqH{%eWfJ1x^4)K*Z#JAxPKa4~C0uJ%JIK*G# z5dVrpoKX~e{PN-um&75iibLEGhqx^caZeoLVK~H-aflb-5U<4{-i1SaIu7wAIK(&N z5Z{MG{4@^n8#u(D;1K_SL;NoeaV|0J@h*--Tm^@?Ar5gn9OB+M#3OKsr{WMV!Xe&| zLwp_%@wGU__uvpejYIqv4)K>b#DCxrXBWpFFJd^vRdI-$;1GAlAs&Q7JQ0U@0S@tc z9O8XA#OLA=UxPz@HxBVrIK*$_5PyL~{5uYDHVN$UB8o#?1&6pX4sj<O;(<8C6L5&< z;}EaIA>NBad=3ur)i}g=;SfKGL;MB~@#i?izu^#PmBbz|A~?iVaEP1W5O={L9)d%> z5Qlgp4)KXN#24Za--tu}AP(`1IK&^~5dVlnoKXsUI1AzsSHvN1h(p{Fhj<_k@kAWr zg*e0;afnaEA-)iY_(mM!2XTmB#3B9=hxkVv;*8Qb!XJmYA`Wpw9O8~R!~=1NC*lw< z#39~@Lwq6*@r5|VH{uXKh(r7$4)KRL#6RK?XOzJn{z5p!m2rrh;1GAkAs&W9JROI4 z1rG5}9OAQZh_A*Wz6Xc+Ssdc`aEQOhA<iI+J)8w`h%4a`H^w3Ef<rtMhj<ze@p2sE z9XP~i;t*ejLwq+5@iREY@8S@DheP~74siiF?BTD7L)-|5xHAs%5FFyEIK<0vh_~Yq zpMgVsB@XdjIK)rm5Wj;%{4Eage>lYX<*|pe0uFIQ9O6zm#Dj5&r{EAT#Ub8?Lwq_8 z@fA44cj6E~g+u%{4)HfQ#Q)+D=TpEQ&hj|K4RDA%;t&tQA)bswyab1MD-Q8#IK-FZ z5Z{4A{3H(XTR6mD;}HLYL!4I;dpOJC5ZA{c?tnu)5QlgY4)J0f;w?DDr{WM_hC_TS z4)LQn#INEIe~Lr=D-Lm1CG6oWibGr#hqx&YaaSDTp*Y0TaEO=V5bwYtJ`;!dDjedw zafqM6A$}K!_&Xfp|8a;5C}R(QMI7QrIK-WCh=<@1PsJf#hC{p^hxiN};wy28@4_K| z8i)8D9O7?ri2uVO&aZ+!oE3108{!am!XX}vLp%kCcqtC?HXP#9afq+LA-)rb_$eIX zw{eKS!6E(^hd7@q_HdTRA#Q*}+!2R(5DxKV9O5N7#9MKQPs1U;9EbQ09O5T&h~L5? z{u+n)9~|PmYS_bB4u`lt4siz@;(<8ClW>R^;}CDbAwCs{_%a;g+i{4Wz#)DUhxjWT z;=gf-^QdDFXIUKLdN{=Gafk=t5KqJ*UW7xu8He~39O6rHh;PFoejJDR4IJVxaftuI zA<nIVJ)C85i0k4Ix5FXsk3&2Ghj<|l@g^MNlW~YI!6CjChxjoZ;@5GAzrZ2>6NfmL zCiZZa#v!hQL);dJxE~JjcpTydIK&%qh)=>Hz8Hu2798S7afn~TA^seP_zxW7oLbn! zSqg`^HV$zc9OAw>#N%*?=i?A>z#%>nhxj5K;+t`ZAHgAh6^HmU9OB<`h;wLT4`)dn z;#xSwt#OF^;1G|+A)bdrydH=61RUZEafoliA$}N#_!S)DPjQHU!y(SDgFT!jaENQ- z5Vyi1?u|n{28Vbq4)Ho1;{7<p7vK=zh(r7k4)M!4#Gl|0|B6GLO&5DOi{lX2z#(pl zL);68cr*_2930}cIK=yKh|k9%z5$2$K^)?jaEL#~A^ru2IIAA^a2CTMu8u?80*AOK z4)G`);@LRFYjBA7;t-#QLwr3B@dG%-FX9k?ghTu@4sjNJ?BOhmLtG7qxH%4S4;<o= zIK;DXh*#qf@4+EH7l-&d9OC<Nh+n`V{t$=wCmiC;2H3+{1c$gP4skOa;_f)aBXEdk z;t;RGA>NHcd=3urwK&B0;SfKML;L{_@sBvfnGCUqvoH>E6&&KGIK<s>h==14&%hyG zi9@^#hxlw9;%jh-@5Ld04u|-C9O55vh%*{t4`(49;>tM0O>l_2;t&tRA)byyyaI=K zCl2vhIK)@u5Z{AC{45UfdpN}3;}B;s#vaasIK-83h#TV&cflbZibFgNhj=*-@eUl~ zGjWKo!XdsJhxi#B;&*X~zr!K^ABVVr3HI<;#362kL);mMcnA*hR2<@EIK<m=h|j<w zz7mJ{E*#>gafsi+A^sMJ_&*%t{HEB$SpkQ*Ar5gT9OA(^#8YsHm*Nm_!y!H$hxiH{ z;yZDOpTZ%28;AHC9O8d*i1V3Y4`+EC;s!Xx9dU>U;Sf*8Azp$*ycLJ|G#ui~aft80 zA$}5v_$?gbuW^X~!6D9Tjy;^^aER;U5O=^K9*9Fc35R$w4)GQo;!|;mFT)|e9f$Y{ z9O5@|h`+)i{u_rlj|KK{mc=2iheO;Rhj;)E@kAWrML5KpafnaBA-)uc_%<Bk$8m_? zz#;w;hxjiX;@p<l!&wH0xGoNHI~?NvIK&fhh!^4zZ^9uy8He~19O7GXh#$itejSJS z3moD<afowSVGn0%9O61S#BFhi`{59e$01&TL%b1(_#_<Si*bl=!6AMWhxj!d;?Hr2 z|G**6X^lOcrErLA;}EyOA?}MqJPwC=J`V8)9O4skh%dq+z8Q!35gg)Iafm;|A^shQ zIEM}PaF)a&u7yL~8i%+K4)ItV;(0j4>v4!rz#+a6hxjHO;)ijFU%?^%6o>dX9OCS@ z*uz-@hqxvVaVs3+-Z;c#aERyP5U;}_-j73k0S@tvIK&U(5WkE={0R>6uQ<fn?68Nk zI1X_Q9O9NZ#JzBcN8=FB!69CYL%a`%_<S7V8*qpp#36nOhxlV0;$Luxv)W@1XE7Y& z>NvzLaEN>25Rbwko{dAi28Vbr4)J+7#Mk2xKY&C0A`bCKIK)5W5NC0~9?qgT#MN+! zo8u7oz#$%qLp%$Icr_039vtFxafq+OA-*4n_yru|4{?Zp!XeJ=h&`M|aEPno5I4gi z?v6t|0*81e4)H1+;@vpJ=im@ui$i=L4)OCi#2?@g|A<4J$q9Qn3*!)1!69ynL);CA zcsLI63>@N>IK;bfh|k6$z6OW*UL4}*aERZ>A^rh}IHNQ6a2CQLu8c$61c$gQ4)HJ? z;^{cVD{zQ+;t-#OLwq$3@jW=i&*BijheP~54siw-?BOhkLtF`mxG@fK7aZcDIK<O% zh?nCK@4z8G6NmUJ9OAoih@ZhBeiw)MI~?Nwafl1JVh?{s9O6be#GP@7hu{!T#UWmX zL%bb__zWE4D{+YL!XbVdhxi>F;%{+?|HC29?}j~`6>x|f;t+SjAs&oFJOzh%DGu>A z9OBb)h_ApQz7vP|DIDUrafrXcA^sPKIG;QAaF)j*Zh%AF5r=pX4)J6h;w3o5TXBd_ z!y&#LhxiU0;wN#4-@+mO8i)8F9OAql*uz;4hqyitaR(gYfjGpIaEKS<5O2XDJ{5=f zG92RDafqM5A$}8w_$wUZzj283cw!G{SsdbeIK=I7hzH;hPsAZ!ghRX;hxim6;!AOe zZ^I#e9EbP~9O5r=i2uSN&h3RgoMmu`>*5f%!y)dELp%Y8cp(n)CLH3EafmO$A-)xd z_%R&f*Kvryz#;w<hd7rv_HdTQA+Cc%+!lwp9}e+&9O4By#2aylPr@O-7>D>49O6fD zh+o4Y{v3z+4;<p0KG?%q3WvBh4sjbC;=VY<<8X-Q;}CDaAwCg@_#zzQn{kLA!6AMX zhxjub;@@$IbNFHpXGt95S~$e5aftii5Rb(no`*xc9*6h@9O4Uch;PCnei(=N6&&JE zafpAzA<piHJ)9+Qh-=~yx56RrjYB*Jhd4t;ab|A3v0gHRo{zHuh%f{ZMj*l%M3{gG zQ-*kVAAcuDpLl;aw_w+h_z*`YAJ=$>w9>rfki;a0%<RngqST!H<iwIxFsCddB_}>D zGbc5^EU_pvF)1fCz9=<4v$!O+2rOTmnpd1zl3A6?P+pW+P>@;_4>Bs=04!OSlb;@6 zoSc!GQks(*Us9BqSDct!l9`_uU!0kqmzWcumRMX854NH#F{d;&z9cg@HQs=sI5W32 z2j&8}{(_?X<kaHg)Z+NW5{SyG)S`T_MX433$)zQ!@o*&!xrv#1V5yAM#De(Dyv&l! z#2k<_K|U-_Er|yOS4w74JlL-wCuOF^7p3Nc{E!0H2vSsB7GF|XkO~Qag2a-H_`Jki zNWg%klZ(oV<5Nm=3osNEXXZK;Wu~O3#;2#2gr_8h7iGdiEV(E(u_QG<B^49~aGQ#f z<4cM%6LaE|^GoweAi~g4fGPDW%?*M^jb{o2%*#fG7D#MMINQX))Et?EP-S9ZW`ZPR zW{Th#nj*;<nxe><AuB^N+RzlqXhTyZ7Z{ouAn7qPMCKSVKoUo0Zb1$_-r`}NGJvFv zynIk%i_goCPfSmT>o&JEFh=GeoNR7sfN-+8r2)dp=9UHsC!1RuSU{{#&d)0@i7(11 zhNT?hTwr2oitIB}B%c|WAuL6(5OyQN3K39-2*V5!Mj0BxJ!y#WuOY&>h6ukJBCIk* z*oBlb3=y^&A#5{3SYd>)!U$o75yA>1gcU{zD~u5SF+x~jjIhEO;UQy$ZN>=Oj1jgO zBWyE9*k+8d%@|>uF~T+zgl#4W+e{F)nILR4LD*)3u+0Qvn+d`;6NGIh2-}ci9x2{U z5w@8kY(vUTNO{Q=VH;8wMao4;c?c;7A>|)4gl%RB+mLcKQl3Umj|jh+A^c{B@S8az zB+U^aX^ybX9ATR|A|%ZbA!&~An>oTZbA)Z?2-_?Wwpk!-vq0Epfw0X2VVecQHVcGp z76{uc5Vlz$Y_mkzW{I%P5@DMq!Zu5UZI%ezED^R@B5bon*k*~a&Cmd0ouL83K0^b9 zg@#DQn4tl}N<*YV%n+#zGej!F3=I(08X6$ki&B;#*^6A7AQvad<q2|uf|PGf49pPT zH8DU+Iwl54$;89}DWRAcAjO!80aBcq7$C)(i2+i)nHV6&oQVNa+?g04#h!@)Qv8`1 zAjP1G0a6^A7$C)>i2+hPniwF(q=^AiT$&gl#ioe?Qhb^qN2m#MjG7=vsR?qNnjlB2 z339BOAV;eSa=e-#N302Q%$gV?MXiY;Qbl26h!nXdhDfn%Vu%#ICWc7yYhs90WtbQu zRT?ISNY#dkAyUO*Vu)0Am>3!%QkRJ#QcYrFh*X!D7$VgsCWc7$iHRXnjbdVmRHv92 zBGoD;hDh~_i6K(WVq%D#Mh%f_7ZXFI`o+W$sfIBzM5<#<43TOX6GNnW#>5b*rZF)@ zu4@cYY8#}Q1zC$BQff0qN^FKmY0VHhsTm^GA0~!KHHaZniED^d<QgKCxrRuEt|3yX zYlu|r8X}duhDZgkAyUa}h*b0%B9*;{NLkqsDJL5uWn@F7d~Ar6jSZ1<u_00>Hblz9 zhDcf15Ge;6B4uDBr1I1VsXR48DnpHs%1|SuGSmpE3^hV3LyeHiP$Q%=)Ceh~86o8} zBcyC*gp|vSkTRJOQXVrx%3?-HIm`$t5gQ?=K_lceXoQr8jgXSC5mE{^LQ23!Na@!I zDft>9rCuYX#A}4qRx&d{vcSv$*#_hS9yuwSAg5&$<iu=@6x=38$c-o?q-K<f5mH0S z#0aS=WnzS!8H|uJgNYGRgUZARsYzvGgw$>`Mv7Nsq<A$(idSQ#cr`|fS7W4jHAae8 zW2AUBMv7Nsq<A$(Dmaai%1vXWV$&F@)HFsaG>wtUOk<=X(-^75G)5{gjgiVrW2EBJ z7^$>0MoMSKNM)rlQc-D)l*){e5`i&N8ZbsmO~y#6$rvd$86%}8W2C0HF;WR>jMVrx zMrwT<BQ?K`k>e35?HD5^9b=@FV~mt=jFHlfF>10wwA@ULklNfPMo6u06C<Q{w}}x_ z%iF{VsqJlIgw*;rF+ys8n;0Rrz)g&h+TbQeNUd-aBjo0k5pr|N2&pY@Vq|Ur>7*88 z?;{)H>m!>WCvX$w6l;Q<6ikrg-vl|*O^{>4#26_mOpxQk1UUjskYm6EB?^p@bFMLR z&NW8r4w)DuM~*Ra<QOBzhcR+|7$e7rF>-tuBgcm^a(oyg$A>X;d>A9ghcR+|7$fzL zOpKB0UlU`b3fROLsRlMNMyi5MjFIYK6Jw-G*u)sA7B(?Ps)kLBk?LU+W2B1M#2Be2 zHZex3icO4>>S7aPq{`UD7^yZkF-EG6O^lK1V-sVf3faUMsYW(2MyircjFDP7CdNq3 z91~-tb`EO%A~&f`km__36QoMr#006AWMYieP%=i!qR1%%IoeTT9VyOCk?JLLq!eh5 zlmN|<)1N6)*=1siRCt+~B9&eyrbxw?i7Ar7CZ<Rxo0y^)jhvcIkyEoNa%wh3O3fBX zrLYB3DQseZY?cACSq8{v86cTuZi!Sanp+~(i{_R{6{EQ&Qq5>?iBvV3TN;``3McTO zE_lc{wJbHSBsnoBCn+&Gn*l5i9qctSK;;^ua*a{BCa7FfRIV8+*Bq5=fx<OGwb#T5 zRTkA=6I6RmQ0+BERcwl?*c44Msv}KN9chZ{NK;D`SDB%@*$mZQGgN!cQ0+BCwbu;Q zUNcmS%uy{eM~xJ7)UYx~b%r^rGt5z)VUFqyb5v)Tqk7*Q)m0X#7FnQLWPxgt1*%0B zs1{kES!9UrVKg5bqInsV!a<WC;FL@tg_|3py38CcbS(@~EweC0^EO%*u`oh)jD-<e zxEP@Y44VBGMrc7}gcdeNsDWc)jAp(un)$}4{<APfGv64^d}DO;(fo^+H7!ig!Urv{ zT9}}@4=uM^pyfbIw47mymSQc@lB^|Knzckrw3cY8))Fn*TB4;}OSFV*iI#FL(UPtu zTH3WlOT3mAsNrX6iJF)#Em6bY(7+Hi0u2q&Qk<cI3Az>&)HpLVFhRA{(7+VcRzm|* z)Mzs_Fhxzbh6ZQ_r=bB_(P?Oa7IlUOrl@Jl5Hyhm&V<nF#Lxh(2sJc7D?|-Jbt0-3 zGjx}lp}Q2Vs5J!5lA)P_nh6X+b3JG(P{Y*N5-ndCTcXt~#+D|izBRT)iw0v$v}iE4 zM2iMvOSEV(wnU2tV@tGXFt$XC24hRKAT+i_tBH&)(Sp#}5-kXgEzyF|*b=S2GDgpr z#+GPxma!#Ttz~R!hMFvmEzv46V@tHc*4WYlHL}4Y#>f!`9?eA)K+^&m)kRZ*S_FYd zchOX!rVsG&EvgE%ItV<Bi>3lKS%Qai(Nv)3Z1AuynhMk;1s;$^Re@Igni!%bOB2wz zBdQj(64V4V0*R&q-CDFl)C4pjiKYd$gfRgPO`@tmk4n%WC7KHKctI<BO+aIoXj;%+ zidOuZfQB&9w4l2btpqj!jcB53u|$tbOH`MlWn<8AC$a&cL1bh;Xs7{|kD3rb0}iP2 zsJ=9^MCF?spcYo<2B;a$+yK?fh6ZSrq@e*?1REMypjQxRabXCW2|{+HA!yDARlpK8 zCmMn#b<k9x<}*Xk6b_0C^vr7rntVZ3fz}{11kHz_sxU^4K10wn0ICYKTx4j7)`~GS zL~F(v8ln~MhUVyDYHopMtvOm#z|g_~O@#$o#2H$kSN;}g%}Yb{_MRcAM~UJ&3$zl! z&;qSKFtk7`x(zMRIt_*vXssqg3$!d@Xkm$(<_s;-gU}ManSx%G8KT!*hUk@yp(R@9 z#t^-EXNcZ@GemE28CqJRCORVn1JrbCWPp~Rj0_A>^Scpx8_dW6t=Vp5V2o}kS_8t! z0Iel#gx*RsLT^wRfyM-o<JJf?pNlGh*1t0{Kx^L`q32s8&?GFX8E8#VBhXYRiVDzd zCaQoTT9|_76j4>6^;?WUGkd5ij8RJ|BlN1w$Ple{WMqieAvZEaYquB~qBSv$&?`G5 z(7YL{JJ6~SBhXA1stQXqmxAV~P*i{>o6rPMi)bSww0@wGkrBF~XgxI}&^!;Sp=deE z2sFilssgPoXoOL<7@_4TBO|n`z{m)#M`UD#)`c-LLeEjg=sC(5Jx76NXHZ-Unr1;2 zK+jR2(QZ@~=s5~BMS-dUt-);s8m~rGfz}i@0u3RfszA?Cput~M6=+>TBhU~mstWWR zWnzF<pqQXl(MBfdIm*Nctw1qB&rv35U4J7J^c-b^RuveTpywzP^c-b^o}*0AbCd~M z58TKEt)*vVf}W#H(ArN%Cg?fJ)BvqOF-5E9jZ6*Ea+E20jxt5hQKslQ$`q}RGBQQa zQKo3!EhAI(9A%0&Kw)Hxo}*0BbCfB1jxt5hQKslQ$_zb6nW5(>GxR#j3~iji$P7J4 znW5KFX6QM}484vrL(frWXq|o|GxQu~hSm=>GDFW%X6SX48G4Q~Lu*PJnW5(>bMzdA z-sm+lN6%5_=sC(9Jx7_N=O}aZ9A%E4qs-BB6lfv>r7$o@&r#;+Im#S8N13DND0B22 zWsaVs%+Yg{1$vG`AIUVbK+jR=W0gi0=sC&)Jx8Gr{~1}J=O_#G9A$x?qb$&Klm&W@ zvOv#K7U((30zF4rpywz{^c)3RSBw%-plK2`0kkUG5<N#*qUR{|VIw0;^c;mgC}d=b zo}<u*YmCrGR*Wpsa}@fhrV;wErI96ijzS-JG&VrXQN{*nb(FCIT8=U{K+93a2533T z*Z?g@85^MGC}RV(9A%6?G--@Jif9a)5JQeAV*|7tWo&?!ql^vEa+EP>`68+rXgSIl zw1^Nz1$tN17_^iRRRvm(G6pTELsfy6ql`hz+E7)X)ltTVXgSIlw8{)s3tEmcHbl!& z#)fD)${4iT4Al&@9Aykz|AndoEk_xHR&$}K04>o%6+o+_jE&IhC}ShE9A#{TmZOY8 ztD{g2Maxmfpv6h3D$sJ2F=!PCstU9^%Gd}kM;RNT)ltSqXmyma5n7HiHbSeTjE&KA z6lhHoiaS7ylTZcFa};Q~5UL9F90gj~gQ@~OM}by)psGM?^%;X^;Zaqf=P1y!2~-v6 zISRCR0aXQhjxt88ql`_^>L_Cqv{s+733`q)L2LCHo1o_?6SO+Y*aSUCnV{z=6SO+Y z*aSUCnV{z=6Z9Nqf>uWvo1o_?6SO+Y*aSUCnWKBo9Nlx~=$<o2_nbMp=giSPXO8YU zb9B#{qkGOA-E-#Xo-;@HoH@GZEYK=oV+*t<t+54qae+SBYHWdCTv(tN7ZzwuT4VGH zNMj4MCap306r`~QT9ek;0=>AfKrb#V(2ENT^y0z-y|}PIFD^ivqfjysXsrjT0D1*% ziC$b-qF2C{XvKwz5!xW631}fMvMnZ{Rkx@D=#wAl!yP7|g|ui|P<v}8=q1025t`FY z&__s2jL@dKP0;HG6ZE>l#0YKJ)&#Un5Y=I5X&t=44^0KS)6wR!OpMTHR4mcM6tqnZ z#Zb_y8&m;w&sm~P+?!aU4N{w+PdJ*OkDr>LkDr>LkDr>LPhXo@q7CetSfUN=ni?3O z&Q_Qj7@`jSni`<3X)r|}rZhD`%P*$r!<44z!<44z!<44za|Nd8!<44z!<43=eG|x` zZi+rkX$soCfT{v5znG#=9+;vJQ<|a=Q<|a=Q<|a=Q<|a=Q<|a=Q<|a=Q<{R->7%+7 zt$H;zM5|s+(T6Eb(ete-XmLA=q3D^=6n&V|6ts#RRSQ}TWC~i*jj95z1~LV$*F{x< zmMcxshbc|bhbc|bhbc|bhbc|bhbc|bhbc|bhbc|bhbc|bhbc`#%eqmVjy_CjYK&F` znW7I<nu3<$qMCu$U^N9TlSNg5Rs)$Dqvt5}VM<fbGE-DD&}tx4&|*&%73jm1rs%_z zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_z zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_z zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zre<he zKvVQ#N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV< zN>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV< zN>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV< zN>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>fX;K^0T<VM<f<VM<f<VM<f<VM<f<VM<f< zVM<f<VM<f<VM<f<VM<f<VM<f<VM<f<VM<f<VM;UfVM;UfVM;UfVM;UfVM;UfVM;Uf zVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfH8p1F!<1&|!<1&| z!<1&AO~c6bz8U&3r5R}JE~*N&aR)Q>6*Fe&!<1&|!<1&A6Gl)CMQin$p$}7<p$}7< zfp)i|nt?X%V1~Z<$_#y&(hPl=(hRgE6va^V&Z-&uFr^uKSJVu>D{5whR!5nk4^x_f zwn3s=i#G0H2HJ0issgRmXNEpZX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX$IO1iQ+Ky zVM;U5_B2!#XaoOdppAs6D$u6V%s_j}P*tE!rI~@Yd7-L68~8T^ZO}qhfi{(92HGZt zssgPaWrjXXX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*b zX@)*bX@)*bX@)*bX@)*bX=aKxl4oX$Hj-y%iZ+sGW{OrvnVF)E<e8bGjpUh`qV=Q9 zK)bq7BFYqPB+m@AhYM8&dX6$h>qnWHqUR`6w2?eBQ?!vhGgGvFl$j~oNS>J)+Q7e= z8QN5unHkzho|zfiaF`kTFr^v#Fr^v#Fr^v#Fr^v#Fr^v#Fr^v#Fr^v#Fr^v#Fr^v# zFr^v#Fr}F#y64b`Db3J_Db3J_Da|a=iwpGi_h#s8=gln9W;M*vSGAj=FF-d#-%VnM zz5v|}eSe3UCEBoynI&3rVQzpnt6^?{HmhN7fHtdPZh$uMZ*G7#@NW*<+K-&H%?;3o zUChz9g_xu7;4lYmwqQV<eW%61z`)JG!0_ik1c)<0022cP12dAi3`CfLg@J*A2T5E5 zNt_o++ysZXD^wiad@rat$b5bzb6SwZ1(C!z;t+p?L!6%xA^|cV*&GKP;<-4)=iv}P zjYIqo4slIT05C9s!xPy(Q8>igaft80A^sGHxELr>vDoX3L%als_(B}wmvD&x$02S2 ziV!UBiNzt_heLcf4)HfQ#3fm=rw2W#I4Jl)PKM<RW2iXDIANr8XpJN;fF$mUBrbv^ zo(>fUnGZ4xW_})$IEV=oF9va-;Uk7*PA!r+D9^&ww?M@~=CdKG?}Unj?36$fp8ypH zsb@zLp9&QRsh30&pN}Lig(SWmDh?`7gpkDdA&K)Li62E0=R^`e0~H6khXYCcB2*mY z9%&@;`$*!TG71(BFOkG$k<>$Coq++AE#+Yx1_lO@T_7$<Tmi&@Vo;odm>_XQ5Ce)q zVF+S^#Fan{D2C|;i7P|JKoqQ;1c|GF1fbXeN`u5zp==Ok0U{V6?o@-aL6ifCfQqX_ z*&xaTL_o!1c7cQfKm=4=6Uqir5g-C84vI4<mmvYl0J%pS$_7yxAOfmh2gHEl0w@hq zuM1^^s0t7PRj&tSgQx}&0TtJWvO!b_h=7X2!UQBV0YpH>4WVohH3LLI#f_kB5VZhA zK*f!rY!I~qL_o!1brVQv1Bif%n?l(jY6pmbikm^%AnE{!fQp+#*&yl!h=7X2;tnKq z0YpH>Eum}>bpu2|#jT)h5cL2=K*g=0Y!LMVL_o!DpllHJ0YpH>ZJ}%s^#epe#qFSM zFa?SzsJJ~?077seiNn$uNJaoj+z})I#S%#3PEaurrGO;v3=)814J2_Fs2GScKoW<w z4M5@+NaAiF0Vsym*C1(ks2GUy00}_-1<MN{@c<-oPmll<M<9vA+8!XO1SD~9kN^~C zAc^}x#XwX6lDIEO0E#P+#QmURAgTdL+#e(W#T`iEusjQrnt&u82oiwe8A#$mP%#j- z07*O;Bml)Lki<ivVjyY*l6WXc0E%}YiHAYOK-2*w@o<m;6rVs6hm|cLsS8Nrkstvm zzJVkj1r-BP50J#8K>|?x0!cguDh8rHAc@C<1fci_l6V|c3`~J47HB$&2Ma(54kU3{ z83>XQKoU;`2|%#~l6VqS3`8j)i6?^upjZP*JOwHSq70D4Q$YeyY=I=61{DKQ4oKqZ zAOR@$KoW<Q)gY+=B=Jm;02D_ciDyB@KvV*fcs57?iZhVJbD&}%ssKqm7bF116-eTF zP%#kIfFzy|5`f|kBym`s0+O15Bwh#-fZ`cQ;zdv~5VZhFyci?^#Ve4+OQ2#PY6FsZ zDM$c{VeM*AGAe_Lfv5vW>dQd_P<#SO99H*%q%I(dSAqnf_y&@A6;upFJwOt#1_?m% z3ncLxs2GU)fFxcE5`f|#NaA%+F)#&c)I!sLJy-xja3G1p>S&OR0FrnkNC1i@ki?sy zVjxNZNxT^(0L2<e;;=p)NXh_7ycHw>#TH27ZBQ`~<$xsK4ibQ34<vC|TLL5%fF#}t z5`f|eB=Ih&7>G(h67L2HKye0=cn?$zL=_;3_kskVxB^MM4=M(t8j!^MK>|?Rfg}!V z^MIr#Ac;=|2|)1-B=Jd5F%Y!?NqjO$0E$;2iBExwfv62g;!{BaP`m?4d>T{?L>)j9 zpAHg$;uA>Xu(laU>H?DZOppK+-#`+d1r-BP50J!Xg9M=X1(Nt2s2GU)fFwQ_Bml)f zki_Rf#lRG(0|8C{^T7fTf&)n$)`kSh2q1|s1PMT~1d{k7s2GS+KoVaJ5`bb2B=IFs zF%Sjo|ANYyr62()wm?$93@Qeq9FWA9g9M=114$g#)&)rgAc?O82|#fKlK3j97>G(h z5?>7xfZ_}!@ikB}5LJLAz7`|^#T7{6>!4yFssTxSJxBnGJCMX-ZE}#*1SE0Tm=8#N z29o$DkN^}fKoZ{!6$4Q#ki@ru1fX~WlK57r7>L?|B)$zK0L2H8#J5AmK-38&aai90 zBz^%&d?!c%if<r^?}Cbfs0T>myFmg_`~pdQ4^#|9eLxc53lf0hA4uZ+pkiPO+AjvB zxBXxN2*H6Q4(sE9WCW1J4}t`sSOQ7>5L66ADIkd-1_?m129o#@s2GScKoUO+5`bb0 zB=KWVF%ac|Bz_zu0L30i;;_CLNGbqH{3J*KiX)K3PeH{%R05LtX^;REXCR56fr^2s z0wnRXAOR?@KoUO(6$4QXNaE)~0#Mw6Bo6B{f}~*MGobjo2oiwe8A$3cLB&AS0wnRv zAOR>|fh2wfDh8r9Ac<cE2|)1<B=KudF%WeCN&GrU0E$l_iNpG~AgK#T;x|D8P<#VP z{1#LUL_I(fzYP+A;ulEbcc5Y*>I0JaU6242|3DJI2NeTTpg}Qc`F|fQ03kS##9@7K zkc<G5_(PBY6iXn9!^WIJQVK}ok3j-Ztbru{1S$ri43Na1f&`%00!jQCR18EpAc;Q* z2|%$2k~nOv03;QFB>oa40L2kV;;*1$ASwY#{541biZhVJ-$2DcQ~{FsTaW-0S0IVM zgNlKu1|;$KAOR@uKoW<IX@I0AAc=nj2|)1-B=JvBF%Y!?N&GWN0E$;2iGP8Lfv62g z;$J}mP`m?4{2NpZL>)j9{|*v>;uA>Xu(21A)CDB*pCAD!zJVnE3n~Vp9w3ST1_?m% z3ncMBP%#ko0ZIHXNC1j|Ac_Bjih(K6ATKoi{|5^|2o5B1@Zcy!m_YzZoDozALB%DI z#F>!96_CW4k;FBS#95HU4UoiHk;E;K#MzL<9gxJ?k;FZa#9?DuAg2Z(iE|>Uk3bUV zLK06v66Zz|&p;CAK@u-O66Zw{uRs##LlSR55{J!6f!x`FBn}&M1Bp*S5*I`=X9kkE z5R&);BynLR@fAqoB1qyJki<oi#CITxiy?_0KoS>65<h_?4jUT;x&H!^xFnML8%W|( zNa7EW#HEqMUm%IgAc=oK5|>31|A8bfha?Ug=m!bOg9wl#LF50>2>_4~Yzz}5C4eNZ z2$BQ|GB7YmAc-p>i7Oz9D<g?(Ac?CWi5nn^t0IY8Ac?CXi8~;Pt0RefAc@1qT0u?? zKoZwPQXhdNu7xC?fF!PsB%XmJu7f0AfF!PqBwm3eu7@PvfF!PuB;J7}4jU5&xqkwZ zxFM4I8A#$rNa72S#Ep@}S0IU-Ac=245;sK>-+?4<h9rIfN!%Ps`~;FXZ0s83{tHOr zmPqPvAc<Qci9bLRw?-0wfh2B&B>n+O+!jgv2a>oQk~jlsvJG1P+arl{Ac@1q*g@_W zKoWOEQZIod?t~<+fF$mWB(8xZ?t&z4fF$mUByNEu?uI1pfF$mYB<_JE4x0-Axjz6& z+!INC1d_NHl6V4=xHpn`29mfBl6V1<xG$1;1(LWQl6V7>xIdD32a-5!&I08A2}t6B zNa|-Gi3cHxFF+CxMiO6vBp!k!z5z)*6iIvsl6V-B_yHvGa3t{)NaC=$6_EQcAc;pJ zslS0F9)%?S07*O=N&E$pcnp&G2PE-WB=H|e;&Djg3|t^rLFQHCk;FNW#9?zlAe910 z;)zJ=C6L6Eki->`#FLT4HIT$pki-p;#8Z*PEs(_1ki;F3#M6<)J&?p<b5$Vs2Ox=O zBB_r+63;>sPe2mSMiS3J63;;rFF+E9t(gJYTY)5=hors%Njx7(yaP!bHm3$MX9ALV zA(HwTNa96E;tP<(i;=`vAc>bCiEls>FGUjHfh1mrBz^!%yc|jV1d=#x?hoYt3rOOX zNa}AOiB}<sKR^<%MiPI4Bwm9g{sBq67D@aEl6W1GIC!xawEV9}66XLd0)vXf<|sk# z7eEqkL{cw-B;JH1u7D)oj3lmsB;JA~Zh$1-iX?7<B;JN3?tmoTjwJ4ZBo3QP1-U-} zNxTzDeFTzt7m|1al6W_gcm|Sq50ZERl6WtYcm<MpACh<jl6XIocn6X=Y|a<t{s~Cp z6Oq)<KoXyXB)$Mid@_>w3MBC<Na7oi#HS*O??4ith9rIfNqjnz_z5I&*xWS8{TGnL zXCkS;fh0Z)N&Eql_-rKc7f9lBki<VAiO)q6|A8b9TeAmp4|wq+G@Z{!QqKWe)Cd)a z&7p(T3m}OvL{cw-B)$kqTmeaZF_O3jlK2uNaRVgrrAXoyNaD+o#2t{tmm`ULAc@1~ z`a$jwKoVbxq&@;kd=-*-0+RS@B=HO+@ij=|1xVs+k;E&I#MdE-Hz0|xM-uNq5{Iox z0J(nxlK4g>^)ryfHzA2HKoZ}KB)$Skd<&BJ1|;#VNa8z?#J3@dA3ze{jwF5pNgTFz z0_6S+Na8z@)Zai7--RUp07-l|lK2ZG@jXc5ACScNB8mS%65od;&H!4Z3r*+yk;FNW z#9?bZK<*bn5<iHfUIIz{5R$k8lK5dHaSbH#BS_)~Na9D4#4V7-k0FUWAc-GG68At7 zhpj~cxjz6&{3Me42qf`SNa6`d;;=QnAagR1#Lpn9FF+DMizHrwBz_J_ya7r4Jd$_^ zk~nP549MOINa7cf)XzW?zl0>d07?8ZlK2WF@heE;8<51WB8l%n62FEdegH}QI+FMa zByrf<9+3MlAc@~ZQhx(U{1%e<10?a=Na8P$#P1-9e?StyizNO7N&Fs?I0I-ADm4Ay zM-t~i5{Iop0=ZuRN&F#_dI==)M@ZrdNaBx?#5It_pCE}FAc;Rk61PASe}*LPfF%AL zN!$ZT9JW>p<o*C8@s~*IBap;jA&Dm-iN8h?&p;A?gCt&nB>omjyaGx59g=thlK6Wh z@eU+$*qSbo`zIiYe?(G014;Z7lK287@y|%&E0Dy$Ac=24690-Mz5_}88<O||B=PS^ z;wO;AVQbGo?!SN}{u4?44J7ejNa7EW#D61+zd#a)?I{46{{cz-FOvEnNaFvH#2G-F zE1>EBKaw~Hk~jl&?GVU(0VHuoBykBOaV8{j1tf81BykNSaTX+T10-=)BykHQaW*7z z2PAQJBykTUaoAcukoyCW#5s}FM<9uFA&Dm-iE|@~XCR65Ac+?siSr_fS0IV=A&EC2 ziSr|gcOZ$w)*OP|KLJTx5J~+EByk}m@dZfY!bsvPki<oh#5W*`iz12dKoS>25<h?> zE{-IA0!bXUHWK9i3rOOUNa}AOiAy1gKR^<fMiPI4Brby_{sBo`7D@aElDHg_I0JM+ zKPc(TBZ+e$iNn@#g4{2FB(8|0UIIy62}xW5Nn9C8Tmwm51xefhNn904+yY5l4N2Sq zNn9OC+yhA*w$>En{s1I#*q$Shcm$HT7Lqv$NaET^;u%QdI!NLLNaDIk;uT2ZdPw38 zNaFfP;vGohur;wDcTPYOH$+lD14-NnNqhm4xG|FW3M6q8B=HSM;-*OAJCMZ9ki-ul ziJK#dpFk3at=$E={{oV@C6f9ZNa9vV;t!C-t&zlEAc@-`iGM&6w?z{Ffh2B+B+dZZ zYz8g=?UBSeki=nYj6v=fKoWOEQZIod?t~<+fF$mWB(8xZ?t&z4fF$mUByNEu?uI1p zfF$mYB<_JE4qFQia(@7lxF?eO2qbYYB=H0!ac?B?3?y+MB=G_yabG0y3M6qqB=H6$ zaepN74kU5dnr)E#Cm@LjBB`H&Bp!q$z5q!)7)g8ul6VM`_y#2LP$cmkNaA5g;s=n# z!;!>KAc@1)mV?}X0ZBX(N&O8ZaoC<mkopHm;?YRzUm%IcAc=oK5|2d^|A8bPha}Db z+MEVW|M5uT97y7@HSi$!2q1|kBB_@^5>G-BS3nX^MiSRR5>G)AH$W0kMH06_5>G=C zcR&(PM-uly5{IqT2f050NjwuteFTzt7Ls@Zl6W?fcm|Sq4w85Ql6WqXcm<Mp9+G$i zl6XFncn6X=Y)=8m{S%PH3z5{%KoT!P5?_EMUW_EZ0!h3CNqhs6cqx+j4kYn1B=G}C z;^j!<Cy>NpdmljVzknoOiKPApl6V!8_yZ*IY9#R&Na8g};vbO2Ymvl%Ac@x@i8FvU zuR_y*J(4&Fk~nOS2FU#aNaBr1>LrlGn~=m6ki?sj#5It_Tad&Jki=VV7-+mOT^ zki=noqCxKTKoW=TB>{;CAc=P(nG=B|-i0KdfF$0HB%XmJ-h(7wfF$0FBwm3e-iIXK zfF$0JB;J7}4%_nra{mM*@rg+4XCR4BLK0tqBt98Qd<ByD6eRHtNa9nG#CITxPeT$v zfFwR0N&EzoIBahY$o&_P#AhO@zkwt^3rYL|lK5;S@fS$qbCAS8Ac@aK690iDJ`YKp z0kjz#n*Qe_iE|){!}bt?+%JG6z7R>h1d{k7Byj~K@x@5u8c5<xki-p;#Frw8TOf%q zLlSpD5?_ua?tvr@+v@~!e*lvBN+k6WNaCxI#1oLjS0jmMAc?O*5-&g!UyCGOfh4{T zNxT6`d_9tQ2a-5!PZr4i6OhC=BB`H&B)$nrd;ya9W+d?yNa9<N#5W*`Z$%Q{fh4{S zN&Enk_;w`m6G-B)y<;HvUqBMyiKPAplK3tp@drrayOG3SAc^lm690fCz86XS2a@<c zByk2&kgFi;ANC`Ob0CSs_PBvm3LuFeL{cw-Bz_1<Tmeb^Fp{_ilK2rMaRVgrqe$Ww zNaDwk#2t{tk0XhDAc@2F;(^>BfFynrNqq#8_$egu1SIj(Na7ht;%AV=3y{RmB8gWZ ziJwCfZ$J`1k0joKBo5m%2y*`fB=L(#>SrK{UqTXJfFynyNqhy8_!T7a4M^fwk;Hc( ziC;q!KY%2D9ZCEIk~nN{Bgp+1ki>5yslS0FehW$b0h0J_B=HwW;&+h5KOl+UMH2sk zBz_M`oB?!*2DJRYk0j24Bo5ny339&xlK4X;^%6+pkC4O_ki;J&iEAK<KS2^VKoW<Y z*#ffH0!jQCl6nUu@#je59!TP_y`ms<0+7UCBB_r+5`Tpxo`59&8c93@N&F3xcmb05 zTO{!cB=L7h;tfdR?~%kiki=noT0!ogfF%AAN&O5Y@lQzN3y{P=BZ;p-690lEz5z-6 zE0XvQB=K)Z;s=n#zaxpCKoW=Ty#=}d0+RSoB=t9t#D5`)KR^=yjU@g8N&F9z_y;8M zzewUgki`EXi8Fu>i-D&9|48B-NaEmwpFoZWofCy5&IsL836hdP5@$jZS3nYHMiSRR z5@$gYH$W0+MH06_5@$macR&(nM-uly5{K<&2Dvi;Nt_c&eFTy?7m|1ak~lY#cm|R< z50ZERk~lAtcm<L;ACh<jk~lw-cn6X=Y|k~w{S%PH1(DRxKoS>15?_EME{r6;0!ds1 zNqhs6xG0kN4kU5dnNcA396%BmM^b+RNgTE}9HjmNlDH(2`Wr~%Qb^(tki?~t#9ttZ z%OHt=KoXZl690iDE{7z}06n+|JpPX)&VeKj+rtiWzW|cBB9eLuBylAqaRnrCWh8M8 zByklaaRVfARU~l>Bylw)aR(%EbtG{QByreYdyxABki<2S)JGtRYaxjzAc<=uiDw{* z>mZ32Ac^ZDiB}+r>mi9ZAc^ZEiFY80!_EW%xqkwZxFM4I8A#$rNa72S#Ep@}S0IU- zAc=245;sK>-+?4<h9rIfN!%Ps`~;FX?Cb)N`!67gTOz5yfh2B)B>n(N+!{&z1(LW8 zlK2NCaa$zuA4uYMNa75j!@Qv7zde#T2a-7Kj0KSU1(3uYk<?2di8~>QD<Fx(&Xfb0 zqk$yuf~4L6N!%4l+yY754N2SqN!%St+yhA*b`}K4-T)+VPbBpbNa9{d;t5FN-bmsZ zNa8+7;sr?JzDVK~NaB7-;tfdR{z&2-NaC<FD?sj_fFvG>q<#jHco35K0wnQZB=HqU z;vq=l8<509k;Hc(iH9MHA3zchM-o4QBn~@U1LXb-NaB%5>Te*4M<IznKoXBe5`Tdt z9)l$Q0ZBX-N&E+rcpQ>A1L)8?X!?&w66Zh?hn)cea=!qQcp{Q|2_*3(Byj~K@nj@% z4J7dtByj^I@l+&n3ncM0Byk5M@pL3{4<vEeStTI%2Ox=OBB_r+63;>sPe2mSMiS3J z63;;rFF+E{MG~(-63;^tZ$J{yM-uNq5{I3s0&@QZB=JHd^)ryfi;%<@Ac+?viLXEs zhn;B&GJgY-cqx+l9Z2G3Na6>O#LJPyPauiI&VB)ze*sCn5=s3HB=IUF@drra)kxwm zki=_{#6KX3*CL7kKoYM*5@!G%o(N6<^+@6zNaC<FYC!H6KoW06QZIod-h?EsfF$0G zB(8xZ-hw1<fF$0EByNEu-i9RZfF$0IB<_JE4m(Q+<o*C8@lGW55lG@)Na6`d;@wE% z8A#$iNa6)Z;=M@X6-eTJNa77h;{8bC9Z2G^Gk-wtpMWGj5lQ_FB=Jc|;tP<(CnJfk zKoXyVB)$Pjd@7Ro4kYnuNa6>O#HS;PpFk3aolOLC{{<xRnMmqyAc@aH5`TasJ{w8= z1(Nt2B=HYO;&YM2e;|p^LlS2I9TEyn|MQW=IgrF*XDET(FMuQtJM$JKE`cPz2+14; zB=N;a;u=WeOOV73ki?fFiCZ9vFGCV{KoVb$B<_JE4m;}!<jw#j@s&vGBap;bA&Dm- ziLXWy&p;AigCt&nB)%3&yaGvl9g=thlK6Tg@eU+$*qKxy_fJ3)--x7s29o$DB=H4E z;+v7gS0IURK@#79B)%0%d<T;FHYD)_NaEX(#7`iJ!_LkEx&H!^_)aACH;}}4A&Eag z65ov^{sKvS50dx?B=NmS;y;kY_aTWhfDXfjrvLp&;v7igurt0u?iWB3KZvAW0!jQ3 zlDGnr_+cb*4J7d+Na6-a;zyChEs(^IA&EO6i62K2_dpVdoka$6e*lvBNhI|VNaClE z#1oLjPa}zEAc>zr5-&g!KZ_(@fh2woNxT6`{5+C)2a-7K%rubuCm@MmL{dKkNgQ_O zHc0&fB=O5g>Q^9%UqKSzfFynuNqh&A_%$T)14!c6k;G3RiNnrz1G(n{lK4#|^*4~j zZy||4KoY-=B>n<P{0@@%2PE;kNa8<`#P1=AGsuBl1)2Z4k0j24Bn~@+4x~~5N&F#_ zdI==)M@ZrdNaBx?#5It_pCE}FAc;Rk61PASe}*LPfF%ALN!$ZT9ClV7$o&CG;xCcZ zM<9v6LK06v5`T>(o`EF(21&dCN&GF6cm<O9J0$T2B=Pr1;vGohurvKY?w^1p{t-$2 z3?%VSNa72S#6Kg6uRs$2f+W5HN&G94_zooTZ%E<?ki@?uiJw3chn+nLa{mP+@t;WQ zZy<^PLK1&~B>o#o`~{NuA0+V)NaBBy#D5@(|3eaIfF61d8vlfy$q#ZT2a-7WQUZ`5 zX#5{ZoDq7a97sw6Nt_8uTmea(8A)6NNt^{q+yF_O6-nFzNt_Ky+yP0P9ZB2+NgQ^T zBgmZrNaCDG>LZZExsb#Yki@x>#50h@d62{lki>bB#4C`*`H;jLki_|s#5<70VP{T) z+&=+HTo6h93?y+OB=H4E;=)McE0DxRki<72iHjnM??4h4LlQrLBrc95ega7xb~Yx+ z{TGnLC6UzMKoXZi5`TasE{!Dq0!ds3N&EwnxGa+R4<vCpByk4l0sWx#FOMY7fg}z) z!xQ9w0VHunB=r(V;z~&33P|G0Na7ku;wnhu21w$nNa7Yq;%Z3Z4oKqaNa7wy;;^$u zLGBMg64yjhAAuyUg(RMUB(9Alo`EE;gCt&nB(94jUV$X8ha}#BB(9Gn-hm_zI};V; z{s~CphDhpXAc-3xi7!ABH%1a)fh2B%B)$Pj+!RTC2a>oMlK256adRZ`6G-B)vs*## zzknoeiKPAplDHL;_yZ(yYb5a(Na8j~;vbO2ZIQ%(Ac@-{i8DYiu>hridn9oVByrdo zvmo~iAc;F7sh2<!cR~_ZKoWOG64yWycR><2KoWOF61PAScS90)KoWOH68At7hn<BB za(@7lxF?eO2qbYYB=H0!ac?B?3?y+MB=G_yabG0y3M6qqB=H6$aepN74kU5dnY|$Q zPe2k6L{dKkNjwNid;yYpFp~HRB=Ha=@eN4gp-AF8ki^4~#19~eha-ufKoW<YEevx1 z1tjrEB=t9t#G{bJA0UZGBZ<F25|2R=|9~V8yE6!6?++yLI3)E9(92pt=|3JxoC8T5 zb_O!Y904TpL?rbRNa9II;tELO$w=ZFNa86-;s!|KsYv1$NaATo;tojS=}6)pNaC=w znnCUlKoZYHQXhdNo`ocyfFz!cB%XmJo`WP_fFz!aBwm3eo`)pffFz!eB;J7}4m(pC z<o*dr;)O`+XCR3eA&D<Q5-&y)Ux6fEf+W5HNxT$Ed<T+v8It$`B=K@2@e@emu(Pj0 z?!SN}UWugs29kIclK2B8@oFUT7f9kYNa7!m#A}hne;|q1A&E0UFC_w{|9T{G4kU5d z8QmcF3m}O%BB_@^5^q8hS3nYPMiSRR5^q5gH$W0^MH06_5^qBicR&(vM-uly5{I27 z4sw40l6WVQ`UoWPE+p{;B=K$}@eCyK9whMsB=KG(@d_ky*qvn{_cS1h_amwAKoW<Y zc@9!P0ZDu!lKL4);**fX7a)mGMiO6vBt8X6d;^mBR3z~oNaE9w#19~ePe&3zfg}z) zn;qo-3rONKk<{Nn5}$=6{s2jQHj?-YB=I>&;vbO2=OT&!KoXyaB+dZ6d<&HR=Oc-8 zAc@1ykO#S607-lyl6naw@kL1D3P|FMk;FBS#Frq68z6}<MH06_5?_WS?tmn|97)^* zNgQ_8J;?n5Na8Dz)JGtRuR;<}KoVb#B%XmJz6MFW07-l;l6VD@_&Ox<1|;$INa7tx z;;=LMLGGV`B)$<z{R|}WO-SMkki<75iLXEs--0B*0ZDu-lK2iJ@oh-r2av?KBZ;3t z5{KO#0CN8YB=Ma{>Te*4??MuPfFusP(-36N3ncM9Na{ZziSI=c|A8dF4@sN>ddVCp z{qIK-=RgvN-Ejc2R{%-;Ad-3sB=JK?;tELOhmpiJki?H5i5nn^A4L+kKoUQOB<_GD zejG{M14$fq7X!%s0Z8H}k<>>ZiJw9ePe2ksjU=9dBz^`-yZ}l3ERuKylK43!@dhOE z^GM<yNaC<NBS7w-fFynqN&O5Y@k>bJ3y{PwBZ;p-62F2Zz5z-6Dw6mPB=KuV;s=n# zuOo?{KoW=DZ2@xs1tjsCNa}AOiQhsJe}E)@8%g{HlK34Y@efGicag+@Ac@~Y5@ zrU**^_mRXoki=nkaDdz|fF%A9NxcM;_#-571tjsuNa7ku;!lvo4Uoj2B8gidi9bUU zcR&(<jwJ4ZBo4bP1mykzB=MI>>LZZEUm=MnAc?<563;*qhux_PGQR*x{4J9D3MBD& zNa77h;_s2fJCMX-cbb6ApMWI(5lQ_FB=Jv3;tP<(KO>2+Kob9gB)$Pj{40|94kYn! zNa6>O#J?knpFk3a-Ms>G{{<xRpGfL&Ac_A%5`Tas{u@dB1(Nt5B=HYO;(w9Ee;|qf zLlS3zUK$EY|NoK1IgrE|pm%40+%JG6&WI!~fh5j^B(8uY&Wt3kfh5j?ByNBt&Wa>% zfh5j`B<_GD&W<GRfg}#Q%Le5B03>lvB=r$U;#^4L2}t7HNa7ht;yg&=1xVt&Na7Vp z;(SQr4M^hrNa7tx;;=h+K<=M_Brb@geg=}b5R&);BynLR@fAqoB1q!UVM6ecPe|KA z7+`mdg2X@=c1J1f?nsar2*d7}gq_(A5(8mns2#AgvO!`X><txX&?~OYElEsb&?_z} zg3uW-R#9qBqFzaAMG1pmN@7VOgI-c`F@s)FK8OQSZm4I0$~A;>8T7z<!3v8~a~SlJ z^K)}k^GX=>^72bk_1yhJb&E?9le3}x^t@8Nf};F_)S{9~sMges__U(LT;!`5LD4`q z28AyuuR*WlfSUumWAzIxJfJclwNNIQ0xe?#WmA}Xupk2i!wKjmBe1dtblee0FZ9@V z*fs}nbq5kho&p4!VS?@;kRWtj2$Tt?KzIFu%p_Mo=+0h{{m6Ipf((Y;Z-adI8%P{v z7l;Pw0ns3TgV^Z$FG1Z8J?0ps6pTS?K@1oTx?>f@2BkfaI1Jx`>Ia1_ObkS$^Feow zg7l;NA9hd6257zl=>=g>e1m8h2HlYgG85zvm>7sY0KKe50D6feDE>fx2Vsyrboo95 z=<ZLD{m6GBgXAYbFI5J`4~P%LAhjSij0WAY31frAVR#QzKa3Bf(ba<Phy-1wfgXMb zp!(7M3v)lrJkTA1AT!bRKY;2-K5H7J2VFntZbNM0cL92cH|(xWQ22q4e1z$Tg&#J% zLG~m-1M~;<QclouNuVR+(e;DG(BmGYKLUDbUM}+GzF6`P=olJo`aPhBjU(SF2r?Js IUJwn#0Q6?9&j0`b diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg deleted file mode 100644 index 4f60fe3f8dc0effaa10bd3a99c1ecf6576c97d20..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 90352 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk|?!O*Z4p|NsAYFfcG25M*FbIK;rvp}@efpp=23 zq8<}(Xk}oCSir!rV><*h>||i@*u}u`;~)fAGB7Y?GB7Y)V`5-<&j?{NpaDZR28Kma z3=Fa25D85N1_ls*!wBIsGcYhPGD0u|14Quu|Ns9%vP>X31_lNgA0!6CtRMo4Wf>S4 zKxTlrAoaXZJ^Ua6DCT5fU{GLSV6cX&Wn*Aq0I3y$ss$+n*$i@5B2+FMs#XO_4F>}Q zgBAk=Lk3h0h-P76U~oWE12Wf$fq|hDs-~2IfdS;+AS5*)x0x_7Fie4}>0)4D0J*6U zNe#$cX9fm_-B2~h85kJY85kH^(A2myFfg1#Q`3v22IMzT_<=CUZSxoy7(o7*jYrK2 z1_lODn61R4<}m{U0~Z4W11K$l!VY8)2!reaVUS&*aN%ZPU;w!tml|^h1_mAm28P>s z?6PBEU;xGIdpv5EGB7akF)%Q&fYK7kAy5o*3kZYU19B@U>?QH2*~!4bAi%)Dpod4z zE(Qh$K?Vi}XFO^^@g~H;zz~5)%^n5@24MyUhFm;q_A)RqfYNCb9yR+I7#Ktu7#ODG zQL~?cfkBLcfng0EH3t|N7(m(dARaXb85kHOKzSaInnMf>43Z2C46pI1In2PoAO(se zCRnZqaUgje7GFmg7#O5Me#4{YC<6n73@BgXQFDudfdN!b*yB+HN`G<;3=APmkg@^d z8iad5WsEHY1A{yR14A+%HLlRIrU;K3Zw3YiMFs|jMm%ct7#J9o7#J8P;8A12z`&r) zz`(Esj~Yt`1_n?WwgZnED+UGzRR#uzGkDa1%1$)~28IWC)PT~dIs*g47bes)8RXxa zpuEYzz!1j3z@Wjvz`)JSzyK=aK;aBZm%a=P4Bw#TPA;^LP()G#QU^*GAPg#-KxHhb zEY`!Lrj~($L7Rbr!3K|-Rt5$J9R>ylFFb0x85kIJL3tUE8jw6F&eHIx0o9fI3=9m_ zc+_MwFfbT^;++{%Z-D#_!XSTxFsQr$#it<y1H)WAYCvfj6h^C=A$1GL3=jsH0m7iT z0@dHf3=9mL@TlowU|;~%RlD%0nZv-qV9LP2a0HK<xeN>pW(*7r=kTam#K6D+st<19 zQ3ERHLFxVx9yOr)3zY8P;8C-dfq?;(?!Vzt11d8?=^m6&LG?2z{6H8Kejp4ALr|G% z1F8#IaEJ3T1_lOD*&&KY%{>MN22edGk4Fut&b0@Xb$HbLfVM|W@TmF8z`)=LvX2GQ zrU3Z~R6izz@+KpsE^%UDU;yDbBsCy)Ab*=e)qv6|sEv|@MGZ(Ds7~@{U|?`zU|`6` zqb7iXfdN!*+Oa^|CLnu2?nwou2dG^jw}3FnJ)rgrNDT;s>~VprL3R(i8jw14_ux_k zb598iq^%0F2ZTXpfH0^%x1E83!41^T!=nb&mH@TS=CMH9jUY2X7-R+rgW6BC7#J8l z7#J9q<59Difq}u3fq`Ko9yLoqWf-Wvh)2yb1_lODS$qVKn#~Lh3_c7D3}^AE0kr`@ z<@I$uYCvs1KL!Sdhj`SSWnf?crH@y5)PU+`P<(vCqvj<814AGK1H(T&YCvfo)CT8e z#T^$sj0_CHpf(U5HGGT=3?ZQU8;=?RMh1pZP~C$^jTj@OF0{a-MxK#@0n`t1!lOo& zk%1us6pnb*7%(z0L^3ciMB-6n%gDeG#lXOjjz`TkMh1px1_p*oJZj9C7#Lz07#N!I zsIg#TV2EX4VCZFKU;vdLpgaMp)6+qDiV@Pj1ch-NC_N*o0jUG!n_#G#BnAeCc&Is` zd<K#SnNtAj3ov1t15*Q12Qo(*ss?7xO;!d5P#Fl42VsyJ5C*knLG?)j0|Ub&JZeDY z9H{^J8jl)K8vtbAS3GL6Ky6G=zZZ`hP&*+7)Lvr4?dBW?1_n?WDvU=BsI8R-Y9HfK zlLtx{3=9nFc+})W`^BIz1lb7+KM)3m9|)s|Auct@VTel&av0)LgB*sq)F6i;E;Yzu zh)WG}7~)cc9EP~mz`_tY+(7L;5dVQBOB;wr#-Mr#6t*BSP&-9ImZc3;Z-V;DFdEd~ zg{c9x-wPyI+CY67P<sYOgZg1GHJ~vDkQz|i98|x-Xi)nOrUuk^*&xZ%2Fh!oz95VS z)lo1tpngBd9FY4#eM%S&%G03q2GRrSD}dYwYDa<EH6R+)wgt6IL2Y-C8j!qzBug8p z?gsVqKs3zXAiF^B1^Iu0B*gEa@ePnTNDM@SFicGXR1K(o2olGq2GrIfqy{v;K}Zd# zJxfRpsNF|M4XE8qNDXLwgOD0fn}m=W(D()+HK6egLTW(c8-&z=#y4=O0mTm}9fQU< zaH)aCDX6VZNDZj1PDl-?Z9_;6Xncc^8qoL#AvK`!4MJ)_;~Rw3fW|insR6a4aH#=> zGpH;8^-*xC0fjS24XB+?NDZisNk|Q-uS`e{sGo;R4b07;dKs4*n43ZUJVI(f<tHIE zpz;Z(<|h+mYzX8I(AZKLbetDdW`fEm5G}>bzyK@jKz(sg`3!3FmxKC?p#B%Ajt3d| z|Nnnj83iiuK;<4tJxB^<K8OZkm>Q73Kx#l`BuE^e8qoL#AvK`!4MJ)_;~Rw3fa+yJ zYCv@^J~g0v0#pYOQUe;_Kvx5*_dsC*3Lj8hf$9-LYCz)~gw%k>HwdW#jc*WA0~+5T zqy}3#kM1vQ^%uGt(D()+yRem+=;mN6Gtt$6#y1Gr1sdNVqy}4^i*6Tae1niVpuQeV zjRy+@LjVh8J_BSHC=Y_#ETFMN5Dm(^AhjS2k_XLGfbt-yodFt80EvUr6o>|4kQxvl zl*T}6K>b&cI7kdcgD|=pQ2!Mqj;scjmqB8n@&YuTfJ+U?E|3~*bvMi|kU5~T1vH+3 zZVpTh$Q+Ow(0BqNHK6eXLTW(c353*u#uEss!B*#@yBRc|K*$`>cmg3c*y=%;U9d0& zjVBN?2RV&_)PgX~99TGm#uGr|Aag-92&1b3jVFM_@u>lgClFEt8c!gk1~i^PNDXK_ zfsh)|cmg3cpz#D;YCwJkr9;qo0xmVMFa(V!5K;pgPk^bJ&C0;AoE0^{gZiGJ`U^yZ z`VgmC5p_4H9Sf>+K=VwXIXxH+G7p4d@daXo^kZvpz|?@;3{nH?!-CX<{0X8#7+npv zx)NOts1FO$i_aWv^(neJpgt@ib3lDqLTW&LSVC$*eOQ<pkh@_R)Q$(utAff`m>5VM zs7(nL3%+3qD&s(MAhn>l0E>eJpzS1NwV?C^k^-p(&9mZD3o6fHYC&<0Pc5k308<NM z<5LTYYnWP49~!4x_Z8rII+$8e8pEkJ_=Y8Dehj7-lwNVFebu1~n%{z{1+|HAstvwj z37UI?sRhkr;!_Kn7lNq;t)0N97SzXusRfM<;8P1~XT#Kj<~Q-F1&s;7)Pm+Y@u`K4 zBZATcsQrjdEl7?b_=Y8Dyd7pPXx<Z_TF^K*Of6{q1fN>a_%ci_Xlw(YTF|&IOf4wB z@u>xkr^3{N)~Mi93mSifsRgACY-&OB42=%zuyH6*xPazBajI={PzRM)AblXUpuQV6 zwIDf$CI@xUIu@8(P@2Q07A)56pk4=32wlGdYHxwXp<=M|0c@^kges_?0+IspLGz#Z z)J_H|gqjQLOW{=O6`=}h--DEc%mvL+Vp9t)n}TmxE&wTnnhWZm;#B)VTOHIs0x1WX z3+h{g%mA@rdO+nFNDP!FH-HpE%>|7sf#$?vYGLYOYC+>OAmt!=(3}`d9wY_}Gq74Q zmNw8>1xOsE7L-SDs&%VXJp)n*bpxpX3Nizv52Ob~BfIxHl3GxH0;z!tf!Axn)t>E8 zeF&8RnE@Ij1hu<CY>*z1I*>HTE>JkU1#zHm@Pe*2fvE+JMS`SZYC&x<5FaED8gl@d z2jYV;dN_dA1%bptYC(A#r`osL>by`%kXq0j7IIvG>;}0RL^Hf@SCv3g3u@;>xge`h z!{L3qsyb8>WG-mF3d+S)`>9>k3@Qmy3(8N(VFnw!1-l{mhNU%<+9I?t1NAfD?hU?S z>42mbG&X>2E@(a!S*;h6TF~G#vRcsi1G3r>B(?2mZUEKQaJA3cRpXJ=cA%*RjT^(& zzHC>`LQ;!u%^E21VBt`Nq!yH?p<Iv>a9n^GFtt@sNl^HL`a@7INC}48R;VOMEvR1v z<-*m%-5Y$vvL7l5QVYuepfn3&gM>lr+(4ROZkPcQgr@baXyE{A!y%iy0*_kIx;JFC zJMpLmwS|z?p2DLR)LuhYdk0DFHt3o~nBPF{HDt9<p=-ZD@}M>|s0{&PgN%T+*TCTr zcf%62P7D+-ApIb}fYgBaAdHfagKt=Z)|rCDL25yM!Kd~!R1%~X<QFIxq#Yc`AaSr8 zVkW45hf0FPKz@O8G1Ug&u>1p+1gVAj1*{OMoeDM=)TRY_8oHJgTiX+qH^6GsC#dov zsm0dz1m%0MTK5$U5=d%6<rCCNU}vC&S>6OyWhAwrxfq;k3n!@RA*luBcbsZVC#YH? zsRgx#k<&WJub}V&ySH+Jsw0wGP+J*UEy%BMwKWq|J(1L6YyW~g2{t$QhGig<TF_Vy zx_gn;Mk1-j)>cMVn}nnmTU!}fZ9bA(Ty0vgd&ODWYLV39YSV(%PM)CJjieS=n-;8g z+62{^NNRDlX~Ak|O;BBqq!w437OZye1l4UwYO%Fb;o-1wg6at*wb<IJaJAwrZ8wnA zg2v)-#+x`x+Y2PM*xIzn=7PrML3~hp!q%n*$$|YQ&e8^2g9ze-)M9JXg5<zzgKt=Z z#_~aYkXmeQT96z}ttLnjG|yve(;}<2KvIjXO^dA79Z4;ye}*&6f^S$xBdG<g-@~Ui zA4x4}o)@3mW+b)P+RDi8oz4td+Y8bHYFi-J0idx{kUiiqi@jmF5J@elZGo&7R=&a1 zg4Q&G^n%O<m7mCJVdWcGZQKpZZAj*V+7`%aVdWc4?Lm-%&~>V~+LIuA878!8oJ3NK zt33%$w%$srmypzg+LK^2KmmnRUx3y6D5>5?QVSYe#;Mj%N%aYmT3l^Uu(|$9s&A3h zg8Hf0%ms@DD5-u%QVUuS1s2EV-asYQUr@E6I0MxI*wli>f|TI>ERb4I9RL<bGZ!qT zyGa$+HwCH1HC_VNs<%lM*5?JO#a3THoyfqTze)8Ulmk)=8WTjWhd}NJnF&r0!8a^f zK$4&}HlY0gpfz96wi?KdAonAy<wa6UsC*DZQcI|OkVR4pDjz`Yc~G2!+yDv}WH%@y zsRgam0;vJXgD|K~0HR@jQ%6z@8ehPtRvSqzXx$blFT>mb3U6d{jgZuW)_NeT1=Y`> z;0L=wjHS&INiAp{7rGnZY7;l9g4TwD>;c6aXdM<#wW*s_U69NLr45{FGd8LEA*lte z%R+W9sEq)3L+}mDFeJ60bz;bBL2U$(`@nt+zF`@Uq!yHx(cOToHk}1hPJ;XfnlA>G zQBdvRb}F*k0v5>r1dv*g`#|boaRJf?vIp#j*c+DBNNPduLsknLr-P|&LsAPe2U#tw zO#@Tgholx{4zgNUn+B$K8j@O&Iml{ZZ5puJxEq$Bb+RD8fcyqB2U#twO#@aNd&6=m zlDQyrkk!K4G+?!HH!Rm6sRfyXtQIy-2UZ(<!*UCfT97%&YGLgku-dpAmIsjH4I~E& zH<0^5aR6)ofYrv{umr8A1<8T@29pEDD+q(~CP)uV?L{OvU~3y8tG$Zk22k4w*$ptg zU~}VcSl$MC2D;}6R`-GI2VsyKKxrLhHpBEpHCTNCYR7}hMX)$XAyS<M7GqCU1+DP~ zNrBXY%0-ZUAisj-L2iPZ%bBYB0?7@a@(WolsJ#X<2c#D?7W4*5Ehw#n`othPkh!3; z8>AMbAEfpp9<`vh9kSYQc+`T*Ze+E;@u&rr-N<Ue8~vc+i|$@f*^R8073^@x{x4A8 z1aV=01C3WAtL0#Yln<cr1?3^+IEJ+YLHZfuZdmez8IV0=u=od+Qy}v|aSZQYhy7L+ zMN$ijCs4TJQY($578FnT)G8yX1;rCSwc1E(LGc6%ZcsZ7qzBY)g}ZlpqM8AcT2MTJ z)ZlWjDUw=HJb}_X%v?|#7jAA+shTyCT2MS8s|B@j;cBNRsyQO51<4_+1<iwi)PmxX zA*oc&9Z4-n4p}W|>=>?gdZL;yl3I`)Hot+*4g0Mcf}|ESwt`P>43b*VIw*W<Q;^hx z=4r601&J|){Z`FEQVUuW28uV>_!cakft7^)RxJfHAZZdbR*X+=J(5~j8yr23!Ain@ zt9F7J5OWEsos6Uww7&u54_xLhBth+ZB(<<MI4*NHlc07x32JvEsfCRz<8s4(64V|h zLG5uQwV<{8_`>%Bl3LI_7(TVPkko?qIp9<K07)&V{R=85VR;^uPeAz%tR$&a?JbxA zNjso+DzaKoK7p&9o~ZT{NiC>7iJXr?`2?;usZ@;_G)M>CvkO|EkE|B7PYkYhdZHRH zl3LK-2Yh}LBSEb^l3LIj0(|Cbkf0W{mmgG*fX3@U>jgmh7}Wm;iJ|9tD<pG4Z7h&l z<njSDZVC-n26w1h(3k*74RV-)=FLE12J!=_O!Y=m3u+G_s|Bs22B`&?lhYH`0+7^# z#6etGdH~HWgT!Fw!uqiwH^9b~(en^kZP;&kKNh4GHm;0IZ4}5-&>m*!o^g;FxYWiX zsRe}(NFB_*pgBR1dtrXdLQ)HA7a*$z?d^lBO)6C@M^X!lJ8W(Mn;Z69wFOBn$bb0M zPDD}*8>0ca135jw%$<j%7S#R)g&WLopgByCd%@{pdZOB5JZeF6m~gd8rD`jY)Pn2= zr8DF-2?|HBxeN>p8<5n3+Tr-r!p4F?dO>v-C>%ik0%1^Ehqr0D3shlaK_IoDIZJG6 z!Qmix1wIx8QVXlIKxTq4%v`Wq`77|TAdp&Eodr?{!YFDLuE58FKx*-hM=4%`j|G9$ z;u>QD`yu#-C2TARq!w1cf$Rri6gLFlu-pa>Uyxc*ISGnmkY7M%f!0KU!VDzGpn661 z0FqizzZ;|mWF`p1%mu5}xT1OnNiC?~jZ>}m71divYC+{MPPM@|ET1B&#nwlMySL%L z>JKEfpguZIb6fta@`DCxpzFb5<vPe;AdKR-_W!C1NNPdniQqK1>%Xc2l3LJu44i8F z{;N75sfE=6Ap1cW#SIhxs|Fyc1+6E;Y3|hjstHJHLF>wJs?}6d%|}uTsxNS=)lpKd zLsAP`XNFU)fs$%3l3LJuGn{ITl~flZsRiu|#HrR?Np&ldTF{(3PPM@|EDs>51)XVt zPwgcnwUe-%VFFKQ``T5XBB=$P4};U(bM2}>kkn4YXYQqTRc=t>2u+hS@TtAlt||vr z3o1upX&ovA9*+W*zhHNT{Z>_hN`TbD(mGTKL#-B60;Cp{)<IlY9RTV>z|Adg(a-}) zLib64(mIF>Qwv&~0#_R~UCjt22~`V9>mV*nEojdtNG+%wVkmCWFat?K)xy#`R0!@~ zu-dTSs<u!Gkb7Zi9V&#O))^`RQVUD#P$9TlSeUs(B|vInX&owrq1Fc~0a6QE+W|5I zDg;*x%G01eSpZZ5q_!9u$50^*wXkt;kXq0>5Rkc`_7uo0Q277~GjRC}>Tf~~Ax3R9 zl3LK(4v@J}A-Ef0<|aTTK;{xsn?{1#Y!cKKBB=$P*@Vx%6(p#wLsAPm-w9+cC`_@1 zZ#$A&&>A<8T2LDlo7xE^sGWhN7PQX=y}bi+4=gSglc07Ll3LJNQ~1o?ilnv=N1BAW zVLu6Kk0Yt=hn{H#%k!Z28r*MD)738EQ43m+23K3$qHz<CT2R{#t~P4A+9M>j6A1Y2 zISFdtAgP@QopXZu4b*0YyP>#6<13O{Slt2@!pLv`p%S3{Mo29usDTVECkd(LCqb<U z32G%tP%B4*T6GfC8j+yZf&{frNNPcARq^E^ZzQ#_HUTs$G2%EFNiC@Ti*7D*e<&77 zZ54Fh8<aL+<qoJ{3C^1g3=By~YO4vT%|cRJLqKgAl3LJOV08B)yP*}T7S!hljn{z6 zN%U|4iGk|EUL>`kIVzAiF11sU)Ply>LH!n3egpNpK=}=%pW%A5#$qJ3pgAg#I4*Oy zA*lt;Q6ZZP>Z5_o1-CV>H)|Y6QVW`+!e%a5ZP;(syGUw5WfC^E;CKuBt@<8GEvW3k zr}ht$T9Ci-spW<y6i{4%{DM!d6p~ul`UmtdgSl4~NiD2zic75ll3G~b6qi~%s9MmN z255{Ql+Hk7O|Y~Ms&Bz=U|?W?r8CgH7iew<o8LfU$mtBEmQb36r5%u3LTS<g>Rymq zP?`kUhwe9!7|0EQNNRDVNm$y6MN$h&lOQwD&4sDWMp8>CO;#eQ1<jk{Gq)K@Eof{I zpW0p|wV*jxd}?PQsRfNO;ZwT-Ni8TnfYgHWCoDaH@+LSvg#A`MfTR{QH-}H{S*Tjj z+$L=P4P+(=gWA>bz8(t$!wn?0u=zKTIuJ%t>#eB%7)dQ`{tcuKgi+L1E2+cMFUSp` zc}S3ZLFzylrWPz#tEB!C$z0I<8(18q5NVzl91fuK`H<AY=HH-7!SxW_Z~hAE9H0Ue zdVV7;O`@v>+ZC*!E{3EQ78mGhVQ$bwQVYu$=xV{{hAXI>BdLYWlcKAInd^n57M3s2 z)q>59QBV&-QVW_(1dBrz!@?J4F05_=#RaH*0HrZd{sQR%m4%=%1F=DK*vUxdg60d6 z)q=`GkXo?0!8a_+kkrER8`KH#xB#1*s-WJCq!u&}0v3lV#&9o^T38tcRf=kEmV)|1 zB(<P<5PartLQ)GWOR$=or=Y$ENiAsnAD_9fdL9(Mu(BGfxy1_Vr;*GBjsN2__Xd($ z(AYb2T!88t<hX#<^B^~X$|z*Dp!qnET2P#W;`kPlxu9`xWVN7mc_6j0IR1d77FJH8 z`weVvgMvCEv@!#^0W{W%&u`*LYC+>JIMuc&sOurA1&zDlRNJYb?uw)qH12{^ZI6O_ z43b(<e+{SF2@2}PNNPd-HJoZ^Z&K|-QVVMvp@$hbeCKUaU5KO>);7YbcF`u)9Y|_n zZ6mB|mnx{AM^X!G8(~$uQbGM0l3GxCfYWbl6x9DBsRfk>IMr@YP#1v?=77=zD81rT zyIDb98%ZrFz2a26T|wOmNi8V7;#9j!K|K;lEv|K>;JDbQpk9Ea7B+v3HOvkwsJA1j z1)Z~p(+x)z)aN0o#XC-WLP32il3LijG*&m9R!~2Kq!u<WjaBVA1@*^BYC-4l;dJjM z1@&J@YC-4m;Z%E7L0u5kNP*U|p!sf`YHup2Yapq`w%!w7?%YvOw?|S7TJMR|+y@Hk zVMuC0^XNF$K2}iAK~jr%z2|cU^(G{>c-MQrQc$0Pq!u*qgwqZ06x7!tsl_|*^ie_m z2$EX7^G;tC)NdiF1@*gey5Wa{`UfPnc;}t|D5$f6IwsIKhK<EzO=tfV)Mb#=!p7pU zs%2JGH$qYi8jHv2UN%K_4<xmqv3Q(nxfIpokko?4;&H0wRa7rQQVSc4$Ld}|MfENu zwXm^xtZGFR)fXVCg^k5yRV$&Wz70t&Y%CtDT4_b~Ge~Oj&O6B~sy{+fi+A2hNm2a= zl3Kj;PHKwke4qvcG(EuP1F*VRQ&C+7NiA$X0IOPEMRhAAwI$H8Osr}R6x9Qe)Pn9e zz!}FTit1@dYC&gY;Z$p`s9uAl7Ia1yPPNvG>XVSvR^W55ouc{*B(<P3p>Ue(q^Q0R zNiAqh45wOGMfEF4YC&USIMsS8s=q=~3mOx{sn%an9elX}G>$=MeBo3Zq^K?i+N=mw z3mQMesWwbeT?a{RJ-#rDR8)6DQrmz}ZLFet1d`fDd}<RE)$@?lHsMp7qNv`2q_!EK z+H^(rSx9P;@5lh9VU#{jwxaq5B(<=)FOWJAMp2umsD2DdEocuk&hRZ#RKJ6y7PJQ% zr`l3Q^-oA@VRK&~`#~7R4V8-O9H2p4XdJ`ls6gsK7)5Q3qPiTCTG+f3NF4~HsBKVG zH$hShn|A`K17Q@k&5G(?NNPcINjUx1uBe`Xq!u(kgi~#oqIwyUTG;#$$bJw;aYLV? zdJmFX(3}rWb0;dQFG5lao0|cd3BoAmPE}Ojfut5R--6TJ8H(!XkkrEFML=ePFp9Zz z6xE*~sRfPk<1}}^qWUi+wXiXMkeMKiV(wx^bpg<zI5a&>#g{LZDXOa>sl~fSV3ne} z4U*dF_{?3as2+r*7Vmn3jf(0SNNQ)|Gk1%kdL5El&{<|U!)%A5`V=I!*v<xkw`q4P zs;@#)i|uRxxZ3@S>Iab2g3k5B>4rm!>erCeg3g}BsrHzn`Wqy*^YMklNkw%g&_X?E z9D~jr!D;SUMRf@zwF~i?dqGiM4@oWPJVKo2UQtwcK~jtDj0AX?T~}0(LQ;$OjD*{Y z>IFz@LFXOfbi+MG^=2fspz{=Ps(qxWJ`G9ja(v<NR8f5;l3LJtia5=Esi?jONiDWJ zk>KI*Mp6AdlG;`H-0(qB{SlJd)%etYR#g9jq;?HHwci!h*+7#V(6j?O2NI{>ekrO; zAgNu4&)k2C>RL!@*W**msHAR#q!x6x4Nf<(DyjP+sojXrTn;7m1SGYa@TuidQZGVM z3p$4oryKZ{)LW3$Zoy}+u#);TB(<P(J8+sSrlh_CNiFEi44i6}l+<@2sRf+}iBqkr zlKL4WwV-pKajMl+Qoo0!7IcOrXc!4JZV1u?8kd2m-{2dT?~v4j&KUx!0o6euj8YF7 zD5>*;7X3og8R#56oNA4g)D4l;g3g7;sn%RcJrqeT=zM6LYOR#iE0NTK&WXmU)=o)% zE|OZ%*{3+wIx49jL{bYn0~M!QS0(kQNNPc6S>jadp`^|U+Mo^%Gtk*gIMw<nsp}xA zJ&Z3+E_P7&M^XzqR~o0e%N^8<kko?Cm&U1fm4o_JB(<P(UU8~j=b*j=NiFESPMm5t zI;h`9QhNfQ-?loa|3gxH5}(=~4(f`a32A8fg6_ow&GW+2I%r%GIjtKYsXdL)-2D#f zK1gao=VamZ+hGUw3?#LnGf8o(J?5a^f~58wJ~y0lP+x$g_B=kdXC2h{AgR3oz0(dB z4xlkp<Z!rzr1m13TF{s&vf4LDYA>Ow1&x^^t7QjGUPI&JGMZY@m?^SaB_y?1(A0v) zOp(>vBB{NKrWQ12imWyQN$oW>wV*LmWVOXeYC-3lfx7IlFawR5BCG8~QhNi<T+o;) zvf33$YC-p)A)5;tGeuT=2ubZNG;={?rpRjVAgKkNYm00yXv`E@?KdQ~chJlQjhP~= z6##AGg~r=mG_{~HQ)IPTNNPc6JR`dSG-isd)&)uJeKd1HW2VSz<B-%oKvN4EGeuTg zfut66)*NX578Y-yF;ir<^+;+T;mbn;j_Om8)Pl~YM)n(Md==T;*+^=iK=0gxxdAl3 zimVn?mx9VA5Dmkix)n4R0<C^w!r(bqQ1yaKJ+x><Q4h+ZxWsXpk4ybPiG#um?kVV6 z1W*{z+C88+K(`mP^#e4wj4lqE&&TCXT;jOQp_Vu<dqHkRcRy%;ALKW5anQB`5JndV ztrMb_I4*Y%b#YST5tOFU!x@wYafyT4?&#`4Q--t_$K@Ve{>2rKw9db{+=EM;*6snt zC3<{;@*Ju82s%XsHwsi=fa)Vs#Ywf7*6NYl#vspu`~|8vN%a@0>Ot$`K=#4(f$SwG zJfTZ;Kt_Qv$Q)2!#FhSG_JI{c>os!h9X03we`<ysXpJH)PCzs$9zpF$T;YsMeSArN z0YhSGN@jjQQGPN*dQMUfLvl`bydjt@&#a0!V93nNEXhpF$*f9^2djjrj|T}eq$HJ= zl;r0XGo+*@<(K9qr@|%kOG_9sjf&%wOL7>TQZo|EGV_ZPa~N_`(@NYjix|=}ix_e% z6N{2F7>Y8}Gr(ewIpv9!#fGL9@rH)x3{ajKh-U%i85x<!8<|>KGI&DVWM&d?WM*K% z;Fnqwl$xGcT%4JnXKD%(Ger?IGXja3p@<opffQPzDm1hJnP`co(gLi~996r8S-g>% zr3IRxIY`hFP0%9V$Q;d;h8C6}K|@rPmIfd}BQ!xnkf1S|pb<#W1WnKwB#7oCOB0YF znvX0^L4s&LvNQt;qWQ?u93+V9BO^nLcrz0dRNIXVEkS~&@VGGqg}EV|YYE~S!MNt2 zAT=<CaZNyhX@F{=sad?4i79FbnVN&dPy@&m<QP-b@G%9s#T3;%BLk3LQ-;jE;u1vi zjt3?8^2{oRlJd;><ovvnqWqkk)FOtEa8Kuw9B8^THHbGfG=OppjZEVW4Gm#DW8-*3 zLnAoPGTzV-;VqC#R96@pfP8L=>RdwuaJn&pnqq7gZ)gY)NMn%YW(-cAA@Od(48g8J z@y<Tp3^|#3sqyiKre<)KIgDjy1ZSDTSr!aFLC!wj@$RmEFfmI*ILioZu8U)cW4u39 z(8vf$&=V$TVgYAaf--SvUS>(WA&kWU%FvndX_-YJafX7TWT<L$ODMwvHM1I87{?o# zS)fL!AvnKT7&8P%`Z>qDxdlV@8k!lyStg(e3J!4$ab*Z`3U!Nj_6vc@S;AFWS~3I$ zhr|a2`8zWNJNtkYg?Re9`iF*q*&zQqMTWS>2YUp$x<mCFnZdniZU7TAH)3!O4GMB} zaS4h?Qfgt&5FF|Rb_Uo$u!F(Y`G<xCgoebsxH|j0xH9;;MufyeltD!x(PwG~i#}5` z3kGQF0|gzZ0EVPR5Dyxx`30#(i6xn3sbDb_Uc4a#3LoljQ&^gS`^nG%o+J!lb{iVN zlo=Yp{BCFfi!o5bhqxA$=s}eY=&tP$KOY9C5WgT0;Q}I@K}0BnvyZo-fq}0_6hl#Q zNghLSIYV)|5kqmgF+*{=2}5zYDMN9&8AEZoIYUlriV=t~1`#G8!W2Z9fe3Sk;^Z8L z;*>;&#N_zg<eY2}otTmwU!0Oy0v1e3MB*etRpb<b7)6lmZEC;(t(@XB8FCXV;*)an zld~C$Q%mA=6Dt^sQeiBZs+1%Kkm>PhIf>~E#rdUqDe)k_4-z{FqM|4@F{P3rH?sn) zfB|f<iG{aE6}VW*FD;3OhCy;pc07n{1PPMd%nBcnwjhSgJV;&Y17`&>fE0o&SRVv4 zhym;;7egp*#86P0Q=DpIk?m0h5h}_oPKB_N^GX;p^9o8!d{Wa&f)jHKa#A7uAW#Jh z7053wK~(_}Kvt5RpO==I9$d~)lA4!M#o!(s#NZwh%-|mG$`BCb<HFz`<Qg2}ALPnV z5MNplUy@tE5a8nz@8j?6?amMo<nQhj@9yK|!{F@f<LwV&x;Y0sL76Tv+7lw>9vl>( znO6d`0ZfBz05f2=fH__vj_&axFuf3-vp2*92+sv-9)#x`j4TH#1HeuKOFBCSgoFmU zA}I)R4R#Gd;s<+px*_vJ16&+KP&9=4g}4SID+zY>F+?`O)yD{xYmCY@LE?sjiu4c{ ze`Et-V#ubz#E^}Gi9yW^@^_98_jC#IU<iS;KmiFA1T%v}9D_o@2{khh(#*>*ErBzP zz%u!zCB`s@2_&m9fO1c23P_ZpxFoRzl(sTU!t;w#zzsyVq;QCcYaW>I$dFr{&QM$e z%~P3>jFZohlAo8#kXlrfUj!jbDhpCURj*@8N)ba*YPw5eNunV`N@`AGrE4C92Bqs_ zQ2P#~#*hKdG-4<&fhdR2AigWu<l+(+kTu06o+&x0AXOma7>Y{*e0+*ilk@XZ7(D$v zL*m0+okRSCf*Cx6To^pVgCMpsB!fH(EjmCMks&#+!~jGXf(RoJVGJTn7(BxmQj$V4 zb5lX3Uc4u`uJL4W^@|UQhzHX_AlfZB-jl)CH{O%MCEf>227yVRcz*_$_@H=y1}9Lx z><_J<{TYHm)lxi+_GEC*D~Wdk6>K1e54eZ}>u^iV$${t%0+j)9F>sCn^FZQYUZ7zR zL!eO*L!faGL!hA>L!glxL!hx6L^mk=`GDI7a25k7T;u&qOTfCp9M3!mJKiVWAIb`X zvix1+A(`0UHQom-7Y-8%hl#j&hQ$YgYHR0su=gOTG$k{SAqCV^fRs7$#pMjisSGJe z>7Y`xptL030GVTm%rQdd7$b8`7+m5r^B7z}W-z3bXQq^7Fyxga78En2<j0rhl@_O_ zFr*ZvRKnN=#h^q9<AGv7H3jU8<ecoZBv7fGoRb|7=?lSyl1kHHEys8RP^JK@OoEw` zoRghjT4I34H$>wbq4AB;_$H{vnWA#dkhp0{#U=R#1*s`WVxV>|iWsEI%g9X606925 zGtUs!3!nhQB4mWA${16~1WCv@*gf8pAvnY--jl%*oY+B$-=D$7-_JGPpTRW<l<Gl^ z*x;bx5KwvtwayswAjL{ba7rRW3WN+!&H*u#a~RTsOA?DpKvaGKLsDsRFt`XxEh-AB zEJzIkl_eksNXD%wHPtz<1k{26Q6-tVss5!UAZBq+Vp(c<VrB_LVo{P$esKx7P;#m) zNoB~%PfP(bl1qz<K;;ZW5y%-uAO{qeCP8e=Ni0du0A&USFbk|K6I{|{x)v2NWP)^L zf^}qMrj<BlLe0-C@h>f5C@KdDB&MVk`GJi{$}DltFU<p0*(LEo#U%{Rpc>RI$Tg6` zE!f$I0oqPw2mv=-Bb|L*gJELv&JeC=Jfy7hj0eXogJ(Rr`iIu^D7>)5oXivk|M;}b zoRZX{)D);1bODI6VCVRPqWt94;^NG_bg=(|o#R0v6b$kgL$EWr?+@~RYH=}yn+y&# zI5)E-xHK0e1J;FL`h!~^4CM@-=r;HxSpX_uGD~3QgLnwzK|F}*P#vYY3=kI7Z2x#S z6hA_}6ci7N+0?ueNK^&~#pk6~Kshls$@zK3B?fj13{a+_odScqpG&+E12l}|J)J_` zP&n~Up>FQrAV)Y36y$IoOiN}S!Y?2Na2~P(P;fycgG+M}5(TBuh8#=?6rNCzdV)g? z!a<gXL=r?6%tnzc&1HZ}A?yLC9*8O*j5HGO5AwM`W_+P05s0#2=lH~wl%Uk&(wvg` zOi(L0J}=$?ln_vb4Y3OwVHY;WE^LBb*c7|48FpcF?7|k<g)OlP8ya92#_mf)?7lR_ z?n^`LzBI({OGE6wG{o*pL+rjZ#O_NY?7lR@?n@)=zBIz_OCzklG{Wi-W328l#_9}X ztgbM|>Ih@3ZZO6g(8gH9*%)gu8)FS+W2}BN!Rj{?tbQ}W>NgXtelx-9HxsOWGr{UN z6RdtS!Rj{?tbQ}a>NiuYelx}DH&d*BGsWsRQ>=b7#p*XxtbQ}a>NiuYelx@BH#4k$ zGsEgPGpv3y!|FFPtbQ}Y>Nhj2elx@BH#4k$Gso&TbF6+d$Lcq8tbQ}c>Nj(&ely4F zH*>6hGso&TbF6-|!0I;(tbVh=>Ng9lezU;pHw&zOv%u;%3#@*#!0I;(tbVh^>NiWQ zezU~tH%qL3v&8B*ORRpg#OgOotbVh^>NiU)e#-+jEKnO2AR$9cAtOv7V@x3vOd(Tr zA#=1=OkTV>hJEH3)|q42W{zQ*C5Abc80J`Fm}7})4u+d8&Cq>eX^tUcfgxfE63H#i zDe(u5H|M1oF~kH1q4gZ1jbr08<H4y$0gH5eQEGa$p&>{OIK2^}#*hd#MntGF#;wK_ z<{mtD!PVfg3$6yYUEtus?{&Bm+^&Hu!R;8h65MVvhk4roXVAcv;C2gK32wK*l^829 z1P8@~M#*8FI8X{g;zt{xxd~YgJ=q}38R5|f4+^M1k@bP)kdkXLs3#kgnx0u)l3EmP z5DN(z6j5**#w}xrN6HYVd4@)~rHpY)nc$W(#Vv(X2Dc+{y8*WoaJ#?^rwhz+%2?o( zv5W;LtK!mJaEVh~nj38l&x!^L3<dE9pmD!~cta2cnW)mw$j?nJ)-N$KNh~cX&Cz$s zPcF?(%_}L^4|WVv&{YU2O3W-t1&Jttr(X0+3UonZBt@wRmTqcEX-Z~dUWqQ;nBt;j z{fv|xeXt(T$_c$P1{zsaP?Vpg?+9C=p;w%lt6!X%8*iwe1X@&~UjY&TE!&`-3qYRD zEYOD!f+AQ0VJB>u5oC91aS=Gw^;7eTOOg!reO+DkBQkR`^D6YqGRqQE@{J6PO!N%( z!@wMUC=WELr(c#@l$n#Ct`G8DX<lY=W^S=QXp9GBEI7!EQZn_yDxH0b^-T@TO-#)U zAOiTbQrShmzRtd`M)8g=VPv`s)c`8H4m1Krrn_KT>5x6agOwm>($+4>dJ(;{j1&fa zO2Qdbtbh__aY;(Nk%57QesM`+UP@w73dCq|+N?+_&CE$D*0;2@wA3?*_w);iH!v|U zjW@6`G0+DM`zPk)6zeDE<ir=`B$lM*7v&bi@&H5&G$9kPi>lrzNv$Z!%%_JZkZq!d z7cx^*Q(;k&5}%Wwo|znPXlP~v@=FebK22hTfSt7TmTpphO65TK4cTI9c(AxKFTbF; zvRFSkKer$=Cp9GB6H81c7G;)XfV@kim?YU1^z(XY9%#K}DoslkqT&G6A=C%}ME=Q5 zEXe?MMRGuKO#AGLZXJz0gPd1rTmZp+gls2m>r&WaV%pc*&=ty{v_Y!|Jg71URkUfD zMG$MKn<Vl|b5n~lljDm^!1<W=DIC*6nt2F0#nCvGBl!y1R@$a>=sH<QvY@S1NNY<W z)<MEEIX@$%xFj(x%}~E2u^>OCvQ$5!I5RgQIX^ftFC{6z0^C7LttbI^G(kO|w9I7v zvW%3R_=3db?8NlcV*T9Aiqw?&oW#8J__7?(dM=0swDk|lYFAJ>K}jOS(ie31a{-wO z4kgmMf>51Qb`NM4o=gY9v{KncKA|DTWV#5ZmC7!12@Wu^a5W^;QAoO}>@J_s5F;`j z1=C7>7nzXnBCuBKyU2`u7lE}>*+ssg5pEV_Iti|q25vH^z)fJiRCbe_i?b`4PJ(Hr zvWuJ@gMuQ#iIj}u8=;xXuJQ>DF(oscU|Ol{qA+J?G93ib3EdA0+ItAYkWqIA2GIGq zApDkrfdPaQ85tNr*o29J;VvTs!x<(9hI}Rlh7@K723}?chWSv;&BDL{!WUQ=7)n_f z7^bo^Fxap%FeI@tF#Km_V6bCnV7SJ{z@Wpyz_6X2fkBItfngH|1A`zJ1H)!c1_sc* z6AfGp4B^}me2|-g0fcpU7#KkKGY<p9WF7{Fle`QJDZC5}ptGYvcoiQ50|-m<GcbT~ z6+Z(52-^!VFudevV7MT_z)&f`z>p-!z`!lY!0=s=fnly70|V%cM^hmN2038{hT}pE z3>$<Q7$Suk7@S2I7+woAFkBa5V5k>iV8{|>U=S8%VE8A>z_3)5fnkyu1B0~~1B0?S z1H)M{2JpEVps)jlBZvlJ(0)!31|8J_69?TH4BBrD<D=7{v;RTo_kzwa17UP|Quzst z3=E(<0y-EO7(n;*o@8WT;Dp9I$c^acU1DTl0G;On6Mx0Xz<|%aFm=?TLHAIA!Uz-& zpfeWdFfcHH&N&2~UkEyv5OjVY=v+R~8G4|z@jz$ZfzGl6oh1i4BMx*n8R*P0&{<-j zGr~Y;e}T^Q0-e<bI)e*zwif8jEYMk4pfj#OXIFvFqyn9N13J?NbnXi1Je8*m3=Gep z_iBUgDFNL-V!*(_0J<*(bhn2I0|Nu-E)FvW1_lcT1_sbQ7odB*LHAOC+z-0%0ptfz zm^(2rFo5nd0Nqglx_bb0o<HcEe$e^*pmX&>=jDUWUjw;Y7itIS-WPOxKw*P!7wC>} z(47_N_JQs#2Hnd5x^Ds5ZqOYCpt}dKxdC(*Kj@5o&>3x@v#UVoHi6D#0-du2IzI_? z4i4x%Zcw=ZIwu%({x9fU-$u|KMhpxLpz~@$=g@-Ab_Jc;3Ob7wbjB*^>{QU%rJyrO zL1&GE&IJXX_X#?i6LjV#D4s#*Uc%xSbfzTeOh@FnMvrGu-T<A)2RdgDbS54s{6KLJ zig)C=Mvi098DXHazd&btfzIjzoxue<FAH=I7U;|>(3w%7v!Fm{F@erl0-c=%N)w<n zi9lx!fzJ8?rHPje3=FRr7#LnNFfhD<mXGfk7#Kb<Fff49E66XfGz&V%666>3^a?st z5p-4}DBXhG4?3$4<_AzZ0HslodqL-Jf!qc<Cku4`708V+_khkc0-aR^au>Q=-ZL;T z{AOTa_`|@!@Rxys;U6foGcqtRGBPkQGcqu+GBPl*F)}c)GcqvnFfuUkF)}a+fbu>g z1A`<Z1A`PJ1A{yx1A{uK{9t5Y&}3v_&|+j@&}L*{Fk)n2FlJ<6FlA(5Fk@t3FlS_7 zuwZ0huw-OlZ~&Dvj0_A;j0_CUj0_Aej0_B}j0_BJj0_C!j0_B(j0_B3j0_Auj0_C^ zj0_9`j0_Axj0_CHj0_B+j0_B6j0_CXj0_Ahj0_BM&^jlHk%1wFk%1wVk%1wLk%1wb zk%1wXk%6I*k%6Ivk%6I{k%6Ixk%6I>k%6I}k%6I^k%6I&k%6I;k%6I)k%6I~k%3_% zBLl-!Mh1o%j0_C385tNBFfuSKWMp7i%*ep7oRNWH6(a+~T1EzjO^gf-n;97xwlFd< zY-MC%*v81fu$_^CVJ9O4!(K)PhJB0-3<nt*7!ENqFdSxNU^v3az;K+AfdN#mo?&EQ zILpYuaDkD5;UXgg!!1SzhC7T54EGor816GNFg#{tV0gmF!0>{Rf#D@10|TgRea*<g z@Sc%@;R7QB!$(F2hR=))3||--7``$xFnniZVED<%!0?Naf#EkJ1H)fN28Ms211y*r z7+9DX7`T`i7`T}j82FhO7zCIY7zCLZ7=)M@7(|#D7{r+v7-X0j7-X3k7!;Wp7?hY8 z7?hb97*v=T7&Mp|7&Ms}7_^ue7_^xf81$JK7|fU$7%Z3=7(nM#fX*%f)mxx@b3k|f zfbN0;)ghoeu0VH8f$ok%E)zlJ6s&B5m4Tpg2~;M*$|2-32vq*S%01-r22{>~&PW5D zeFi$G40Qe&=v*<-xn7|2uQC`I7(nNqgU&NYE`LDhg@ei<(D~k=bGt$3al^_T&{@o& zGnUcIA6PyGok<HSXF&NARDXcV70{WWu(AboHYY59g31?I9fDqtfX?WHl_kh|7L;E> zWeVurI9Ry?DobGX%X0<>h8GMB4A{yPP#y-ADW4b^7(O#FF#KR(VED<vzyJzwZ1oSQ z?tz6Xhz*JZP~8Bkk3iw?$-uw>D*r)cKd8=umGhu79(0Z!=o~pvxWmF6RMvy;Q~;It zpgRyicN^p}Fff4bB3Q=Az;F!huo>htNI=OHROG-g2lQYU0Vs_w4^s!C7l9%e#9&}x zfZcn20*5}BIUpKYAMEbj1`dcpAbAK2LW0^fAQp&5)@J}}9D(X|D2=WU=0^~XtnUR> z{Rb$Gt`Bye5r{_Cw}1=e3I>K1P#RqyEQmogvc3rDK`;qW8eJdg%pZ`+AR1Yp0O+t7 z1_lNRD2=WU8q5qJ8d={7sQL>~8eJbWm>EDcvc3k;VNnbW3>{D!T^}^Kz->}weHMHm z*E29UKxuS+(BNVK(a8E>cb)vep${5d3?Ld=-wNo#D;uCRx_wY@Gk|DheF>mLVHg+~ zGN3fNKB%`DKs2&G3FvwJ3Q!tdAJq8_AR1ZU1*rNPP#Rqy)cFh`8d+Zl^t|^8P#Rqy z)cFh`8d;wM^bBziD2=WU>J0D|63F^~K-DvdK;jWyAJiEPAR1ZU2B`WSP#Rqy%vcbO ztgiri&|n3WM%M>54(uFceGQ<)4ngS?N~7z88pi;lk@a<mL;N)XN~7z88pi;lk@b0i zI#&z~3;|FYT_4mq1`v&`j{|z1rT~;i*9SEY+;>CPCjshwGB7YGKxuS+P~#XtG_pPo z&_!Mh3=9TP8eJdMI0g`ntj|IQ5-tu<8eJdMIItpQeLtY;8Dzmy4Cwk`(jZx6eH)<a zcR*=$eNbVLHU?yU2l5~#&j~1vt`F1>0&8MmK+%^0l_-GH==wlyCv5r@pb{ET8eJdq zd5OsGya84J07|3l1D&ae&Atgx17|>KbbX+^EV1dU$cKbW1C&PBrwr4C7A^(_5PcR< z8eJc#Jqgo_>^~2v2Lhlpx;{`F6q`N<s0TQpG`c=eyA_+h9Z>ZLpftKZP+J$9z6z+v z8=y40K2ZA@n?3`m$1R{Vx;{{w8k;@|sK*tcG`c=eI~<!n2B^n5pftKZP}?1wz86sS zAD}e4KF}So*z_qtJ+1+z(e;7GGqCB?fO^~jN~7xo^)ImLdjM7c0!pLn1C7&Q)As_J zE<ZqNbbX+)9c=m*6hhMV3Mh@P4>TTxO<zP2L|+1wM%M=#-@&GD1~g(9KxuS+pte6Y zeFDW0`y`+=x;{{U0h_)CXoBc~(&+j?V_ewuWk3@|0hC792O1Z{rY``RAR?eNx;{`p z5}Upo&;;=SN~7xojf-K^=KzgZ4=9bU4>WFvO`pImPz?-|VL+*mKz#;KKNlv8Y^DYD z;(7-t4N?nJ2ckj!G7t^I$mQS<sCtHENIatJ1NG%VdO#Ri-v+4q9Z(uwAE^Ha(gVWC z`U+AY_EbP=bbX*cBS;SjBkMCrh3K<@(&+j?eSVN05JuMb0jmB7lt$MF8Y>0q0byi) z8`2>5?SRtg`at8WAUz<AtZza(MBfZ3jjj(gCJWL7!pQnOG9dZ_pftKZ(0B$&4+tac zW5|T)<ABoW`aol`AUz<AtZxTY{Q)SAt`9VR3(^C^$odMhAof*2X>@&{{ys<#2qWv8 z(F$sjGB7NF(&+j?W7Hr$AdIXppberg0!pLn1C48g^nft3K8|*XJ^?6=t`9UO0n!7) z$odXI)t`XU==wn87$7|$jI2*18xk%CP#RqyXzU532ZWLJJ%Flz0j1IPfyPWgdO#Ri z-;5lHeG8y8x<1f2KS&P<BkK#uh3Jcb(&+j?a|0kfAdIY!1KM5{fYRvtK;u6kJs^y% zuc8AI4-HTnT_0#X2&4yuk@XpLLiAZcX>@&{IRlU$5JuMb0;>K4lt$MF8utU~0byi) z3%Vfot$@<#`aok=AUz<AtS_P)qAvkTqw53BXMps8FtR>@9*8~(D2=WUGzS9G1H#Dq zPC(UPfYRvtKx1kkJs^y%ub~%WUk8*%*9RH{1?d4{WPKKW5Pc3%8eJb~eg>omgpu`q zfU5rirP1|)?z#Z!0byi)EBYb!ZGh6~`at7_AUz<AtS?~#L|+D!M%M=#-v#LbVPt(0 z6CwH(pftKZ(3mht4+tacTX7S)WdoV31gQaGkQtycO%NL$Zy=x#G!BZc2gKh&Kp$w# z8l(;#A0VI)H0Fw~2gFAo9|Vm%g2Z7Mbe9H<jT|oM{S|b5pnEky`ao?LWPRxU6?A=| zv3QU^P}m~tLmv-8*9W?91Edcmj;s&8-w%=pse@tAoFj;hK5l9OZ3sI+X>@&{dpJP) z(8o<bK-K?%(&+j?^9&$;=;Nm7?gY69qz;Bba}zK&vj5P>L(uhs#@Ipn(BlDpTnb$u zXj~qo4?P~x$HCC`fyVMd`au3hcIO94(1<7l!w)Ept`9W+57Gz1$of`DLG<l_(&+j? za|R$iAdIXpLmHy507|3l1I;sl^nft3J_Q+wJ`E_1t`9Uf0n!7)$og(T)jxpJ==wlw zE<k!f7+K#0S%`fzpftKZ(EZ6EJs^y%&qEHPF91rT>jT}T4AKL_$od%MA^JF=G`c>} zy~-dxAdIXp1DcQupftKZ&>hPlJs^y%uL7Eo8lW_~KG1#3AUz<Atgi!_kS0KBbbX+^ zmqB_!7+K#0XhNC+rP1|)?qLS$0byi)5zvH`0Hx9Of$n4m=>cJ6eLJAxcmPVH>jT}- z4AKL_$odKtAn{NErP1|)?rH|<0byi)8j28o22dJZA87s(qz8nN^>uK8Mqojr5R9x3 zl*f^##2^Zw<OBlxK=Y(fr6|-40{TE}J5V%0ISUBr1FZ*vDn+4I5YPvj!$r{m<!m6J z4>a!!Rf<CGAfOL4SB#<o$~izlA839VsuYDfK|mj9P8vl6lyiZAKG1#9P^Bo;4FdW= zYjIFCKsgTx=mV|afht9zUJ%d+x>FiO1C)c_4guB2P$@74x?dX1KpRg+uQ$>4f$o|H z=|itK(dPru^?}wVf%Jj$6mtH10L`y2pftKZ(0V42KJ<EXh7u&dFM!hM`ao--K>9!! z*}ec}h`tCYjjj*0egUKhgpu`es6g}yKxuS+ptV*YJs^y%?*LT&2`G)O5427Kqz8nN z^;M`s>}!D1==wlwD?oZc7+Ifz8bqH3lt$MFT8{zJ1H#Dq60}jvL1cZPI0LOA1L*-_ zP~3xP5RRA)=|3buX;AwOBo4wLb)a=;AT~OVm;==ZrP1|)?z=|U1L7M%FZZ;7(&+j? zYurHU&@sn6h<yT38eJb~T^za|5dQ&G{R=3Kt`Br4Hb@;h-Z39y-vKC%t`D>Z4_yz4 zKVbnx-wG&=t`D?s52OwqS1g3+n*gQJ^?}y<q3Z$h6Ba@ARX}NUeW3L^Aa&?CU@=5r z29!qE2U?4Vt_Q?-SOU@K0j1IPf!6PV)S+XAr4W4@P#RqyXbmH}9x#6yL>~u~M%M>g z+X_~PMm&J3e*vY@^?}w(qG<thH$V%l9Z(usA4mac-4lpL$1|V>)&eMvt`D@H5nT_6 z-vBMJI-oSVKF}ISkUDgn0WGi!pftKZ(Aq3?Js^Gnw7`mh(&+j?YlK1S(6I%yz;b}n z==wnSc%$n9@fDy2mIjnY*9TfZ22zKPZ$Q;QfYRvtKx>%M^?>*jpatCwD2=WUv>q0u z4jp?y3%UR(jjj*0RvKLom=7)JIG{ATKG1qqusSqi2UPt5D2=WUw8j-p3z%B~Eg&nP zG`hZZU{#nz1hjxmfYRvtKx_CgbulnFKnq9@D2=WUwC)d^J`HFA=>etD^?}w3V$&A^ zEg&nPG`c>}`a-Pwpao<Blt$MFTJMZaUjVd#%z)D9`atU#vFY=G7LWl@8eJb~?ISjQ z;6?ci3=AC5f)ZWdHmsT$7<NF_AAr*6`ao--v1u)U7OWLe8eJb~Z6-E-8qk8(07|3l z1Ff~jrZ2$+wH<=257Z6;tux1_Z^v>-Ie!64gVxc()PdR|pgjpNJ~F*v1w`KtD2=WU zw2uK<J&ZkLB}Cr>D2=WUwD$p~7MU(s1<_XlrP1|)*3cuXhp`J*L-j#vbbX-pu`spB zw8t8Vz5pnVt`D>?16e(cEdZ_HB%n01K9CyFI(ZO{js>6<oCK6c*9Y4FfvyL{7l2l9 z5>OglA80=TNF6%<0aecct?1D8f%ci8>jCi}K-Is1(&+j?ds9H_(D4aq#drfsqw53R zosO;t#J>Tp7+*kXbbX*b2OxFm_<$p7en8d-$`7FR(CB(V{38VPf%am6)S=@aKOyNw z;1`4jtt*A8!IzI7K-K?%(&+kd<)a(FA@)3g(&+kd<)aOMAo_MdX>@(K^3jIBP<>Du zT_3J|)bS6ZZvvD?*M}<~EntN7q*g#_WPPA;#g&g1FhTV}X>@(K^3eijs6Hr-t`Ao} z>R^HBn*gQJ_2J4#1*{N#6;K*oAFg~9!3NQn0j1IP;mSuD>=1nwP#Rqyu6$&`jl9B) z0lZ!s6#gKLFCUo@(1$A@q0b*dmra4J17pxWC@=$!Kp(e3*9Y1=1=0e_iy#_?(dUoQ z^?~+DfYgHg3Zh{cef|htA82m{NG)jm1w_Lz`n(LfKG5DSkXq#NR1hD1{s>(kXb%TS z9VC`PEC@!QKSI|B+N%XpiQHZQ@mD~nDK|iAbbX-xT_APnI0L$nssKu(>jUixL)Qc1 zYd{x789-@teV~0QAa&^Y1$05w2PloM544vBT@Q%A0J_j<1(ZhD2ipGvQiqNspbL!> zpftL^Yv>xFd;#b}BMB&tt`D@=2C5W=IssLG0ZOCm1MT}m(E#N%Ko=-=KxuS+puK`n zr6`mIbb*oslt$MF+INbg0m}IRRsRD@qw53hON1&#p;kZ_Hf?~?==$!Us77!SpbMKa zpftKZ(4IqtY6MFHy0A$BN~7xo?R7$^MzAhG)!%^9==wl=8xg7zEDPuYB?l;tt`D>y z5}_KwS^!;WbO1`D>jUkfM5sovGN221I-oSVzDEe9C@c%;0v-n_jjr!8ifRPs162JF zD2=WUv^N={8o^otUHG#BN~7xo?KeZHMz9i~3x6`8G`c>}epiHQ1nU5FoyG|$4PCAZ zRsgCKK>OOj95iAEXyZHs1H%F+jjj*07Y<Dem|HLz((b8%(&+j?`{%$4(Fl(z5Pbnq z8eJb~A1|5~FjrwJM4twfM%M@0Zwpq4PE3R7<ABoW`at`I(Y1j151{H_KxuS+pfeai z>d^6l=@9!)KxuS+pnb{cdO-XGGobpQG`c>}-b0W&bUb4wMBf4^jjj*0UlCmoh+i=a zqOSo;qwD(!QiKHyKo<f?Kxt%sAmyOFksul!|A49oZ&qYrU_jRg+E0nD2gH8>T|n>x zN~7!h0#bwppMWkPxB#Wm^?k*n2PCusx`1E@lt$P04WtMQo&jAzumDP<>-&yH4@f8g zx_}@8N~7xo?J)(ZL&p}-1q2RI8eJb~Un;sD5MKehfItIEqwD(xQiKI_Ko<}QKxuS+ zpuO!_v@v{us{a9{(e;7$!(-ET1G@0w0hC792iilAP2U0N!h;h~8eJcF-#r$ME1(Mx zHb7}~egCoO0SQfjE<Bh4rP1}FEK~<+fZ_`1!h;4Vjjj)LE&x<13Y7p|c#r|5(e*Kb z3VReC5RM0Q;XweDM%M>Ag8-rul{A1ZJg|V$==xZ2*e3y9c%T5K(e;7OJ3zGw%7ZRE z;DFNT`aowSKvkkpFQDo_KxuS+pmP;aG(b5QpbHjmKxuS+960>91G-@00F*}8$B9GV z0_cK;6;K*o9~TaN9nb{}6QDG@K5iWP3ZM%XDxfsFKG0bc(4at}BA^Qv5}-7?K3*L5 zIY1XIctB}%eV}tKP%MISG@uI>44^c+K7Jhb2|yPtNI+?HeV{WmpjMzzKcMOvpbHn! z^$Fsz?*UZ(3n-1QPY8#;6VQbY7oaq{K4BdC8YEE1`H=O2`s^Y&^tBMsCyGN~2LXLz zIP~=p&?k;V-vk2sByi|MA1{Y?lfZEd(gHd&1<XMs(8tTs^?}Y=LDK@}qL1$)>jNo} z23ZPXpkefJc4U1ZEixbiO%I5RJ}!)`4<s*(L*ESO#NYxbjjm4)hrR~r#9#-MM%M>A zdj@1LI_`i@3{HU3==v0J*jE6Z7_5NO==v0K=!<|(3?@KnbbU%V^f^E$20frOx;|wb z`ZS;ug9cC<U7rdLeFD&lK?x|0u1^(*z7uHU^U&@%IM_k?T@8mm3$*b_V)dbqmqWYp zVEaH;;mSwo<K^i3aOETP@m*wnAWL!OBlK~0WPKoa;>t(p<HE@LK=$FvM;g44kwyb3 zjjj(@J`&)A=#zlb==yNwBME+pJ_RU^t`Ao}Vi17n<ABoW`f%l=7f|&dpftKZT>0pN zAjG~KP#Rqyu6(pZ2%_%*lt$NwD<3TohUi-XrP1{fn~#w9!;zAYh}DN)AHnuzfYKN! zeS*&I0<qCCdVPehPXnYGT@wQX1A4uJt`BrR7#3{|=;b`JK9G9QnPMOs9ix}?==wnC zkfG}V@zKk9bbUHF{D)r7qwCYfp%1;BN7tu^LmzrMkFHN2hd%Uj9$lXS4t?nLG`c=R z9QuAh>k|g(0upq6ptImW;f{_UK-Is1(&+j?=f|Pz0r5{j7o5C+(y)C)AUO~=!Qsvs z&;=(8pftKZ&{=gL{pdIVy5J-NN~7xooo|P(2gKJ{3mMNcfYQkNKu!dmi3d`Ljya$U zRRo|kvObVn&^dY_8XX^isy_jx(e;7O-b2>|;xE_;apwvsjjRvkPAd?>z`y`0^*}lx zxB|K`rU6PL>jSB<hUx>ck@0~I5c^I*X=HsMEjA#6fq?;iKF$ETpvD49BkKd1Zwu82 zVk6^*O%VG!pfs{RkQO@-!N9<PJ|Fi2YR?BKjjRu3zCBbQh>e2RL+s;#(#ZNiT0rL? zg4Bb`d=L%83!n>sRzPWFeIPZCAk82K8g|$MvCjiaBkKcc0iCl5(t<w!906VEkN~BT z^?}rb&SnJB==cHDUoW6EvObV~pz|C-deG-#1)vKgB%n01K9G9Q8IT|v9Vcvt_%8!W zBkKd%2Rb(rqz8Gu35b6JYR?5IjjRtO4?1fSM5AMlbrAampfs{RkbNE?f&uls2ap*J z(1jcwP#ReuNFH>qC5T4H7SM$p4p16hALuMhbUh%x!ZwIIHJ~)IK9Cc=K?DN>`1~`F zdKmrywdV(vM%D*X13GsTM5AMctx)@*G_pRBRlXpC0djUQvfdTYg%um1G_pRBIzOmB z5E~gMKo?eIKxuS+ptC`d)x+2l(1jHWP#Rqy=sZ!FT4eeHRQ(Mojjk^chdVo<3!5fD zX>@&{vrCcffw3K+3o<;QG`c>}d8aV7$n+1WdIspi40L@VINZ4bs(uHQM%M>ATNT+J z7&`;HkfQ)fqw52m#|l%6Oe;Vaa%ezlbbaAC+<614{sELm*B609-vsCakQq=KU0);) zeIC#SAOTPsU0)OqeGJeAARJH{T_5O-TIBG6v3Ee#AAr*6`atK}!qg(u1<-{f6;K*o zUn~xHYCso`7(i)seV{XRk?n!8A3)W=fYRvt;&IqF1G?a30hC792Rd&YW(P7I09|kr z0j1IPfzFmkRu5xyKo^_{KxuS+ptFHtYLV#!Q1vIEG`hZI9R90-E>vlN(&+j?=MW>? z17jON7pho5X>@&{vx{MBk?9vu^&g-#y1q0V?py#};IaZrqw7n@p)Ufuz$F1nqwCAS zp-%w1z(oQ|qwCAWq3;A#{RJqEt`BscGje#q*bUHyF&$7ET_5NSXqZ}L+5);T#sNyB z>&wC6&JR%aKcF<aKG1p7$o9b4E1(N%Hb7}~eR(+SOMot@$$--6`tot;lYlO$QGn9u z`U-I9y8u;x14^Uo1D&M}^Aj@N0bPhQ0ZOCmE5c!)19Tyd2b4zFSByj752$(u=z<({ zeW3Hck=+MlZ-A=b0j1IPmEy231G<2x07|3lE5o5r0lI)k14^Uo1D#0@a~m>!1FHT3 zlt$NAfy2HD(1kxUpftL^N*ww;pbLKjpftL^DjfR2mmh%kcR&{aq3f&0p>GFN{Q)SA zt`BrhJ92oy*agr9LlsaOT_5OdcbHmaS_8UZ$N);C>#M`z&IeHSFQ7EKKF~Sx$o9b4 zGoTBN7C>oqeGNG53xF;(ih$DS`WkWQ<9H3RSpZ6->ubWH?*LT&2`G)O4|GO8#AH;m z0=ht{0ZOCmYr$ck0d#?q1(ZhD*NQ{m3#j@JP#Rqy=p27k7eaUopbMK;KxuS+?Ktd< zfG%uGfYRvtK=-6TY(OOipbMKMpftKZ&|L$lIv~6gQ1us}G`hYn9PVs@E{N)Y(&+lS zap<#vE{Jk~(&+knaOnF0RsRD@qwDL%p>M@!h(9+#X>@&{`xhW8P{{=7LaGcXjjj)L zX9KDZ2u}jKkV*kcqwAZ1!<`qP>Tf`4bbX-vAs{xOk{!?mSQDT$y1q#`>~nxF!1937 z==vt((DwtX9(>q80|NuPz9~5LZGbM^+5x4}^?~lbK=m1fmjPY4RRE>Y^-aTJp8|B@ zmIjnY*Eb!9z8g^W51=%<KG6Lf5SO8n6QB#eW<Y6leKT>`=K)>t6#%8t_07Ve4}56~ zsQ!a4{6g0Ux<drjg%I8jsQLp?8eQKU9QGAJ7m8ItX>@&aap=>4E)+9>(&+l;;n4R0 zs{RF(M%OnVhrSum1!fDNG`c>}y(*B9KqUj93(O**G`c>}T`Z_NAUqD}0y6<9jjnGI z4tE}asy_jx(e;7ueSz43N>)G@rZqrmbbU*3*k=G;m}UW`(e*9Gq3;D${Rb$Gt`Bt2 z2C54oyamt&Z7ZNOx<1gIHV~DlWCV0UTLP3u*S7+PI|ZN%+9aSfy1tb-^qqjJzW}At z^?~l)L3JU7*8p7z*8!!`^{vKXp9ORwoCB0b*S7|Tz7J6KKcF<aKG5Aj5SO8nE1(PL zHb7}~ed}=8mjGQrmjR{G^{vODPXfAtP60}z>)U`s-vy}p8&Dcu-$oqzI-m>hCO~O) zeW3f2P(uX5bAT?q^MKOm`apLqK~$oWKcMOvpbPNO^?~kXLe&A`ZGfuZ0j1IPf$nmG zs6-_*pbPd2pftKZ(EU%SIv_j+=z={BD2=WUbY~PqB`SFXs{R3#M%T9kM?6e`F7%rL zrP1|)?x#Yv3BvP$F7yk4(&+kj;joVZy3mgUN~7!BjYHoKsQLp?8eQKW9Qq2N3j`~m zG`c>}-CGbJp^_TV1%d`p8eJdgzAjW95Z(i*`WH|dUEh8j?wkQ#ShxU6qw70>Ltg-N zVPOQ6M%M?rQw-uVRFVU_AW8sAqw53RLx!pY!aD#}e*#LQ>jT|g22qJhRzMe0H9%=} zeW3f!P<23f2GE667El^p-%%XlVgX%9<p8D8^&P{Z&jGrS$^%NH>jT}%25}iG=>c6x z6#%8t^_{?BUjTF=RRokq*9W@)4b>(Hj{~}pN&rfu>pO+Rz5`J8C!jRCzSB7LRX`VD zH9%=}eV{w)ATC2C8=woYI-oSVKG3~(s5&6L4(I}`2~Zkc-#HxaoB&;bH3Lec>pPD_ z-wfyitOZaST_5P~J&4Ot$pz4bj4Pltx<1f-eW*GhycN)eR2!f)x<1f-sSuT@<Ob+M zsvS@oUEgIK{@VdvNOb^8qwBkZL*EAIf~Xx(8eQL29Qrb#3!)03G`hZPIP@t%7er}5 zX>@(pap=1NRsR4=qwBkYL*E4GLaG^18eQK_9Qr(<3#kI2G`c>}9gV0l2jxK*QgJ|O zbbYsR*tY|^(C7e^M%M?r4-#qv3RM7IP*VY=(e;7uTt?9V<!C?`ju=2`bbX+^C!tDF zs0Yx66)&JPx<1f-l_(mZoEgvs1Ph=vy1oZE!X*GYQ62%M(e*vVp^pPPAuIr;(e*vT zq3-~6!t?}`M%M?rixcW46siI`G1vg5(e;7u??ll6<rqLGz$~CNy1u74-1!1JLG%Gi zqw9NyL*D}EM92y#jjr!G4t){O0sjOjjjj)LH!0LhD3kznU|Rx8qw53RXNsZ$$~gfY z_`Lw7(e;7uK!qwrp&FnAoD-llx<1gosVEwtoCfH?QwNkr*Y^fTxL803o*bYwy1utK z^nHM;XMhesq3e5xLm&D&c-T4TAa8)QK&u-N7X_oQgGbi~t!_~CfH>&u+0peus~eC~ z6pX&U99bVoA+Ghi=<CbT^+BsCkP)aDx;`AXz8qa2w3<TI1G+;Mst>ll99<u@y1~$g zzP=n?AGEr`(1*Uh99<u@y1~$gzP=n?AGEr`(1*T109_xh^}8J+kd>VipftKZT<doW zL?QYrpftKZT<dou#Gv}1H0&IHn1^t!-_;O@=re%Q==z}5Da;r+{Q#=|1(ZhDhim<A z#(&6qw*n}QtPhm`aIN2+Apvpc0w|5F59BCZ>vsbrA^IYqG`c=q>vtb8L++t^0i}`k zf!qmOzYDS(8FNTM>=S^}$ofF)pv?>r6BR@4;egV}`at%<*6*TfVqiD`wdVwsM%D*X zi);Pv0Tzh=PC#j7eIWZ_>vutBAma*Yi2oX(G_pRBI$Y~_9T*|@c|d7oeIWZ_>vutB zAY%g=h<z4N8d)Dm9klrcVxr&%Hi&&4P#Reu$UfNmT@+2A@(gOv2PloK52O~?`dtMk zh<zGR8d)F6KG^zQkQvB$fh@#-E1)#8K9D+G>vtEhLhM@srIGc4?1MHhK}Mk92swy- z2~ZkYA4nmt^}8P6n^74U0-!XqK9GH|^}8T5kg<R~#6AfqjjRu(4%hnK6HxURpftKZ zT<doeI3VGY0i}`kf!qmOzYDS(88;|E+}Q!8k@bPpL7UwmCJIhqhuR0Fk@bP>gRS31 z(FD5ZS`A{K1C&PA2U3e`{q6^-`X5jlT_3LXyDQWo_HBUD==yN2-%Zef=*xi8==yN2 z-<8mW=u?2w==z}D5s+6=@CB&)8&DcuAFlPg9a<3kCO~O)eYn=|I%q@mc|d7&eYn=| z{(!1y(1GYj*N1EU?gps(9Z(uwAFlPg8M+XA3ZOK)K4>=$B`iT41wDv94JeJS57+wL z8&LHRpftKZT<doy=tJzA0j1IP;ab1zVF1w=0Hx9O;ab1TU<lF20j1IPLA#-#pg_Sp zpz04mX>@(K*6$V=LF}u5(&+kdt>4u!hUhbZ(&+kdt>1kBRsRA?qwB-9es_im#J&Yk z8eJc*^}7M45PcC)8eJc>dyW#8AP$EaM4teZM%RaH{q6y%`V&wZT_3LXyA|dT`x>A$ zx;|X%cMU8c`YfO{x;|X%cV9r&e}K~H`f#n^U0?~ZZv~V_*9RT800kimj<AC0OMueo z`f#n^6|jcrlYr9b`f#n^JpomJ0ZOCm!?k|5!3JVq2b4zFhim<=g)Ky%1C&PB2OX9{ z2@??K162JFD2=WU*ZSQRb`bkEKxuS+xYq9`*hBPXKxuS+xYqAVI6(9%KxuS+xYqAp zfU3U%rP1}_TEE-j2(fPhlt$MF9j*ceAqsYIg6Q*r(&+kdt>66tRnOoI(T}bV*ZSQJ zQ1v^YG`c=q>vuC;AodhMX>@(K*6%8~LiA}sX>@(K*6-ecs(%2b(e*)x@le7N#F^j* zv2O;HM%RaH{jP^QL|*`uM%RaH{VszCL>~u~M%RaH{q7E^`U6lJT_3LXy9J&Q`zoL` zx;|X%cQw2q`V62nx<2UeCMXC|@B^s&7f>2qAFlPgGrS@8Er8PK`f#n^4e){Ji-6MT z`f#n^<?w~*6M)j_`f#n^JpfgI0!pLngAU)Kgb9dK;Rms=0ZOCm!?k|bz#pQ|0!pLn z!?k|*1yub9D2=WU*ZSQB0TBCEKxuS+xYqAR1VZ#BKxuS+xYq9q1VQvkKxuS+&|z&* z5Tf7{Q1us}G`c=q>vtQ1A@+4ZX>@(K*6&(`K=e64X>@(K*6)6Rs{a9{(e>e4zq=w7 zV&4WRjjj*Z`rU*uh`tOcjjj*Z`dx`|h&}}<jjj*Z`rQjq^*5k2x;|X%cRL~=_Dz7& z==yN2-*t$D=<|Tm==yN2-~9nq&kzOCkFF2b`rQps^*f+6x<2Ui2};@oaWbMI_7p&A zbbYYt5Rh7AtPlgyrvatW^+BgkkhOr>H=ybtKxuS+xYqAZh=tfU14^Uo!?k|bBMzc3 z07|3l!?k{wAs(WS14^Uo!?k{Q2UPt5D2=WU*ZSRp1c-eVP#RqyuJyYbi4c7TP#Rqy zuJyYQpz2>hX>@(K*6+?pg4nkJN~7z;wSG4s8KN%&N~7z;wSJc)1)@&?N~7z;wSM;i zRQ(Akjjj*Z`rV3Dh<y!E8eJc*^}7aX5PcR<8eJc*^}8>i>OVkfbbYwi?=DD(*tY^o zqwB-9em5cmqAvkTqwB-9epet9qE7-!qwB-9e)j}a{RJqEt`FDx-G(fPeH~C5T_3LX zyB66HeGX6>T_3LXyC0zHe?Vz;eYn=|uE>Gdw*g9{>%+BvHz60IF9S-W>%+BvS0WFh zPXS7!>%+Bv_X1S?4JeJS57+wLj(muH6QDG@K3wZ}9SR`&JfJkXK3wZ}e?ZkU6hic) z>%+BvcLP-Y4k(ST57+wLj3S6V1yCAYAFlPg3dIn88c-TtAFlPgH=ybtKxuS+xYqAZ zD1q2F14^Uo!?k|bqZFbq07|3l!?k{wp$wvr14^Uo!?k{Q2UPt5D2=WU*ZSRpa)^Bu zP#RqyuJyYb6%c&}P#RqyuJyYQpz2>hX>@(K*6+@!gxI$LN~7z;wSG6C3ZgFpN~7z; zwSJeQ8lq1CN~7z;wSM;iRQ(Akjjj*Z`rQ*X5c@7bX>@(K*6&`Zh3LBhrP1}_TEBav z4x;Y?lt$NwYyIwndWgOkP#RqyuJyY!8X)=>KxuS+xYq9mG(z-6KxuS+xYqA-G(q$U zKxuS+xYq9qG(+@BKxuS+xYqAVv_SMJKxuS+xYq9~v_kZ0KxuS+xYqA#v_bS4KxuS+ zxYq9)v_te+KxuS+xYqAlbU^euKxuS+xYqAFbVBrbKxuS+xYqA_bV2k5KxuS+xYqAF z^g#4^KxuS+xYqCffU0Nch3H4uhim=r2B`WSP#RqyuJyYaeGq#JpftKZT<dog`XTx> zpftKZT<dplK-E8h(&+kdt>2w60b<__D2=WU*ZN(LX%Kw@P#RqyuJyYNb0GRSpftKZ zT<dptEQIJg0Hx9O;ab02upFYV0!pLn!?k`_V=Y9V0hC79him=rgG~^9FQ7EKK3wZ} zXY7FJTL7ie_2F8-8?X<eF9J%V>%+Bvm*WUTp8%9b*N1EU?tzmKeJ7wax;|X%cPq|A z^ff?fbbYwi?;2c%=(B**==yN2-+gflqVEHgM%RaH{qBMX5Pd74G`c=q>vtobLG&d+ zX>@(K*6#|uf#_3!(&+kdt=|>+0nsM`rP1}_TEBY&s{R6$M%RaH{cgigh<y{FG`c=q G>vsXy(WDUo diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem deleted file mode 100644 index 4d51369162590f1d47291fac8b60219d19831018..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 177572 zcmZQT180tzfkh0U^aOo#Fn^R74S~@R7!85Z5TH#6%=!QSKM2E2SBEj6w17GT13Lo* zfBFCaKU8?oQ+JFY^@lbO#HkgGZ|Ck_ui`FxtX%)~QL!1@6MHLT1lT^tv9qw8;1Cp8 z*D*n+;fX6#N~D;81;bk>m!=dZ6(<iJmj(e&zKI+PB`+@gXH-&15R7`Y`|kdk!M1-_ ztXlbU-aN~_uYSIov&yRUT=?=;yVKvDiQ7G`%qE9FN}2m2=f(RRPhT%nnsPtu$VsKL zD;I9fd~+i+;q3~p$c)*G%J<LBKQFx7=#kNDm#FyZuD?CSa@~)7e_W{6<NdDuFoVv) zc7eG9wdYHZ|J>%{JLTcTv=dGxPG8P_H~MWpJKmwItcvaQnb}sUrEemR@MWbaS}9h2 zYB6LE+H#it^o_vfYx-onZ#eeqxTw3lud}{yCO_@eWOno1GQa8nKknPX`*Y5l|6gB6 zp62=ed)@gPuh**V?r-~CyjE*^zxliyw}Lq9ub(@f{%C`m>Z4_fMMnHHZ!><&etI<D zzTr7<QvIp=s@5%fr?S7ke?4P%`~97*>b%Q-oxid4-}OiK-}XIpdHqQ{*Huqm-&Qi( zM$~R!$cf{B`RA$0$Ll?LJau_rcmJI~`#vvx{K@`XT11lUC8o#@zmB-?C;MB9H~Z&y zXPH$dJYT$U>A6eH)?eJk76~tU{%_u{2lk2!q+H*u2n;*k7n<!Jdcx_p$lqCi-#zI( z@}&7kzk+|sO>eso(XuxFe%AZ%=Wj}y|M$tm!|A<Cm(8gDTlx3#M$S(G8QB*$ub;5k z`)>Xtemf;l{Jj4$cjuW6i+6P1?fd*7?VkPZ@-=^Y{f}(@p}58Lh3IlQ#Sa@x>QeR@ zAGumk8MrL{@!RFOCbuhtW45_%>f3s(^7Ul1Sx+DL>^(VsO7^Y`wQJv2t@yL!^U53B z)wpMcM>qew@H6qaj^^Rp*Nv@(<?l{tebMsbVdJh1`Ws9keo61RSa;Ved->MZS5N+k z9h?wzYWvp2oi<l~{R)2Gd+6+w5;a-d%B`K7Khzq}e9u!T`flN)KWEc*XWuSev?HfC zMK-(lvt546c1P2TChL<6<F-uQ`d<3Y4ArOQt$w@C-O+q`=d$~@lDf@ntwZ+N{%WoG z5InQ}`Qr^;s?Q$ow*5RI`O8%OV{3yeuDPex<b=(7f1XX+YPb8Zx%rnrM{iH&*8F!n z+hyhdYi(Z}z9y6=KaD<rWUJ=C?EJgme|<cc;GF*b#MA%1O#gMuxBh&8%vj~H@mFyA zoL2nOnP>H{>W9Aja)R9xedLbhKhN0|?9corpcRxD_4k#&y>&f5vfurJ##xI^f2V1` zytQ?=Y|hc6jla1pFKv$tmThr=$Np|<S<Y=k{Ss$T0!ZI$kmt~~?Pr3d^{Xq_1HW#| z<Na(dk>kWF!%_Nn|NINb%^Lknz_#1f^J<s<jht6>`tHx)mCG2PwdR4vi_gd>XWZFc z#mRod<MjTr=luT<JhjcSiI_a!?D+#h8#TMg;)_ftO9Z6f?6yDs=6ux>=}k`qN?+u+ zY&vH2I4ETENoMP7$5NKhW4p1C3zS^<9e=;*_haP`)oW{Z-#0T<KlMcSEI59vKix*l zuHU2*etPO2R(?AX6#sMI*X=vn|L$zWoAT&S2f|`xo-D4Js$6x@KHyifh0gT<cMdB) zb6)ZMp?umcp>V4g*F4T@TPgmMUbtrCnH?fmb3PsD+nQ*-@?O#5J&oJmPD-7f{)zej z0oB^g$<cai?Y5s$;hvgqf6C;*p3A%-XZ~~3xGlq(8nXdZCLCnD@#;Nqna`R7c4sGo z+#>4#yf((pY7<Cu;@66ngL->KZ!8o7X^g9T&B*qNS?s6oq0(t`Kb-49<;$;me=JWw z-;jKwy5_>4mQ6>VH2*xpcH`A|&z0Kp8~UBW0c~5kHQc7GXO7Xo3+y0+?)^RIW8=N( zp`hs=y`$yto?q6#fABL~@ZalyoBKZe{8Byp(vR%VmXE&r-rE>gUTwSM=lu_7d2T+} z?$&Sn6o0F2y6!Tjj~C=Dlk4Ob=W`|1TkI3jzWvoR`%T)9z1Lpfiu$YfYwvXB6O*?- z+a4c(Q$}dQ-{xf}B!8d0{c?8G4g01)-)uZ*ZrH~A*-iXOk4@z3e|u-u2D}%4aYb;_ zZjJq4O$6)(O}+)ipX9!!-2b#wsQHP;*J%DStKIJJYTtXGKPndVNBrUh*}AjJFVCO- zQnQr#_cgcR$=|zVs}w<{$9J3ihOFRJ>Hgq*)O!2XH^bk^v~N1{B>APy!HW;R+}2pG zP`5fa?11d!YkjLGv~JV<HLrB%wLj+;_5Qf3xq7kc#Sh(5yFrDzZB06FzwGzjiQ;xG z=e|#4toUg2(dK5_(Y%*;KBr8d=(f0Y@%f4IvRMWT+Wl97<F0xgd-h)c)vwI&pJ&!B zPkwrRpZN7dpC$g!O4mEI^nUT>CvL(ry90Gjn$P_+C-dFsr?2n#xBERj9B1tHbAwqD z|F_8z)BmiA(UZ^rry%z~<i`&WnQKd2?wGiMv)lYddS{xKDg8XqXZ58tWp4Azw)+20 zcP16KY-8K|=t7mzeCcmHlXttNzPS?jUV8W1`;$x!eywl2&-vD3*4F!Ojv^e7)*N%R zF|)hrdS5vG+HaTNzbwM%tlzubc)s{`zal%^bG61sYyUS@-o82Q(x0_=yZ$C;#J@aw zIP$OE^q(`e&zYC6Z&$CJyF<2)fA{1S&xO*}-`uix%gJB2=dbIJEq>n@%zm6!US)2& zxOINtHNJ2?iS&zq&vHH1Id%K={i(XIcRt(v{8!|&4+U9$*K{P(1Ml^*83$R0&zb&k zed^+kdY|^08wxoEg_@KF_5X7V{$6WaD7N<ezl+Paavz)d)po{9k7LniMAOgPg>13= zX}j{{in46mbFqHMOf+9l{{Cp)o0ucp-IKTF-gQkma;;~&_?+{T@3dE4d%p9I`kd1> zRdXIkdj+n2?_9aXH#WTL+rr|Lw~KG)pI1G%==beCSGVNaUbnmQ*)Ae*&Qec<@Hw`> z116qs-M;;!@xQWJo4td7zkO<5cJI2*tn)d?Z}AnMP4}PkyeRggh4<v*CHG@0qqF6u zI8(QrdMvXveR)yV{<kyFM_zy4cX;igzj8^>462_0HrT@#X{)@?|I@$Rm$k(QUqA3X zx7_aj?k(M4AHCZDaN8``Q_d;w`{WL6Uio)r`})N@@@HhnYSh)8f7$h~`|SKC`2@3N zzEyWF%k*xYaq9ch@4prA-+ix`#<$o$bYJ$~eyP8{NykLa?5uZ9j-B%NZi-t*;N^v{ zE1v{kQTCs4>ip9GeVH$ROuu*h`K<JQ<NUi*w$017+nV>Yca3&@`n~NB(lh?L?YmgP z?{o9`B17><$yzS9A}?#!T)HgyO>Sk5{-1y0Q<?w&3qKllcy7+W<(u}_hj07-^VFZ( zU7PkU*S`HbBDGq6UC#THr@qZwk@NoU)VH>AH}CEL79$`0d;9(QZ#)Z@zVnivd;ZN# zd%OF*%=4~K6)HY-Cg%HHyZOSxfh%u4H|{^N^6$6x{%>pUSHHNZoB!a*HE;-5$DI^n z&Y3;+*vUVJQkw+k3fS(S?NY#~dFxqo>YEjlZKMD0J=oVNdSkgINV4{A#TyCB)ISUP zLA<}5Kj(h^e)x$0yNJA^Q#T-jwfy(9|HeLCv$p9+f5ZDVuiJj#HE_^7+%6DnzW=M8 z+WXYDpXYw<`+9BPwH{f;^*ylCWR3UItWS+|l~26i`fKmCzbU5w*H5{oa#C<YQc&OI z`%$(Zxx8NO%WRPq0T;AU(~obMA8|h?Qbnt9zV=_GNv2|_Zu~Awtv(;V?fc_X-{RKg zyjP$4_U`(e_tU4o{kv+@-uUos-?LJy>sREw@1Oei@6Jto_lIu#{@thg&GDRYu$i{s zgR^Vxiub=|@t-oYeipcptDcu~uOm{3w|~XMt3TT&vORSD9v*4FZ{tkgdy9^(`^>A% zd#L-f?B|D-k1CTS6Xq^({$|rSk?rBp?~`ZnpBFwae461ki|nT7oINHS`upY|si~M( zF)xBGLjA=ATmOV5jujvOsysXV`N-!ZMhC1M+uu|&fed`FZYV!beV+O>#%r8bDd&YH zG6mvl>^pycu=!}Sk!OS71;y%l2RxcTJo@c<ru}*6^Ul<U(k8x}pIO|bTEy@BC)wHf z+xY7+uMsXeF;Cs#lER)JbwUK3_-W^}lm8i?b8We+!j0%M_-DjM${wFQ(?|OFiz6Ge zu2{MCMr~ajs8te}dqi*3i$&dfn>1#)w&<;5)0yEa9$PZeRXnofqU%A8K;u~#d%`*< zT;ved3h4+~=+w#~sN~WUwY6;3<DYx0?I#!Ke||o<eE)jO@@Fv>Kkwe(`MvJk`|o?7 z|9Mxb|KG}L+r7B6+x^OK#I4OM*dcdcCpRtgebMi_`FZvG>+j|LE~q({cRi<6hVS@? zvzOAQeG@5{QvX-s@%YGm)!Cm_&Yt!Wf8{2no;l|Smw{f!VgJW0u14K@E9Z%P^?0n( zD;&@Gj5GH~=9~o{X%|(mPF^IJIcG`XBkcn=3wiB(g+)&4>{?P4bVa#$vWZ^}>kRcR z57~Pr=dH^<cBnrgZrP66I}?9e-Z9rZ2sJjdMjVT=O;t&XCVO2)%B#+q*IqZ<?|($~ z&T$j{K$XoegbwcUdAz$KyX?!3{5dW2kC}I+h{b+Os`<V{;7#i6*ZY?~Kezh)j;LpG zYxAC*|N4XR@sg!GzB}db_usx>y>M~y%_xJg2gl}X$ltvAysl8J?#=i9iqm4U`=*s- z^t7*6la;cndCI$p`SbM3M)}`0YUf1ExpHzZo_rN^fjJ}lj`G?o=kxbFK0B?<^n~}t zhqX(b7uWfzgESexyl~;6xMzDCpS{18NlAs}%L^A0KN`MB*nc=t|Ms2YtoUu$?}T6D z|2A#^q1*p*tsn2)DYW8nnM1|uq<gbwY?nLn*lvRPzQ|i=F6}$|{_PAC-~56%ixzNu z+a6db364PjLiN3WKYpl^QQxcQSbg)^v#<+alIGobqj_#Y&-FLq7IXUdPrkQwM|4$R zNbl^5)7M<KoHsUSdYv`1ng9K~8RhY3sx_XK+H^8q)%jK0S$Q`pu7AdL?PszApMM?M z)0`Bye}=ijGg+a}eC(fATt4IXXV>+&2OVTj?9W+g?S5p>;-q_qhWQ?6tQDW}c2}w& z-4mR2FVisJ<&3rRv)-=C<fMC%hVNq(`mKET9$H_0SC0Qm<Db*hcGbq6nJw^HucK1@ z=pOH+drM}NN1mB2^m$!JW%$uO*-7`d%rIAecDAdsxY6d7$ycuZ?@Fuf0@y$4N5A@3 zYMAeMCR*h4v?F_@lkWK%zMnQ@yUw$_VxQl2RF)szBWjo*dL~-<^EKwmE19oE>+Zh( z=C*+EN&L1eZ>y8;)f&EEIOF>*^FybbSbn{@e$@OL^LqXHeCwmnycYaib#xDNQr!F* z<pF12i+^T2vd1~;-a`ku(pf(n<G=5+l~}?2bGmNnqmCKnA!n@Bp7C~8svp^tX!w4~ zjB>9t*1FGnJ1WzU><Ki?_c>#&{cJAxlfdOG!+(^nzx}w;YC`?ZpzY_oD}|Hpu^PT# zG^5<}%xsa*dfk=5N%uqz->;fc?t5mo*ypq(dm;_<^(MH_T^9H7`rf?r?5`aDrF!4p zr}s>^qp~~c-lQ4j&S!ROKD#UQdD_uEx0CM48opmQW4qF`wOy6jN%yMYA$^Yn8q)q} zcI!X075Qv6PfPpatp2*#q`2)ft}8$L+EvMZWRIQU`vo(u>p$b|sBAy7=P)R^Hw%G+ z+W->W=L8Mkub5Hpb4FU|vtLK$;iP+>hVQ4$D33ZLE%^CdN9E$AdoyN~hn=w&Ke5;^ zc>RahaodkGmn!_<v@~zN_-C~vd$^PCxf;HoG{ao;S*+OSWk>dCC*2D*d_QZ3x$d*G z9hIAt?jcggJXq?8JrgbPS?uT@Rm1mfGs=U{>{flI+g-W+=pO5&d$ET3u4ke}KVLht z=PM|3s#@c}@7gN}iJafC1l{`g>!wXvv+aJBvi=pFefIU~>JPh)?vXQGAAZJK;n~;D zO7^3B>J0N8&RDBFljVC7xO}EOD5jbrF{R$uQR#kkPjb?|2{X!_&Pa=W_Uo!#eq_(( zq<bAR%H7ULi+(<KWY6ZLdpqGSu$6<lV9yM5#b>bspY^&brytqlY50EA40F|Iv4Wr1 zbyZ$JvM19p-|ft7(a+DA;OVmlnm%7A-D{e$UE`T<XQla(J+qVU=^Exop4qMY?5^PF zcU_g*N%vOG*sk_$Ehr;i(f%{{)wc%gn{nHA?n+y__T|~<x9fKQpMRM9_iVo0<H9TN z>vvV^cUIbqey&q{wpZub-=H(Ur_T7EZTQ|i>0bWPJ@-2+_ltl2r||4=;F;f(XM9gL ze6OE$@BWcJ^<9<!1wa4Oepbr%xBSCf`9hof^MpR%Q+c-6^-T5b8Q=K~-``ET_xs2m z{*Fp{vCnpD&usOd)kdB9ouknI)nY0mxJ=p}FT5q{;G+AHWpB5{np@Z<U+>;#!N}JR zu2#yrZ~x1m5P3W1zvKHU4?_O#cP!YXVfJ`)*{w50^Nw0i*uQ4)*B!=5SHDLeN!@s( zE#EBr<i1OJA8&U%UdsAYE%-gbFfZtY(4D8+Kl7t5zq;7{X2(;tU!N!Smnmd@{J(ed zOQF}#j_(xAo}i~^e{R<c$&GVZZTAZnF8Ej5Z*it+UTNp&mmSP&U)Rc?Ha&VwsWA3y zkL&&-8PD^Zl&;oHou^}!+k4@4T>KenIhMWEFJDB=YMk<P>rOr0bzYh2XZ_^n&Yio> z=WglgYa8dT->bhNuG_Y`V#9mU@5Vomy+1ws->WzGKmYxAr|p^L@3~3&Pm;~t&3N8c zPke44!}9-B^UL$O8S{eg_u8MY-+f0e<V^9S>W|NVcAfrMFZx+#Zm+%nYzO}*iQk_8 zZ0o!IQ2f#P&z|Cs_H|YA)!F~E`Mz6V{<<0I_xT^4|9n>O$o8-^o0Ika*~~9gw2L-8 zzg6nV&$8){t+k)+{FB6Y;<d!<#u?W*-xn$FTb?wJ+rG#C{P$e~^H<M!{-yuX`Okm# zj_`+_DK4`6XJh}w>5s=zo8$3a_UHR|3E0OOo}VaxeExIwn2z~tW<0;(|LFYZV7(*f zr+hji&%ax0p8TAS{kK1}gwEmpbxyZtw;Wq-dxS+y^ZZ9{6VEL2zO;LVJG6n|pK-X} zwr}$Dm`PXS(r>DMm0i31bm8{;JvEzTx1SICxszev|0dn}`@`+#^S=-MfA(ASaSLww z2=mz)b#l!YkFC(vv2%BxEF)dNt+47@YJ8@#_wL%he`~5Q_HKT2D|2^VLC%$Q<^2_% zvfrOOf6TCnlRZ9jrF-nbV~bX-PoFyfd7{BBiLF0BR7%bAney@E%O7bV1J1I)ZpxdJ zdfo4KY4c;_KizM3)H>^NykeHSys!TMzArO=<t&x9KNq&HKIZxoi>+SMzb^TgxOMMV zzt4|#MCM$Zn7i{*|L4=WFCCe`K3?-F=Az4H+oS!(zc+vT*CC%Cdn~<c^RFE%AJ?v* zSNfY{-@MRQLa&`RZ@Xvf=RA4g=6_F0YBq0~x%BR}-D@h>-YpfsqAU}AIqz$8TG^dj zKW&cu>L~pD{{Ck}wi(Bt9G5JdvGtE-$!vu+@*6j1yt)5f>+90HKYtW%+r3_^#{S$w ztp#TBuaDon|7_o?2Y)SI8`*L5AJICw{oyUES2x)tQ%)>d?sJOm#P!XmY?3x+W(P#S z=eu|zWyczOOIdK{OkeA5es0t2`TO45$?VQp&Hc9GuHF5+W;Z$bRve4m9lSDm&f9BC zxb9!SY_aC}#?Tw5vj1A_U&qoNSvRNkkjA^^TIc#VYptGp{deIt*YmrM+&uR?Z0g(l z-&d_Ei;F*Q@%q!gji+jp&t_b^wmW{oLQjp?{(i^Jy=O<>x?E)*ytME0!UE^Nv!xH# z$$s5(|9)TT>c#VxslG1E(fReOYMW92KmHY#ho*kuLbR3Nu2u;bJoa2IEqPI;;R3^^ zlNuTlf}hwmc6aF4H!mn?{SnKm%(d(K567NGLig=DDgpx?9bH`okFM6(r_iOyQ8#h* zf&~j!EO^kh?(2omw^zQKyR-J!nY-_bm%Vn#pSx;Z@bj0;UwxS~Z{BG=vBz6x-!Z8# z{(EVc-1DoK4p`dAEjJdw{?#J;WObp6m4oT0$~PaTU;A3~Zqq&fFmbh?A=YtyiXWTS zuakQBV$uzjjrK=>&1%Z@ximF8U%9XUA^Xcev1t+_SJ)+1zW;1~X-eefiaGu%t`g}J zj$5AVsoczR&gR~>-cL3AuFjE*vsBvND}DQ2VV~{y2|u%r?35{LRQ`SK#Pbs(ytCH1 z*+ok)bPTV4JU9DEv3S@Gaj&fXzqNQe9dFh@>eK7=6{>zyE*(}g_wO;`(1`nwb5yq^ z%(?Y_%B`#?pFNc)|5e^3QfE8Ae+p9;GhhGbg2O+9eB{z~U+)#0^KtLXccJfIZx*x5 zUU@#M;9ZbwPX5jJ`-Gg60={kBvDiCl@{x6a-#M2V^m^_pdYyYD();MXx*6wQSKphx z-ad57(e?Lvrw8(c^>3c`_sQd<8_I13&M!Z=soy2eK1s@3{JDw7uk^1L*^%yBYvR6d zwx8R6{&$JSuk>|->AGJltL^_zuKcm*`l08i)sk1&C!J5<|GkXgZ0@b@d%4H84RYi! zSsnf-th`Ib%=68y?^#^S3_Zj1_V!xXwaG8}{Bbwi`4f46Kd;(+H{eVEI>G1CzxA_? z`t|0&IX-bR<7=NgM{ioj_DETrHy1Vi6nT2z^Di~QrRy%%+{=ErS!~W)-rGCVa=$0o zz2EJUyjgSQebYTvsXK}iBd+k@z3p~nU&tl*L+AEQ4`gR&zqRb!wrAD1o3npE&;5Px z=%Z7u|L<&y(D=7GJTN}%TzqWo{Y~Y|wlVM6xCk6ruOCaLZqM8qvT|>)g_3IL3|+y_ z!<i+XIg@T2+_T7YNB-Bk+S*4MHio~B<yiU4oLdOe6la{LeK|WuGCi{NQ^U~<6K_A5 z`0)iOJ$2`cvRR%xT6;6;#fjVpi8kP%I8-}dmT$Yy$zBUJ{t^}bHx@$d#$XAipA%$# zGK|E(Yb{C2Zrj7A=LU{Hy$y%neK=n0%A#skaYQy&Xtv6?SwH)%^7C}oh|a%%#jdo$ z9;{vZRqBN=M?PlXIY0T9I^RFA1Gu;I*_q_lfup{&Hq2SH#-r-fhWr(icl1{;s`~Yz zLmI5r^z+}O-F$gUJ6{XQt&5ua@<i?tsAC`P-R%D)XwUC!c7;BD`~2TLko%%yullaK z$JfJbX6Ns;(~1Aq@7_@-)D23+yI(!2vzB?c=Dd7&d0>0ebCVASVqo|3?%2ule&1Gq znaSd%Ii+tb9cAuZP~GU6B>v8CZptUyy169<>7Q)v^46*ym=(e`NxJg#+UoY(-?#oT zHY^0IJ8`bq<=Nksj;^=qQrF_$GmkCw{^fDQ{K5G(#Y%ns@juH-C%69J1Pc0V;rCq} zrhhTq*j+BW`%&NX&XRpcw?6*emzHvC|C6)FJ*01bQ{oE)N9any_Sx&o@844QdyuEm z#P8`Z_3c6RUN;;2m9-T|<iQSqVEyCUSAo(;;U}x+9{IcbVe4<VE1nxm7tJqMG5-|l zP-|~1xy912bNA=H(bwuOeCYpIyYT$Ruk5zV{7;sz-9P)q2if!1%wiT_j|qTnOqQ4a z9TCsZ{A$Uy^QYIGm#z2z^jc%<`KrV6wG8(gr9TO&{CU(RS991y`C)3;uX~ffH$~pR zE&X1+bj#+6>n57JEdZsqb?1Mc`@PlY&g1>@t3}J7?@bW9&$>ta%pu>qE2VCWzFfU| zzrT#lMNqJX&n>@~9oBAtkNejdzSqI*Jx<e)sCCvJ{_Jmh{`)`U+rJubtM6Fu|F6&) zByRgE<<s*gEAr!K*w(%hGY30(@xI0TYHeNS{s`R^r~c1)``d}jHs1T-#=kFd$;1yr zHQRsQIBxZFkNBC|IO)H8AI#em-(4H}`&#j`W3`;>Uut8f|GOuBetL?HZ;*J!V)wZR zJd__Ey&iR2*;kqG-iw3gJtjhNP4CU*e@Ccqt>=H2edSls<l9q9?>*f6ZU5?3eEavE zzO*pSb#iNc*M9$356)Hb&0^XfKjT_`)Zv<2``26!U3ZBs_rs^c5~<iryOYJQ|4LN6 zQT+PJD`vClvO9TgdfY+2irvwA^I_j}bHOP$9tf6$J(c~;`ql09l8E_#jJd$EJnQw6 zYrj3OY+rvQvG&!pKyc1+uU&6nY>P+=Wglyo%f2hN%a&gqFY)aEse)ej8&?jLi@iJd zSLI*b;)QxI<9{5LtYx^r^`D-7!Q?M2p0N+Q<Vu;8dt1co{j;i<WF9#vZTq8bqT3<w z4|ks_zfyU1#Q1>K!8VAR={x3c`PpIBY4wq3gWraQkg!U<|4!Zczx}MI*0<MBf3S3Y zoP_DI|L&iqX203GnsfSFlW$ou{CzKKuP@&fU|bn}+57kOAGcp+Wz_lU9WF7p|M>fZ zaaPZtJ?GS{=VaFL-Dkc0^x5;TY#$%ae3t(4-Q3T``KM0ZfBOG+RkLHw<&vMB-;Zf> z#{De#pUUwo@_X{VnHfE`dRw?BIYg^`T>it>oqhY2C)?KVXs@f2-@ANnUWMiAxGm)g zS0v`&&);_P-lkavGv_=!Ht}_$MAiMTFQu;f`0d&swNHGRw9U#NlBRBTavM+HGrRm} zjtv{g8E@G>${L?N|M2(e^Zjy#H<#(pHuCy6{Wl}?h3uXCb?r73*X8~A_dT%rgwcEj z`;GsX?hsb_+OPd9^7X>a{W;<)joUmP9sjpok;9JdL+!fX-wyu1fBg1Kzk~MQ{(Y}y zn|S+4k+*D~ak1?jcYAI7UHg*0?U&cIx0g5Rm~v5Qk+AB|1G0Ok{h3p<<<Fm*8wzzf zti^dhE&u#D_jBjN-`b9U&XoM@{3~icpXKCV&hRgr--U17-?a9jP@QC_UBi3N@8Qem z-}w1&@!Hb-+fV-<OXq#`eEIJGKEM7xx~P8o{CRPnN8;(T=09D2>iqfXJddWQ&ze8^ z@u$z9MVo(Yo%!tfhs)N_pSQiQ-=8PozwEUCoBHZ<@oe^Q@evo;-yFZi>bXF7(vOdS zlbi)=cpg;W`uqO*EwHz~ci%Vfu%GkppYhv&;j_K3d!0Go&-2Ltn~HtkE92cy7}dcE z`)A+5+I-pi<u5y5y*#X(2TsTA_g|N*%$tA3&gM}+sEn@uAY1dhs>ABz>Xf~He|DeV z=059K)nTkD(=K!_&)?cJAI(qI+x=SW_~-6C8+qQd=a=SBn?L`)^bz|rCictkYuVe^ zn{?FA%B<_#7h6~NFGt|N(d9on`-AK1{$&aLx4ZmjPR;f|fBqa+_*cB_r{$lxpFe+2 zcl`6+=kw+Zr^T-a&F7aeJ$C<l?8cM-tS|qO`OmOyw#w8Q^Z!d8X@16D(7kl^(kXh^ zoAtrfl=Qy#d0+nCRgH^Tcc5uAzkQ@^^4~itJ9nlo`)PUgG&odPPoK>-K70OR|LODR z-%gutoi=O!Q~%TF&(EDUJN=A_y}G@&z5Vxyym^+F|H%B?^XHGv>7wHMKA$)LaQ*qS zvhV4$oyKR+f7CyH{`}u*v!9<ev7cTST30tOe`n=EpU=g=Tz>wnT=?{vE69e)PoF<` zJbl(1WW##%=g<F7_Io9>hYi;5eR{VrBQ%tO?Zf9Z>C6?G8Csd)p3a`h%Hq;H--YR= zS<c#ek>~i_jT5_jW6l&A?)KU&lbN$*;gVQYUR_>YRo$mq8X6iJ85x=3-k#o`&Y9A? z_U(RqgxmA4w*3#jJ=O0lzt7wJe((L;_0R8Izj*Ot;v}wFbD#2kQT^cca^Ex$m0xOi zzs+B6YqegbXRi7@<+|ATTbFJH?|vqKrM6IpZF#wG!kpLacQzdVXIniv_xRSg-PyPE z@6SKmwk!Qpt-1B~&qp4=l{uMw>(ZoXb9?^%+vk^D-&^)?ho4;T@7y};SN}hpY&csH zDF1%N-zAl`Mv0SEUnSQ)_<pkOEKA`02kv$AWo|s_`n5y-NA0Hs<G?HGzdG-$%bT$- zvis**H{Wx^Or2Mc|D1Ur%rRRjaQ+AJy7`(LG{wGtJon-Elmp8`t{ne!>b<e3*=3j7 zrp<rsQa5Ode=V$k{Cy_hY_7ohFUsrYd)+uA@b#nqhu<LwmqlGU{<Hc1ayBz#*V;qf zf9$qySSkGV<G&~0XR^+|xybIB(SCp194VLDChb3V#R<mYSC0SfzMn3aBjsAVD6DS& z&kd0ZuO4sz{M+PU+QJq6#Z&L63+Bvntv!_e$4+-cq|U3yGvArt+beN#opVam{2eTU z)4P7n5UHD=+OZ?%iu#L#?>93EhIjqivGR}IYJtM7EBvP%d%u}Y@Osy;ALXBZuW>%| zb&;K)e%*ZDjvawl)c17XPZw6o6Z`tH>htd$$0KVO*)7xGf8NaHj@~QbJ7?c-W)>{( z`nBWl&)PD@M|pwsU&z(Xx9!*we&x9Qf%lvF1;2OyviSb#w~WJ)=0$c773$_YcT_}N zIWB$ZeKCt*f7h=Wzkk&BDLyI;oS&ev|2(IQjpnPz_s+jB<`VSp{^i2A|GcD&jrOa@ z{SUrdws$;tt)0XEqgGGhk!#@m0+s#eRbBSzyn1YV;(alzV6<!PnT)#mW-fcQUJ3U+ z{JyiTqw~kXSsH%NKVIW6vt1*bc-uT$K6}1w*RO4M^;c>;?yIw!tz2YxF`{n1$&D`} zUq8w}`~H%3_Ps@RepdVa<!^iu{>o|mqxRR~w1yS^-#PZ5ceueL_SJCaA3Ns+<B%)r z6;tk~OXRe5|Jo7x$4)uHIOvM{sb}9MS!Z8dWcM%mk6m(taoCmPCm(*7WS?CUIR8Uo z-F%)KJ>p+K7X5@Kz<KZl=m|@JKmXWOZkVa@O4#=3`^o&XnF8haH{4Gb%2Dh7W#Rw% zx5%Mo9#_<B+U}=w<#f5$?s2M{FLYx{*RL7rKWmpAN}IL9Kj6swV7A#sf%4xi>*l}Q zuu|Y_=e+0NSGJtx36$U8a(_9O+0{jM=eYmanQoY=`AT@&r{7l&E%Uo_yn5pObg`VY z?q7dCA6|4<+90p=e{1cl8l{OZvcKouS@G_dm`cxF`+NHnzZd+ej){({US;_4PxCJQ zD~FdkUQyS0{Qv(<=Gi+J*{wVN-k9I4cadG7<^J<EH*^HPevE(gJ+tYoQ=t6vCRkea zs+%u%Lr3iE$GB(TBbjC|U1Ybc>wdaq&b01dEbBk~Uej>aD^Py>to!MrIbq$uTulGi zWhZP7yK=mK>iu-toNL{`EVll!lTO$ictyRY_kOx?j#>Awiqb!J(FvPFuN>cV?)_$7 zv)it<P3Avp%MPS1U(p|Z{{3cdv)!(>i_Cx4emju1entO%Py#jk?OHo$`;S__1J4$$ z@PG07w@lO7#6bCcx&7w_Z^(##HO&9~Tc-JJVc`4)6YoD~$?@y@wd4JV-*cMIW(LlG z5nDIkc!Q<(tH<Uaf6r+?TNx<-+_r8$_lBJsuY~oUeBa43`}88ad%yqKg(qCrdX+ru zXYH+o;?OJV84thjWSh<ME$8Ubmp1o)9el^WSvkjgU2c5L?SDVAC+sQbdA|Mo_j<br z-#r_BSFP~hGUL8FubHiD?H~T1wN(d~ZC}y9dCL9e{5iimf3=*3r%^#z8rA+$+jbyr z#R~rqhWpPO+~5)aS{VN6cTdAvkHGmEqWjNl+~^Ve`qBR3cS+{i8yDG~ld79vxWQBW zE2sU_?~rmbUw6O1TFxey+B4~Y>~3zDsqjj;=G^<q%(G(x<;$7(`^)91b^UUQ|M2_C z;bjh2j^97=-k8&DvTN-e{hzg5iNPwbgzJvJH)b_Ey2$RH7%Y*lhb7VtQ|~Y5F?+hm z?w$D`yUU5eim!xgPrnc5oGrP??jK*>e61TH-M=gp_xtnaq;>sjvHn?`l^Cr4>T&Jy z_r~02n_X+q<kiiux?wWm=O5nelKbot@H*`6o!X4hR2lXU#nacRExpm5s$cZRbyL8m zfKvf}ZF?oYDc$T2xYGmyPc)#w-4OyTx%$#_o+K%YZ+@IKZShy7$Q?$%@15IMan57= zzfS$Xb>iEe+nwM0{m$FpKkd%fe`h;re_!v*eXWWe-;6(83%4(L`swqMk6o99EB@Y! zsA+h8ctb?>{aydqwWpq1@#wQ&seS!)uLG}RUcKjU+V|^z`}6DjSN>1pHjHnXe@pkz z@9!7tKmPr}buL@^dY1C_pZ^V`dw7pN=PjFmedm?`-}7r1`G2hyJDk=TIDd`j{dCQo zX_8+%?Js|i<eM$(R(mL|cK*~2k*QbIb1#06<ehEmR=aBc>)&fy&sr_Eb6maeeASH{ zgIAMl7QWvsXg0g&mrL$1yV;4GkFM~)w(k9AQM21UzmCMe`km8$mT$3LV*9@HemCA2 zy_#IN^!;XOv+AB-A=Y1Oza2`OA2|O(cJ2JX8!Qv9sGs~&%XjG6guwYLyzf6Z$mx^# zdUD^z@0l&WlLP1Ph`#?^BWG6cua^6-es4MOY)0VxFV?m5!)|Pm{JQeni{D!gKid&F z|3P)_e7+kcQeQo*zSjC3c(x>P{tNfo`Fb}>q`#i5yY$_Xb@pYq+DFE}>})r@G<_xf zZ_)eD%sF*tuO`pC`rVRw_GY)*Rr_E5{=+r9*sZpy_?KPn2Fv^_>Mt*U|0!%X_1}5Z z*5+D<^aGFo*ziraT;ChBx;JL}??30|f7z98kj%THZoU3}F#l|}#daTAYv&7Y*m-P) zf5ghUJH+#C+S#q=|37_xeqYPkjKy}DbN8L!x?$(R75=3&_MMlw(PR2b_{!_wcX(#s z?)jw>{_1y6^Vy2Uc7e_N&cEHT^YjXTGpGCMOgU;&Upv=d`@WOiY;w=97Ui$CYKPOh z0_T@Z*?0c$hM8GcmRm*LPnXJ(GkPT)v;4iWteJ7oFO}=Bf1hbNtL#?0$ncll<^<#0 zEBwnA?>nEoq4LrSf19xT>3liQq`q?IU;JJvWp-Zjt7rbz?=#tF|8%Qe_4rF|T*KMZ zZnaGT_tP13;tXF+?z#TGQq*j{<k!x37rs}@n&nG;J^Aj+_ex>2e95n!>Mwrp;hkOH z^Q)!&_3u60v%mNJS|a?l_Fns0cDLG9-(UT%;h5du^NVHjms-2Fv+8cOlTQA!<4)Xs zVugRn{C($>Zs-`kn(TY=dnDKFRJYnk>|bi99ZqWvoL{hN-+8YaYm8n^_PY#Ajr^~m zsqtV*?fkDBZYEq&uZ*~#uAE~g`L#3c#qXT9vto<wZu->DU%TOE+7<P_FSXkar?m&p zFPH{PjdrVGsWJWa?>DVy?H1b|eEiGqcVh8@75<-E_MLaUA!GDP`0T~+mOQhS-D)>2 z|57WJcsb>Y`n=Wei$%=Ny44;^|56*3P<&>E|F1Rs{Izp#_52EX{t}iPD`Cm;+3VkP z8qRtyw!7H<%Pw}qOS4y#_bz!~%w@K`_tz2bFSS((mw(%T-1)=z+VuU$-|u|3*+TwJ z^?L2U`UfgR<E^JmyuN<#yz3IDUbES?Uw>%z{9n28#F`YndF7V;?>oOQnZfX#^SZ_N zc?KZCx_MJRf0$R{-^E!lzo57HJ*V(V_h*ludyXm{1R<bp`;+_mipj6rIADFGw{v4V zri4lyPrv%d%y+TMSvGEN?(VJ){22@Nc7fK=?D~)dVk}s&U_nMRq?&2s?b>I*LSyBM zg@<%b>v+3*i?21=DzbUX)m!I`-~TB)Z+*t1IBj0q`HFesW%EDVd_Gry?&tsi&nwg0 z?#^^txHWl6)t8-@pDw@4Ec4D^Z{jSMSCjADsP{3S_iFz29G<@Zi*M|{=CQZmKA{>k z+2iiBT)U5d6YM^gpS)qWv3BN1r~3x;XZY8!ePA^$`pnsrA{e)3{*#{&u^Z}FPMlRY znB-cqv2N1y!!?uI{M*!@U%#m%9ih6u;yB+of8+f=EAA~_zdrxljlI(SYGHY=q-*Ei zt*Gbow<~g5sPN<S>H>WqO_8`V`yvtT{n7uAR_$P~-2C<ApC<){QD#|h&42V>6JO<D zSo-JJo=00MZ3Amh#UELJUfOT=cA=}wx2V<C-YdJcXZ8xa*7c9CKet_e_IKwi;~i#o zwRQ!!YNo8P`}_LQ_2<dU&#HI6GX9eL=U2_EEs;}K*fGC;eEoUx^0U)BUj_eBtgF3d zk$X*Tm4Bu4pI<ePxBS!#to54x=z99z%W2Mweu<bJUw__h`PpYKUnTDd?YE1bV-_uZ zb@~70Kfd04w&mu$6?V?ikFTe<Uw(Go<*TGbSN#0BezWg(z6!o0x8JUMj#<6RD*sCN zKfm4--;(hNnSV+D<Ll4MFF$+l@>TOqPyBpmzu6B#CX4R3bDv}OUu~8D|93yWN*3Po zS@G|?|HiHMizRKl_b*@Qa8J8_zws9Jx>_}>Ts8Go=MTL9_}chrrm<~cZP)Kd*VEfB zKRe>`Rr7s+{QR4Kvv+pB3bqp1Z})kQ*>aUt{tpxW{F?J>i=}R0E!+RD`1!1Uvt2u1 zU9Q)tt36k6OUEx{{=&l_Ul%XCoaVXc7Z1<z_2+N;&0gC1D%eJ9zuj}I+-a(-{D02> z@zwHvW^v-8UsK#3U4O2${H*HnPP;w+(o)&?uRr}8`|4<=sl0;e!o~fYx#q?lu4h_% zN~|Zmn*G|#_0i`R&inN%{M_1~F{|`m-`Aa+J9n$~<NqgjFJ1oTw&g#eU2mf0c3rgJ z`25+ild>+qu5SDl@y_sgXMdXalmFl41B|#TzH2_dySS%(y3sw^Qx)4aAMah#^L>xe zz0Id8&TBljUE1@##pqu0sfvD$$F@s)%Dvkw&i>kNYoA&6^u@WiG5&GJd!v=^)XX(Z z_`O|qcS%i9`|YAHAJ1;j{JCw<f@igT2hPh&oBGXe&ilFToYm7w*S0RcTe?Yprp8W} zETNUBcb|`0z4YBN$JZ?EJV{&Y)+dw|HSn{6<+9fwukV>)`1zsT6QPx!OX_6K?*29X z-9Ihq{z&_MnKjQg<}<c$24}6keD{t2exB8PuruMF<65OfmF88a*Xw;Xd*8!&qLIJz zib{}p{psH`_Dp|SBlYFv>IWQE{{)`|M%f>DC<it=E<OH~SoTi_^`_dJt3SWHT4%I6 zb@C43<CoPpsT;O;^F+_G`z+UAE9q>X=`v}8#<9B+C83!u(>j$ZqNjY^ruq17_%Z7h zJ>?OS?^hVz+w!v4R-lOc-|VG5<&%u=C8h3BJyqd7_2V?n$FVDW%0m_8{>tZ1@?N4g zv1;z~;Jv?ooq6__`%X&Fzd3H;BIMcR1L{e3opSwC9$x*?Hc{tLcZ`1XydTdJ-D<rh zV;)PpZQ{^BRz8pabLY<^=?%3`y?1Julp|ZjU#nNzol$=#JfHC!C*cAm$?l_M!u$Yb z^Z5rn#2y~~<vBbJWUjfidj9FO&u(ADl=eLcftIsMJKoLM|M`-Pv9r0Kl6n1MPzd~) z`&^lEe#aAC`Dc@R7&)5zS!$B5%ev$%KH~OMg@)2P>q@&m_xUHp<vuKB_j|u>owf4j zyXhXg=9lsRIrjG?^N+mjdxAfzW1jJz-x{c<EIws#<NWnKaStXQUnl>0ZSRK-$17I+ zNZkH0;NipVE)@y?H|d{9zJLDA<!8LiUzKf2tNyO;xBIr2vwPS7ozDW}{Qb@4wEh+^ z{21^su@R)F=<&ishf|L^x3+utwsY~H-hH6C=6ds=6A$%f<<{!Y+4JQ6gVg$`8(;r@ z^!0j|gWRh`Pu@L~jPCWGsxW7L^wFlTbTTB>z5gyT{kiv<cFRfLOVU2eUG{b15;~=R zvG?J0qn#gll_OiGe|)g}S@qYZCu#RKRe!S9*uO7L(qfJ~IC)<3&w6(ETIrI@mA{KW zA9q@`XMSaILi|_5KZX_CwI1JH*HgaS=-%B^70jn9zH2|WUD5M>gVDXkrz-ljAKR|% z`M$;I-U6e0#iuIt*&bc&e;EqSfA_R&e_wTw`?K{|@~>~RPgT6vc)T{`*zW+z^=o>* z&osK1mAYsBl#kamAJ?w$+3qR%-aU1XV(OmxQ$Lomq8L)0ufYE=>aSsK^?sv!j;VVN zpQ>=5`jN}HChWHS;^Qi*dsd#RFrNDHna1O}YkJJ%CG!^=#RW>f*Gb(|%=Gca@i!_( zpt9oq)P1#aP=g*ZrS9pT`f-}}<Jg5g*Vp%yZ#BASdaB~O*5laaJ>`3i?(v?g(4O+q zOZL%U_7JhGw~LWB0tRNx^%OlWe70<J-Y)6ub}kz4M72WRWVLXKYVUPw<r3W#1X`~f z`8MhL+9=bkt%0k<*5;M04qGdAeO2h{5RHiP#cpq%C)&BZahBJ5vXH;_h4TTsDO)$3 z+yDLF<jJ$EXa7w5cfUOSL0v(0+PS$u=l-|6Uo&~<&74cuukZLZSF&V%C;RL^59fRT zn)XbeC4P7_zqh>3U*>hTcWMs4f3&Xo>)sQLwW%!ehxQ)d$j8ogZK3egJ<Un?6b<u( z&RFX_^X-JLcq=r_j{+^{oGbF#ud~t^w4C$3kE7i8z<1Ga4qsyTuGjnh?PgQyhMl*} zzP~L_x>srVzG;TJ_Or8{(8ZjohWT-4b}K!L75%)fvywaMUa8@GH%Gbe$?rnp2AqW% z;0jv5Sss06xAL>Eyf;_1ZLhRvJF>?Sw4_v8?6X-%rE=0eH^cR6&vJ!6n~6Uuw0}1F zh@DRDhA+=I<>zZgu+LtdTRdMv^z*i^%G*cwyiJOWJ#$<0*;}E{W!<2~r*ek*>t}5D zKO+rZi};td@=pKG@QvV*+4<%+JJ+>?&$4dc+0NeuTK(v0_<jRut)8st=f2L$<45;o z8oqCtQ66;0S{1ZZarx0b#%Knlb3+X{-&y(mC}^$Yni=Nm&tgSB>vdL6Kf0&V@O{^e z^3XH8)j-QPuOHnbjb?y3%mDGDd!m!><r=>4n{j>ny)e@~XMY<iJmc-EbWXarVa9ex z&~i(&u1ZtG{K+%6?^NjjZ8%@$TjI;+rS{WntGy3e-H6GZ{rkv@8QVk8+*W&*EB^Ua z(mg-J{OL2cN1u@v{`{?@vij(r+N66sK&unq>YsRAcV=%_ZN#sHm*-RO+XZakGYh}{ zY+r2vXg#EFS7rOrJ(|#kpVoTMe7iv_T_-2q(=^O?1FdwOtBGbnG|T|MGuHagVg){@ z9o=JT2wCU4Tj$x??#l2ZdsZjiGd0Y2JhNNx*<B4(1NKEi4cMyzTH2b|U0Hr)&%XAY z(8IU)$TKJ1TQI|11+>6e`p6zZ!~DfF!i7JZbym*i{<K5>vuBe0G`omj#W%Na7g^JM z_Ug8s{ykll(MR{>CfzGDT(9@+t;pxH&dS|K_ZS-H_s`fKe&)9Nv)=AX;iP;2nrz-3 zzq9&A`%?aCb#HFZo~8fe?!LCQXMZcTe@iqM*8h6+@3&GVi^}!lpXG!<-_rrFfm}V~ zdzs;T*`#~cNB5L>R=yYeEGPc?p7yi7{%5N9&-l)0_@2}7y{F-OO~dz#lkTY>+2h|` zIbZnmK80tsk!OC-n(^J&@cr$id*w&>$ahrQi-Fc>{&hO@d+Utv)`su-Su1VYKmR!k zPUDaN^lvuc|9fszXJU5TlZa!pCg0*wXwQeF-#>N2I(p*!wfBcltdi22_VuoJ`RV6t z9q#s?h>&BQ&aw2~R>faC(-=6`R-2dfn|--=_V&wTlfLeYSf1Kly|>Eho_n5t{NJ#k zdDA6LfBaptGS2dq&(>)dQeXc(*3ud^SN@Dky583srO8$2(iaum2+#drWTieUDl>Ru z-u&+&CR;BjmOlGbTD&Y)sq;tCn&*E7w?1AdoF2Gl{{BbPZ|#14)M-A`uV2UBMO!BR zjM?=m#LNEtn>FS2_4}_MZ#!nWt^Q@P_!r~$-G`?Ct1P_x|6TSReYaWr_;=s2yL4v# zwJ)M)i*N7x^10^L(;pj8*kpfSKL7dg*uMEaXNn69{@U0-E&Ah=GOt_m$<N5?kDn`l z-t)`h*Z0pIr$2U2tUR|@>95WHCq;j}Qs$-0`PiRdze{Gn+|1`+0)AE29e(;_?Fk$8 z>&xdqH`Y7;-sPF)zkpwrcCU;6#HGyJE$3%{{{3#5dWo6OUljbR{CDE%kI5%&+~a1} z&9g7Hv=g71eqZ_J^Pk1jAL}cB-ZRm0-u@!Xe`-eOe}*rux37O)^rzN)p0|AX^^6b2 z#_iBJsyTc9*f}%h+ZX5Fep2$U=5FP>+IE>=;Pm!y-aEhh=Qmt^btg^MvI(3O-(TGw zp1)hJ>h%#j)zjxa&A%PIZlRg>KdpG_0X|#1`{&*rSY0Y{@A&tipT&&AXBoGweZ2eX z^Y86~_3NUQY#v3;-T9|{27l#~tC<|)%GWgb#Ok$5c16^!J8`_~iL1O$?GLN39o2^G zHs>VWm?dr*@wIpA{vxh>+s_|9Ret&9&C+k@OH$SbC6)T^F5kKS!n#=(RNJrqoX5c% z(-X0{LS)|h2bB&!$4aO9uGjft6VW!e<NHVXCtQxY3l?qLTmM<~C=X|H>A6-0ty#&S zl##P#(XE!2jbG=KGQ6wbqIkyWS;XA0Mob+u!qWB5SL=Nhxw|OH;M>0ELFZovX)SDg z7UA#v>}f!bZSl_c{ccm5o;yXzl*X@ku*dqrqZvDLs!|Vr&RhQfeDm|zI{PEsw^|*` z=3d<`aNm5%)yU6tue271Jx>yU^t)|~m0|mH_o#Tkn~&F=tGanm=|@;P%d#&k!=4ws zym*K?V(!-hpO?mK&waeKxRrf_)nU!2=eJlDTYi7?NoH#O_e07ze$Vr^UEi{NcgedW zk|OSRZ*ra6ZSmmKgx5N=<03!o$(AfrI={PhfmlG`?RA^GoY-17T0L*(xazaXYIUcC z*wI&Kp1wXc#e3@W!dn~OeBGR5`?W;&;;S=H_gyc2dD?T^yqz!3Jl*#{ux@*aL-qaA z5ZjZl*1Y^^wCHN3pWD^uHRt}jJ*d=cIU4x={NB%bE~bB%-}?RBe)X@3mao=?$E&^X zu)J2hyu9ox|CX<7c7L$xzZ|xHMXk%~;x&IKsO$-Q?jzK7t9yf0@yh1~ze6T{xK*X5 zxT2Y3_2-X#$w39tbNBw1t9Q?_P0m>R{O<lQ=XM)D{W356{rxT9*SunnntMK6{+{)s zt3RP!{SYuW^838dzYeQ~FIJvgx;8HGcSqRs;J58654Tzs|Frs>BQ!NzU+H+&zLm9F zo)x*alLf9gy7XBUTecs0kz@P*-`?_jZ#Oh;&HHU*v(nW&Gi<qtPFv*Me1XkVEmj*( z|IAYI>5s?iHJ&`$*Q<^_iFp<iectHtkxgsQRq3ob@-ystQfFb(#jxj25MB+Kmu@Q- z_NZoc@v0*h8#7jazAw1p+UIxowJhHES?yKR1I5wv^69#D;-_A%{9}1<&cFRzuSPC; zyzw>f^Z6nAt3T(i>?+x{=G?B=pJhB}ca^LbKA*d4`qi1M++LgteC~9Jb?eufTs14< zX)~YxDycuGa`W`M^Dk3EpY7DYs{HDtv--Ye4{eOY5AGB<l)N5kws+Hm%IijNT;`Q? zgEK*`Toxn~w4GU>)pvF0(p3u>3)h@$csbQK^XkdZ7P+ZT*Ep`MD(-z4TW#$=_ea%) zSE;Xm`m9o#tGBw?PI&IlJKz7O1f6e5T^BKb=bi711zDf&6cBU2TW@lHx9k6N$+<>< z_p28jeERJMb8pgBsbjz6=WVuZ1eY7~1-W~CYTsUKU-*5`iI-n~Uw>zLbB`xqEV#L4 zZz_Ga^<RvZ<qZF}-p9Aq+8^HpRd@g9ls^6~vS#XL$Jb8+j~~$fk|b+6A8eZY-i-!H zrSgS5dz|!NJ*)w@GURu~zm0P<kGUsqdG6cer?wkY6)a02EldH+S8+Tqa#p-k6UuSn z*uA2AGuZsPj@Gip`?s%O;4n}8^@)dIr_`VO`fly#W5*7qAH5fU-|RZ$aflJQ*Sr^g zcyc5C&ascVlMjCctF<qAd{lpyp51JTZO>f&-p{$JA^MszyW72fcIl<t$8#56%h=o} za|~QfK99-&Q)6g8@xt>-r4=XF8trk?FS3C8<!<!3PM@QE?{BCbzj^9>r|z!sI)nEs zc6@X*FWvrU?<$A8Z~w<#mCDrLd-l)E1aLcIqhHj&cQF^P%OAhpIK4M;iLdRP!(eN+ zUzFXouiPSS>8|K&o(X$b$E)k^VtyUFH}B6Hm7`YOOCQ%3?oE8%cVpl5Yr4#nxk0UO zft#N!l?v<?1#_fVMpVzdG~>A48r6&U-Rd7$sc)?MyW@V^U6z;jk0dHu<4)f+=Xi5C zO6~6o>$r`(s^_c!dEFZ|yJ~&@nJ>Sme?M#VCvBaKE8kv7*j(?pcy^iWy^X$p{^g5Z z*08V9mHNB4O5a|2o87+LYZIX{9Jjan>$ca5C3FACtDnF0s*V#ra5{&3Ma)&vw)efW zW*j+^dBpvS+Q-2AR|5lUj{aJvb#3L*aD%AkK%t(#N6bgrFK)ZwbUGv;ARxnWVUrOT z7nf*Mz>0*KVj`lVi#4`hGl=SyFfCj==Xd4zm}lp1rmLB!pN*|PJhSxLtlDpppX*=0 z+5Eil{Rxlv+bY-edYRw-mD_Xp<BzP)m8$#pzG;6x<*(E7^f?7RA8a=M?s2ZK*?Q!P zkbk8(i)fd`3lE7{*%y12*IOQ2)ZO^&o~By-uPHOXJ9##Fy6Eg)a_#b@?KcANR+YW` zsPgy%YrL-E)+4*msBb=0SNXeVG3WQWB@_6cC&z#cyuPP=LT_=`+=b_ln;nbPVSjNg zKUt>lc(}KZ?nk|<^W49mzBTrftZIKgrM7f&^eO9LhJzQJ!RB4@yksq+l`b#)vTgme z8Bvd7zsOztow{nWK*2e?KQOUZDlcWZrf%-<D>)1{GVxmV#65gn&N=a~g)cr--_?3c z<fglO-$9UM;nnJh&v6Pn`PY3fTXeKc%QK!=)=~oO_|W%>XQM-<Lh@@j2fpBK|H3bA zB?LCG@ak@@+Y2g<=gnLC*{5pTk7E`xjbPVYR;+(&yW(H@X^CB5Z(o@F_~TWfVDFgH z>$w;9lut7(dA)ri|MADGRhLb(uw<_k-*rdAy+0i-q}BHxuJR}qic@`j-E)_oaqJB9 zpt(z|I7Juebv#^d<p|o-G0WfTt4HaiS1KV5uUjPQ)?3Z|us31<eCFKG?^&0h{GR>t zFW*tA#Fgp09(`Z+{=+=Q-=6tLx;MP=4*#<Ryan@6)ay5b&kgzZew=(yV^U;^XI1&D ziLZ|M&E@(1{Kvzss=r^kZ8utfo^#jv9=|B7N#A!|jhHBC_1lOq5S$vWpP$-pTVKn& zD|7Oqm)`%4_O;KLP#*uj`P2#PJqD@udCO|<D3_Wa(|Wf+Z||YPFPSx46U!g1+WK$9 zggd|2PCncHG-lJ++b7zgf%Ey=oaQ~3e{+gzY+9&%7aU+UGrszLl@-$6#$Vre2oy&j z6W2{noa-M{+defSXa26I8en($f3>YU&-=CWuDkm$aG>sZWgEZkf!+P<R$=v$H~+6! zKK}XQoh=jN*Y8n3qyF-}xzWo-au=tc`@#A}?%MsQ%l9<OC9dS&^#~fO7V4$nIzCIZ z-}~X2584{5vez0plvhFa%l=#v+#jO8($9%?haW6LPM6H~Hxv6+l900Gr{8nN7n8#E zYcx%*tfaoCTiuN3>Z|*|^Wc+R`E!15{j<RD`2A#_o2xgwyTwiSy*){{|0Dk%xxNGE zt7~V?H`|z;e(uj6_ha+ld=-5fTz%bczPZc7?Q=e5uHStAd2Zt8b-{MM-`SsSuD)lw z{ye|Y{<Xqp^QRnFug#ZXu2{qW*}DC~_g(Wp%<Ys@{?3{=m*?VTqdLj`&u2{7zUyM{ zu4l1d{>A=0f8g`^DeKo?JALPKX65JeH)cNe>fUuL>h<bp?%#gasBzUkoZ|mB`FD*O z$L#fUK4tztZ#Td9(6i{}HBztHpBX=Wec$&`+Os)1|Kf$prGM-b|IW+=E;`Q5a(gd- z>&UXN`D(6Ll&vmFLNZXk$@Pk;|Est@^2%B=frWOzSiA7WF^kE2?`!P&_xC^8HTCHy z?-(!N^E3U;Igxe_yXf4%zjxN!6}O(PSzePR{pRz{$QwEZr<VVHdZ1=mP3_k14UsW& z^SA8lntf~gm!!Jq0^ro}zG%PyeEl}3r*9T3-~9l}cYC_;EnT<%)qNhD|2{u|Wp$ph z{XNzE|CC+(R^@CupI)C>ydc=_?AdQWWmIzbN>42}yS1|>LGGQY{p)Jwyr$cazH7E~ z&Q_lDDf7I<jPq00*RMYGY{{n|C0rtUTD#r^-=Dm9|NA13>jIBIsMOsnUHts2T3N=Y zYpf9y%%}gGc75Xa2EEC(_okNHoHst_vVZzL;ZOHgz4rKYV)M06dcS@q)rO_d{8Ux- za@|&^i8<ZB4+qVx_S4M&r&<2j`nKKt;MTK}ms|YreLeR%MqT*tp4VzK(l6i7RElfy zt#a>BiM`<Yx+kjJdedg{#{x$|8Km&t(K*36tKJ8!m{VJ_M0#ITQdXz>-r(ByuM=LW z?D0CbezFOF+Af3qC03rLLRt>70*Cci`*rQBU;8fY|KE#7mHAt0X6>=pI<<W3?pnL) z+_Sakep;!&<$QYUhRByw{8ROR*Q^sWTRrDf=DXba=d%(wAJd$FOX+vbI$<+&i>H_4 za^|1!J@hPXd5zTX8_$coH|#vCIsaANx1V?Pa^97kTE2CEtz9|i?5%!3ukdd;|6DHd zbN2EYE%uwwKT9Wmj$U3fi~aWV&(?{btC!bgwcmRFnLY8d`|_Gw?Khtn&)=}~zUKU6 z55N7iQOJ=iIkh}$>-p!3iJvzF+pSf8`?=EP#-Ed#^M76Z_VZ8V4Vza}{GUqvu8|Wq zt1mpYymC#gT|f71^*Ntb%BIghKl#uz&E++>j^BR%dCP{%W191ib$<J4qn$Ia_|)=U zSM26LZ#^47_fzKFocZUu4?WBG`+3EG<N4?B4?S~TUZb`A=JU^!HvDW4wmW<I?dQtK z8+#s2@qg>{yXK!}&cCNq{D15GuKA~%6IXg_`N!bjHF3gb`4&%uZI9c{H*Y<A+wbR= zb6d|pmrdM!PILaR%5OjSsOQ)foLXMAuhveUYj*#fPnp-=eEzw9!_Om{^KY?!`?*Hk zY`)dg%l}$y?dEgN)}Qk!GbUsHdA7vQQ-kfUcEA06QzK_z>8a(VowateTh6|?^TxhG z=b?O~OVq!3j=LYZ|NNg2^nZU+?aW#`<Ce30em_I>HlI&lwqfSmDgMQvtkrVXW_e9k z_>Jer@i+b)(47BG|Lx~Ug`Bd|Q_D9VvYUUm<*d{4npNR9pKo5i;pf6&yR}ol{nQaM z`)%<wc>giG`L(TQ`{#UGxn|S(=M0I(8-nf5Uj6n{Mk%N6%@qH)QNL^W#Le8To?ebi zo_{{@&@+kUHB#4aKQCUh!SbHw{8zl+e$G+InP&C$@~(?^^C!2SUFrApO8%zv&rK4G zmj&BRjehgl()-4ow^RH-hyAWOCusKE@@eqyvv%|6bIzV`{q*v_yLR)rTh6xn{WN*E z;rw%@#Nw5~c2{@5`5dX1GtK(x<z2V!=AUjoJJs*!mFu^jZ+5z|rsUM}qV=_Q;oP%B z{eGI%r-GAk+N{q%`9$up8ur7NM?Jk;m>~vQ9<_I~?((yK$<DmFQk$o23BFXY#LzQ& z((AOHGnSfodmb({HVX&{C@{=^oMt&|<3%y)+>M3NIa`nFxJP;2(mY$RWZ@I1)1{kb za+iIrEfrrMvgcoL&BNaZ?tZq}|NQ6QceOwNRP&1qe?EU!YuDdpt@cV$Pn-ny@g5Xd zcjMvF0F9FLC;RH9`*-XU=;D0UlHIa?|MrDHv<uB&^~=Ydk(L#n+^>BnXx;t%zhXA} zCpexxwCia7tswVj|AXxd{X6c6=gM5+e9msFRNVGRIsM}Cf2;r4%}xkbc=cEWv_LX# z^NRkTQv1(~-N+IB`Z4bL_nU09-!8H%)7*ca@5UREuZA*p^ObIhbpP6s`p0f}La@@S z<h=*q2XoCf3Y7ovTQ~pihMUT-9#8rFd&=QuPFIfCbl*?c&(U(N-NOZ4mv$rn^Y1GM zmW5q8zT(OEmCe3;SM*08eSeu@wp*b5YuUQ_YBx-}fBm=$T8*12`nB-h)9;zhzPnfS zN1lFvnQ^vXp#1v2`|0vI-@1R@c>nQt$-!q0EBY@Ucwa1F*6vb!=ljoEzQfNtR`g#z z0a~+i+@<!9THXA|8(xZiEsUv~FTBB0^HuV;55K=0cs6B4|98gy=QD525&XI_`e*IA zL(k@{=>Mp=-(NrHT=%aX@*jW4G@Nw}lwaL^e>uO|-$i!+j{mWno=_Zl<@n1d-z6K) zE?Ck3lXL%hft+<+zbfW``W@5k`=U=e-86WS{LMX??gert9BiKp<c}Px6-c+P&no}; z`^X_RzbnUo^xRjMGvi!j_l~h{e&7aAk*^!=o<kQC{uBRW_c&p)%B#mR&%S%Mon;A> z|Lk2izjMP(l~<2tAAX<7Gh1_!-M8>RcEO30wO%F5JpMkDZT8DWcJB)7=1YPWr6$*& zg)Z~^lUFz2a>GpRSC4BBgO-(kcC9@#`Hx*DcnS2^55IL<e0Qzr|Es(Iyb@?NsiEKJ z-#U%HZ7ceJ%kDoPbVEn@>&9~*ey1E#^S*N2{`C9JGG^K?wR7J8tlgA2S@~7+w@<&< zw4Bunlz-1&H~;E}lcHZYp8x!NP2<_9K>5e+b@NL%MC!kK{O`#7&5UN!uC;6I>gL<r zc+&mrhyCZ@IZeKeEBY^=2dxP|yU6aDK5X&n@2U6GrE|7*|GL5a$Id!obMTepKYH&k z=Kw8*e5bJgyxxr|9ls*Nf7E&<2CKb#{Qn$yQIkvUoa{e#PeJQ-4U^s6<c$Bno>RHy z^Yj1Dzt8=CXj#ye<J=#ii^t^-z7J-e9Th0A+;@LDpV`+%c6m1Y{h2}Q!`DpwW9Pde zQ|#+U;UBe8pf#tDd!9j)-^>%x<d@I0|GeFeH{xG6^487QyCEa+)$rKI-!d&{6$9nJ z>($LayuniGRkG^G-!iRd4Fl!vXWoBqk+aLScFxB?cIpYmL068?Ir087^X%Y7cK7c7 zv0I*4>~`h&yd&?6#mt_&)b>>WsI5vYj=OT)_u==Q470lz*<A~%n{RjHOZTrI-#`71 zX*!!4D6c;Cema9$^CG)vwSVlKH|*4U_4w}j_r<(s3y(;z4_tQVi&^Ry&kwQl6VeU( zzx_+iuwblpnozA>8(=?2{z}Ig>8}1cuJX5yf7B)=3ah_LwmI-#n141)pnSO+XmP7b z*RKfvPrrK_&qf5w-+uyHfUOcJpU$`6-zZ0|<JS!SkH39d&Z-2;=j-i1pK`-T@asnT zXWwUX%?=5aPqy2C-sFal_}9YAKWftsq%B*~|CM9^d7T?)#J+wM|M2@v<Jp)%`R9Rk z^D8&Z)PI${?DOv>2h$o?^l$IDpRSm5$+h;)@gKEf2h%pL=-=3KKb<qj$ffqq@t?KR z4yDap(LeRz`^lWMbpqw<HTRz{y0J#!Yaw_QxNqNz{+TD=Zx%9J?NYl({bz01VYTop z$Im_b9?3j=>ms{j!GG*tCv1+pa=dod{dDFWv#wteH$iK~Pl|v2IRD}In=GKU;_B`9 zmkXH9cC9^={<C)5fwa{t`oGKUKW}y;NBrx?@Xx>BG@SJdlz&_aD*<=HOTYuL63`V^ z0<L-dU9;V{bw&SAq5bFMZiICGs(Aggc2z>K?yKbeXP`+iS$V&|Y|bm!+B-IN^Vx2g zbp47j+wU(7+K=$32DHX|OV=-p^&ft3Ik+tL%5j|s-(NQPKCgfCS-tlF)8XInYU%CV z`i_uLk>mHH?;hp7qPfCEW1G@i(b7#<ZUq&3pIv*caJA^xu$bb-O;TJsQ*@>TP5G2) z&$CwLw_@ZAl|UB(5fM?5-~b5ladgz)I(K{dzOwg5n>Ww)o@aHxaqj1HmGAHF{QS4( z{Ll2Vvfsb%B$tS|7XEa1s=71d*h0Hgr@#En{_*{r*^kJ#k00$aJm?x>-}{gA%Ete@ zKAp7aJO2B6sI}bHdZoS%ep~;#2j(B1_)Ej<`uu>nH)l5newp@XN&m8c&llQ1{93^N z$z;(qw<{n2UHZF}zw`K1|9^RZYwn4ey_a}8Idc8{^Sci{t6f~fCH>{|Plp>d8B_e< z$^EUd6Ec&Rej0ph#r*T?hn@v5u9@`v%jcgyH)`^x_?Jztvy11Lt>61eGw<5@=h}&% zw+7n%{QdRwPq!O2*;D+}y6f!jbIyL>`$;qB>iOsFiJun-+U?B!_4AKPPMz7Q<y&Iy z=f}64UGMf&h5yy_&$BoDysI()4d36Is@AjeZa+nY|JM8yG5cuoz0zkgw{zXG=T<uy zE2RRxm0!KG`+sxgeE+!{R+^tu|J+e$$9rhmMUDA~7XJb@ZjMPkoqT)g{PPxx#ft*% zmLC85+0ygIoSZ5Co1*RK2e+JcSzNQp|Hbp-SsN_xYRrE$`Pa`miaF;@PO0w?x1Ybc z<*cRK&y;!B&Zke>V0m6+{vo@+HM3gJhAgfrI{)gqvG0vJ`BVH~TK=s`<Cs0u?WfA` zub*eC=IEK7QvcmlXQz88tuN5-DD$tMXGG0D_kKFLf609R)f*xcruaWwP-n;8dNyfs z4Ojb@&zZtz;nGhhS4Y~<FH78fMPvS@#J@FGEoV#JeyXg0@%*x8&NS(#lWRlm=ZhYC z#<sYoYyHdTmsNAZq@PZ%kF}qlm$><w#{4tVzkYt<m_7Tydd;8vk+N?j_Mh*{p0HeS ztI49chu`CzH~jMz?c3=0b^q(2hs(HB*E9#8xZDvX75IGL-TT6ywo0BeEIDEe5n6ul z%I)vWwU5eA-mg7m`J&|Dody0cgyR{1FquC2!YNWHxT|1ZOVtJSFP-ZdezA;-4XrSc z(VzdttfeL^b>_+5gQ^cAv;UVq-TlZyPF^QKRq|?lfB!%EMX%~!=2`^FyT1G-d@6gc z?s|ijf3$p`-U?v8$G^@!?)BfLzrE#!oEu|yzB0bH{@cH{)5{C;ete49f9>CYU%Sbz zzMBK>cBcKUS;jFt)9vS!WiOr^$KE(&a7umVs`=+x52Z~Dw7dE8*UvLzX1Z=aMK=HX z8Kac*OzP?6`3vWtw@JL5HO2p#)886BVKeUDPnu`0oKK&)A=CJjx_PwyeC<}>-GO#X zyT5#nRLZgH`Q#b(<?~Lr8zoXtC+`ihpPzattu@f@sO7JpYedXq-F`lK{_^=|uNx)O zPbbe@KmWW`;^pKi{tH9x=g)3AtLygjiQe_|&%+XnX9wCXW&H*2B^#Vlk6beU{M<un za|7*e9{u(6j%v;|>8HUtm(Qm+Z@8H;#s8ny-<o%#X0v-fo!s*J`R2$QHVISw6Fckd zqFc_IEv_l@{`&c*UXGm6spVG|&OgtWxcRci{3lU=Yvjbt`X!%EetYG7`t%JqbEo(h z&aAVmZaEw5_VdZ~*UvYH-*{tuN_}&X{rvQnv!C65imbbSK7IcN%Y-TZ>%8sfi?^P2 zTwLR|`paial^j0lr<2$GORwpxvp4Qj3;S!7)++qt-xH5od*kbCv;T|UD>^Dva=`P+ z)_vh!uU+jG95OF-e7)SQb=Ts~`=k4|Kbui%UwVO;-=gzx|CIb~_kP^J_g^%-zw-an zPIHGBj4xjl*<Je8|5EbazyC}N6n}rci&$7Z$G7OQnSk5V3N8DoOVn1Yc;7p+?`BNu zrqjJe({HN0SQP(L`L5AHHs#ez-FlnYI837W<sCXEeSTSDWxM5i`P<Z&4<A14zxnFt z`@=8qPfxLX^VO`j`p-9u-~T^9eRN;<|MSl=GvZ!V86R70n|gZX<~hIo&tBUxYpv_s zN4I`#?A~p)ZqG{j-7Ytaa=mJg)>hs-HpgVqWbw?$S>fmYT<+ZT^h%`P-lKC&^J4v# z_gw8adbRPPNA#&_!ReM?x9aKd*DI~_R$q1MubI`iFS+-8?(gruU8WcCr|778{9pU6 zrk|gfb6wf@t9G~E*||s0{ycO__g&em7ygg#U#qG=-aGA4`NJ!()@DE35h~I9onKl* zZ2H3_yDR4x1ukfa|M95lQ`LVzneTcz1wT3N9h)O^*Z+-=Y0<i*nxcvY+-uEmUp%>f z%gd7={|oK`X+LlK@zSzKZAa!DPHy+x^sag7<fm8OYu%mbx9QdHNB5S#NPT?i`y_VN z9We)M_kB6Lgr(@&#iF-<H!b<a@>#cD*1KnCu;WUd(dS0?*8*8nvSY7(7QXEAvSsSV zGY>xr{yv#6<W<dg@!wbVN85IP{M2{n{o(ANugYIueYJM)TlM&}6`r~;-fyff`g%Y4 z`;Rx7i<2{}S8v~ByJyy!3eSt*UH>os6y1Bf#DsH+M*feE)r!LP(^e~=68-MuakJ;f z=Iz_<9?mU|y|(l9r|0WDTOxjU9^jY}{d&`v+RED7l2fmIi9H|QAb7(2zMaXAnj_B_ zUfOQ2J~0a9eE*v__rLz_%ewV4--myx=LLSOFSQJAX0K{feR}Ep)AQ=WlP`Qa^8CG6 zReg}`qP_p_J&#@$pL<lIZ(seDvS_n^>l5r({yujtWpDA^N*8gHrEgv=sawvz#6j-C z-^=q7Kg7Q-TE@ozg~$6;-@eVC&i$&i(|*6E@cQ3Lz6uk*zfqt3!?&hS_E%h(0MAU@ zJ)7;mN4`9g=X%$3XMz6fcYpkxzpg$jaky#D6Q`Ha2`p!#pRHw|v~2Q)=a2MzSMKfe zvfUH@+w-nI`-ATv<{hbjtInjH@a==$$;tCx?7C8TYM(t5W9F{dDRay=RB7L?e5}9I zV3+-iig~%!```UHDmih*@Ji#==$-TIYb^3@m%R|#Y4*92)oSIAyOOU~r^rRNe_8Zq z&FM$HAg|2*THO}AoqvbS-uW!cGA_36h~SS|@@7qcb?yDg(phQtvjyT$yPvOrly%}w zr2OQb3y-#`UY>i!K6~Bn&(^$G*PA8ta2HMD?yTH?;rHaCM%ViHpLMUU-<HJFUVd%I z<(hbdlP)GVjz(9!F|Gcxmc5y6cir|K;+wYce>hiVH*xtCrUyNo(-)t=_+x8K`?5E4 znzi#-w_e_-d@u9d{qsicx&P&Moj2b9sOZEI`PYK!Q*vwVf^UB6`MCbw{K)$9DW>m4 zo{R22>CgTmCPpTS?b>qPo9~wVyZWwd!^IDC{8w*Zw|&EY8}8<w-^oYUYt7A_c}X$Y zcD3^A?L{x+IrrW=m35@<YsJ6M$5ohn*2Nc^rrayKbKWU!ius%)PbYNRpS~*i^v!G2 z*Ouzpca}}}Y%y6?UTB)~?ZuzfJqA+S`<~x4x-wzqzN-_jM&F#tzxtKmEBX0%=ibj; zQMXkx*`ko&*8PH^+ncW2%a_N@aNhrPU)0ytwvvZ$syqJ*yYGIZafkCSJEgF%8%4Ko z*1H{cbN&_k;v4sW*LZ(jy;kCIr}dH9g(W?L()C9=^+DNyLvL~A$8Vfk;#;0?zZ1T? zZmW9olzr(=r_zq--LtcO+Vt(uwBK1**MCdtxdh2GM?v;pn0LP@e(kTT^Lh%Mf2}KC z<M+YucUbA8ntePkQ`FC$eHOiE^Rc<5_JtMgcJX<Bf6lQ*TNPHkKJRYk9{fKozidYU zbFX*1%CYL&`+a`SpV#`VKX`A}dl2uO^KYS={#n_7Hp+eUzBzM!z?~nqTKBtdKg<oi z>-qQaB6!MBe<zTC?4qGt&L2VbeHzhsb~SE29C_`2@bVx3kEO&;_q(Hc?&HksPmd(! zRyNN~vtPNR-}?CXH}{Y3uDCzZ&*{7Dj}z0ZQXZWzDs{QJ^L<LM_3PT@6{`)(Z!y-U zU-g`|V}2^1`}yWG>vzZ)eLViJ-T2ftc~JW3s#jC<XgeeKZ}s_~k^k)i?jKV#-Vw1p zR@U6M-&8^G^i|>1=R197?T-&Tmpk|N;^ucO(-%MI-v2>W;S}HdE@_X(J?-CB>Sp`h z+W&9KznO>O#lpFrc4vPkZq?iT?!V}EuHxl)7r(!B(r?9k6a9O3GN5wP?)A=9-yGwk zVz<6HU-Z`DrX~NfA9WWWZ4=l0W%;|fIAQ(bApZBEcNTt5IBI)7qM`hSO!S_^doI6- z&Yy7c?-TFCDeD&p_3sNWY_ABv^SOt$qW#<CN3zf6y4~065i8Mnx4i9SocmI_+VDH> z7wzVDZ9gxhxAgr?ejA<eq$zKWosX5i{4+1;e%rp#>uufDg$soCe>f`ov`bp)_>-fz zpL2-+&)Orl|AnOg6z}^2mTLO<?A&+!2!FiwhwJ%@MKQnHP8e2x{APLR=zgj7NA;_Y z2|vAL?$UR%d;57oy?<9Lbn7k}&(lc1y1mw~`pMZXdu+dO*52EgzUx;^cud<F-Fu?n zpH{A~tDp3&`g-V{Z6Ujbt=8OkK6B#1!F}u=r?%;Z@7ern$)mI)=E|2x+S#US-|)Zm zV)r@qebbb-zUcoeB|qhLOzF?!(3jGpeb1NX&sU6jReb9VyWE@VfW<M-Z#=b>v%9#b z;`fsWLC+7)<hO}v4_F-YdegO~nTtveor-u?u<~!pGba9T{277k{x10jub6^<pFG1V z_eTE0qL|;FZ`GG|%FTTtzV_n#*!2&-pHTbxj%B)b$L@do7FJ)oXO<#&^LvKlO_z<A z%0IFNDd^v`GeN5Fa$~Q3=M9iN|GuOAg<U=Cee;%g*5Lx%@7bBRcJZxOc(-Pc_B-FH zbMqVi?Kpp7(x&43n)e*Io@_sJd!FgUSss`E_^o`DcJ0__xmxk}h36`M?63UKzDnb6 z+(E=5fY`IMo|p)|d6+N$BOx)rI`K>^xA?sUm7D9PKK1PGDQmZ0<!0)a-nH)JtSjbj zno~u&+MOo7U6<##+;nesMa2O_?Y#%=(z`mjrtIJ3{QLK#hYzc5^Yzv0KF3X6BzI-{ zuZ=O0@AE4Rr_J`?qA|b6`PHWk`NuP+c6irzo;LatwrFa_|JmZnl~c^setvclU*l78 zzAF5y=jU@4zxL$*{vE?VZH4#x`>U0%Fk6~Bohy28oxlJ6A8$6LUGa93Y<-a@A5VT} z>;1O$UtqE8)ykVytBrQfhzgEhw6Ujj!`Ee6KmWvi{daIlq>Ehi{zTdGMSAbp&IxyV z+i#XU&3v!Q`rPI9&yMV`a{9phXp(wjMB5H-ZQcHN)8Du+2vYobVse6x`TJ1CSCh`# zR;^xmR{h)g`5pD$&)ZhL_n)=>etdQMrTP<JW2SAKx8>d{AHBT2$A9JSx^X<+O=kYP zb$1JNFSxC6-}(1$)nWFx!Ye)`-YVJHe@^W}`*OKeHw)sQDM~MvD?ERC`}OLF3K93t zTPwLKeECr=c;{O55ssF%-y=+}vVQ!r=JD39)oX9M?{AL~4azktd~F-%U%K%7v2?lB zp)t89?QY#)?X=2QEpPAfS1a|t-1~7Rd282d-J9;et3y4uo;<SldxY)P)_c<PE7yb- zZdLs)VH4kW@Al)itXsaK8&oVSU-!#zIcae3!NuzzdLo{-Wj87<kV}2h+pPI(&u4k& z1BTjnjq^=QLf-59X2@)w<o<rup8aAI7gg;y=YesBCoZa*f98%wnri>Ov(?jX{r;VL z_5FO4_my&tm+tLZv#Q*F`C4V`)4J7mjrYX&^RI~Szp>QukN<=3jH^GryTAWV>CLag zFYKRGiJfMyuV4NA?a?FuS^mTxQs4W2P2bJWQ@<Dg>aR<)p2q&~+WPySzpS?WnE&v- zYeeh(@;E(*1wo2m7wlt6-udb8$)k4hNw$(dc2{1W(s<|b`&D);f6ZIF>R$Jc_1E(S zl?AGvrM|AM>zAKUnSH4$KWGl?D(**{`Gr}JhDv-3{JAe{BKND(fFm3&ZzpfeSjGMP z3_KvupAJ>LswTYsUh>xv@v8iqXB(rAUY+)4@uvOy^Q5<|m~Pdxl3PkP%fh94t%}~) zr|LS+`L&Lzzn?CA*ZDui!Xi!e(IoZ4NVfO?H(&ask^lC2^2U;>TwD2BFTK9Bp4(+T zck-&ot7=`7`14KurhM1^bHB=6#xSq=yq%$czUHg^3g5rm7}=VuukC(SwJ3f@@2@8p z|1>0refr^2EBW;9?{ij3a(h?*TB7v+;Q524l{e?6$(+9{%s<Z|=E<!oPoJ$__2jYb zUiS0z73Xi5Z}V8RvEmDBVI*6=s#NU$#)TGd)Mvf_xbD^aQ+AOl28-<;?%)d1Qjz=X zV=!&?uO(XfKX$)oe|e~8-}C$zxy6Ov7b|a`pT4?9Zg1)Q?pJ@W{Z_3B`uI<JPyMYs zb1UP!?{5`Ykn-ZA=lW*PiA#67zhCuv)AfAG7bz9z?OuMh&g9E{_tEpbx1f$>)t7a< z>b~#sndLmIy5`dNP^Ac?FE3)R-Vd$({@L%+l}n(w`|THcFZB7+I(@BQ_nx2J)0rH0 z>vQ?j?<Xq09~Qa#TlmNB7%SgO%kbj9=eiZ)U(9WSrhZq_>-aUhPH;tths_hNBSv51 zo-{wxO=3AzeQo#r%S>%ME**J1`P%QUipH$|d%HHeUw2H<@N0M#Da@b$c-K-5XgD+T z=U>%4^;@he{Aui0OW#__ub%btm2*$btBky=E?OC0J1_s<!QPKv#^?9MEXggAO|fU1 z%GKE((-@IAe}&f13jchY^Z(WErqq7Qh_Fag<^S($b^4R|ijW(7j(=LI*Ks^uc713} z?peDBpXHx6Z}2~JXL%>v_Yc?XJEWiGUbpkjN$?bkoc}uT-S?K&4y%0C#CD!<6a0E* z_p9m;tM;t6yB_{@?+F&J_09Uio(qB&rpLXKx8nW0&9mrI=cJ^!(fh0KSKj_T+4Y#o zo5|8Yc5CHduJ8`roBvU6&!cMXJN#j_`nz-VPX76K(aMljOSJ0S+*jwn?*0Ge-{X&! zSD*iypUT?t&VKo2>*{CMtg9G#Tjcgu#~M!cxxJbFW5cyuM;8B9;(b&XSuM08q~iP@ z{eSfx?P@odb>IE6=j;FD8(-~<oVr~~*-F20p~Xti%wF^VkqaVLxJ!P2d@Ek{UTE#5 zJy$z--gx|e)%n?PC(kk8vh}$7+U;7?x})E3tedU(?c>ch8@s!)yB|g^=nd#M`u5Xa zaL<w#cI*EN%$_kbrckuKwxRyKf2f*x^_RFM-D~1mv_$V2zptxb>aV0Z`_9+m^GcUO zW=;>?f4;N!`TEYP*S}1=44I#uWxD_QztP8EELx(g%(z;rrmX(8vhsDtv&Y{~MmWDR z`ezd79rL8?P|?%xox9$-eZLyFKRmpm=F@ZujaA#fecrg^_)&Yk(?<#gSA?ucUhS~L zednuP!C&hh+<V`>y3afG-UnemwcGq(j+?F7H><dI$I<)Vzd|EiD<}Wg{igZlq{!X& z+22<w92c}Sbv<Wfvo8LA|F5Sf3kuG7$=!Q9IWp__z0-G2p0{>-ZJ~DlCX1I>{rP`! zF0)_!@~vgj5*6a#$+WSj^h5T~0~NRJ>y`dhXE!B>Dd|-TO;7!B%vLNoB44?7mdBpT zVwZEDeWzdh9XZ37pF2eJ%Ho)t_r+g#-dp!#DPQudCe2QeuCJ<kr!Pcz-l|%(U+omQ zx;y&!`_aFh|Ez9>?)%fQCvjK$<B!cL`yNIu2w34RS@mB@-sQV&bM+C$fRz_EY+K^6 z!lm+n^|J48D_7tB8r1$#?NrLW!{_)yxb)Ybx4ZtD@9y=P`}!^Tcuu<ef9<(`^q=6Y z`1if6eUX|6te<SYxo7kB_dDPH_fr2@`p3K4y!eG+Xw_c>o)5<^z4KfD!~D7IC&?}I zR&I~pp{>5}RpsBhhlkSVzb<9J{&DByx03pE&$?Ne?ElagcK>qR#{S(_5F_u3S3Gq& zU;5ww)~$-xZ?UhR%knjPwKCp2__MJ1Ub~5K?6OyLrF61&_V{(RR!!N+@kdWyrDj`M zs_@&j|M@Pn{(rIKuks()TLC*JMg3pRu)<OD@%Q!`f!OQuzZ=t83?%nGnzy*Fr9SUZ z&+khAliVI={4w<<bL(Gbz5B}kqyF7v@w@Nsrhd%+m%r!V%fBTh|7$muavfjuWafAA zJ<gx&%-+BGTD>k>|H`q|XU?nYRlNT2_LN&}!$OPQyybohdPTNVQ}5i*s9&~SZ0kt_ zwSPI_u$&~mezI?*Tuk}ZkH4l}e|B=^={Fyh^uDT!PkZn2-srWUNqX12>g_+`UbDWx zP-QaPytL<8<IjhmZr(4us=~{KG+K3c(h@VC*6PhaS9>j;bUWs$=p^$8f8(=~mi}&O zPI8zwBh|Kg#jH&(%87Q}$<E*3J^py-Ufk{F&u-lhmHrfU+VWxj{jyI#_f^}}J$-yG z=gEJrUw<!0#{c}he#QNs`MYmyy}$bY-O0RfT=uVdYVc#O)$FuA|CVuQeLvp+WZtYZ zPc!SQ_Uz^5{rS++!e0Kwo&O8?x&HpYQWSUXWB;9eu?r^e?dAKoe=EN#_Hb=oyzA~> z+1xo-%Vy_SS!loC|Dq|X_V&^i^FyDEdP6P#d~rB;p8a;+XY0=wCM;9j&&tbMlz#7^ z+x~*N7Oa2Hl@|Ye_~EYD&-MHAp19gyWc+b0UvI;jdH=3`x^X`=deMFRo;lJ#-@h=w zUi$d#yO*j9?uTDL`LffW&td<Xr_K+{-|@$qH{aTLF!b?W<`>-Wk5w#KS6KBU?D@M& zh0y1^_m`e$ev!V-H>UiX<-1F-`@YO(U-9yzzH-lm|F3=vSFU~f@4)*PFHQc=tF3+g z>%N!TzK=WX-rm0c{PG_4qVF$FUcctQ^gi=T>b2*R;p&$^{G0nk|M#Da&3DR{EndPo zxpdlL{%7YSODCLe)8Cri+|A0%TDEzu^+fTSkDN8T)$i6?mQ+32|G09l`j52bzy9uw z-TyasYa{y)CH+4$ueWpSE!$dYo9J|1lRJHB-oM{=xBl>zzvOC`+M>Lte%YLxzc0&e zGUJb$Vw~*u>ulA8x7$Up2Q<!&@mX?Tr(x~=%WHzgc9p&4I;b(Dv+Cg|OIzbl(H8<9 zc&0tsI*q?I-%2kw(a6;L(Cp*kyMi9~zF_&j@FmO7_dD!k_ipGueLwg8o9!j3<q>W6 z2P~paAN*kbx^Qi{M93w-g6Zp2BBLW(wwEkrxY6oX@wsL3*DmH6CBk=>YI|N26MLu| ztI1HdQ1ITRsZ%E%o?dpn=V9rh5>?;mU7OnZN;8g{h9p#T#{ZspJ<3jG-@N7-7p4h* zmfC8meO#_UUaIWLcFV@+tajnY+~U3nTRtd%mHOh#iF)__TjLI9T#N2ee#IpDu>6(F z`*4Pp7u0rmhu@nCafjNg=v|K_((bU7zw~1JqOEo)dRGv`8z<#Gm5QssZ{th2<csd^ z^Q*Lf{oN=1rfK#HVZGUxjg|k)z7Ks9DIY!CJoxXm_uuEU81`LjTDkSZ*SJ>BTuH<A zfwMOky<se08!T~Uf$YPzrKc3GG#^&p6S?E-pJImM2a8$CU!G!!ug_@NUUD?}^lxwg z1kL+mR&;hpEc4Ae>rI>ermV{m<f{b9C@yJdcdzgc5A$j_V7H4g_6nDf-Vt%O@a{)L zN$JY9Pb+>bdwfY$EqJr?p30i9G9jP{DqkDUpnuV=!aH0jq1;Jn&r)serLsMTcVF-M z{dxIgy;#X*d)Qn+X2ykTAG&>YdmVpx>%B*ycwv19vXd!6T<W22Y^0`TO=kJo6<@iW zS;SXcuCumNOIBXLRfa$QefXF6yleH8N;d^CZvF0b^Z)UA{##didfDd`1@S$zdj5OW z*Z9|>Y^E9R71?`@IKDpkz>yz*zlzW5f#hF}gR#4WT5ntG9g5y{iE;WJ(TBFH*S+}u z`{be*Evm0tPA{8WxSRW@ZiYs|>}U0IpQ297AKLz0{`cW)*IJ#IyVw1#`|l}Wb3i=i zp>#Ien)lNkS6oxukv%uaLGIz&QZL30+`S*Bty?oiX5W_GX?Nz^%a*_NnpO73b`CfZ zs=bPSA1ZUWXZ8cV*pm%)M?rzW)exJ}vVFGsQtiIPW1z@SaoC>(3NzLNdM1aWcWnwP z`f=&qtibb*Jc2Q6p6z(|V@6N!o@+%mHGlI`Kd|%gY)p2q`254~&+pF2=ha_#2XDU^ zyXw82X2DM9{q`O6d_Lb<_Wtni?+$7D@1(OW<?5bS`{|YQy}Wgz{&?-m_lI{oPrB7v z=n|{I`NwZ=#ys{VF535MGaeMR&)e|f<<5onb{F?bxW8b3^uXJH-=AuZtLCdV+*5m1 zJ?rcC=<ucg{+^NDZyZv4{k4>&(ATwJ6&|QYeSGK4EWVa~e$9cyQEF`QueH{$UZW>e zYIOFO%X{{p=holsw0f9&?d8dd|M~uwy}l8$|9!;qZRPy`bI<yH{at)Ip*}oU!adtk zz2>ZSGxJda>unX~3%1099Q2`g#rNjFA3NV2wQ#Z*V2`g-vfViAN82lx*ZCa|i~hE~ zYFWN8IOsvq@tT!izrXy@!VfAC96y-GXYJdvD`4)2yBlvkC~D{1l<<0IqU_|J*20!| zitIHn7nEGcQVLYRyk`I1{lVU~_W9i%XPubLgEskonD_Pfqs&(+3peI`_FLyykucj= z(_3x%Q<rV`|L`w)Z1eZ?(z4uwoyl__m8f2QZ(Y*5|M`i!_@pEg6_2|Yqsx<I0?+?o zsaw8psdht1hVY&CHd#=y^|S5FwV$$or>zs=y4AYq-vZ?y68lP8IWzYjG6~SWCU=GV zG*5d;?vv^3Y(6%y|6r1;*=@fyj&W+0^uxUuGQY^U7;vSvSaWw?(`LP)3rZkC$!9JK z-s5KV2<LD+*i$floe0D33mo|`yPCral=q0A(vMY?U^PAz3oU1sYV$=M?EMg@vA?7> zLF5ca`Ab-;wa8#KKUBT&&n3~OKm+AFOSPdX@X-R%I~?y@KY3%d;Ol>Ou!Zj<zaH7Q z`slT1^80w++{-=a`oI2wuwX^R=?f3u|IK?Ue{$|&W+Bjpx(o~qpgU=^`yRXTw5apv zh@I$v!eQC<u70IUPi&a4`=*<r_c)}cG`O1QPRy$Jopx)J$?uOBZ~xnuZ@+f;_5J0! z9nreSTmHWO^~fpz&!3MUd(TXd?K}T>df!>uzNAk-W?r}YcYoHx|95#mo_}E1GyCjx z`G;o;>z7|#yJu-(xKW*#N7u@dgUpqU5*xJ7*B|W8Ufs8QQnuE?7c;8wp4%b$!Rp=p z?~i2mw*3%oek}9$eywcbLGii`i?z+2(hHtfhJF6iQ|KNZ;97Tk<#|Q_McQ`X_#1y; zdCBte{EG96@i%Hb-<>IR50CTnuVz1!y!8#s?sLEANJOqTP~EFv(7*1*j%X{nSVIQ> zbxw8ZdyNc2d>X4uTp4S>zx>d*PNISRI^X*>;bvaUb~o=RZM)uiQFP|FD&47Oe8KwD zV_$!I!8Q5guNLe3%^a%55AEJ2mG&oHdo5b={C9fEQH6UCWx)o^-iutHGli{Y(@xhj zRjrCm-cMzo%f4UyMR0r6xAwVTz3S4}?RoL!q!Z_sxcqZ}wU0QzE!(kK?n!yt)Gue( zAGZF!zM|*m?!(oJ?Ju|v8tjsNcTtr2!-HE7Wn(25NT#)apDz-BeQK0+pu*<clHUWS z?_8H;@czTP_YOC%e7!24-SF%Cy0ymdO4s!J%9dvey}qQp;Ks|I>J#t(?9<tlX5Ls` z(#q)f&3aGq-ZG(AlkZyZS*$I+R9$2Fy<19kajaKgi)!p=ep;3L)KT5PV(!9q;jfmM zmOff_(DtU)<Gyt{Q|2w0Q<dE<5t~@>AjtA~<?-JdwMW)Y`<wW@e8CH^r>^S$VqObE zkAJon_!zKT_T3uxS3iw+70q4<3e+n(|JKL1e|O5eDB6@*Vtr?^Hrs=PTMt|BGIB6J z!}q@Rk$X5t+r_s9{m^K5af;!rf%P8oF8NqR3AgQsZFeOx$i;vh%xrS_&4)ORx{}re ziMxE?Uvx266ukY=w~prm&*sC`3xAMiLGk~6VEy}6&3p3iZ{jB2;1iSY?9czuw)#Nr zD>IKH>!qGs9shV$tZ?;Hi4EoN_~%*KJeqI#?#}-6#;?8__MhN(Y2G_o{i3LYQB-4f z$y4^v;B*wOxPP%Wv-44r9q!>G7s}`Jy@xvUS?imsyT-e)ro0!Y+%gZS&yGIwt+eY! zm(T9+GLa=m8EkfN{ha&t)PVp))^`_0S-;#}e%Kls7MtU4YViI@Dej&Ds-ma=kt<ou zBzLuM&Z%|xLyPW%607VzZds-8hXr{S-+pK}r~O7vWy+EMb$0?9-M8}amz6yIzrR0e zVQ0rW0iMOTPZo<!nrb~;zrW|l#i`O-9~$}?6yCfzmD0rc!14COy%X{w0bVXP=|vY~ zb?Ms==e{fS8&;QBOrMq<T>7+U|7yD{Pea#Vk9+p(-+|w&9zW}E++v>-X#dpYX@$f` z+x&!>t3@t1LND9g+qy1To+oRQ{s+HWAEUbG?+(>0wd>&&s90{tb8p>hgZP#F%)1U) ze@*;Q7TfyMt5)Iy>%Eoy&D&pVoe6(+xIyoOU#-NR*zm-CLGo-RjpeTnKX|)~>+{rK z1`D|N1k1DKUk{zJ{z^Mz?1Q<#3@Uc71DVeAg6aL0_Jg-eMW1PZO>_{anpI!5&tDg> zy!XuLtKpxy3-gV4{ycH`>dVW`V#bbT-)fJ<TK_5A8~5$pXX)t==l<t!OTY4(yYf)~ z-#s=KkM{rmsdi-TG<L?_pQ<l7X5LA+Dc@n|{q@F`=Z&u=!|Tp{6%2S&Qepg{^v?8) zlNJ3{{@N+~uRHZy|I|TKf0cVD+__Rq1^It9CTu7_H$D9Cz9X5<{gpSGye)k#<=pn{ zZQSvo`);E9e*f$5SH3(}GjF2$;a|~G<+@6Cx+)VUByr1~NN;J3{dx9z`d6EHB@<N_ zi4%^uCC!=Rj@y=29I;pSZ!3&XtNrA9?5zA_h2Ptz*N4o@alcR{5x!N1@tb&*`VzZ} zCiUM=^Oo32^&H%q;LBJoC|wwM{D$l|zu4t=44xUR_ku6?{&;^*_~GKZqJujogjWcE z^P87i%j)5z{b65L>~cG)pN%yY+w~OmUP(AN?hU>kes=TfKI^ZIOKL7D^#{wFdpu~g zVzastzhYgm{ER#5x}OxkPW)9dNv(gs^PhJ%m1SqnRiB7|AzqX4{zc@zdWK0Cxb|$` zCuFhzv@1CN<B5s?;IAL~9kQx&L|GWXpn~!3wToH7+!Cx0*NW`<@OS=pvtz6~Z}iTd z*`cBA;n(-~@{hHCuYdpkdwu$2i@g2uUteE8{ja8?=IrhNJ8bKJ|M>gk=RUdP-;ekI zzFYtPYxVu|m6d18>#FM>{XMat|Noc5_5ZWp``bS}YJcPRt^394)peh~|J&BT^V5#& z^<VE-uiyQB=l4y|)!*;7Yh#gXEU%3zn3r99XXCSteeOG+{|~(Wbz|Xtn|H4l+P!*w za{9@S%lG-st39V(zwP_h_wl=LexCe3{qOHAj|YMk|5yLld-MEw>-zp$+b^FV_nG$B z{+E0>Z+?yas(!`4t?nDb?d^~F9oTKxvfY-o`f9ra`@OG<d){i^SZ&8*^<f?V!(9J^ zabFcLG?v$L<X3ZjTgBhVx97EU#qHn?;k6tk4`SsH-JaL9_m%Sk=J&q@?tK$^6DrRn zTXDVp!?xuK>wgKn7&Uq{K!$z_v1h0eI<Zg)Q8-+`*d@#<!TK<aMgQ5~`Qf~W8~0^Q ziV!`>qpD;0`p?ZX<w4EWGViXhzr6ml_T7J9-~Q~k|6gPM_u%)(KPsz!eb`?8^LF-} z_~#EFHtXMb{jT=i>F<9Y)z-hso>zJ2_4j@DTb~);mwflL^?Ur;z1Qove!r()d*}D9 z?>n#G{M-#K6u$2+i<S4UvHMn8@%4P`-gj2_qHligUU&a%@wavFciVkixaaeZ^M$oF zN@tU0`}5B44*(S!?`__F4v_y^_&mAj&+79h_x^uo3@S9{g9?rA`RRZEAD?hQ`NO;S z;Rk+(s)O?HkJ0=)ntz9W{-ssD^nX|X{S&{>EL24*86IX$29*q2P4;{KzwY&X-6*ne z*-IZ0rQnF9Fn^!<=D}tD%P+rt`seH8Kj*if*8f*iTmQf0|F6TxKcC)H7aRBZSE-%M zpT+lY{N7~~_kU`2-G|!$d;S&A|68^G|BLsKroqPN?C*bH|98f=zxwaJ@3XJjJhMJ* zYyaWjrQ<d0|7X14>;G%V_pR@X*KdB#e!skyhtr1Xefry7cJF@Fy!rg$#eDASyQO=! zZ+gxiYybNBo5=g+wQrm&9~aJl{Hwxw)^RJjyYv3L$6i0)SN*tfe*3Q#{nGN2r|<u2 zx$|rJz0cLv@xOm=Jv&eSkIn1<_TbjZ|60~L@}`X6SMfLUgWCq0JK${tzk~3$K^fOO zcvcE7fVU0iHX)iFB6r};j^z*F%?@i;kkOE4$EeY@9QmUG0&18JwG{Fzd~ZE-jmnWF z`&q$V4Dje%V4tw3fD7-NP1+wDx77b;X20QF@IQFtR1?F=##*<ZR<!EyUp%x*Yxm|k zf0dMTm3!{b_nYr$E_1(L?!BAO-7?u*+mG#AeyzU8`uFF(^*i4vUf%ib{@m|hPUh_h zu6$`Fy6WqbUH>mxYA^nFzxM8}@JcQ5wd$4jS#xc(?%ma!^!$y6ZTa<+H`QZm94c3? z6Iu3k$+<`Tjz(_H<$n?j?ujP75UpqU!S?<K^M_>%+u}a5H{^ZjvuDVc$^uEe7%~#S zrhQ%YzU-yM{qKKP{5++hH~;v_(%YpO_oaT%i}K&s|IOeZ$NO~}v)5notS~-iYqiI^ z_xa8pZ~n!t|F-ty&zpYgYgcazzCV{|cKi1^^LH%S$8YyYQNC-|wWlZl$uswS53Q_M z@;D}OuQ=n;r0@6H<v&i~clCX}CvM*x??;dO9@?s_>s9Wx`O(o{qmdQ${HsxYeURzK zAoZVnl)ry4-1D60sOsO}50vFh%aWp&*DtRRk6m-c^H+Jf0MxpA`I;k(kNNq${__6I z`&Hdjtvvs~<4gMdVcuuq>0jPA_g7rG(V02--`sz(r5l6PU*5m#m?L+uxbD%Uf3+V3 z`=zW6*IwR#dH-s?uvaR7cUyOyJzRaxKjzYYe!EA3$?D5Wf0h3#zk1Yb?vna)_KlSl z=YMv3|N4H=-6reJku84z_f`Kt_nosk@t#Hf>94W2KJIn>0V;a^tJ<r-{130PJ+r^M zUb*u7=^ZgDk1xiDs)x`2af<WQ>SJ}Qu1&uFdQV+RfcZJzd;PCo|43eF7Q)Z^S}h^` z+qHe4Hu_z!di2_T?PmA0ztwgweg4iWFm}h{PeHMn@@v&gr(GY0X>f>T85HN{N3P#5 zJ?CR}h5YSLufBAiw)lLMKRdQ}PtBpr`;sr6U-LUVcK`NIOKlB4$<<%$-}ZmI;s0%N zl|RpHd!Kbr?)}8ib3bpZyS}{W-puc*rt9pjiYl#s-7HOCt6utTcii{GKVF|#TXOsS zzCPPm+g?{_ZJJ-Yw6=Qg-cz?%ZN6Kn?Oz_V^IiR|XLa)b4eF{tIp6N|?cK9FR7d{& z@B6m-tIu_P^}Bca!MQm<&V2s!yEPnS<o27#zrWhMD7^f$$E{D{wH|w4Houzr>(X7f zdtr0mo{Rpu`|+vL|F_M`<I9hK-m^OTHkWVj9^d*qw(n=pFx88$RNm-TaQgM}-}!ID zzhB=o>p=ak;+_TP`j$(%{oc3HQQ~LxuD|?M_A?_A5X&m&#uhy`6KL7{(|>8u$?SRG zxK8qxY?iru(B)MsH`__aW+UF6kx!Z0nA#5KxA{&8+O&TC_P5WcPrv@@d!Sj1sP1u= z-|d?|=l^=Jd;0VnQ}5mQzcv2c|92jl;b$A)%xBLz^1t=?+pjnOD?hq#{qNp$8TtOZ z7XRm0+=-k2*Z$F;oA$>y3I0z%AHS33v-Ib`3O|egykApavs?4S(%1j*2NiuRzjCbf zq}|V5>mN;9_j~c<XAx8Dp6&VR;=Ql#wI$!5cK&adA1^i)tD7j_(<s}`WEa;t`*Qok z)w+M`8+QCO3445$y}wKP-&L_fMf(rTzdJvD)jp?tx#=|fip`Ph`!m0E$cJ3{eNTSr zibwBP@P2K7El`)}ekSzJBsp1G^G6}K&s2Wy^sBl5dvCkoj)?wsR}L^=XO1|OcW3*K z9D|yzt^XHSu9q|T$@u6{Ws=mJW0n5J|9`Nn2S<gri`&JY`n`DFl*<?Imx=HHp1*X) z?|743g@5`-pC>lj7vIrYVDx_dQ`_X9)>)@Nl-+T^*jIk!^s%_){2L3~H-DP<x7MmV zVyE5BCn2W4Ph|PLnswt#*&V+lt-qSmZ}k4wpDy3Fe%puoFO`S&AGt7WP=5F0@UmAg zFP;~6x?}%H#z^<id_nW;U)A^7NgU2QHDUeY_5F95-}5-cT>KPpgE#g=rSXcXc010^ zc>k)>;Z(Whf9Lt^VFt^~mE~7t`?ScG#?9US#rD$0=W{z}3x|BEnDu@7=3}<Me{Jj9 zKgFl+an+u0_y2#_3%%>}dESby>RDgEPCjDWt9R~~P4Lx|CVT#?{0yEipZv9Ef0NpB zr|G5BmLLDOLHhM4@R|_+tvA`H&$wc`@n!B!&ixO%{S(;VzuNW2%I44fk9*FU|F|^$ z(Z79j>i@`s)`T3=f8$o`zgS&-j!$N(ZKBh0P44QY2LJ2jWy_j(m$o{Vely-vuQ>nJ zS4s2M-QpHcf?uXRO0x}k-1q2})`q#0PaZkT6!B==x;F-AYjg_Uds+W+e`irY^TxJg z2lBVr@Rd39@8;wGpME4a_F+%o*NN^|Soa*vyV6<8I)N>!ad+v{gL~F$GdHYW=~NpX zuGQA1S+ISb#)AA}BdD<Cy*(4Y76-5fn6SRPCOWay=k$kd>v$N}ElaD24j1zhwYwQ( zv+RCwM0m=rYg0{2Rvgw?w~i^gxZ*bdeo!pb?LXA=PSSAwJ-h0sJEiaJzQp%Geb4_j z@0@c!tu*_ce(dd6FJsk9eoq9y@~CfEtL<}Q7ie1dV&0W*sL%h}7OP+BeJFWPd{+ED z?v?wSpF7`O`|?C>`SiJ;p4^Zxb3VT}E-_&4U!MH+;Z_Utc+P)#Cf#@ccHV(EKRh1f z#lCzH&42d8wslvolvp3G4vYQx{`=QmE7G}252Xh0u1-E&@AGQbk7Jkr^8M(YX5T3L z`p}QVVee+gwzt>+ulw%>Ub&EHe(mAEyIcyqTbRn%2g{wg{dx-HfvX`4_pQ|y1x*=6 zhl^a;ZYg>1+Em5^SFiBo#|iw}>xDPG*4e)gzq3}`DEIX#DXS}qBH`hUYc2P@ZNHyU zsgbikKGErW&y4W=`FlUz;e8jI+5Z26+BJ2%X_wmLk8sLwkp6n9wBm=oa^Cvz31>fw zRl39;P=4b!_s3s|3tyjVu8a<6V+ap!{k3gf2#;v+gS^;4hx^Y`Dj>qfdny%Qf8QqR za8YDObhyhkL*2u-cNsD4m^JHRZfvAR=#P}*j}`yVseNm|eC+e`QrBg<v60U0Rz0B9 zX4<~}?}zTHV+A*Qe|;+!00qhSbz2Vqmwa!(`nSOB-AB&#+<rav?w2L(3jG&9soXGr z-BrK;yrk@F?oy-Pc`m)lpWlCXbjohE`e^0qTx;(A;9cn{*@Je<hi|{0Vz(@MvGJat zZI8cijW+o_pYzUI?aDp*ZlGj#FJW>y$oXPkMlZ4+Ju>`zCo^`X#J3rsFqd0&JK1>8 zT5ay7xwC%yi}zm>UB;#tSR7Ms<M#4!{uUegvOUoo*BQ**Sl4F${Q7yv<UNMb58i#{ zdcc|6w!PGqVYiX$;oX;}M!vpXxc-Vm+V<B=d^uyzMa2KymGj)?X19&o>CcJ3e}DM# zR(!JLYwkViSM&GzlrL;NEm`&G{o(S<ljRz0oa_w*%bfkw*5)7YeZsZ&^%7p*m~$5# z#om09JNosmUtpI0Cyu*^Rc>56_`P2Lx5`)Bv+s|uDxLb}ef*q{|2gX>S#7LyE@{2? z>uwvHUflecpAGEqUN2y~{k`)3^Tld*fpdK}+kLFpXWlB6-}>uW>a{$E5B0}tmq#7< zDxANuPxt(X``a!}f7DlRJmLS{1NnSM`94YL?rwPdJ2icgMAG8?d%PN{;ydOnRIgF| zWgBg6e{=5%<uA;i6Qcdss0RwqU*WgsUmFL<TlH1K`*`0@Vw!IJZhBn(`(^6343$08 z)DM39d+Gb>72MZ3<7K_&!QRTTH`lQYtU94w!f%%l&AzrdP}pg%&*p#Y{#6UT{QWb+ z<&LgOfnw*{1J&2w?_9oeGWXL>cgnX|Jv=haZl}zvP0?i{zYo_b$v(erKR;_Tzh3&9 zV6E7RkL;Yoe^m2*{XI!wv7TdATK>&Wn?>_Ja=vk^jSgqXTp+rme5vfe^E>Q*Hif+Y z)3al(HZyCiuj^k&_Ya0SuepRjRkda=2wQY_h4?hdd6(WC-e3B(i{0jc_=SYyUtfOZ zTG6vz@}9U=&-`YNV-Dtr=e8bsJ(clBYF69!($pTq^XES7yTJ0A>!8Gov>mWqvQ}Gk z3#0Mj-%kJ5YO^FSnEfy>R+1sc7gU&pTwpuH^Pc<X_H_b1je0x&v$NLhoFk60SmU$P zCWkr|_Y;9N|Gj;We7&~fjE7n1Q-=!+c+Qjs3%&eyJ!R$EscCk0c6N1(t|!%PuaDmL z*XMS9rAg-bvh9zqtWGMJ^LFv`==Xu||8EM<)!cpTZ*SR($LkXK6Ev1xn%na`oNG<r z?|xOczk6PrN}8X4Z}iT-e~<a2`4iR6rCu1lyMMCg=FuJ1zb_S5FdR>vek<;qTdmxz zvLp7*)u+YHelE`Yc3!5uB(>OUN$-r~S(XfMdjIsTGtk%wT6od1=5+2M>s?6<dFzDl z)g0P+sp@I|uQk7_mKIH7ni<<z{^dx5-NV@DetV|bJS;i>?5^0y|51G_=9ljk*dEo# zx%=3jp0A&m_U+#1{PFvW^9@PqMtN*|daPfIOf=oid1tXU&xeHiL)NdSGzZL=v3n>R z8>wmQSebEMs_?(fl^yQke1dg06^E>MeL8VwquQS6y7aw|82&%h1}!$YqrL~U6ycc_ zSeI$drk!qxWgGI)WgD^AH5Y079DD6w@%hiQUtPzg?=gK}6VBkD0n*HLLv}jn`xmFY zGT9$LJez&w@8|T#a<P^^m8CO2^sQU7=gz&B^3(5Q;tLn*|6}_8qU&HSJRmMkof>pl zeRlSdHQ^FUJ3`JC-ur0iC11EYwf@G=eUpBF*Vb37ELzMGwT}DgzuHF&%hc~g@?ZJA zWs&xG$ypzs{k|D;Z>xme7KOTr4wDPF+noHqK-@=tH|x9hWwM~<Fw^vN_PG>4+ws}< z#nUf6{0D5pPWx@hu07FNxb#2&slUH%7RSY(5cZorJ-_eA(~=V(T~m&@+C46~G4=k} z=IK@Y&j0;g-Xwg_W`Fpl$<mWjGN%_l|IPm~V7Jr0f?s=TqL0`+hi~$$w6uN9Y|wvo zlkgku^}%^x8W(A^9Dc#|Qz-W5{L1IMx)^ut^!xPN%?`XML;X&qhuFKEeKqMv&R0F{ z<FZ+mTCw5v9{JcrqqzD*zuoc$L2EtA56x{=*_Cu4E(R33a>?K3eb_hA8NB#_6SUf6 z$4<Wr_i!nb!*f3D3;Y9KB_j-4B~ws5_e0-0lZ-aYhqa3#t3cGj%S^y=n|Xn!_|R{+ zkBdR>^V{Jb&U4^w=^^V~mljAqYx&;$2)z7cE+|Db%zoWcUb58D_zdTJ>7)IiwGq}2 zL5qgWuXDbC;nkcrL-^j#`uhxbV$}DnU!}gYe!uUwM6Sw7&v|(76^QP5Zs~kL_!j5; zJNxw;?iSAckoSE4i&K23m1ncQyEs+3;r2%1dl#p&oizY?PFt1vYx8TV!vFi;9JAf^ z$>GBc!-ul5mdC!_yeNE6cmL+NO16?6{tx70B{$u*$~k1cYm=+rZ8LC6&7AW8^;S?) zec1qBVT4GkIg4RQRqwz5?5|zze|B#_VEbCM`FBfs$x*Pg7i()TZSyy~-tqhS`D3=b zlrGP+<(G*yWH1j`+`l<46I92`#RfY3|1cB0ED97L*7<JXQlQoeXhDm8jT&aI`L58$ zp*OX7PI*nwCHFg7H|5LL-G27IDkRS5(~`T>b^q>rV_di1>iXrPxqnu@o^pCkw)Fee zeY5S^yq~AZe+~9)moZ<wH(&V}>t6R1@G6lj>>QbE4_JR)xUjB!x<R~Uy~LBjyAA}; zl9RuuK7Vzcw|%Ei?Uv`+OP|kvrMSDD{p}f+|EGS=-Orw^#=aB0BqU(TTRqsCko%Hl znF*JnYeH<izy6H+b!o@z{E(0LSN`JBC{x>0x#08;{l)in;j2RAPaRbCM_Co3?Jo6x zbzS_U{>J{Dx0}2zWsC0gR@?_I3por~7UF!l_ot1r|E*uqQr{(C=iAw@e*RNvp|ewq z)9p;d{uRzLY`?i*K1jW$KIcMsRQT4y&7E6r<xXsWT5_V${84pTLd*(wm)5zzD&E&{ z?pYsoz5S9*OpyEvhxM%fR}2>&ytm@@>Ss4YkI(((5M-A*@%Z~|tVdjJ6F4>m%P*h* zJxJc;l)COGi?4<4EOIN77c~EEeP#8v@nr2KCHWxvmHq0OpMF-`-=C{~{$BAW{-4}` zoA}@G+FF<V<oS5_{9pYG&(GWxKuo>BR&3-7?s@UsK0lj-yG&7~P)%u?AfMs3dcQwm z%fDLe`}fP@uT}k%PsgV}x3B;I{qdK^?T;($ZEJtr-uvgN>ACfvKYTc-{-*T1-S?N( z|9bCzm*05y>D7Cmm-bi3-%ek@`MLSGUt7;tJ}X@R|JDBQapmue->06>-}C;Lgir;` zy{{izKF7R%+-2HdYIDf;zgz6}<Hz=X-mxAui((zGcKrS?tDWEf*E~zEj{p5{>ulTo zAOEhYkLLwV(ER^;zxD5*ibt=L<N5#o*^zWM`F_3Ij_2n4<gXr|@b94Vjn(tzlhj8S zT&x;hfik)z2hSR%U3={qWoMn3FM%i*t{?P!%pt-W*gPTP!~grz2G=I<+3+SnRIKsU z(ItmJ7`-eGUh%wa_uXlC*RNlG|NQ-Z`@U`Z{p<CnJ@4nm{VS`T`}O)Sso&Rk+U)t! z_BM2T{k#38e^34T^Psl=&HneVzJLC;_q}hH&9U-7&we(3?|(M$di|E~d;EV{ywCnO z=d;!4KM&5w$~UvfHGbb*7As#~WA_X^1^ao|<&S%&R@Pnky1DM$ysx#_kJo7U%RMiS zk(a(y;d9*C{QUob>t78Y&$BuA9JI{qN%E#Y*PcIlv%m8E{@LG6?e{-RgU-RSfl7~m zb*yvbOPN6HvmkS@qwBLq7p#r0;KN+f_)omHhABbk#9A4o@&R?Fr)e*D(Zpj0n^~2W zJ^cD&4gdc0v3&mb@2}Ul3uUI?|9@)z{ruOTAAYlq{de@~&)e(oZ-4*&cj2EMe|Opc z{T%oI4SbD8`MvMwYtI^k*Jy-h%YB~vaNhlo`~Kc|b9#Txo!9f<{(Tz%<B9y6+PA;& z<eYzBbN{QM$_I`;@mpX2s(AEy<N3ok?Zv<CdR>v9dj5UM-&ONBhu7Z!x{?2B^YPlg z*N?kQ`b%wg+5Yd}wXR?G`@zR{{9gm*`RzbWj5#*HtmpsSym$TIKey7(zeioDaeh`q z_0{$RkY%2u>n-eBwvR4Ax!(R^+vtj%Ujp}FOO)ncI?kTRd1CohL>V#XVwSWa1Iyi@ zTkUpF{_o$m)nb~4f^_BcSw)FFj_S9oEzf5?QBm1>_r}}vC9}_)yp#JZ|Ghu|GV^_V zzvb@N+AaTD9Iu)8b+i5Zdokg0>2}4>y08D$K7UiP_}}LE@^iCapV^wSZ^yg)mFLdQ z_*{LLeeDO1`vzxf4*p)6I`8xRv)0#d_U&A^=iD6i&qrTh>vzyP*7QAe@3sEkuM@u( zeA_qS^RdS<UeCDKuitfUUDe%R6J39%oUyvr&m2^7<ne;jf4D2x%4>c<IpgaB`ClJX zX5RUC)vEHFWx3qx($xQ6YM+nRJ^L=-7}CR<zki>W#e7lCUzhk9_CHuF-(cP=R{N5@ zA@0L9{sXh!y7zrycF?bQ&Hli5v1|M<#s%VgzA}GUc5#vZUxo|Z_kJ-}T+X;Sy^i4p zYyMw`J(*ixc-u34Y1&@LaL**_%TjrUs)Ny>)g!tf<M-0V_`0Y2j@`F=R`$Q%$G&)v zaog$Je@{Msye9gb^|7CGX6pZo`)pKqqB?Ji?E5vsmBz=4qwX2^et%r>Q~tmF!LNVs zG243eC(k)Q^=E?a`<Xn})4tD&-?3x9OwA!>xwfchhfn^L|9NMB-`Cd%9kkpk*Dq}C zzW*L3xi7x%&h$geWuNX<6W7}pF9+4LFaFo(RU6gHu65pje?-piRP|Ydm^=IV?H(E) zn;G%!-Tim>lVjhk5&r)Erb3y{d(-^|h5zk-v>ca6Pnx;Aes_I;>Bh}|clM_@-`Ke4 z`kzBb|Ned;ESEf|GxgoSxBqOf9X)4N_<tU6((zAmpSi`qzi;-h=qXg2w)6M)-`^v| ztzRGcTW!`Md%F6pKCzbSf6M<ruYm3Aqr!u~jrPl1&pGw>U-jP4Cgt`rho9!?%hw$` z%U%@wQE}s$uaA!|V*YS2;={Fmb{+f2?-uFbw|6icG$~<dr(>$QzBJU{Z|}SPHq4=J zeL{$3Xx<&E>4L|rjVgckz2APhvPZc0qM!>8o7%fg0TKm?4i^<O3Y1OQTJoZ+VrD*7 zP*T#{6yWn=qM6&pSTQ}N9TG=eMW%4}E!rK|**0O@zvpxA@4auk-EZ!$+WhZ(FBk6% zh+Y2bcYXh=_qF?s&9k%FxqrKA6i<ly)a$0+)ggWDTAt>Sd+wXU<x=hTa#k}u@wRPL zmS0?T{)yS2O-%pfUimJ!VOTEv@_b6;n=kJV-nV$kQ1fB?dy_v#XVkaWe_($suwIe> zanZ}ye+>99Y4RWF_x_(|lXO1+p21Ch|9b_8?jBFGK7Vidd^_Fm+dkHQTI1{V*SmTO z`!CnqTYt~Ix*_{-Z+7-}v2&ZgUAkf=dh6djCX=q-^**;;9|yZdzdN<u-+y<>*6GR9 zWmhh9zP9e}`E_ez*Kw{Zy7gykuf9xgtjOK#dFL#OeG8|G1bw*MuKree)!co$&9kS< zZ>>$2x4if5;s0antz$pEyJwp&ay{?3-ON9m{xG~fJ;glGWp|wL#HAljwuhDeE%q&# zy43dU=cS+Blf_--7u<~rj!|tD`E#gT-eS@}kBRQN@vHBgE3B-0AANk&|8;BM?WkeR z4gQp~KlcCaQ`@d@+~EEDQc-j6@7(Q~F{w8foc;W6@#N2EPP+L^=H5K1wAAX=&!>lu zEr|`;^mh6-9=+4Q-vm|fU3((u-Z!mHtK{T&yq6aK{5V>gA$8l+vR`ZV<XF$&`~TO5 z;>V|+{JEL8f7_hg{d#vc-7bCqes${mMd$m&?aEC)3%tF3eO~vr_n)5kZ?Ap*T|WQ! zw|CZ3zkmH&y4mmC*L}So8RGx{PQHHBs`BIh$?pwsy>^X0X8-w<&+mINB1yj&1j!st z`JOEPUH$Ezl_wj0Zhu<QASwUrP1KhfudlO;)*mhYSXdixIz4u}yw#n`Z<qY<J6&H{ zvHQMI=f2wiwU0Z6*E0tf9aDa(vRJ#Y{qMZA$LXiHzF%?g`V_mXpMTCjpZ7i2Rqfik zxZ>Vh6UwfJw|t%6;+j-_=f}g9o!=*v2%X9}J$dEtrR?)(-Q9O-!J69Gzh&?FCw~ik zyou-KEu$TFb>H6=y{-QC>EW&N*ZR}4??0}$iuwI-Ys&9=wf_p<R=<1sbld$~@%-2K zsn_j{`F$;Z#@T)1HxGRC)$#q4d|v1JzRmmRpS3$v_3_yc`-0k<$KjiApTD(q&*s<e z*MIH!_GHQ5w_i8te!sa{>*E{l75tkltO~!}^53_-V@KiH`{fV#FG<>8S8^?#S1pp6 z*pXioyZiC2kM0*;R~^4=f9<)_moNOsGNSc9b~SIY50>iq8`V<3_u)dGdv?At%|;cw ze?>NH?J*4tnQtyBck0Riw8PGld(yl#ny>Fx(rCUuS9r?7{dYeEu>I#d>@4}mnwLNI z!+Rr}DF^r8{t(c*{hMj1mdWF?7I!gyV~6>3<7YN|rvHiQ7BM|DzjyLe9`57E<Wuio z{Cw2?{fcw%b8Y`h+ZMHmFH>3C`=P3lKlJD9#mam3nKl@Gy*Q)6sA8{hn^8sX(S<y5 z`Wy?n?kBI`m)U0Y^EI=WMsxkrhYNZBg|@XD{Ro$qJM|zwxk974e(l4BJoEN8RIr_o zbF^trU#~Fl;O20F^AB!nccg!KBYMQR;v3f^Nt<fck394CHdVB~fB7FI`V+gN!VlkO za6LPv#o#99uHHAVa^jA4pJx<sEzv$cVV?Bg#_PwmK0op|`7gd<V!hIynutl4+$*jr z75<Tb7}<T~;OZWq1M?Fm9=+JPINUm8o<hmi>DR<rFTH&~f6Mbpt7b5751;&gqi=k5 z{-5%{&69sCudU~bx-N37=t_J9_tBU7g7dZp*fgi>ikyFNdSlGPwS|j+=_J{1S+$hu zuebp?zHfd!D4}%s$&CvZD-Ugad;jyT@LzG~1M4+6&itq0<5~OD|Lpa&m=O8=eSII+ zOnfx+S8<uZ`3IKP9qAwD$R07SILG%$(k7k#BiH@M{^$4}&HMwF(zcJEB^&rZ^6Sq} zYp%|}uyy{0TZ=E8k!GE|?u!43!+#=o^X}*Ux5Mwx#Tk}K>G8iijg=3|y~}CYEp>02 z_nt=zXQz8H8`iu1xD1My?^1H79;8Z4Ie0%86gTrh5%w1(QO(1j`a#~nX3D|)*%cbi z{}+H{oZH$D%+?Z}ae`5~d$F+ldfN*pl@?|1WpK878|szy<>k)}8k?$muH6>uwpZF? zpsHEhGXGZoG}do6kNFc$JbH2D;_3TU{jM)QnM+)fw7;n2y7aH^hE~S=7W>MMKl<ZX zeQ146?Wey?RloA1`#z+`tJSuA|5e;_{YIP7&(-|Sl6%VQx?Vk=J71~Bl0Oy1SoCN4 zIsdf!mhb2M1@BqgyH>paBl2+T^>(9wAMcsF{;YmKTj|f0pC{RpTfV=GSK3qlU+Cf9 z-_tqX-`($0@&2Fcq3ZAYf_1O{EnQykcX$7c|GJ)9CZC^i^y|c>HN<^xIcC2wdoQ!| zuHb14mbmSAw)=eQ33LB9_Jkvn_JVOUCQZ>hRQ<WMC4HUP`77-^qh$8Hn!>q-JtC#B z<k5@Jwr9ys;nmHGbEUQ|aofM)+q={KzYdw3rAO~y{7vgO)4%>t@h<fppT7TcZux%g z{fW5zzsJ_s&iTi{7b!E>qUz?P%KNNsCCTgd9Q%3oyz<Wzzv6@EFWj?iB71#^TtrlT z#;n96i$2;h-DLh8-SYj}VUGPBKMhXZpUtoQN9oyNjsGh@_msAByq}w{w5NPt=ZAZh zYKN+y&jbtDq)UGEssDV=IPf1x*ne*NnmECG*5_R+-rER2-22(5<@-5fu)vR%pMCu6 zzkyT+Kc6Z0U;g;}lS=<z+%C{Cj`Ne0JN4i?s8rbw%Gd9~$t1Hvqj~@74*_iTw>|{0 zoxj^%!B&5)0LlV!TlY(y55KdwBmL9!cdj<gU}FDuBo!7F)jq9h_jmD2fP>+i+>x7= zk+-{7D$HSe8L>F)?T`1Z#hd3wudmr7t$*7)NK@SITJQI7nc;SqdcWUG?$Mq3p3{CJ zH#p<@S)Z7*azbu<hMk`A(t6h)n|O^X*2^yX^E{LNPrENTE%Mi`dmoi?{F3`c<A2P} zI(>dJdmlgF`E2Um`9A-ueNW}wZrt<H#T*pV*YEM~{uo!k@59R1#c`JP#oO<0>9lw& z(EA%^YItE>Vo>^=l68ya=UqHM`}M6&p^p2eyp7%C_Vizn{{^sh`Zag{Z>x@(_VjYS zS>4@UP<eX4dYAP3uiJZ<@A~-p%l1oR?<M~WwSygUnXhE8P~6?c(_ilTm27hE6i;S5 zNBlNNw)b0|r~FsC%~=2F`J~rBH`LpI`dA+)zh8dmw@XjnYrT@~X}Y&o!{@~9t$Bj= zSKC;gE>GVv@9Mr?{z^Ri<vZ1D^yWw2P~0YV{FBfA>)$_2-{)nw`O~sHU7Bm<9#oap z*$1!RyPWCs<q((Xjl2HGx9)s1>*aT4egi%I{cE;we!O6tV$aseucL0Nt^W6W;_lz4 zx8_Igm#@q;Nxr_;V|G{h*46I}IX?fhyY`Oz{A<ydFJu<g_A;ezd1__$|NBPaH6Eq2 zdTQ6!MRzT33Y;`Qr9^px?VP{&m((8Fx-nNWM*jaN-qTy(f9h_}zTd1qJ^TLB>3rAs z?aq%`S1YYAzpi%sy)7}nzg_!hW4Pa7-mS-Lb(>#5{vWle_O*KY=KO2-`)l4kd%ErZ z&HplM>W}T0oB93FJ6&*XywCXkuZr9DYH#z`x99F$zki*V&1<!<j-a|C?!D)aw?;2_ z?-M^Nzr?t1oom-Co9`Nz4hiV1N3XbB`1Z%*bzgtHKlc7#(AobPFXVeJZi{&&%AQ#p zAl30z=}`6k_bwIhf6wK3U%OxU;okS<N_)Ql^=p~`tM2$8(TAp+oh0l1YWEkLp1c3M zPJLDX_v!$_d%ta0aJ>I37t&(?>VEU7GwTy(RXV<j>0ToJ%>VUmuA;Wq<j4KDR(-s$ zE&l57^N3)3!+95#-76Bkf^KoVziaMN@qVA;p<;98c`Ntpom<Fr@Ar59z}hcO0;it5 zuYE856hu5+zVG|ipB9Iz@BenIcwc>=11z}reXX)hHRH#g|KK|IUY+8q{_XJ{AMVvD z9;*J`%JKfKxY8bw0H~h*H|Lgq#4e5c{QUwC_x^Qi`TmVt@ZN2GmmiDo>1V{Ll*~PT z;L7O-|LQE;zTA1izsD}f&gK)p#7Ce1g3DBvc7KXLQnkd$h_SxO6&%KI7yN9xa5XN* zX=2`w+C8U)U;gW?fB5?TJe&F~-jEBomR*>(_@C*T_O0iQ>R;}M)Wqle_>T#^Z2K5k z`RKr7<yY@ht=GP)k-ZvU%XR9?{LRf3Z1r!>@u!tPIsfpF!0+SM_EVBIs?zMBIr!Jt zn@Wqa?H3x)WO{ilW>=8C;_~N{)%G*S@0PUZ|F^^K@5PYnBXa-0Kl%6ZoZ7Q>ZQGv; zt+()a-FeAjjlST&Ee{v+#Qo0`JoVtbgxskId+Ya0T&;g~Uq7l+@ZRt5-Gcw*PV=9- z5)W4Kb9?tA$vxlSi!c6Ff8tZ?iTfcd57_WQO0Koy>fIgdzB2K=thmpa|K;V+wV-<O zo4Bf2y$dKle{sCuE3a|7XWmD432=q+G`~(d=$Br7v*O&355Fsi|Jdi28`<{NJ#BY= z=ZDnzW&hnP*4w*R^yX?z-v7&B%E9XA%U9Ul`>A=zn7uRo)#JPSU4B9<v!ky>AMX7e z-17aNxhuSq+gDRQ=i~nJ|3XjS&z+B>BD@E(>fYb((u*MpwoE<XV(1xXZ@ts?D)zRL z<vNa@y>;z1&!(PamwU^9pyTp>Wl#+t+w%R{YmW0Vy7N}@7jLa--M>oeDbrg<DR2Qk zbz$X<3s>(Ycr0(zU3pP|&EDUi7VrDu9X}`WuUWm@+t6>Ux3o{y3;tC+6`#wJ`s(r8 z`xE!x`_&u&+u|>y47eEIr2KgwH_yvsF_pcaSI>9<H1X^HWp>V$zRLXnR@iLV^6!Gl z;SSfwwJe+1pH1d?f3{t)PVjT$6#L?Z70dn=pKlL;{PmFad6%!SIt`<eBT?X=MV-Ic z&C~hrUFpxZ2e&mF{U`;suit_iOxB<VQ#q(P1#W52H?x^?u=u-D+{4_={#&nm9xmVk zh43eMOJrxY;Q1fzyTw0(#ldk{@h<Mj$Njd;oHpm*WrxJTHyNd-xzo4qoxzphaa`&u zTim~cPxh*O{88$(FY9~W{rAVTeLcN)f83k5XS;v(gWY#4-rKT$ojv`r`X_K1cQ2ED zrm^Uzw*p_+8wKA|Jv2Rc!oljd0#EI)@?F#4#Q49_9h6J!R@RyAR<f7bIp3T8tIcD3 zNL$UiZeDg=<p0d?7J8?b*T1v9+57#^pEv#Bn()iwygxR7cWyaaeQf^`MNnJt{g%Tq zpHDrGf4yzD&i<_S*Sq)cu5<JJU9xuZ_S$qd``M56>x=*Fi`W+~|K?Gu^;g?q{{nEe zX#F>LU19yxnK%Dz_+4UtPx`xf`R<SRcG&;_ocE_t?)$}Eq2HQA<-Wg~U3LBQ#kNyb z@2@0gS65f3uNLf?xnu8U+ezDfHijOFo^x_>h4+rVp-Z-NoIIj^|Lxkss+X$fA44~W zF@9QqWzy>(yTYOuf0EXHdi|nx^c4SPzCV4p9KHV3{NmIzo39=_r1o{=t;jsJ$=6mT zeU&{P9X2)Qxc0T6o=e)>rhN@Ku65k1RyO){X;mZ7w$8m?Yu>p13;1&G+p`n#UF)7` z)lSao{?a>JHT<c0Sgz^I*)L>I`u<$CU({SHKFizhXXux6YX8;+<%Sjo?|Zd>vuAbq z%IwJg(yWuEe`mk&T6c*%c<25v>wng7{<eP+Pm<`N`ri846-&i({eIlvb9YO;?CMXR z`uDFFh1NZd*}VVD{GD!_9?gC+_w1qiPjjAV?OU~HYW$V+zh*66|9bt#Wj_PoPph1- zA?vtoWnXBlR`?1Z*3Z*5v-e&-B$wr`;ka1bd{UgnP1U%Q51!sV8#wRPGE=uq>DsC5 zE=DJn+MWtB&-!%7Og?DZP5;PKbyND^towMw{KG-!W_C7y9ytjcgNlR?2Ocz1Ah5&E z_CtO1p5^~`AWog1TbnaAT=w|<eRrmny<+=hCn28h;@o(u$H4Ua&Kwc9HyLlMPR9sa zZ!U{{x|VU<(FHHh{+n4G7-2Nikm>9uOHZ+xflNV9&%SKiAbO1B^3EQXy9SAx-YyQ| z^85D}pSQF<zcY5_x3FzbswaK^Q+NKe_4Dd|-#_1({@hOdl0t$qqmTj}(Fe{y7F~<J znVw|t4&1@^*R4dR>&lLEDXY6~oY>K4Dl2W*^+)+d=!>$L<FEMM9J^)m=A^}T(ZuI{ z$Bycro_0N5>Tc6yfA@FiCR?V(#V#|<U-Z#b{_&C9zAu`8YhBXS(9+X#)8^{x@>-&( zsi)~XW$J2`#$}T>xkTz|`l~ESS(eOrS4-gN>`dn0PQg~wv?l4jUa97t7`}F<Hg9%M zq#_5~wG*<%-@FT0Wc#^J?Q~x{Er>tJ%r8?Sxozt*xg|F>@_s#>`XF&Je}VM58HsB& z7kOFKuZfC0D7z=@m)+LJ$&>8$i_^cRzmE#6zCEWg=$>VP*ZcW>2aTQ1T~JsnbNm!r z-{Z(|s}0{7&tH*djbr&_wc<zqtr=Zik0u>dpYph1rY5Us=c2Bl&EFgQidMzQ-7Ru3 zube+A<lg;tuKQW<?(<ia|Fu*5t4uOa=-JA<t7{kA3s+xXXue?guPgzxv^jhH`&{## z%a7mPwe9ol*H*XQ-<~ihK6bCc&Na)HrR5b}TovkBT2NaQd;8b6QvNMl&mFa~d_MnT zY*D6kSWo?yqIxCU{p(+S_U)g?b^Ki9ogeFGioVR$^7FL+^yuN$tGesk=7;^Be)!zi zVlgur8+pHg-}T(_Gb@9yZ~teZSNrZ+{lDMScILMl8||*D+qcQ$?)M9Cwk;LbYfJwB zYbB?2{~oz{Ka;NSy}j$dpj`OJwI6QR?yq?h^tt+>_%<_}olnjlKg7-ce&(;|%d;=r zS3kaLyY~M4d3k<+7L>nsR=<3GMQNU2JpcOW3-|ZFKT}>7`^)>)hf{{Tx2>}jK9$VT z^x10OwU0CU*h^~sj_1jmzn*b*WAO3arE9Jmu1((B=PA4Xvf+h~HCoRfZ|OT;Vzc0J zu~pgY9g)Y^^v$)pw>EiiU#95Oji;Y)o@0FY@3(pD)o;zORj$#EF8%rM*}a0Fd(!K- ze1G!q!`*+Y?w%DdYYXLn^Wx-}e17@J>zC(rnzcrM`<CM87W+_tL$zX*wA`zcDZds^ zEATH?6Lu|q_>SjPpv1PcfWBv~-b-c9a_rZ7`r_6G&r^X@qEw%*&|iA$;_Q{{JuP4P z-d%j^cd&0zU)i#EN8V`MnwK?6AlGZ};-v6bwxZWx-Iz2fyFp=v|I>N>Kl{U{ZZura z%xEWAtGmlXafR6js~d$!!<*KaMwfrKGPs!W>vxu5alpBjCqK4?M5p9=_R8k;{Pft` z+`h*y!06MCr&fB)C0V3>l?1OBPVe&xa@R|`bN^!N@|cq;7vCDK{l5N?o;2qK22^yQ zy~CmGH2>7wPcGj1ZkJpuE%fu=_t=vEag!y38#KI+%zFQL`HE8tg^`_k`<s>Y6Lv4^ zH;(XO`^yqrr~b44$B87_`R-E3j~v%4`8hXbOYM)>`WIf?_iKD-bIYvN*7g+JYoVbX z-I;OglJxmgYelQ~AG=bszv!@c#oo4mh9%lPdm7H3+EsUVwu*m^(!1+dYWDjdp7O)C zUGID6T7yqaX4<cR{hhn{z-{Blb-ri6?`AJPvi{D7Nx#p9-MFabFiTzgeEWUtL)<p| zmp%LaSM*uO{my_XzwcKb>U+j<$tLpS?<VCR1xDvU##S4;|2fz8$l{1iqI)sR%BfRT zy7lVfOIZBRcKkLqy`SGdOPVE<qgH08XxSF72~m;P-zU0POnVN}y8oEW!`Y1&DqOVR zWQZzk-L&q$y~QE^djik1v-aJ;pV0T?FI$V6LR6yrYKE0lOaIChw#3hn`wo(<ouOQ} zTS4Xf&9xgAhc;X}wX3e*>d<7cYwz}3KKfqBaZ+?5+(PfZg5O&#;)6f`l{+BwaW}Km zWWlutpPWqArl+c&Ty*;P;nU}scCYUTIrqJzsX50t#|*9_?Kc^{Dp#w*!Y1kL`ti23 z<+Lp*ENquNV>`&n;p>{$D!X>#lBn~iye7Gd?>{c{P&D{)jqlm-zoSjfInFtG)IHyP zsPCFb5X6q|`;YrR7zaNBh4t?}v!q%63K;G8v@%lmYyb4SYjIs+(Vg`xf7U&<@`%fS zykX+~Pr4lL*(xss>ijJZd4nzfEBvhEe&mfi4G|gpZ%qP+^7;0~MU1O&3r~Iow%F=e z_kI0DW9Exo*>jm#wO{|LJ^AtXw<9}1{;uP1JMun!!^HQ>VLKe$w))Il^P%?U$K3}$ zg96j{*89ET2lLlI{{E1&aNn|LzwfU3o41FnuHUlGzZV=T>-RiARr>zf#jo9>MQ3aO z$(8>(_vw$9?=h+UpKkB_B5J|5`k-0P8+-rNYhvaGKmV2`yy5win0No?Smyg0gmwKB zIXC-{w9eIvdpw+O(3(?1tkL%U6TA4c5AJ#hy|Di9^H=JTJ=_JxAL@G`VLJVF)z0O4 zQQoP4c3lP~?D*(E@0#yNxoGFKxJlIRck}ym>ejNiYanUNT&?52@CR$D`?K3VX@CFW z(|zp7-&wQXfA{ne*q_e*<hs4R^H1A^GfLMg!4V*Gtn2<irk|}>63*63y!u&vL2~C_ z8*pMipV0S1ww?Rl#R|I&@8(~&Pky}B?*2V>R!qF~{%60eo0A`vPy5!r<-vN(i+h4r zXGWB)?#axIiBm7!m~&xOvEqu8CM*7Dg<qc$snRZ$U0mqtoA>0<>5t#{R!S)U7k^oN zuJS~)nv-&NSkNS$U3>a>#9Q4K{`gtJv@-6;^F-Ue)0I2kYRzYlpmzg<q{hq-0ilSP z;_F5mYkzM#R@M2czsYmattVUjnr2yNUA@m7oy51|hiCM8S+mFf?P)XY`RmJ0XEHzj z$NWaYhD}WvKC5__bBf5*t?VDtqzx8H#@F;TadcU|>0!1|P--^d(U`r7X9wdVMn@Kx z!z&a`lH_){80s}RHANp-u94?B&v4R?grX$Ac{&p+geu#`(`z~ldwwa*YPnN?eec`v zv9sjP6~Efeyz>2=dFxldyB@lK*Vn7pV($hU<~?~JGBxps^HP&lKi;o%G>TYwYwI+J zWp)MDe|M>||J>D<XI}DT#={w3TW#v=f5~Y*KhS&JF7oGn^E);kS$ks+YrCf`vwzyu z^>N057hQ@oUy6viPrm%tIN5xSM*pJaE~O`h>MgcfN!_ao{&{3!^YR6`nMYTgX>sp8 z6riPg`l5-{nSWD18a?Xfd;RiH{c`nlX-1Br@)^5+mF~KGp^Mu+A!xB%k9>C(&%cz@ zg-<`3Jg@R7{I_&soW5q|-^SlN_uH`NmG8)lpLxad@AkmF{Bz$zUavQJC!f4{);9B( z@tb$}pD38szPN4W6rbn+u4+VA)NB4-nHMm#YVViFYv+p<s;puQTA*q>*JE96h=Kjg z<<~!S^PYGUT=j4Nv!DL_CiOaQv*d0Wwm<8)Ib&L8b5E)0PR5%b%GT4^i(Y*FSa0+H zH`CeqVYTP#Htq}EVkgJ<<U-|(Y8R9L%X^>MPk8>nXu(hU4BME~ee)%)#Wp@RKi0SO z%?iV^JE!{mdt~`HEsp$baC{^4*URoV3wt&${yKfpUpMw-cT4Lk3F~8<k{dQAYgxNV zSTEbW_|y%<`Lf|GB?-5$9>1A=bECon<++ConXRWuSWnyV*f06G?wg40H#=s__Dfq| z+mPJ7F`3zVo22!;<m2m^tT*sS&P)DXJJEJGbM=>hZ+y#YGTvA`JMqFMW&Xy+J+kf> zOH(_(TVAlgWclkjL)jMNGM-d-@#NzfWm6=r)iy6abfeJF`k18kvJJ^m3GCaX--HK- zxrAnkUak6HX8QY?)Xy#HhSg%MUka*<<l3ige!i_?UP<5A5_an__A&+YJo`(pvw3%4 zlis-{-L`rj|C@__y>BX17cjr(Qoa83%J-X}!yNo)=~(Hn-}G2E*<IH9o_Sfx68=XY zD^I2$>wEXcV$p$bd)A3tclF5ry;-<wV=|w06?<90^e^9jOC0;jd}`O3`ypA(*Jtmj zYka*nX8*yjW$Vg|_I79OjgL5V+S1}fQP%O;HxkKjewbP78J68S+jsL=U-gZ`y&E6L zmFXCl-8tS@-7Bko^W%n%$)eWt%*yVZ@0;E$>%ZZ#Z}M@mvOT$PD(1?*m$a@s*k^mJ zFZNA_qx$@5?;ro2DI0HCR&%Uxa*yoVzOHrbRg%_L8<H1nTs%YexukW~rsPc<7wcQ6 zNm!ptI$nCLPw`De)*Fj{*>XwiV;djuKGxTLW8=jeh04}(CS^7U`sPbm&r3MYmwep& z&5!1dkDtH!apJ~DKI>UqHrdLrPCh=pSJt<`?_BdOY3sfeck|@q8{fRhf0J>(&nx+O z=9?J_Z(bbidwHzS?#+z+HxdW>jvniq_~ys7jmbLJat38R$;XqA^__fkBj?SIIkM{| zt<yF<o_?&a^34s|6ASIjXP*E3zx(1J@ehAyU--_abDw{8n)||y$%58aEM*Ur4?VTC zlaDz$Z(gJAuK9KSvOnymr`lUK?Thi6uCsDtql^{*`A>iJyUPFFTz|pLcWXwWsTKS5 z<!V<I{{BvhNV@gY=&pXZ%~`G&bF?*9MQbfTvZdJk`RBG7bLO1LvHPgv+rMmOQ&VM# z_sUhDK5H4i{VV_NUgm?j`Vp(H`+wOhborkBhVK&(+zXl9)RZlg|5NI|w&B~_>3gGj z_HfI*zqfT?c+#!t!}sR1e_QlF?sY|Y-*=FnsBiDgKEyq*$UgQnt~2v}^_#od5B|=2 ztQ!pC{onLm<JUhInd6?bY`DTNPB!UvsE_F2n4T*B<LA^F-~LV9t1ex!n$`Pj-{;!( zN49+Tf1_*sA?|%e_tfyDTfgn9-K8q>3-)jy{{>b(bMIxIJ?2*Ta+7O7ikZLFojvfo z^mz1=Jwli7S$(^g_8|62MS9P-&)SA>|4!KZnrF}bf<3d{!S0H`@w>+7-k}pN#XtXe zO8LL6NiQ_f`fOf1`Tf)x-|CLsn}6&{YKC9_t&i&>URA3u+bh5MyDam2q2tz>JHaXr z-RtMwV{UoRYHECEX1?@4+r`Q+?EYGulb_)d*~v9;$;-yi?MpANICKA0@!vmQKGoef zsuwkWPdmQ5s0E~7?)bfXZ1?i5?#+4#3dU-i>UQah|A#+L(_DXK%XZyreZvoW#d}U` zf%V?r_+5%w|K9t_o04aMG|xM5FO}_HG>doX#!p^8)wef`-=EIC(Oy{TTl)4>3|G0e z|A1`tPkDct?cQyf_uCeNthn8{cRl;3UB|m`%jQo6X|vw+J(v0YcA57*igk6W%l4{o z6u&>4`(pjwKldJ1n9qL<^0oTr?W}jNOW&$q{y29fC{+F4<YqsJeOR%Y?Kdb2<{gTw ziPtZwdtbEY^=WX7g?!^P{ZM!N<2%VGAOn_fEZ@}lJ@0rlSa;I5xZDSOkAGZebNf8V zpT^bGr7GT+?lENs>D|s<{n2*$h1<7l`?mX3sxI3bdvo{21G_JM)U!SfRxVv#pYtI0 zX+=5D2T)3T_vUWmgV?u_#BsZMZ@tlnd+#g6=e>L$o^<Q><h{Nx>TX=PeVe(jJh~o~ zbl)DmXV$pK>B!fkQj!aHd4OzCee*W$LG0^_^e>-5iNtp9-luH$-do%|n+giD=u`JN z+3vl!x;O2Vw&B~ir|(5J?s@n1sMYc4(zf~Wotf_?-^9wC(00km=Rd`8_4V&xah;j@ zlGX1GKiEB~`2OW1$dPq#YIP=Df6LEreb4LZ)EVEtrN8HAu59i2_o0I)VDF~wU~^`^ z`P<s~J@9yRFgUHLmfUB4_jncWld1nEEc#P-{^PVY-#}&sefy`pLHuvx-p8?JyFdxy zTblm9bfF(L%WtwB-@W+AcQ2pn=bN@?SL7c|?%N&#^5Ey2(RmO4`XArDVLI3*(>HT7 z9@O6XnD_c6D0+6}3;k|i&9lef;+_)7b&qUh@6G1fBQ5*BM-H4QT;If|KB&F)G4I_w zkow~rzk4#j5ANH(6qJJc)8DUVyXV`tebsD`;rY?u{#`rpd&}e4`&U6uxxI1wxdXp@ z9^buu6vXvU$Uiu}S-zipUg@5xpa9K1e@~ca&w0yxQ|E${`TWIo4S(}jHh!->9v%D! zWZn7A<vSX`|Ar=qPk$$P^X{1~`+n2qKYw-^E{}ZUuWB!U`J}1b{0~1*F`e_*7J4wt z`CNH2yQ;B=(S#I*lLr!KG49=8+t@$fyQ023+5X%1X#UGj?L)-9*KdEeW#5K%dH&aK zFWlwzdDqupd52&B{+Ckw{OoMLS2kr&ZS1B!30$uAe#5zB`*V*sd&+%&rpu`&>A?2o zTky%-=e<vUn_MzMh1rvhDc#|H|Fe((UTk%)sb4ZZn_K^SS=_oOe~%oHT|4od-}lM! zh4z)!FV%ZXdT(whvCj;b*!w<c_Ls>D+Ah!QRi6J}tn%OS)BH0kCmkj=sxTL(I=sKX zy7K?O?<xP~!cR?pDd)mgvj0!R@6_y7h4K|evXug!OVq6T=k|4;oA2-OsiJ`MNtjiC ztwsM{z6tJM0{47r{oZX9JmL51IuB>v7ltkI?Fx49`D3-(eJ$8O&)NRoBLAAINlPyG z^PdrHH<CW2s&}eqRz3Kw_}_Kw6v^NBj=nLrJYsRgV9n>BTD|Ue%grX$<oU|_&pO|o zY8U@!&P1(W?eqPQ6g~Z{ZX)+{&#H+}HgCv$eDXQ}oSMAfvmr9R=hV-w7C5f5X|6nT zV^_}m;Mu10YW6+R`F-g8pBklU+Vk@-R(v@>TiVmk+%(_j=aG%BULTj&*$Fz^S^v|q zl6$-GxrN%<B_F>`J$;4iRC;k`VUW5cI658Jo<wxi3V3Z^aq3<7hV|~69jngRPOtFF z_fPRsUzciSGvihjr@i)v@8_4A%-Z*8Le+$C<#$(HTmLw`_M(@Vy763-e_F?tq*#wn ze)7Vvl!N_jn*DL}Oc5D-1$X{c&K8$U<mdL^&JdBYS9SMa<!q5*_S<p-vqjmY#o|{t zT8Hf4G3oh#y|epO_WgXnJz~eds@7-fOP@La|Dz}!eDCHLrYEnBKh5*|S|>b>vu<P6 z{*#Bgv+XrgPjyE;t7+St{UU}v_xT0pdrrIR-ggQ1u6Z!8NF=6N*s}WXY{{2@GGCOP zTQYgMC)*?*6-kRF#uqO9KHfYx?!}Umje_cPe)fqkj6L~h63>%U4D0q^%H<A<UnF(i zK~}zJ+nQaA|GqZ)^H23w$%4;Y0z<s*moMjy-1FMRM?(3|MC+^nKRWxY3%po2_t*ZG zj@|DUpAGq+9;pBP@D;h!H)l>OHo01;ufA;lGWIz|xxW6v!Ro*FyDymAA0TbvebTb+ z)datt-<IE8cJ1opBk$)OtQ5069wfVca@s`A6U$|k4U)ob{r<#p&JlfLGyl~DznEx` zmAf_mSN#_>Z7DXf)L&qg2R8as=j$ywJ5BZ%OPjV73t1iyl)cpX(@S&x@t<m@F27Ho zu$=d5$J|FwcKuTrS7kqca{l@4D{SX(KAGcC>Y@Huq<Gtx-3I$V+h%b-XDaerVzuP+ ziZw=4SFJn#GrUYsEj=_r{qGTnZ94mJoLB$Vx@UTq+t$tB`Y%UY-`w=t%YI$I@Y+n) zgxWifr!6~Q?YPbk^6Iqaw3)h9mRmn(l&xDN?z=3!wd7xPnCJKBHKz4;+&6y;SnBh< zIAVD&TqgZz)BeYwlz!JuIhQV7X6JaWo5`ZJ?d!kyMh>N=w#PT~y}9Fbu6t$eiLdvL z73S?y`d?)~b?*8J!t$v{-ik<llB{)->Aku1n|RgU=^KizE%kZdl)R6bwd&t~nQ4dj zE|OUH?R>}fUNOVZ+XKEzfB9eLx94%q{{PDN?sdKoD)iakEL`vSw?2IC@y0pF?+3{( zzwr7|-2EAKv*ZfOmb6cKrCGP%<&O$yP^?|){~ss)YM!b7K5lLKQK+=8<>mZGM^=Z< z6R^M9nSa9Y|K&LqUSGra?7PwVKJ>4{`u6zXq=G-ImVPyun)O7pjVWwd!mrG#1ef$G zkCp4bd|om4$J}xYd)4PAtDG$_zTeF;=~d~f``PMs`m*Pqm0Z}}^J;=$%|7ka<wdW4 zC#+lC7Qya5`SM=9Y1h?DCp9*oJYZ!vGw<qA+g~ofE#~-(2L9JtYW41|!<t)#N~{ko zT4nY!Tcku!dh2V~zIW=g-nVO~$h`Y)ZSpF9Q^NkPOLOGjRhFftF#nlYY&FOD>Fj-> zyV)X+qzHlXh0HU_3E8Xqw#@nYEt&oHx`p4%+be&)j<wmTw*K9_`Tt}5*V{~!OTYYa zAOF>b=jsdkE;h>r9Xt1J{pu~B%d$AqSuKAD$zJOGEw^;alOHjH-<7>?u3OjqwdleB z+liKL7mMaNmfktt_;UHn#+t|bTs7BT`MRxa-O{##u!);{UQIZ6nbmV^UA>33{35dg zKjn1$RnAu4nRP)~SD#<J^;5&NrP$2!cd+cG&exopb3^}MJ;!@R;N0sIm1<WP&apWE z&Y55Hbo%f2uQQ*!WpO-DD&kvUmA8Dy2HC{jQ!i!h*?-?j=63fK(O0#mdu8;4opp}u zZjnh3l>J@=iWr6aH{zDOnLE|~e)LMkIpvd!6|OFvb3*;s!YQ7wYF*}@zrIp&PWH)4 zv#W*HM_Yd`koSsQdM|(K$6eR{-4AB|VLqW=;A-J-!@nlB7vwMeU$Un#_20h@v!xFG z<gq-xvhTL%<`W`c*w=(>2J;)8uaNzuxpeU@z0^HdGUD}^L#|)D9Jl`X-Y-&5o~xcZ zpRnrjx&Ga9hW47D^}b(U+%r9D_kS<O9or}VmRaSztDU3k74O#_Uw?<~IM4F>jc(EI z@4qc3f0<|Y<!kGn`EB3Ne2V>F(cxyJV41Vxy|u|B*RL5x=ULyaJ+WP`)H){q<6ntP zpA9J!>gTo==hw*nlYTYdc_rW0*hD+gFB3K;?W*6W^muDI59@=!vXviy1;oj;8{})2 zhCjJipZPwbigC~TN#zn(3#*T^zyE3E@U`ISRli56bL1}we_20cPNd*6dp+wZUb*4V z{cp9OSD0{o!oH7v>s@5eZ{<I}Y=WIku$sj_(XT%exZfWBTN+e$?eXEgdJAhqn-)jS zFF*Nf>iPKv=NRLIUv<3u*<j3hd3n|T`xj>HSbqP)y_Bk-#v95bO5_y&O8>T+qrGL> zKU>@X-jg#XH9FfdTf6^we(-N*r@=Daum2@Oj+gvvK3oRLY%J%vm*xb0|DL6O?&8BY zF6U;3w3mc&O?tJfYEF2Nw8iep!snf>+AsfEa%JUj;ZnQwKxd2d3hMLPOZo&<uByKJ zEcy1xd$j|RettImFKwnjQ%{YvJ-2#=;+*G`KpM{rt6ZJ^s_@)Gvw}W#u&yOPJy)(i zUTJFD@;RvJQKiRo4acBZqqF<%uKz98+uB&HX8F-(NwLXUf3JnV{sf#We)8Q!e{q|| z`JHWZrl0PfWOdSE660l_Kf)4s*d4w3;G<=+wFOg-%G%i-E^mrzVp3aF<K?Rr!K5K@ zSkR8?q>A{&l_EM38QJPSvfRo?Wg@({mM(a^Wr3{r-w8KfT3nEf`ms3pMac!fOmz#^ zz-(VGjxeu<PQAKeyZkrp|Ga(n-k0~Pr<dQ~vfH@u{+rLY?>?WGK7aR&JJm;$_Eoa( zVTxm1-w=L4`+?{Ot_m`^4pT%dBC6LqrHA)N<g`fKtSQwgb2a&x8@|Qw^tYa`Z=<ih zKfHFCU6Iu7_=SRp0?d1_KFuy|S(s<F+In+jY`?*kvk9f_TdWQRlv}U9z3!@D+b-*f z*yb>IiC1Zxc6qJsGq`d#snj(}HgU!5v#)NMt?Zv}aAoS|U1=bhyC+}G0%;9D_)2Q4 z)u90M_N%%VUvW6c&bc}@17wNb>eH7&eAU(2o~w2VwC$=~lQ$n^_Os2q)`HB8KJY3G z>>S0_vzLM_*9r??aaC~H^PjDDvX%Gbg60XnS@MJFzH86>S8k!@hXS_GxO(;y$Vk)G z+E-pRtQGow>G6J*dDb6Fqk10xd{g=J$I;X^mnZYH=|&$^`}*^fn_>QvAHOs&o6r7Q zDpj=B&)cbg@fwTwiJ|cc75}b$t?=$~?svAlw78<U<cr0zFB!iltztKrESK+X%lF)a z-%WAx=~FwumM1yAR9-c2X%f%zeXAZEzW&&+ol$sm_Da>li6Ze9@%o!hXPd34VlsYN z>DTkPW^Tcn^gY}5FnyV>E*8Fce)InhHrtDjzHa6Ga<%hU(U;CueRX+z790Icz1l7m zcsabr_oe7Q{^O1T+ZMHl$T!Ta*`aXk;o+ru@0|CqcRAi3zJ&e7w)Nq)3~8SpE|O^H zZ(jHEz|qvO_4YI5m!!^a&y8O6`0#3<hCijN_z(El?a@B=@UZXQJM9nqU5~e4pTQq3 z7XsEg|5E#=ymyDs9bf$Tu>4H^aJc}maP3Ryy{-JrH-62Gxpn6Bx5~^v*B3uN{Mu(u zX*^f~<NgN+K3`%Mv*Ss5onDk_tRF4^%|=jG{@;-!mixoLGR`>JKJO8G{ErX3-12N2 zzZ&n{GIRP`{?zEjj}J@x%(==7cGQ=Nc5k+QNHmDHpX@!m^5(CCg6NW(jKoJ<YU02q z)Lm-7AN|9iW$pZvOV94fsh#ot?28{4P92FX+W(5#U@|}RQvP(^niJ_T7xdL=TiN}Y z*?IX-<W=?rHTgCV`Cs)*n2!r3ow#wSSGxP=0+1;?e&4?M@gYm~o2l~yL4HkK@g;Fh zE5Gech+nU@UwiLzynV9I9Ol)b;9z(A6_EI_`N!1A1!*9EUVGEg$G?1rSFrusUkqoS zI4}H?*xJg!7p|S#-|cw2`Am!5;VbPJjDHr){gSx2mH&}{QR6I-^%4K?zWC9w|8Ai4 z_8_n?1245N*Qq)1{&TYlC=djyt*q?kBr4e!uLpbb=%w~rF}o-5FpU2jS5kA}e%?ya zb>NtI==Sn(Ah-O7@?#2T)8e+-iM;9Q<F8Lt%Kf_ptUq}vfBp6k3+-Wnyy5Zhiys;5 zcQ2o|-3M$x=cRVV!&U`n#GMyz&#Be;msnC`ar#R3pBAw8$xHZ?ckSdq|5wAx?$6Yp z7q*3fH3_{u+>`gt`Q^G7KN`Lt2*}<M4Hk}G%769lo%X-J7e7ACPicB_{?1ZR7#+^N z)Lt$7`@o9!qM7f$cKl0Qy5By_vout;<Ltk}^!@IgAAN)D71P7_>|0jny8M0p<{SLK z^WWb3`*Fjq=<^Zt?Vi7szG$v?rPyu8xzCFiiP_BO>s04ZKPtX8?$O`cihhZ$ml*%M z$ppRMuI}^P_t!s_lzSESkE~t7zO<IEI(NXQQg3plox;zg&MB2Y+DvDE*WU2yO6rBm zm8`oSC}qs!o>x9Qy|&&eKk=F5`<sim?RK+GZ+U66c*1jUm#8n<zgAVMflS?X;`8pF z{M4<hj17Y4rJu0b9`&SBA=-ZO=>?ySZYp2CwV*QZ?qjp_zy6inJ9MU4T6p(TFPJ&s zCEm}qy=o)8?6X>J?317IJ@0q5g55Pw^Yfj>8<>v^y^NdxGCgox@72@`m0{iNI$X1! z+g9Iw7I}aEx#$^{`_7o0pTB;d@u8A=fhUab6??y(%74#YCdfV?W+bzc_OE4Lq3H?B zY^I;K>ECwZbHcVdwdsz}Q#ZLU+v@mv&Ykygr)jY`eTgjmI!AEX=N_kdKe<B>rkb8_ zdAY|kUcB;H^_-Qm>jO&J(oTJrnfaWn+vfJ3`IEmruf0%N(;E}dezy3m{vDXJ-W@#` z>AbV*9LMEKH^uXNCEr)(X3gWgTse84-lsd$Kc1bv_7%^WDbKwO`+m!WMLxOttoGot zJFIilqqM`59Y4p^T*#gG_3z6)tuvq7l%1Qm(-|6E>30r0=vD@vHBPk3zjWPo9=pN5 z;QVl~lb8(qZ0xfprf;)3nRJzXZu-`W1B(_^?)#9vz4F@k<)6jU<`w#LZhe;d%2L_q zxn!#if6|`s^TR*;%s4muOX<Y)JH}4Ar8B`1DBfB4<Y#2J&GLU7Ub7><IX;inlV72e zF^_-W3wS`;S*M5R1)rBpv^uZxWM{+2eFb4~N3Bn)+3p_*a#V4zg!?>xkoW4ugLgEY zGhRD&^~MF2_sVX-y|?<NrS{HHxc7wJa{olbL&~P-W9`O}tCBM`&zC02RMuTqI&b#f zcVgTgeyjfV6JNhxP#Jge4a`l(?=CLh_D2jBdF{scwEb4X(x>segNv`3d4i29?<{<> z^ZW-sna?+kw!~ED7yqAY<X9(MGB0y&OfT!%;+OL9Xp}q1cj8(nEXev(_LOfyB>AsB z?{{#5(`ua7v5C(O#VWV$?7uu^`-0Ct*-x`{GUg>8hbL3Lq~~uAM0}mI)WCPS#*>{h zKH7cSHS4*^vX7utUup7qcS;X9?B5GMK2dxJ<W1(;pTuBJ`aJPE+)2l5&tEy$9|jHj zpK8Z;-(L+6u%7B!D|2@`g1veAsKuw6`L6SF-)S%ZTPa^67q5K%y5r|PpLyXa;`qkm zu#hFt%=5Y8SoJqUcygb2;>SDbrQlTR4@wY$-8Q#t>>4%89iPv<cIs-x1)KG@4`E^d z`RtoHq5T0+M@i0)bjbbd4R=)y_v7kkQQ#bSU;Ws`=jpnie4_s7e{uY5^Lxu}o7cZD zS8^Ml|G~qV)!PaS>*+@KmhKORC(jx;maT^pA%U%YY~u6g*cs_=-7g})I{wd-J^Fop zgl)p<MH}kn1;4#FKK!rR<3_zdZ`C^8e)r{@HhdN^c|W(~d4YoF^;vUPtUr``@b;_M zpHvLX_WsD4c)q!UC-#FyP}qy#=coRj|N7S7qk6Ku{kod_KJ1hXzQLS(GCba#!~6L6 zP0ag#@W^GUO2r?#^zp5J&hgg@(-KZ!D6)LLu`H;@@qYj2Ua9sKzl5gs<u7F37c6=I z*+DIkQrX81`gVs~qb44kzM-T>-THxb@5fw;C9k|AtoA+S`{9>f!_O1)6Qpe`$OeI| zpHlG&C+t5it!FRkn$ht5f9fRtXWupQDg^fC$1!S5sQP#=pl^Zw`;EzS%8oz$FTg%+ z>(570&nuFzKhsln4SI7```Ua{Lj|e0?`oUbH>mp8-eYK!dG-HaPP4@E|NV8n&o|V% z{+Fky`An$k{oubVV_Ej3PZNYc?y_LZnjWt2Hh)FO^+ogT+5f-2^H18(_|jYVid}Nw zJs5WzX~(u!)+EOMR8r{9e$4r$^OID`#){Zb%c?v1ecQIIV%y$xR4DZ8+pnEJ=6w70 zY1Y%L68YkeUs8h~y`BEH`O)wGqo)f_r>t4F&ROD4aa&oayZCF}-$84aTfJJ@_bzGi z&no}d7xtEf_|F%0oZsnKdZqjJ<=fJKrOz*Z^4&Sr|Mk=p?^lA=UIQup{F2Rb)$-$> z^Ou5D*6S_U%R9w(?e5RERZC<K<R5j^5}I!P<Zq!xxa0Y0cTQaq{9C4@`ET{-17{~Z z*r=@{_v@eQA%QplH&6H`9({DuBk$*ij-L+LOSi4r^(lGRlaG^<<+N4%#g5xt^OAcm z^SL78(~dVY+$TS|_}^;#^OE&X0$<EHzFwu@u&+{PnvI(EvyYpe1iqW$zWPa^tP;P? z_SffMKUe8bRs3YIci$FIx$o^K4li-H|H#Y}mv7#3;_#B=5houP9=GvQ=~tKiykdgQ zyy7#-OP_2!Z`iNzC8uxk>|@K5!ZU{b%T@Y=`zrr9eA@A1#&Nroi<keHAUNHA{r{j( z60c?)&sXVBI&S0VC8yd~xuq*!<3VZOvSc~)j(CxV<4VVE)V$=rTRaQYs;oI@*e^Nh zxN~2n&IB8|;xoxBpKLsA*l#+?eR8s#v`YVc>t`DSKUqAP;lB7u;T6Mv-$}<kkK26n zl6!CQ?4nNP7m1m(=Qsaj{u^|v{HGASOF5Twg6LJzw&)hdWTD<Ej=iTGdRA;aqRP6g z=aE#8P+&9Px`ss$tU9=MoD)787{GR2^136dqw8_mD;gOtcaG~exv8#;SSogGLBuq# zcOU2Ow|stJ_L<k;f8CRt8(cbb-oN*6KYxAs``>lr+WP{ot9$v1^3T;8e|^pSblnTf z@3H0Auidri3fmEJI5$|k_=t?ze~IZGpUVBs>ubDZ7x~ToCsHr&dbQYY$>q4l&#h^e z^ApeI+7t>Uo!japQ_b>B;w8h&UA*7U2(Aqb+_-s1U9*4eqIL2n(c2%-D0gAF7t8W~ z&Sg`5lhf5UpZhou-1h%a7rVG}VdOpG8RbC?_hMP!_X&fgl|IKwH<X(nw0`Gxmiz3d zc}Mp6GFFs}?<vl^vgd-%`;%vWr!d^JWyud&S~)lMufU7sovUa5zRGZ?n(LjeT(qtE zuZVYnXa2@9yxYt2eMhyaxyk8juFrb>2Y#m?-2Ea9WMp63z4`f*UeyNqxJg^iTKVqp zy81oWYxg^RcG|ordFJ-^^m}}Pu1D`RmCseHt!F*^Y2L{_wLy>WPRZIiD?0ko-|h#p zMbn?Prd6I#x>w8m;hl2D>~~<N-8^G$qI$1S_ey#E!QDyIz)BMDT@HQ}yPowPV^jHp zhagR<_c|FX{%ci8zrXOcHLWsx#&$#1d%4jo-q$sizkEB(++L^a!ttW#Gk*V@vP05W z;92Jhb+)d(wY=sgr>o^Y+X*+6*B^|op1sc4<h1p?%KM(3<!8m79c64Pf9M19+`RL9 z{`+=LpU86a)X#ziH*TN);Iw&<xIw=7_cj;%9hVf3Bz|Joe;99fO{;y$&)?=7%ir+C z#%D|`Z<2Plf1WSYP(I;7Y{6=f+x1iKy=DF&r&6(7GA?7<_Gy*B*&o<OeCV68?lt$> zPjN@~w6i~0E3{|x^31r5Y2TBc*{U+UPdIq{CdfkJncojF>}lt?w<@}%c46c_&okAr z4ELN_-}lT0M>6N<d$JAR4G!-9qXu$x+^IdEnLo(sRs5EY%a~Sf^m(6T!*`B@(e|O& z#b-TTn|yC6V@1En9&ag-X6x2U>s{ul_rLQyv*gDg2D#<f9_eLAPWx|WiTwAh^Idsc z*WRt>uYNgg-ZS0!y_x36vQv*aH%;Ah_{hCh^9+z<xt`rsR*iELO3|+D7pkrX`R}{e zGub&p`On2(Rd0D9TfKK3D5;8mo;O7(-`ifDUwjYuy32chUhYx)vhhy1@%JC#$XPc> z{CLOS*AjUd)3(p6e9inpPP?K#X7y{Hv!Bci-mhWUqs)?j*>slptfzb1D&_ePc%SbQ z)Rr;<8Lj(ypIpQAd7{c}QXm&uJ^QP|pl_?~W^(N{$l=$G^Fv<#w`-awsyr=jEhwKz z&nW-Pu;<GfiHqk!A#85+K8&&AWu)PvcUqtb+&;1LH|v9?=|?+`hG&6HOnW9fMQHu+ z!UiR^#l3T~OX5AxcL?s~1=-F0SuT9$xz}q|<EqShR_t^F1@hcud!8>la@xjFGWSW$ z;$N3GC%Sxo3Uc|}b9=%=mIcTE-sABJBw7v%@q4HC7&CvEr&Y09|1u~QryIOqtoiZQ z?*ykaJKa7%G&yKp-u0|BwvOY=#yiF{e@{JC@{D!y(UvU}i+gwY_kVW_Oh3$f_S3y* z$96<_WO$}p?Rj@W#Bk;lS>gA+i&k01re{q1UiR$oHY3?Mu(eX`M|w_z9J9B%vi_>F zY@A!y$qeP^QfJ!>Ki<=bs#^(4ujxAtP2|nqKb-%a=iu%8pfD;odhf?rab9H4*Xb`n zfm&|({vTsSCNF2wNfl7CGc$Z|2<9(Z56YVF)9x8Ff4C$i<nq=96r|tfo~`9R;GOLu zz^#7`RQBvWW39`O-}~TgYQ@6Hd%tIVU&ye>@}TuMC9vwcXSIe5`fFt!byi#jsb)U& zyN==B$ENMGL59nx+*{B5;nFR^BOTnOpe%9o%<t}b|L*te3+&mf4oa2fe4oGhbWVRQ zKKY{CIn!PDX9~P8{l33VeAd(2j>_N5j%3dl_l%3OZd$R+0Tf}iGb-7afFf+>leN9y z-7fZg24#-l9H05TJEu=fGP-qQr`zYoYkdmS`mckWbN{ZyuK%)=h4eokNtrbLTA#u% zP+t2U_-rmDiC?}Y=<>I6*S;J5cGG?vKASg7$Q&AD&sf(gI_jLu%*&WozE0Zt^P@(F zJzLsXF5U)(@#h)eZ-Tjj!HYrVg59}2-OL~S#P*zh4o-%g&tk<Iw)=f3GY2`sen$D) z`@i=0&rqsZef}aS<;+jH=ga&dPOakkJa97qd1kjWLtK<|Q^awQ@&1PI?}4Kz=|tqN zeHV1zGd;6aV$ff!=x8!`B`8}MW<R^H@3tHi5a5*i-5jL1unm+V<P-1RV%W2#jK%N* z$gKGW@4qruWWMECR5%Bey?@(&zBe0`z0*xN?k!CQ1>f$(<A46tTB*jZiw9K>*E9ab zfs$lh+w|kEFOqlK7joZwVfS_}!=39~?`|3HI`_a${`6nXVtwudwR0cj+0}l%^CEfY z|HF6eZ|ltc&9yGt$3xokdd9p9vxHpCK`CA@{oW~tJzJKs7(OxqrSfvt&)+x?cxQVF zZ1x8wntWH+e_zcN81&a_I+mD$LhRO=>JWx|E1R}wZCR&(j=5InyZ+4T7_U1$V#1f) z&Y4!-y({v*chRw66LXW(x2IOBFF$hnYu8DY_h&&F!^#U(r|nO>mkIJW-=g`Tl(^gQ z{b9z6<(&6+Wr5OdIrFnxO@{X+2Y3HS0cDE36MN)YA1vK!-Ej|;_GFLkxnK2&RsQE; zo`ccFlR)8S-CKG6_uT)#IifZ<XV?XTthhY0oZElTgT=ot&60J2ln{sa>}Gke)YQ7; zm2gSzM)_Ku?={clo^;L*C|(yhH}frD(zlPZq-Q;??W*kOKj58RE-)8VHvQK5{4D5E z>XI2fW<~1)Yct>SEqY}Ea^`o-XM3#}^w-{XJR<>)c>kH@VKXbPvoO@BsyI#)U$JjX z8T-bX7Erv~C*M<N`f%x%tV=zpuzK{~Qcp`*|I_UCu)^(@tjphx;N&Em$MCoAk+J`t z2g-3#yPG45dO!xG?_@l3JF(dQ{b`8si)>Jax4z5p=&e}8^lR@Fvf9C=booxkBfCo( zz&b0ofOO8j!|>>?F4&!4j6m_Xp|A2@v+YNrq;saT_HQX;H~gj%8~?^#{`B4z!Sj9} zVYsuUjoq+(#<RAx%IQY$e}J+Q`^G*{JlUt;YXfr)Q&xb2{Wt69d!h}~uYFLM)dnsv zBtP3pg85-G;1JQD`TZ<J130<I8@_)IiS~~i;1JAyw)Vx-=XOm3#p@>WU%n-K<kDeK zn*YuFIZp=ct6$)X(E8b3JFo|?$Swor=(_WJs@cH_;%GZ4OPjAcv0q*uWb-?PDo`1+ zo%!=TXt3~s^Yrx;P#Xsnca<AJfnsg+{t74`vR}Ll%7?Ktz8?g017nxI=H}gV`i{Kh zqHjj`x7(lJGxsjz3xm%;9Jc+JzVrVr)8Ci*;ukMevOf#=QsDo4=eP9sdddF9c1+Xv z^(McqSiPf{?`Ua%_3is#j~wbxIsE;n>Rvw2)3F(Hs<v^K$1J6PC~lK4xxjF1#h%>7 zfB61=|Gwq?R=?;aRrAH-xn|e<pSy2*_m7^p-3zIwF^hj6Nk76GU9jMrW=3m8HOqtA zun%R%doFN&{Kj|S_nZf^g$?WUw>a&wW`9r{_u<^V_|KEv-fKQM`uikbo?hs#ZTg>g zo!zv5{sqJOGZVkmDw<6d>oQ*D`8&4$`u0m(g)hyGH;g*>^p(KcqZ7Z+U4GO?ddK{E z=}Ru!Z2qqMrP^XwzU5-k&pLkZeu)cv9#`F4w}|Ix!oL;2>dejSt?D0qfA0GI#m`;y zCs*$<J9)WAb=I8hX^Xetc9-FuXOZ)7uEqbgnopnal<@ZimHFQ}^^a8F{k6UJ%j?jr zb=A+9OOO7(ey(pvdp3V@?Cu@8R(EHv3!ET+G5odr=NR=*i+|em{pv8fxLuX~U2L~) zz@<XZpOc?yf7^56e!1Nf-^Z`_R0h8kOulkw&i`BOwFOU_Z?U)UwzG|mKJvMDUFfCP zPus+}zKYE#-m7!GEKk0$=1J$_XUnf^KaHOjRJSfOCJN*vyB|q=%)4HFzhtLjdfoPO z<E}&Ozb%tiWlz`rJn#C^+{-V|mGmx}z<=p{?33o$N|~>*kC$97TfF`$*Z0+*T_%>y z*>T48sqd`yD^wP3eqW<hdefZy=YtjQn;te#JG`C$)*r>%4Uu~sUX@+7)7ZMnf077a z$F6Cwn%8gS&(o{jaAuEZ{Cm&iou^~2%v3oW9wKz{X1U$OU2kT$))d^?lk;Q2(+_W( z&FVemYdrTjWR+h+G2{IP{(l?qvMb-;_ryA$>#~7eilY3Pv*+9RqxkOIWqfY`a&USp z|Ftg{?KHOjc$8MbT&jDO-9AlT=EA$?w{{VSYV@Bpi`&lTmER(>ulB+-{+G?`Ipk$_ z&;91Oa;kys9$wd2@2>~-Z?Ly7dC@E@9H#oxqHt#SsW~R`fu1hu_kX&)+LSN$>7eG> zn;#rcKfKMJ`)`8Xk5v_oA^B@-1F}-*t7ysyfNb2KDlc>QUGv2J_)l~7TQeo(H%;I- z+cOQx+Alr~hKr_ub6mO5f7<><>z4jXXpK&EINz<l<#)nv{%Hmexqm*mVvZD`ALbuo zZ~t<|PGhUkvgYjzS7fiW+oAV6q4~?f&8MrSJl|JO>Jy&6bA_-cTCAKYM>eGNBh$)T zF25ICIc4V=WTEgXY88Lp5xa`^F9(f2tNpEUyHxndNW4_d^Rwtwmy&OD{g>$dPE(ZM z^ZPGSw8Ut{DKMK~K#7*w8~N?7amkB3)qd48Y2Urc&x)6SncUyY_T0PS{PwdyBYp?2 zIKMrT@BaM!%|D&%_T^5T@t}Bjmi?rt=ox2LX#eS`+1H+Zv~FK+_P52;qaM0@zMgcY zAM7EGCrf^Ic_~@_KBpcuy>|13+MD<0XUfaGGx}a~_WSeOI_>X&8_l+VQhQVS5v$Sf z>9^RIhJEv#bciu9{71s2^V`2B%Gc~iOD=c*cO&_xCiq9f<)~ZiOU2^v@Z>L8xAfP8 zb#I#EJ>_*if9_hc{`->0M^g1(ajBst)DNGK%=k0CqVdd&6(~vId!l?y_Ufti!j4l` z>O9eNUF2b<r&)igrqk~n@29!?n(5icL_Zx|ct7nhyKZ~F{Fa~2zaB(CV!gEE5%aHL z4f{X78oSN~WuGnOzsn9vQS3X#mDfJLz2h$X-rtw}53;+jbKIPr+Vbl!BDX~UNcd}h zi+$;~PpeYPxj~xxQ{>;=g(UnE{SteNRZ7x!8J0D_7o3&9#QrjWj>4;bSL`%QznuIO zer0Qjyv#|v9o4(}xBX7w6y7(1zc1PvNx3+;{F=WpzZYEbn!$Ik4U}RZWPCf=9Q4I8 z@Y|wSm&1;UmIf^<zVunR{lu9xMfo#pku%wUG2!*kgx@v?oj&s<i;)H7w)1K7GMcp; z&YbUdy%}w>ICSYYqrA{h*WP+o)vdMObw94P=EI_|n-K-dhgidp$Lf~U24vNx2W-j# zrT+@W-wz@$u}@YMl~Fwvx8eM&mTg9PrEk{Woc`rcRB_JzTCSfDrt~5we_O+ktL|U5 z(=feXtl?<~^7EWSb{3Yd@;<x#{9@KE@_OR;QeFOP{8Lb}H7&VbC-UlZ?zF?-pWn{; zH#cTx)ef;o|MRQkeLft`&qPYR^Ik>0d45~!M*p&9FWeWsah<wtZIAY=B`(jGJXhzN zIP<L2_tfZ|Wwi!Zeu<ub_<P@(XZ)4%-x_CBaEoWjPl-xX3+Gl?p<QF}^7-w&H2FRH zuJSn#O@byKN%edB<1KsaR;JdPg4?>tVd4CdDYMS?_kt^7dr#fG-3%&RH{W2NpY{FV zo7g92E+Hq_%kQWmMV`Ho-Hr#WZ<~X%xi9mV>Rx4+Pm!-#e3$+9q15v_$%^uKO4~un z`A5Co5Brttw9h?5tf`pG+Y{<8dR+hhZjlH95e?nbPJ$VYPrb!lV;pn@I4-=3a&p?H zp{Z)Zm_4;Fa^A-HX<-HjnKF-T^*tSxJWVY^;L?Xz;;Y@JcWhkEnRodblPHT0>yj&_ z=l0D!ZFza-+c$g6%by+Gd-87i_j%Rtf1aOt|IhtB<@fjXE^5-5do(o7Pfp3~wp{v# z`G;>-m6eEW-LzErcH7nf?)_mko;OZx*jjvL@9&Eh`699zHQblBckQuI`_}qL<5ktP zt&G>Q)Wlvz8L5R|7uv>qc5S-0&Z4z9quICr)jM~3)4V1*tHQ@mlLKbn>eJmOe{|jc z8?Sh)Hs9RER*{o+%GU31&{?M+wf9V{{)KO<J&?J1@kf5kn}=5H+mmu**_vdf+w4~N z{5;y{++WTw=ggdPe*FsmM-tlpAG%kp{$Ly}|Ht<G!7yfd9qxTvmW{D8uVRdv`(DqE zSSOhC_PE)DoooWmGeo^kwjbNNhWVK33yD`A?D@fWOm3XmAo@)F_~X5SA+wi0=1K|> z|M_!!XR&$b>(uF&uC3)~=}ss-8Jk=xap$B}P0@G0NAF%}PqFS;x#KtY^SnOB^1a;+ zmJ?n~+C6jg;Rk-#9!}m}ulVlTyXAKreU9F}Z&4m^_F!H3sn{*$eh>r7nF`D6^q=x2 zX}$Y*aOZB};_nNjHe_Gf-F*A?rT1!Qc$=*w+x_49DZMk><Fm7QM%Qk0%kuBi1=o!& z4qa)ADGIl@=XsYRYq#^a`J;8m^G?Yg3ArQt_>OJo&fxO&kX1M3Z{<zD!y7HZR=$aE z{++o_cXF#bi`WfC%hfH*t$B8A{%Sq#P?qD_mluBO?R-5!pr8G|waN4vuKM`$+e%h( zVjBxsqs`U6)M>3axgqpg;K^@|eYu=3Cw%sQ{xiN{%6wy)#XQoI+YbHqU;cP+;FV9t zR&j+rvT}cvUTNLC-unHt_KjWr*SEIhIv2mb$;DkEXmjfQ`n|{hu3-E<G3_4zb)B}O zOXYk2Dal@6lM{FE`qa`NHF7r1$F3&pUn*oZy!!cp>$$tDXIS&b*qZ!yzWsL6?p?DC zc1$k)@#LL-$L>v4V9#zXddDYSaNXAAfJs$Mk$FZYBv`-eJ<5Ci85}RKPg!wl{q#RG z_gUq(*~{jG4LW=J5F~OockKT3T%%kdxG?<GPV4@imm98xZnocYS8u0wq#PvB_O|c1 z9qA4Zd%HQiFKyguVbG%IJfZ03e3ig?`*&QKxe^*l=M)pP$^+ghEPJ%h{&`*pWBIoC zlb?15w)>Z#yxS_8SfKv;!^yjqNAAu`2U}>~QEbjvynN>Ug{RABw5s?QoV>ehDpVCK zi`&;Su>WGu?_7QCjw)wobBx|j>oRw!_u`M-J-A#G<Zkmh#pT@3{2rI{2^1E2PXF9^ zJ3RQ^jpHj8OW*hv8fX84=?ZUamV9PVTWshx!^XGFvae-cKFIBw%?66Nzcac)-Qhj0 z;54z=qMTp4Aies&+{q-bv*OeDrLH@4ccSO^{sXVqMSh%```dP3Lo@G3xi2bp@jEMd zzY2ca=4aBg_U?~b`;6x1vLmsI&*vZh{Jnhk{M3`W7q;<4AGFt7*fuS9*+0c3tG%Lf zU+NmZT+3Tj<?6G1`=#c4{}uQ0-d_yjmAA3)&8-iad{`x`w(C`^gw1@8y^r?&Oey<g zuubnl)x$4-vnqSue&&q%>=l<YUtA#W)~B9*vwz)<DSKkDZC&B%@Hgd>(^eOUoSHu8 z{ht1o{JS2O%`>v@8TFlyu4{O%`{vfsJx5%NE_9szexdHWY5cU(A9M7L1s*T`vm2a3 zW*^ykduEaN^>urDCw+y)K&<+ayXV}&0UUmG=Wo|jvDG_{Zah;9l}$eq`}8na?{A&w z@AxWr-Y##AUk^5W~J9k)N{f}`*3qdT&mJG1$>TWou`5R!P;X+K(5#SG5zdC%_5 z_1f8eGb{gbgc?+D+Yaj=3Se2Wf_GNEr;jdt_9C^gI#wWuXX?TSQu+U(1;=$+(VCBc z!ET5?wDa}+qVyvfpOvBR<3DmY`!&S%hj&WPEJ{CechYfac_efs_N_TM1#a&t4xd_- zekAs>FjSxBqjxXvg3Vn0^v+rH2kVZkNVlt*@U~{6=jNyY$u;Np3;EruvboOnvHX?s z{6&wCUcbNcwAG}4)}@yJOTw0MZTt1Z`s+N7E33Aa?0FPn_(9{9*1PSu|IPiS_0Ih? z&z}_%ub89)&BbFrEqs5u@a^k=E7u%;{`rCFiU+#cFLpG|cvbm9@7&2qP%bGL;c z+3%~<owZTE;Ow`)@SU93PUA;<ze^z5;JS2S`71tfK-W$#-Y!|Z{HM*Fz~d|h0$R=o zuln4E=HRc6tnvFNfs;6Y!Mk}!?}+Z%K54Ilmh(a3_f^nv*LG&jk97oF!}C0^{M1~* z#f!^Z4$fV3SiQVfu)P0j5GZ566Fhe}KlokGj@7HVAtm!K#doKs1%u@{&&3v>nk!h| zJP#^o*S6#Kt{$+xvn|TsFS#RGxc%o(XezLOw5~=I9M9Vd-svU2yL5eX6r1-eXe2Rq z?4D~1j)>dmcD^>Y6K`*N{jU60-TsyDs&1Wo%BXfW%Q1C>)qgLGmls8MhIRa2K2!W< z#mCbBA=f5;`5O$14Ym01{`P$iw)Nby>n*}~Y`S(mtN#Dx_?`Y!yoiV>y3b~{uJ*1y zxa|GIqV=O+>>{M><({*<6BH44|AHWaZ7xt~o}~zOaowq%zipoB&Hesb@{TN9`NSoM z)yta&%6UJ7lic?1;_&93m&5mJD6QaOy<YwPG$eK1O$hn0YA4uJzb&8Vg@VF(`S&(R z7++U<$9HBSxR$g#cehrv-0jZYozS{Xx@pI3>)GINWVa}nHY|Vn$M5um*XyCtb6xS> ztW{u}zh|Gj%WqhIYn8Y=!_<Wjnx>~ggY$LZ!Ti~f*u7u)PAceKkA&@)(~y|R(|EM* zsWHS^!q4Abono#0?%7{hvT(n%c9t7BSsb3T`|u3wvw!?*+&2ZXi#|}e{d?UC9@YTC zd#X9_lW%WRd0FTG>;I?Ht-3u=D|1e$?ozRp%Q?RG^rAD*#OI&cf8Vxxf?fTF^<TRl z#CLD!IrURz%Rxu$N9!hhzJKQP{1SMX`SAB$?WEAa5X(-x{EiuZ?|;jE;d8Gt_gMle zD7Tkvxcu*|==8rwzREU!G4ovj5-eZ9zSmq~@6$<ff|p;)zI1%=v7|g#b?y3&mtXw8 zE%-j!<9*}D5D@R&rv>FAm!j2PiW<(ncU106pIcS-@r%El*5t~a`5RwzFP%$z^Mn7V zcg9Jau=?*`WycbA>1aKxK$xu1;>RnT#V^X-`H^nbKl6MabKm}_Wp|D)J+UDs_R;^_ z4FC5vzD?jhquqAY-SuL2@(YWW8Lz&+xc~F@#qA$nU%aE*{Y_oV`QYshPu6ewUt3$} zckSWl+6jg$9&B9<E{Cok+G+iK!fvC}_qN-fzgC{}Inqqu%WD2wzsa9B-Q2}yv2La2 zcZ;d}@8#qydt9Pwon{d|Tlm+1s|A{e!y?)L*!x`gSmtE)<j)7&&<X$7EdEo&bHtg^ z%qXYz`Eu!*;vGw~SiW+~2p@0xqwz}X+2*T0I#;%)_?&zHU^nx^w_kHwS#1R5HgDh5 z$rOF0^7u~vX(4fTYI<?EC;t4uLH7N&=Qm$%Kbs!CaLMPJuS_icpEtMnwLEOz)VHKI z;N1Jm?D<Z15tdVaDfG?!vip6qOKj`>o4+3HzQewLtxv5%X1tfxeDAbUyBmMpB<wU^ zd)#7w`p2%eK<CrJz~+_wk7jtsah2u<UazVB!2GT`IauE3PwA_x51QA@^B!hTmG%6+ z0MxYYbGD1HYxt$mCwgjiOq}}_(|Gwe7frhwuAI00a?oyC>jvBN-%2;;+MRyp>iK;0 z)y$%Kd3F_VT#zla`E;-`^$L68nI-pY<&|H}TF?LOvE2@puLqCRzRdb?@N)X04~~)U zm+Um2e}CCL^#uFrsv!9zhWF*tC&h!TlQ~rTL3<_tGP?|>dCw&7)_gd$)1W5c+QcpV zr+(J{dcg9e*>+c;{1HRnaQ3ayU=1g0Kg_$r9xQ*RxnM58+jL~(g(vcF+qREWUN!T# z4rhsd-_u6tqUA;VK7Bpt^{%<!>dV1<(?U1ep8xjO@pjF$v)z-<rLVsH#AYu4w31zY zbM{U8Yc^-CXMG*Qc9kd1&-Fphy;RuvPYL9=x>>&;m@&)y{CZy#SYEn9{DyA9>Z8}} z*G{sud&zzNnNY|3$M0^>{dG}EY+b@@H~0CEpPp5YosnprD$BFmO!l_WCB^6S&wc*= zqyE_Bz|yd}i|bmFeUiYP3gr)#53=RogSs-0SI=9!p~G^;pUP*Eclzs+9=Pw+F8#Co z?VrQnY@*{gp1uCD>&ZC}NFpxzylL0GE9|vrPp8Owo<IHMkKOas;0gTK<}I}ANLKs% z?zco>_`~LH^V8!F)E3mgYVO=0w@0dG!#*7z`6;C;Z<~wi=G*<yeA2vq?gG1x<bAg1 zRQ9jP-e(taxb{OoQnVCYZ$OTgkSERE{h)w>N6VV0b~hefV6To0JNM1z_VuINe(KB? zSDkx4Z28GbEBQOt7D)C*wAK{7lSWB1>z>(FsKL_An+wQxnLKH}>b`>i(Tx0l<CFT} zNSOOOLHyg%*hg_)Z)?1k-!9v8dtUnL`)2dk-Id>J|FTAYsrvjKd3HA}7A4#Ls1KO3 zyh0eMx6404ELHNr_2735ipI+gwVw7X2k;hi^ssBz>pksjV&SxLeY--zLHgVwE1wDa zE8cN+GEd!osA-vqTGj!X=7nh%?P)uXNhT&qoLqKslc$lfx7vke>%Kp%o`3#%<)5;& z{pI=h)AMi6y}A4Sx0`pLf8Y1}-TRxj|L)tZ@M7}Hu6Iil>R#-8)m#!-(EBrU%FO>p zW*k*#OBySAi{<@Q`DWIy@?`nxuRi7ewxw58Dgq6PLjz)Mm%50ul&Z%!J^McE!p_cP z1(CD%C-0wUmfKLdtFg6C_Q%3Ug|ZoO&bP~FORD8$fB%#CyzbcBYjGkh@&?}btpB|& z)r%<3n`-yl=t!aey4zFv>RKyfKZnj(wq@7*nx#iL_q=#_Z>M-!^_Pr~zqfLWook%m z-~04mWYV;Q7Pd1l{N%Sh>vHbPqo4YgHPr#nu77#p?)m<T+;h1l8<WD9{nq}b{p-xt z=G<$|$t&1@?|3P0{qB6JbvXa7XT>>j53J{Fr%!o%$flww#>z$mW<cqY=Hz)#<(6#J zI38Oq`{w!%xjRX=7OLMDl<Uvsm#ZmsLQ?znNb}+P!?qsDxB9v#$^SN8$G$z9|J*s- z8Px`-4sb`Rzp45V5{slh<k7*?^=EB8k`>#nLc2G{Kd$;<`1+u=a>a!;uS$#l{{80U z?qy^n^L@g+vu6J{pD;RkZ+Z3Ks&|WL`&2BMX<oI@U)?fG*L}J=-^~A3v;Ti=`E=Vb zM(WguMG0vYafZD-JGMFBaW_c|^Z(oOx66*{{NW!~8NW*xRPyHD&rO?gXkPfAGruxY zS>#2(hx`n`e*bXHc5T@|T!ART&9Pfg`${ptp5adSnK!?G<~sTC_b#7j*Z1hn_OsdX zPT{*kud0F1ubSyM*1vGP{#^9;T6S*Es)7y4uVc3te_fDmcl;UazRx?0+-~ZfX@1N5 z^235BAKp67jKAD$+`%3_{}FPy*4(Np$bRSeKF&(s=7yoQtlSiteYP5(v#U1DtJsh# z|6QRs(^}7~4ip77$ErRY-^pIg?<QHH__`&QzwE4cJG*LoKL4pdv*i9re0;FU_D-{j zSka2$trDPk@Q>jCr}RDH-9ZUun={SU;>!*<PddDvJ+;o4|DJ=*jXxIO6?%_K@ZB>z zTG#vfp!gd0YN0O=6pqIg-aYsAADTCIi!Vje?O}7{i}O22sqUgXTdyAk>E0j3Z#TdC z!tUwk*!kaO`yt#l$J55*d*C}qsmo<CQ~umoUugTI?dyZp$o`Ovn8e5L#dlwB%1=|< z8xA%%Zk$9(9{aB~|33{%Pscd;KPP<eDyax4`tfbSne{IZx;;AhGI}R_rp~c~58E`q zWykPe<I(tccY68k#>V$s%l7UUyH-)*zy0)+U30bfXWLERcF+tSGq&27A3C0Vc)QtX z|1$P;LH=vGC^56=N>xD!3;(XVyfa(ZpLn<`Lwwzwb^q3IoV$Fg+D}CI;q%*lYaiKN z`c*Ug$%nr`&1S}b{+uhX&Ri32{avuPQp#xKrG)*9`VV|oJ{$A$`R%qydEcG~%Havu zU-Mso8?*P(tn=mjcRceh+P&fRrlYHOvQL-j2u%C-_oo>=vHfQG_@IyXPP56hClxPO z*Cy{@#2#yZzijH)2WRdy->Z-R^7igNsp_ZSgr6OJnvLugVg53^1GXN?Py32*O-tN= z?CS%I*9Vu~Y2L}6%=5{B|K4UhL^3Pj`}pA5?;R*17|FlR<3h7(-`+>N=DvP^blp#x z+2TLWJwGLxy4!$%T^@3xQ~8*1doL)_J>8MLzWJ}z_2#eFn!i7n`|@t-=Y!3y>`2ba zSCWgleh9goNRQ^vI|YhYXgRT=)*Gpuh<SAI+HR1;o&+q<G6Y4f-WIt#6RQorC-ex) zJv-Q!i<GfGcd$oKE8@Qt(ev;@ZZIgf<ZP3xQF7!Dv;Nlgx@_Hd_SnysX8IrIo}X%b zsw`3N&8>NeBv)bl@xi8}D8A5M!_E)$g>^Yn#yO`hm$Pqf^@L+vWW`nOL9SZ2NzMlB zsws`)oBVEkeemx1B}BTiceS}u!u?&Lw^im<i0&~^R@r*3SzrCd!I09Ju7nu-_UE@) zjQ+%y2VN{&_g!7fE9l{=3SPT||KfMo+CQB4ujA%@4r6|a=s$O?w<tE2P2p=jQ@{9Z zedMN}zSADD<Z925{5Sv3A)!!#D|gTQ?bv8=Zz9*8?E?3<Rn8GlPTaGVYmaZs_nkYz zoM6$4eAPY4Ec!<tymwO2zqYV5O{dr3bI$4PIJLrm2l76jT*^N0!M<#h-x7(>c2Aa# zUpg_wVCSkP$1<&|bVp4omiA{KJ~Jg5oZI!B-#D6$v2Qc)w_QuKoQmq_KZ!Z?$NL_$ zTy?_F$$$RLNiB-ceWv}!qP+@KX+Gi6iR1U^TdJm5P!lne`JWt9fzP)iioY3ONk7T= zFspwl5YEcXcE_#nT%X%J_a0BbA2GTGdLNE(9$+;-T>3=m(C>b>bKQm~ZzpVHz4vrp zg|C)%X!w1<iuBO`lBaz2-3-+#3uV@PJmUTPJKv$*m1^(fI~ez`nJ&#P@_$}<`_tBM zQ`scVuGO8t^gmbF*ZO<%wcFwA&PK*wD>ab)8dRiXD$8g0IaXh_{e6|@=f&!^*G^k^ z%15Ua*eCfEWnDY%FMQAWvZekpmGx<RbJX^nt_HF7`<Q#HcFy=<=Kpw)fOfdP{HCv; zmJ7a0o%Zj2?M|zSU%ySwE)JXi)n;yAm8RvES4*FKh}BQ6`?BPG)lbPs$6p5TkT+Db zG=2Rvo%8*(lXJwACxvg0tLyu)ZT{0e5|j5>@R_`NDs(71`go;c*t)p9?O!*kJMAqg zeeH0a_xH)N$ozPFOP+}a>UYiR?bbxpI~RW4Y`bS&T((%h*=Nmay90ZtZTPKw)YhU_ z_pQ)7VLjF7xvyR7?j`vY`59+6e!f4?V&l|;`5!*Fd_Tbg3Rmt@_6l}s$ENm4@4`>* z?)ea>S7E4DnI3UZTlmr56&w*#?4Zi^#r>x(<u^_D)ElZ*zCOF{!hT_qig1B@e;<Rw zBft4+u1Cl9H*(81{jC;%xK<G4jSqW7f0a*UdVjZN`^stG^^d9O+tf4Nn>w#j-{kRk z?w0aTQ}YkLjN7H(Z+No%UhMms;^(&O3*9q*T=CzD=f~69pYk_fFUy^Fz2xidX5Ko5 z%L1RQy7f2T+ovP9d4pN+f8G6Zm#X@7Ew{Wg_Tan!T{5L^vGn`%8~3~X`Ee%#oQ%%? z=2^}0UgBW4;Ysf6%HgvkA6tIg+wy&0FxU@;G8uQ$e;7TqHJbI=X-&-DJISw~o>#td zdSPPGsS}^pY}Z$A*9<Zi`>zK|BpFw|Pd?lock0gz&-ve<?sxjLBU|OW@-da=X?t^2 z_oTZO#PxW_ovqqA>qFU}iJy~vK0UIyuwwdm!F%T(&Jj;~`s(TAZE<$e3*+`_Pg?ow zy;o#@{C``csguk1%)m?_p^uJV`(6x9eZM*1PfVNxNg(SK_xzss92BzP1QK;<_nTUX z>H4{QYgC=~?pacl`*pSMrhEJ9N)3_@mF=H{X*$El<j1LZ`XwgMnf0|u_Tk$a{^v($ zJh{4NI_sh8Hx?lF(ZU6R`up7~Uh6#tr)~b*RgtzUCD?tZ%1t|7B9=Gr>+`0_e0jO! zIyKYZ|E~IL8W;ZYFT36S?_1~1+5Woi(`T{dNx`3M<E1&?UsMD+UHsL#4CCxQ?_a-e zDc|wn=!_?G6Dv*cegDdP=ys9e`*={ueXp&Z{6S9YOr@b(<-&D`D=t*r50`$p*X2W! z&!?<??^n<LV8;LGZ@1ye)nE7NSIA%8&hh?UBiQV{t3U2rpD%pxp42(+jYZde&);~x z?BbVQnJ>MJ7u~n~`t|D-YvUJsC#!y!RA0~kwlV$Z*9q5t?^9{NR?Bws;cvU<GyVJH zf9*NHVd9UEty-{T!!L9%3Y2VCSI$oqerQ`T1DtyMn0tTo+*jP=&J42Z>!%%Sr+?iN z*S4bfja^Fbzr7Z(-@d-@zTD_nboJ#xebx4`y;EfNy<U2zf8Li!ee=n}HSg>Hu~@x1 z8rW)ha%H5xHAnvYXpk4eH^=2E?oqEl`kMck3Z!6}`r%#0<nQ`NPF+2H|8`ZSdrQ^J z-|qt+M|}Hg`}*zYtNocLF2~if9=`DFZ%`3((){{K@zL?p<vXFJ%i)&qFQlPX*f~|4 zw>$b;6=p^6hi!klAy!P!*_+cFpg*(Wll&*S7wxtR^ONNzPQBK$^Z)o$X7}Vd+keMD zey)2=W%;w>H+qMnl|iM$!gmLXy?dYExUag$xBfXOW~>i?%Cb5BPwwH}uUepFbhV~$ z@7xdbeoBKlt-JUhJ3hAjwzy?`AUIC#j{mcJ__n4M6tL^B73ci;t!l5Z=lH)5YB6O~ z-aSt6`Lw9~Y4Mx?%!RAJ9AAv+8qTRNhzS)vK7EVlWibwsrE5JVcP(Y?dU;`5S=+RR zYbHJyJ&ry+-XmPXcKcxCk9N^xnrcmcg#~IIJ-X*C95XXrbGnpV1-L&g4&`zbh|(5_ zy1=H*#ww^G^#0_%&sFE9Z-1#hx%~X;<ojn9&;G0TzV2OR`T6H>^UPWgZOCi?aPfs( z`)zHm&`|U64|`h=-I-p-f8p5o05|*l+fzP0h+V()_Llc+bwv6KzyC=nGhA+9_2Yr^ z_y6f%{>|RGXX^K#Pd_c0I^&AAyZq`&Y2PoKY?rV0Dx7;+N`LFQtN$ws>^ssEK2~<h zi0^(Zc)atN4DY<;I0e2nhjr}hf_FW3U%q2eK;%xhIdePpU;R5FS!7mqu9&${sG$A! z%K7U>_jt!&*fU2lfAaHKv5xD!&$q8y70vSgb9TJKU6+3kc`7Sq*Ki!se!bi8L*LD{ zue~KdF4m9#x^ItdqyAmz;=NC6>vq~z8tmMqdGmv6QOp~Q<vVS+=ucGMqF-3^Zn9$S z&bTkfZO^{|SsV51)AFWry$?lgd#3H%6Z%1H*A{(C=eRg_v3-ALKDfItcs={6M>RXo zdp5<z=XpOkt@XmQ^0(pp&!=zyl*+Q3KXV#yyi)sAB(p1Lzv!Pm@6z9i#!t3<d3v7p zy~Km3Je9h&I~_k<TYGKa6Oh{@er$^Vu&z1?Y_{bo&!(E4>W%uxd9E%fn((x?&eFoQ zbNK~BW$Aq`eA@9!?iulqe%w)a{#CU2MZdQEWvJ0_o63JLo;BV0$<{AV^;zCa-oFep z{L}QN@1Jsa?VED+XVISTsYmA|`SEI2UA8YQm-^LM`9H?s{b%W4Z~E4hd}H;VCh&3Q ze2t>~IZO9et=j#&V*7X2UpB3F;k%t}^3C(Fo{v5^;d9CPpH&LylD2luU;WqX!!-u| z^b_9q7VhdR=AHCQ;OmTs?~DuEmz-yOFS2KCbV;qH^F8A`2Rq8Wy!Px%cTrs+Et{u) z&$ns&@5HmGB|pu3`7i#F?a_wsdIzmPOnCN`r*gJUez4b`cOSMKz4rZj({|PhOXqv8 z?-D}pbMscb7uyrd|LRv!+nz7KrH)ibCfp0m`+HBhLVo{q->O~w7E=!P8b5iuuR1g# zu4><1^$KbE3tyM^{P<Y>X7Q@7_r^ZH1$RTvPCox~f93pmKmX`ATYf!z{aSJL{ohV} ztG`Q5Q2z3p$6{Z0fAjq3?KSfs)?Jj(u3!CIIsHWDPrJkKS@Li11%+?!r_!xI_O54V z$^UEq^*7HPMg8^6-QOkUME02OzxZ{j&bjHwE8@@jKRCT{<6KSq@@KVmKP`jV=bc>A z3QBzuKZPuvehVFcZYOzZI)CTC8}-ZI_0LgUzEodcY)|ij(~_T}eid~;$o;qLn`-)r z)2|O4njX!X|6aDrHbCt8>BoEY&wD>O&0N0nlQQ2jrp0{E4j%pxvC*dOVFtUM%a>(0 z8s(+l2%af9wR67pY_{CEHG8Wj?OuQG?bNR;_tneH<&vpiDF1&&$?@{VBIc{y+i$=8 zyVBkzq}A!V+g*DL?W5LL8@>x3ynTOdrKR@K+X)AD`+hKE(obJnAujR3POHM&{Kc;z zH@n2RfAh3{u0Qv-+jjl#o&V1k{#v+aW>~^k%U$;`KIbjX`(L!{wRV)JY~Gr#LlOH$ zf1i85cuT;Hy4i8*Q;x=NpYvdS^sS&hc?<7F+-qCUw6Na)g6_lx(Ww_Ma<TrunYSVE z^!3#Ty<~ZhWLHevAE!HK_3!UI-%n`fy`L-ctV;BF{-?+L=e+w7X#3=AR{saL|50yy zHJYmo-`g?YJI<P~{J|}f^WMgH=Nl)mXa38q^1SxFziE4M@4EacQy<LDIPkmW!P~u& zpFxT;+4lHz-D7*uyGdxzW}b@wDiycu7rmBFRjoL0@}So1!?!;XV0B{5_m;Ef>wXAw zJ7529|DUSUwSQAK{I9?FIcTxZX8Q{tPe<=$at>8E`D=CF)$4}oJDD$)o|*d6?){?l zh<54hkS|tK;`(-3-*J22Y%6BFDj?#k@?CR{#m^Q0&AaAPzR>MHd-TjluLB!RPt5va z?|IJsQu5yWa^;=#KjhT^t-UM$b=Ti5r<d>gd&}Ydd;!1q+Y_7Z{;Tv|ZL}!(bNSDY z?MqDd#UH*Hv`ktyZ~61rWgm~+C|+E*@6;Jvo6pkMr~ch{K=5+q-rLu=-nzSN=lXK% z+~(^n@8aLMf3C5A<+V|smubrX&+E9R+*hv*_YB(qxWJyNeqH$Xknb-q%zypx2e)ke z+}pZ#*MDz*(I1_6;qS!FL3_mJzkVySN4Rl1g#Fj`gWlhL>p)3F*Y0|?+lRbeai4?S z{1W571^g_wy`Nz8>wLK0)xUb&^IyLec)jMS)!uU&i%Z+C>n{KOdYZ3h-1a@;_T4X8 zB3Vo3ey_Wq_f`MEvme*vt#5s?`oBv1Ldo{;KfXGddN0g-b)|fV;e_O6yZbHX@g8Y> zeE$36_r94m@t0R@DOr5@?U!G#BLn9yy?^z5@ywm=>2;5HZTkM=_xX}I@4f#{{b{jL zIJf%f`PIK#lH~n<?JAo1{yekhx91asE*quSX%!qTdOJ1Ed~0SN|KIW#6A!=oEqX%m z*Kbh^yZ>>uC*FtKsPDP<Gxx3Oxe1p){TAKZwEcTDq!@U8=h5$u^W66ivHktab#B7+ z>y1ahYnO4}`?k8YR<pa>_VS}z%Zq;>GM-wQ>?R)grTK=}U46NreUa-N)U-C#xti5< z%7pE6>ASG+$j6=jZC{?|U6haBzYtvBygV(=lK*`w$eyiVo_05F&zlP`4(dhs=xUeL zYIc8qT6u3eFK3M=-~7&b5p5db3qn}SV&<aPKtIhd9+1+z{ufdM$sN4?&lFVhM*Z64 z|6yL?WVpHdocC@$1=kXruKq3xWQyN+{rq09uUkI-eaf{YwDDE;=7-)-HhfYoYWb$x zcfQtWiT;1RUymJTLyNEPT=&EvRohSggVh&%Z%qf6jNI}2bSi%9Uwz%U$s%6w>%JV{ z2dB4GMgQFKl#8dF^;ztE4Cne^l&_xl5>gp&ivMtpNk9EW_RG`ZEbmQMBP!$R5AOa^ z-7BZj{W*2^_orPf`((`T`06GfKmGfUQP{hX!fAJBV3?eLQGWNn1<(KvXU+fb3Ju`w zrt-g~a0mZ$|4_E=)vqA2=ciBa)vup%VEW_Ww*}wW&;S1OMq8#Y;j1r3LB78G-;J_` z(2)4AU11)-pwiMg&O3geV#W44_urF@pFAy1czD{JHUITbNEqM!{b?P`J{yym-Nurd z?>`%BuDZA&`g-c&=_eAOdOzWK>n$_?cZx^+KGk2zkJC%*pYT}f*6#HGP^Ncr&m6_| zOZD%I?WqmVj4x{2vxiTo=BNF^+Xa2=^ivD>#k{QjS;7=Amv-g16YuQrKU-HNiyf;> zcE(6=){E?~%~=Z#4#tDGcSU>#H+xFz8H{hO3eSL<UD@$K*24Sx^i3A=WmWO}rX866 z$Nb2mMNi(i-FrTL^JUW~C9mF}Y15y0_|)_hg14qy*nK}aVc!~ozZ=V8A>z(?j}4MG zETcYL)4vXDnQRLB@U12W-0azT>UYthJ4t@NE3VvET_L&2x%UsKUGg(s<0sF#{pRRx zpG{t0j=y8wWna`bXWBj)<qGy^*>UG4T)#B^YSZ?gMsK~r*|AmsIM=;(m%(`@b^7<G zXIS>>JiYiNR_AvAncsgl#r}P`boaA^IX{Ff*VJ^%EUfK(Ts)uW<>|sj_S@#Kf`-e- zrtRr{pmvFFZRLswvD-r6ae0^}|8`DnJg7N%?)Rr)&Kk{oe)9L8O`Ev*X>FaPX0bre zZn=}_!D18h<@hwVyYiOKe%|rdIPR_M&xE+!o#S4%-X&PTeDXMWyJ8bKI^TTTw@0N> z|LDW!FMBRDKdG%-_&U=v?!GWa8WcVvyk}e7clUD>E?=7N&UtU!OK9q5XL<jN8<BGs zJXmWP2ae6WPy1qK9+<vZS303#<7d15460|&2uv<ZLr;Tpy1$a^=R#ArI@dinXk2=J z$ctT6ds6b#C#ww~o~9hUZS4w)%bUN8e3|0I(w0dtW1jT==hEP<IdWSo!!c4|yG#8Y zn@FgWk8|9Uf*AZW@!;+45%7RcX3hWZ0?z7B#onL(E&St>t;zN1d(Wr8p3FXZ_ac4t zv{BUcqJOS%2_(xzYFAYEUx8$q*DU#8)wjah^K-N+ZuegRH`r|NO+T;t;i&{lWB&DT zi~`SI=~wdW3TW)fsaNbi4vjr|(LG<6XTvg#rSFGrJy*dd-`=bLUG+oi*8pBE_Q-qB zr#A;rso=7XJCBhr;=UYjy9iCsbMz{1yF*R>+O)lL9lVTI=eT!^3!LU|zOJ>*j5A!` z7V@gPBU!k7^~9eC_MFd8nK$*jTkKyyjG*pZWY4!}Z}+W-4D0p4DMXzUR$f~=f6$w~ z5+08yo3{Vgg#@?U`_q=3H7B!Q|NZj*KV#mWV$K8}#HRK7oI9zq$MY|j1xt!QUax82 z<*3B>m@&9q`ULNkwZX>~bJm<%GTAarBEtN_RQW1V$=f0}YCA$%tYkKECb^5`PFdod zG~>_1%tb-M&dWO{beI%Mi3=CsbKal-qvqaEv3(Bjf7;Yu^4|6J*S@;>*FV?T*Y2N` zdiH5iaR12)rlZpqi<VVCzFFAYzu52G(^tL6w;X%^F1bnm^3$(X(*84&eIIA{CHEP+ zD?Zeydi0=p#_TowSL}RkU*Em_c~sQB@3W3AI=T4Ud;8snD;`@KJ<~Cbd+_eMk^daN z9os(|+FrjEC9o&=NOw=Axaq#@AImBq<?2keiHi0Y*~9(ZX5l$;$<IlA>pmXQKL1_i zpmj;W`R3Di*uKsE{O$R-@9RPC*kU@}deO(J#ofs}x#!DodLH-lko@}khnF?%yKCzM z?`<hGyIL9{u`h*BJKm^0KmOCN7oPL?*+hOx{=a#b{6xd$OZA^~-Md(y5tni%`{n8H z-1kC{XT>We$DL_x)wfruSbhG*=hDbo`u`{YC~{_t-<LQiuj}dQjqmlR{hpF4Uz^W$ zc>0;dr{2##y!DQgw|#key1dBW8-J&~b5A*w`SNsSQ~8VhS>lqPqke7D0OgK-F30xF zVCIfLC%$K{|0}S`uRHa(r+#>v7}=F2v|sJpU*AX3pEq6nzF(Oq-l%=5{>;PM^($+? zEb))m6Zjipwcxj)WO3B5Pa+4SWiReIG2{BB>C3t9&CS0EGr7*=gP7d)=dU-J#GjjC z`0f41YU3Y8*G}iHJ^7V)<NN%gyS?G=)i35I{+MLBrskx~zS@(o7pvF)`ebsk|EK+{ zI+tT}rtQ<=ymv0~Y<CZ+^BnNuopsD_Ay72v)Ku<zu=c;>{Pm|V)y(v-i9G$_^x^o} zR@VP_H@?^ZA#KpuaD2Zyy0hmg{wjR39U9j5Iu+cY$kwg>DR{6tq3xTh(X*p65kEfp z9E@K5va%p@mj3-|KR(^$*>}c2VSa1H${XLsAI&uHUitWlLCr~-uze|f_xC;ddU3K{ z?M{y`j}I({nk&wI@7H=0eWPbvzohPdaMt%SEI9N8_x%0+_2({~v(s}s_0!MFpN_5X z{r*4s`0uLCR_DK$Cf}d`{_~cY{L_2T&HovAv43iNsl8INpLcwn&xdPu3o9oYu3xHe zuUFCk^L_lByjL$6QqFW+Zusyt=-}<Y6YS!rFa7!HZl}Kgi3i?aPp4XRbo}e9trxtz zYWkg14>B=AT<2o{Q+BBN(^&KWpEm`&|LM)9@`sLZy`e^{v*f=_|5Xz^?b&IkN2gUK zYc%!#PpUPQj2HO*Pwla7<<c|$^CjE#XC6K^{mjGL)A!g_U0SYxUeNwQF8>QiY}$l> zDElArSt&WrJ6=zC&+2*bw4x`z=coKja4LMRx-Vw-!RhO3mp(Q1+^7HTukWPW28uH_ zPj7qZ{cOW0)#4xDRM+><`||SiXBYdvd&?oou&DKct{~XesqGKm)&{}S$xqLN)<x^* zu}@$6bJLU`MZs+G+k8AGw^mQQ@m>7gNq?Kj-<vRkTk~SSbNOqiljA=;>jY<;qNNY+ z{@ejiCYPJaAL!Zg>v?~E`sm2?-9|q)l|IVWU7>w!-~I)ByH6U}K5s{NFSm<**l%bs zmvP;jXbnjwPqhy2es2g5=5&_)r)9PK^t?Z(T7G=$ELn52BJ)cBjql=L&n`aucBcV) zs*cn6wQ;lmcV(kzTfRKaI%vJY84|#CVISmv^upX*)cZg;ACei@dDK`=Iyl{U<>aJl zso(#ScE{^)oBy!;@CVi6h@X=zYkp6<?(YBV%Tw1y^4zyeAgOMh=$@&wA<j*m{@|?b zs@l$;N<((BeS0E4Yzu{?>bafz|EC|EzP@^6Q<p+_ZN1=~MQ5UC?6kvhu+p!M`$C}( zwmKNC1}X5oS>GG-XTu6Sec?TeXMeTXp>uY6ws5@M#sr=6R+F#Qzh`DwzG`ip=dckY zch~uSNq!r3xBtY9%a^9#ZQ9;j0m&o!75ug<V9t%vuV}A=7<;!<|9Sd{)Hm<>C4c{8 z3XKlluuBU)XB0KRIR0-nG|J-iD%{s!f|PXO9QSV8!&7ga<A<>ISHLA*o=?rqi3g`| z+;5-q=681245qyq_rIbW`>A`8{O<J^{&w_$+V_DU_+r;VGITXdexU;_=~ga%@b=Dv z^Vd@|_pMRccV`C7RPK;m--Ea6lhLb^JziguUm8IJAe{T&tEG_g{*%<f+Z)|srv6kt z7#*=3Z0emkKZ>f^;*b6NH(}%Z^_odsUlz6JaiUiv@nU~x?Dv2~SE}oWvUSkHes9zE z=YH^18_tqnyA@pSY?}4sQzOs5p1*$;lkdkb$jaur_HlbFdS1wOweO38RUxaJwmU$= z^*ZOhebHO>CmQNMJ8)?FY?l1orQp0<<o@XN{nQVs`!vsropVjTA8(<4w`NjbEJnyy zUF>Jph9=rPu{~cuLlW&%v4hqXo8g&Z^@F$Z>%d{~#=oZ0pjkipzwP83->=trw{AW( zt@;ppR=DnBA9n5<B))!@9*ow`hNM|(miHfcZ%scjL%cZQ;c02s{O^&F0`hLB{{4zm z5$~k(ZSs$R!&hHsw(0zo5C1Sq8{UikPgS9n$Lgl-`(q*5_nt}xf9@q%<&h`6XX#Oh z(Tzu@xAN>0nVw(wP;!mH?|(dfS8`4+XUE9C=12J}Zc9Q9&KKKrcRAG2(Jb%Zbisn+ zQ*@L0{s3@2`t$OU>E6aajJ|zu@2Ra%`(zYR@ogWvb9csmdHjM2n#inK@;f29>^Dn3 zQWDEOXdQIr^Mg$$@qDWLVx}LQuFJOfdGW~`-=BZhS$jRJUIo2KxTp22Fk>CGsq&uV zp3O&a9dS>s!ulG#NXQr8!>kUendLoecA7TpN3ZqXaPsx>ef!nq;{&F@|5=KWC7iz` zf87fWgWs(0PjEuAMA7mGZ+E)DvP9+b2W!t<1ZUrCLh<j;Jve>LdvV>7efwAB%jM>s ze~%Hg<*xQ+a<GbL`UBaD>Caq`f#wdpKYUwz4Hjp0{vW3GUj-X$H|@u#>5?^)zkfR) z+h-r-b;ZB^zX*C&`CjaAghdo2qdm<#7;WhVNeS-+_cUw6^Td7eJ-P0X_L{p;ydAu( z>5iJPW#`O#Ui9qyy`yf;epqR{w`sc%B%|32>^XcIZs>Q;dl$`LgBv~by=pAqUfFo( z>bZ*j^`28rzLvaVX|ip6bRQ!W_+9O<eS(H9J4?PaB+lN6?x{Wv&l0~`-(S#y)RGyG zPH%%33mb~EnIf*+L(CMC`Op5DSEgbcQa^)#!qia7WBMol3I2~+6Efk$qJ?W_>2;p; zQ(Zmz;W?ADvy>NK-ft>>d~N0~6ThQV&Q3B`J(uErS$^iJZOhyYmqjXbU-&d>=^8OT zF|D>OU1HfG(+{wi?7n-rj(^Yl$K_9jzs>vpW}@}xH}7io?*H8Td|qwcg57sw@}69a zsx6QDy7ujzm~}yWdso-Jx##xNOz!&Ydxb`JcYfEEeGT9KZ_lrF`}3E4+}YM9>i)+4 zMRwh--q?e-CuVH6eYoe2@N(w3kXq^a**Sl9KF~GKj7vFVt^e@tw<FQ9dOJ<c?x|K> zFV6}5-Q81J$hYqM5$*5a#hbQo4}8D=^c{w5z1f?}_dQ=Nzk7SE@6J0{UfCAkH=VS* z;J)JR-zWa=m)ZLNu!enC?LLk9Tcn(I?=JqmbMY^W>*p`O`dzy7JU?G{;MZQubt~^Z zw>W14>IK|m2esAz1${{_oCD2TQ=7I=xeQ4Ft6AQE>)rw?Y0ovb>W6dRTX!nhumAVI zKTnLlTmIfO>BpzTk~NhjUtilii+=O@=$|_ubC`EF?K*u5t(Q^WU6)fI2u-!NP20=+ zA$j4?)CY6FK<Woj*%;4#@7mp}dQjtW*|UlJ<KyNZoPK--Ti5%^H=cLDmt1Xo@!c}? zvZiwVi{pR%pk<Bf!P{G*Et_?Mdp4f~x2r8cQ*^x#*5+LQ4eDk*6OOMlZ`SW$8|xpa zvhlq5(aBQ^JEqlOlnVY|9$zqnn!8_g&su0d!1`eI%bVb&`~1M6>EF5U9en-;oG1K! zYAVaw;-49pU5%)Xc=NgU>hq@wJJ#DMqL*WK3coh~kA#|>&6@vI64H0ERHzW2vkue< zDFlt%EqL(u_M-2gid{!#UyV|;es-<=g@a!rJNB!8`{{J&bCsd)JTdg*L0;(Zjm?qJ zfPT;V{=sBOS@wy)soc{MRF*xGiTF`8_knKSC2(k*^QqaX-K>Ar{QuR}FL>5V|E_6^ zeqH-A)EJ|BJG@BVTK+Pmdb8B1_$_x8l15&$zMnf8Tu6Y@h`ivQx6)t!fjTg2JN5hb zC#aMk4SW4Q^3kVzzt10U+IaqXGQV?V@{cv>@%YpIBWs+*6^Qvi)elD3K>GlB0()Nf z!y~dL<ioS;FaLu2xX)Gg&6#^}y0DYxVXML;cJXt!>7F?4H%}HLOoabN#I1((06rBS zyzK{Zw>0bfZ=LXn^yj#@@b_16MBWyT-)G;fFWr=L>|%3Q?Y@h*SAJN3<zpX)i_<Um zFExdhZRVV?`p8bRLf!WQsC)+{ygND-zu#YdelRt2-yW5ZsfNw^(udcdbXMxA-RFF3 zj^Vf2;&B*d{C}li8_TysbED!x>n+eS-cGe5eeat8wn`~yx<T1xIcxrTuf6+0oq+U5 zr)L}g*!0Vv(Y3a_cHfHmHd1+!?&xXyj?%A<*{x86(^>NWpNBSlHaC60Bo5E#|FtTt z-(LYIkGP3HiYnRS!{nvD>VEsVsP0q7j$X{pc>W^!=;_eD&>gLc>bgacmTEie`=(9c zMg*wWS=_X}p#2`G*SSgi<I`rzno5<@pq%-~?Ba9IUtfLpa*Zpxd;jcyar{(2tf3~n zXD&1k@*mut5&=uhpXN7h|KnUM7c=eI>1^Tnyt5BZhreEa_~t`dj!gDjc0%aM;lJvy z!VlHZXgdvWRNiLI|1Jh@se*#Gp8MXVa!9`J?$nol*7s!H=d8bfo*tRNwUJL}<N5G* zUG8s_?p|*}Z?eiRlK;JLDI~EKEq|a}9}KCV?er_e^T91OP^$RT|KRQ4HgH&cD(=)z zPx+AgW<9^;@0x?B*77BI+hg>Q;|2ak{Fw!fx!9)dC7U4y=pEgP>A8r+rd+Xm-zspK z@y4^}=Q~hM`c*M`{(8PBu5Xi=uVXZ@#a->U-CqH5?I+cPw||R5ilU$K2dy{w!9zIp z;O$?j;Dq+Hw^M)pxd*3j#LF9f``I)#TJ}I$Jx1s4pZ}NSx`|NNc0G9e3fdd6{K&tA z*M0%4h_m$j5Vmgx#JRpTKbN99SJxaPa6c}RZx@3O`q(&q*d_$-%Rgl~czcf<%(0ss zKk(Is%?I`6KTSI_-TuUb(={93T397)Ja2Bb+r(^L(Nkaa4#B3tFUkLxLwj&$ocB&K zL4x6?PDOMWxM?|unLA!iv*LFbq;}eOWcpH`eQUnfZcbk8$nPWhyN3N<V};zt3QzPT zJV)c##^=J&)YdPwr&<0wr1){?zSk!TFMf`5+)LUHNe|zSOrH&EU|(<VsohtRQ}Mtv z>@G$k?ic-g<EJ6C4yinNyL>AoJ<L(5*gYNLV)csK_R#d;U-NU)m#2Sze!eW_`RD!f zEfr_(i~p`k{>NFx%gj@6k6uabbNrJ0&>8CP`x+J9@>d}4&KKI#UB3nvg_V0AoUM0w z4;o?HY4q{wlvf7}cCKC$^*=T!=AWs_^&6ELZ$9_VO@DO3Q~f?hW#umr|KRCqXt2-I zsJIOsL)r8owrn-L*bq5rT{6=SG=`F@`SIx-o_#qMU!=Hyacw*={^eP}QOt3FNCOdR zP{MPOy!U%pRTT;woB4FMsr<ohc#vOa$^TysDe<qX?VB_I;Pl?bcQO~o>V5m^bo+hP zC!Iao=z%g%=hw#adC+v-^gy->+Kic_SJ7S#uLAuA_t=WR23Jw+!trs_L0xjYlsBKR zUiM!YTYb|OJ@tJGx!52378cY>6~CWnK{}3-P1}E}!HcBJEcwq_A<6H!aC}|tuTQJI z{G<MdA{WiyF>?52SNm<-UP6Yc=4e(_KZA}OiygdO)C><}$)@cE`@qSsNaJJb{x7Pf z{C2&6K}84u`Nyvx)_!)zaJ0|G{;82rN1qph7tLV@Z+~QkyLmHf{!f`&aM8TBQ~$bW zyr2AypH(le95z0-n>n{1BZC*a*z?U>2q}*?g?<q0fDEcldocH(B;3&LocAvIKnyK< zbUOFabkn+jM&BUW<?WACCwG}+3<4Ir+JBq73Sw^2wg<ZNp%v75;XUGYUa+F$)7z%) z<+~uk5dP?Nr+D9!b(L9v|3J&;^;^95eAki17(##EU8m!B71D6AbpJ5T4?6sl+w^@^ zHY8OXJoxL=f0OU2&mJT7UTP<VrAi))R}@n!Tsw!et?=6wpU1~VZm0CAyxPt2NbROp zq|VfLQGy{yzBM{9zFoFL<I1EZI$t&hXhxh2(hA5r&0`s4xjE|Wi7)Ql^)pO@b%SU6 zB=4QO-1d6a{p<6#+y1*)y#L-tW9#4Zir3og|82>CJwNUGL*1!dwR76ubLsE8biQ!u zu3hE(xXQhkZp(Dne#l(zB({!UZ^pOz(e>W(?dMM|3CgUhdcJ4bLz@=m`idO_^2@ug z%f(mCKmXWxwb|u|_sv(Vu-sc!{HyZY?e_DP7LTu<+xd$>{@ziGpKoJdvKH(3y=U$F z+-oUi+&6QgMTNZHiEHz}?~kp2BG|9(JELIZi{qcb2`Jt2@g6;)bcT0c_RjOq3+=hP z9#lk@#~s^kB9y-?=38KRdFO+-S@vRYj2_8@nm!xMgx24=@6DS3`1O~6Z8{$|>#Ogp zx-tE%Z}RUVu5HI&hQI%8S(~#ndG4R!T<E;PM)15r@~=-u7yG{kLt7NnSo3ecgtU)0 zd46~ou>@ACSw?>dQ+xfFH*%i-YvXvmOrd=_>r0+Zy&zd>E_?U0-TcMwrq48<+r>*m z=4sq>K=U*wXYAV(^X2ga7HFwl%#yDR>Fu5q-NP=P0UERfRU>hV73{}ee-_we6TeUK z^V3}4L(@ZVt=M;}R)726^Ut4Jiq2g8_2@0=;8GHJob}k3r#2V+YyV5}ltvtkbOOzJ z><Ri1_HQMmnKhgB{l%Z~a_zm)p1u07{_;jz$p86#qwUP}?`FMkPfv_zdoaQB_p4gF z^#0fT`!*-mEGk-kA2Ma5ybUyElj0K}r}}H-XI7~DKeN6+a34CxzneAxB^Nvcu4c_Y z{<*5&dD^R@Df;J6)>N*@%!yz1f2QNsiT&K~KmV-oI=allz%uZD##6{({1NbAe9z0% z-#hAZEMfWE^}{zlP}ev6<>_pe{Gyrg(r9bb_CM)+_bYmrTArHTJ5#^kwD;}lkE<I0 z#8%8de_wdD-NldB)wH&Jf(%8&gNW&3KW{yBy!2<>!P|u~kfv9hdc|x#c+;z9{)4sk ze(&>71jY7!dg?k;U)wkNchH}YtGf5ZRLnnrx>(ET_?67gUuK{QxT*Rx;eoR+{tv6X z)iP)yvhabfFu3)Ry81zG{cO0~l@3~4tT^v{;!{oJxthvvZ#LFiI+{+{4+@s*q^s&# zenO&AKOu8j@HEN1Nd9*{tb@|rw0$0AxXfOqVmG1(yC?3$H`$BN6;mqr?J@fNROjXC zr`mZTsgq-`JiS{|a{hNspWM|Mi`Uqx2i{Lc4|Zu6`?CMgL8H{!4`lyLeFh$i$`RjV zeGi`MD;Ga_TNCv@|HP-7o0EPPP5iPnYu0o<wS8YMJ=K2yd1p;VXxlAY<-ktRB;Tp& zX9U5sH%80!?R9=_eCr8KHS&UcYWG8%3i6_R*q6f_BYI+cboXEQExpMmUhhoJ&Q%Ti z>MPkkl|QVttM^~?%f(&KCj=wC^mo?f)Wkr$vqA@N|1^d)%yx!+khArMm)nn<wm+P3 z@BW0RKR4-qetMU+W@g>$*Zl84@2smZxwFJuxb!%5K%xUY?J0SA`h0=E5tTkrgVkB{ zxgj}KPk7H#V|YKvUbUiK4ie-slYV|W$h0qnwdB>KD|}Zz@ST}6Tf+)HiRg*{wb%fi zWl3#+kgEWx$NjnQDGNg?0?;h2a>Z}?OW=rnbE4*F31hrp81Iw!hkn=mn-}r5bJ{t7 z%y2m0RrlsCv_bRJ@}Tt=cSzD+C$LA|8=mvcIq$t=2bGBDr>{1OpQrKQ^z{`;A#BTy znf8j7zBt}@7g~*nbKd(G3n>qawmz8qtADYhx#%BNmt%XteU?2Ba(DZ`&p*Lr|Nr;K zxij@&>&u@zT(dmU<JYUde--zhckjRa_31;`&ROTtLmSk4xwjIUb9x`Vy$79|yv}uR zX8l!IS2;$#Lc03vzc!t#Pc`E2&HGv8#u#5!U+?k$vu2~kev6xN=*8`yMK6y3f;F71 zS@Un3K~l#})r#o<;MpATK#J<Y=$os)o2RVQbN{)?`t#GPtTive4t^dpF>6op^lNR9 z=}35j&KLV@Q869b%!`H><Lkuttey{d?VivNd^y*^(RgRp&!R}i_-m`(5XpJ1Y$w;+ zI=R!JIpDq<;5lH!+RE84j_>P*hIYRAo~hQ*@|Er2?a$Wm^3|FJw5+$@dD`>S_s&e0 z=Kiti)_c=X!@W)Vd(MC7sx#4-u04Fp<*zwtdRaT(2vPk6e@T8`1<i=ktnUx#LL+nX z1KSTh@FHqDYyR=GpyK=a>DZb2@AV&?E<`R{8%sO6)<Pz);ST>Pda+;kHMH6+<Gy!q zE7au84{Se8ftwu8nqS!q$%p!%p9;gmc{$6YKaYO=!l=_?7s*S9LA!Hzlq#(Apbe*Z z;XUg0@PV+(?GM(*FZ^zvQn~NW{GXq0GVK$o-*bA~SG)PA+rv^<tel+%9nFSk?(ZG@ zA2`GM6?vk2QXhd!yElS+g84IH)o`Bhp61hEDmfzO>Hjv4@0)Ktr~TK;Z`FG^LwB7# zo%{ZCWu>3%vy6L_R^)H~RjC7>^n}+mWf%MZdP75_F80GUNKNq6oaOx)cX&SEuUYY1 z3{ubAP5k+(_tf<CRqGDA%EnpzeN}6>ykEC`&DGn&rT5V@%YC80H}0;3WR^|gANaOG z>qyzA?Ozk&X=HBG_Rnm4_baYmBLC<8Z=RHWI@<B8R!Q#s@bBM*d(WjSC;VsEFMIEE zWeR#C`LpfC@olcqG`L^6;&&7@4VJUMe`O0VOTKg6o7M_SB%nHe{>hrkTE=+4Af$4t z?~Na3IrZDc-b@c#1@H9!P!_iu(n2ZczBexxVK(=@wro&21<L4rY5QV=4@`f#eb>Ja zcJp7iyC3$wHG73MhO_6rIDRS==4}0nXh_%K6MNJ51AOpMVP|<Cu-T4Z@$~1X#WVG{ zi+)(DwIEo0dD()t@`PvqTrNhURlP<1FOD}_LQVb6lFtmO2HuJ8nY|reas3PU@a;S# zr^}qG*}1+!fA4<#CEtHeahMaY^EVTtiRr#bzFPkxq(AU;!2{V@OCf<EFSMt6JG>ca zr&F<8{~EYD+&AIpC-Y0w-%dTZ$LcFM{!Ujfi+lgo2BXBgqyB5-V<%`1|IM2JAGSJx z@!;<(h_0eM_q}c0pa4EUed?L%^%M8430idTzWk-%HKB5=Pv35zzlImB<@e9!OLAo! zw8(R3$^RY=O%;oqz8_f&kGX!%d&joF05^=)m)W<>nP>KFW^w!HfO%K{?QPOjEcx@W z)~?(Ad;ad%PSx?ehy`J>1#?1Wk4>&ylIeXjY$99s*-smD*En&BYOYvP>NJ}>SE5bB z_)rUD`I(S(ri#SGIi7nq?k(Uj57KLii8#h*A^da3#8t^rAyOe88<Vmp?rR8Ws#$W$ zt6TJX@u}ZA|NbxEo$~s?&;6EV>2bS$+ufdb|L2L%$_o!)yL!{6@7=l8jn;QoH|-XS z4+#%1>aY5KC;t8C<XL|{|GLZlOk-E|yyTgN?%Ag{8VRtkKipOF$5j5!kEgox!pw`G ztxwzGc-cKK^HIMe=lY3;>9ebw@2_9YTv+v>uH1KZc7}x5p1a<lA=KE%b?cwM<vjAb z%}bt__crVMKl`3v`K6Q`H>deM%cH5UcRPQWcJA`)*T(jZZ^P%7*3`A%Ev|q1?8;T$ zqH?{Adh_pzKPSl6KR;scH@`ya>E;{H#h)$<of&f4xN<6JwZ)p6lQIiyPd;9(?)>Xh z;KhE=;zzgg4^0OzV%RSRpPG<9xVr|?r_X17|01bYuIAZ!_TNQ`uTJZ4^M7}GPS%1S zaYyX-|0rAYt7l!M;(8}o8)l1sWzClqk9a$^Uxh0?p)Di7gV9kdAgR}#<^5E1c%HD+ zt6)EW<@Iah<)?*fZqE5tRJ;2_YTc6wTC=~nZhdd9y|-NJUUzL>aH0Rd@Mpg3pIpt~ z`U%opcltf)xI1W3`+FDrZ@SR3;%D_iYpZxjo93V6hkIM%;B7n3gSTgcLJnlnPLr=s zkCi?2zG@<@f2DrT%pJ04L1A^)?W(~<cfMy=cY^{dY+p*>g?&#xUYx90`*X>Q<L6-c z+nx3OgB(Z;VW;<pdxeX?fmU;YMy7+CwyzCbzyACjJ^v4>*{@FPzMcHLXcux&9qW4% z3TvIVd{gc3pU3m^w0&1y&OB&IU9|nd+qugiLG@pyqP}V^-1N&$=HctFUr+a&uKwfG zq$|^{Z?)>L{$mpc>T@Jj3jY3+baQ#svx_08R&R%uPw>b-|A@Qh&yBkHc2yZ6@De>+ z_?ngPT=!xTWoo_Po|CtK+59*&pa0))yWExf-?wweKN7gO-uPu{j(+m|_?+Kg<37L4 zu!ueiD_S$+KmB-da>Bkl>c0wqY=Gwdbe8-+NcZEvRt5h$#Jo$`2fn>4&o`gf`dO6w z>U8qkL({KM{}pm`iN2K9-JTb5Z$5WttX7+Qs;%-SwCf6w=(>yj%<G}E13oPIpJqWi zA2og-&b@~ZUDw2Y__lY|_3P<VYi`c`wdp0-!_!*1CaX{VjmSH0w?E?B%4wB3w#u*; zAk67Cj$e|$GeXP5df`3J`q0W!ob~;utMFn=pYz^1@vpyrt(m01f6}i{NiR=p-H$iB z78DZv!*=8Oe6IYno{QhdPJtDQu&f{_@b|_n=&H1u$PeG%g2pATUz(oW^!?OncrPJd zaL?KEufBebRE;lNzHg7$uT5UoXV(?kPrJSGy#BP>RjG2l&(oky6ppvva`Lv4m!{W? z|Bbk{7*ff93O#t+6Ee8Ep7Y-9FnATQPp{(m{A<q-rcbT;`Sr^5y{11lO`B)+bzZRc zgjUgrojMqy;r!+C3q5FPJZ{=P6B3d9T=)7u!<!}l6)W23UjZ9>bM~)Kzb{P>t&@9w zPwK1kx1X+iD@}IJ<K7IN)PN^+b60ylJ7_}xG~t1)Jajz2oi+cw5WMoO=epN8x2m=_ z?Bw+OezleJL8FLq=Z;SHsPC<<o0u1UH1qCOBMdite@T81TNc^Raqpolq-FVO#e=(7 z;KR&6w>@}!Zyh9cO#fB1ge`tv*v6TUc1r&K^XTTvlj%m6rlCjPKkqNexAmcoG&$it z%=XaO`!BX9dNw@v?hEc&d;1GGX_znDXA{t@|J*k&IQW<B#`F1J`R6<j$;VE{Fxve~ z^1DQ6IIDuzQbJPnn%R~gU9C2O*JgpHSvSRfm?n4O_3O=E`(!+7Ef4E%IsdokwfeHP z^N#-eIbq{@|J<}E`cc<X(G$mI7kf2(XamAB7Cz0vbI{rhyh00PcG0p2vU~mKv(FFx z^z_-4>Cdk`I34puR{HlJrTccKd$V_Kdg%R3!|GJi?rF6f=Bux_%UXWF_c*)~+2r`; z@denDmvqj1m&73D;!TYT>2!GdJ14fM+5Qs5{XVrjSFy#1{oZ%E*3#``M{QkTPEhaU z8zs4BH78|UkH;5;XT%%1!}8@E<zE}$%Rxh^nDzaOyU<uQIe7b%-=osvKhLNB^A?l* z4ED;r2XFr_jEkRNS@-Y%+W!_`pO%{b2>R>2I=<zE+{W|zo8DHPe`!;c47SNV7S$%3 z*e}V?)1Wq49<;7l4vC97`W4pqy!Pasg7+>JDsI;;xPCo--uzn2DZf6My*#~c-8!#S zk>AgqkJ;__s+M}acjH%3;U#jnN&JrJt?6e3Z%wzct1?=mZ?E!e<4-=QCGui>_A*1g z!*lSq@w|$=Q(yy!KLroY-W|Js{dpVv-=ES~>YIDVhiL|WyuNkSp5(0ieYJJL)#ZMD z`(94V`7z0|D^H5Gy!D%EF+86cyW02VUxKt8cLsde23@N<`N3V2X-KwIPJ7_HZ~6IV zkS#?PU!NYnG(B|Rev$e=C#PN)sVsl<dA8E+5Bn0z?#$wiH*&vtcHM(@7mzE(z>lnU ze`2BD>4q2h_G%T|?dBudvoqoY-`AI)9~hrMzwge>U!OEzp4RHU8t}AEXM6Jec%9!N zr)|u>q#vGsCa~lKnos(>>tuHLLRt~2n;-b*K^F^D9<<)1|8bWlyn4?$cze4eB*2PH zzCM+{H2vp9=c!hj-_E!9)YeV?W^%1M+8k7T29|Kgp+&v>m*nr;p*eh>c13$0v{-u1 zd2i$0&g;H6L2K?nt29^--mcsXPGH}j&bu<b`1-!tzyGaS|LOCM8}^`i%%6Mz)>ppS zYb(7krEk&ghNj(4zb9G3>o1{;{cG<-7bffs`S7h4y24}|$Gv0fLhEO5f~}9SSFD(Q z{q@(cGoPo&tF6?JUZTHxO4ghC{F1-_tjfurvRxXq8EWR@7h$_mBV?z~m*kh(&|ZkW zW`%Vpc-rGraMSju8;`tR_6D@L8Z;~Ynf3h-UQi|fd9!}JUu~r;@4lW*5&phfr$i$v z%fb0$`z^GX;C8X^i-Rsh+Z6i24BA&MIvBm(7C9oE4qE>S1vM9c-kkn;rGEa3gVW!w z4YW_1AAe=G`{dU*CxO#ap%`k;&=>k^@go(Ql(bpi!xp*y+4A6QzBf|#+Y|ADFJ>(y z`&FuZO|9qM*Yo$YV)A@>P1RS^%kRzy8-D^h(<%SjxIGw>gr2H4l~>G#<m?#Dig4Q* zNXfKv<%75XCPAV%RrBjpThkv#kmM7{JxR^{Qvx_(l#z<3Jw9KOquZe^p7UJy8ll4( zpNgBl|N1SA9Hsgd(br!<qV!zR{Se)v_{00x9Gt$f9yFt;ywv6Irx)A6nb{2~hgI%; zar{meG*oh%wpT;PTVqr!es5QaGf#yLLaY<pv-I@WU%$?L-mG7|Qvdta2d5z^(pA@E zR?O)>43mGdUhF@)6qHode}CE^?jAEe6RDe3pA(xZc|3plYqOTAN91R(j4-#gn6O0H zCoDOs_d(qvS>}aJx0>dyU9mX0eRj}`C&wk2b$D7no%VAv4|w^3eKl9fffhk!Rl(H2 zhfQreG(25>HU1s^TD$#o%)IBXcb>j^&F;Kq{<SALyT4ag-#`C(-uC<asy)}HdM&jo z@BQrlRrjy_wVl~PEBF0-a&rCpOMebN*ZS8yZ(+{4!<%2M+`IpL`F^)|udFtPd|Lng zeR%EXz3U~W#|PcpwC|tDvE4uWHpTBd<t_PX-jf2G{C=VJ?D5a__V1TZ-lP2a$-iG` zKEIFnR`&f>&`bMw=l{+6xGZSpMO(S(4f@|BW7C&j|KBIi`@<!n-+8|NhTB{37v3sA zEA-Rp`-%EkIpdA-(<lE}^!g6-la9N^^Bz|u_dRP_?Apovy|Jq3-;s6kZ@+pjJvsUL zZoVb`2ZdAZgWBe-J!v<0;gOTSYZxD|-4p*Q2z1~>%!!KfJ0WFdm3OC4QPiKy%pI?n zw1>I)DadJy^=Avk?>j5XbvE2KrDVsX``Nbq`r2;}UwE$lrq`0=1F!PtBk7Spg)EcY zMR$7No_=ECTkn|pRY8}hcSqWP+vopHIrT*5%hReo<xeV3dV{w4e+oJFyC(kT>m|Sb zs-~Xs1{GoQ>3i-TKl%6T%;)a$`^-N+^}I9Pbl-lF{~vU2Y4or!JTHDM_LSMt3-X^H zdOzuqt$U)E8?V&9O~0`Am8WlfUDMa(+tn!`&rRExle~xd{j@z(KwCwp8{NAUn!0}W zDt%4oI4gFseKx%xx1F2z`?c};{(U)qH9M#L-sGmbcj2+m3vFb7)hxWTT&MU=rJ4Lb zm%bYZ-QQjMrdpKoTj=`oc~`zXHM-XCd;CP*63}+kN~_0j>)M|z1)XP6$@aMR`ch;| z{-`|8&6onQWX|*-MX7A@`wYDUMSqwsJRklby7<5g<M=b}`V*DQCcB{8F>{T)`+cq7 z6OBMeW<>UE7p>3)?WNsje6K$y<lC;5za|+ySqdsC{SEJZn*Fr$11Pw*se#wUzj@2Q zV}I8N&V}d0TdED>dbs0Hf$d;+L$%}P8}6ElU2oh^O}Kn%`g4POY!ATR_?da^_Rd{z zN`t?`qGW#Jo@Vz`pz5)IU(AFbMc!=j*ZRX}KK)YbG+%%DZ9Bz??EiE5<CWasEbG0q z^sV=k3*S`N`P;61dHVdOx;L|xAl^u2f2@1mJMPqk>zAg_?J2)|YSVS!tFS~i?{r1A z`e{h4Z&TfOXXe4_!D>rxe)_y@;rZ$F=gzB`wD$j5urC&)#YW{Cd26pt@|vLCq;-8C z`NHOX{Tlm~KNYlL`n>VIv!_otO^1fnjE~#)s(rtnUdQm|X=JB<{D}vrR|>}$FVk+Z zoB#5emj1rodD|i`%D&$_@6x~D(@zMh%iP-M!j~KW=+~9Wv-ZWD`?c|B=*|8qip!Vk zha23xX9!8do4P-~dof|(5}wMJpbb+s$8LY=x)VQt>Ca8dAD?DR)>!(Q1XS=l&DY;! z9ag<)<z-01EkaAUjbD?Wra}$hf1=`c!*nCiF(%U`-hY032^LE0QuZuf{K@8r&e`eO zLh)vLx2FGQTlIOr%s-1g%&hksr!D>r$`p6!p+z+78hQ7BdeB%ff1Jw%iG<Y>?=Kj= z^gcCVI%qxP{xcQr-%osgu-PQOOnqO@$p@#Ex$ex5yx=@v|Fm4or&;SHe|}IcI+E9{ zT%P$`$Z|_<=j&kqtY4qB*T}Q~gQe7P$@eefRrJAuf3>IlyAa%X{ggem`X~N=jhv-_ zU1gt*akKti@8*9Twfh$2%kf<Qf3D_-kY&<wNz`H^KKbvBzv<9Wxo&Xpp}i->>DiL+ zZ)n1UrEc!WvOj_E)}Oyrb945OqEfbaInKEvw+>%;&i%ITZT`I2K)aewnT^eTsEIRw zLtW0_z0mY8Zg_9sa#e`S<&If@J`6WK?o7q(diC$u(=+$&G5Yv)8P7hOta?^a2eDr@ zjCU5DQSO;L0i5>LI#7-Gj<7eg(S(M&{^Pel)<TMjoqZp-otX?zFSC2Lf0Ew0-_HAU zYW$<qxso-Ow#Ct+pIaB64?nZJsNhPm9mM!!ooL2K+E0_8{MSRWDC*ZIqhq&u_J9jI z{q#L=i<iPmxjjuE``%1>eh}n#%a2bdOV->hD7YFr<2+aGzC(993K??$2Y|z7wr<h% zZTb_PZ%^N0_jSoK{p*|SWMrm6P4_w$UFiX-9_}2dxGtw&>nZu^5@<Xrw5R-mBiQjz z9Uh&YZuG<G%l>qsUp0>xEIhR5Xj}iY!_!X)O8-P8mm=lY$L}dZV|0Dm9_8E6Fwd6A z|DOX-E$dVF-2HwUoLaW4?3=T#BK{rs#&zm@#nhMD%bwZ1@Vxk$-ctrAE-Zp18@Zj{ zzkeeYJNX;ya(2V28@prH8+;+I-_!Sz&(teEsBKRPpH5As?c-e8DZgKDHi_p`+h;TF z_a-;_)_<QBo#(GVmdpF;rL8w4JLRF3IBM7WeZRxXf9A(;_eDcZpZM`zUH2DQsd+zb z4{!9-f4_9jPQNW2KX1;#=?nkYoVf6uJE+IBZPv|sphT1N1j*I2BkcR`K@-f=v+y$i zoAJGki<iJ-wDsdO^V8sL%BQ~X&6x+@-@chft-9ggZWq7t{kg`A`fpBv%gJPycbESC zLxlXNuy3q(HM60~eY+v7xUaN+JlE0{UY}S?ynmnzDPsE7_wBK0)_={vW)s)<R~MdZ z-{G}nd9d{~BvgDR?%q<{DRXPzk*_P&O>2M3UhA(lKLx23Ee}+jHur#J)!CBy-kxyd z-y7Y#=UY@?JMG!&iASbyPy3Mi!d~9!%TGQ>`_|uA)@p;z?yW(XTdDr~cwaWSxiW3v zAGyb}d)%O*-TiUfey#d_8qjvb{q#M#;gBRV-?L_?ZL_}f|DO{Uo)5QpDa-WX-Bobu z<%U$A|6zQ6{MlD%&5@V5XKy&v#nF=QZ`FIkQ;GTG++8u?!X?tL=4T08yq|T;KdnQ{ z+w9^6-yN(l^m}^}9N?hp_22i6h(^!%4Rvc`pq1ZKe#w0458xKoAHm0O|F^@FiGA9h z#kP<DulKI`S;Q9K7d~CKZvJ6wgNxP|iXy?;Q`!bKd%jQoYq7}<+MaSecAEu~`L`S1 z3)M%YZ~4cv`vT^%&tLkp$mHYGFRH(b?k8{kXK(KQ!{9;xh3DKquKm5A5i1Q2bJ<MP z3h7_V*T)C6pc%FPRE7I)XhxlHc<=Q*MDg{!XZw35NC}_~*<t(r(gJ&@`T8$rvmgE% zKOdCJ-&mm48FknC?>;{bNh>?&e&j2M7VFME<+qLD1@FJ6k7a8nfb(aVP`uu`2d6L8 z+oint+!)kUw_~+ExNUX<sgb!q<?oHEdC&yDKWR_xb1z5|<TtpteBLB@iR*am_8m=d zc|PZn%EcG^+IEA=t@-?tziJ%0h1#U0!5PTx6H=4Ud5ygExrvbCuxQ4|vN^hy;P%+N zggyUjoZzXuT{3@ZEI6s$obaQlmMy*wT%7Pv5&Cl2G8$a*Bd6`39IuamdI?Q|cBd+4 zH$p0Xzhl*379)aT*2lg%YUi8JU#hWG{`fRjvgW2h$>C{U3(t%Hcq{yP>;2iFFh(g! zjz`$@&4bm+k9)Rna)IQTJ4Y*?+bO_HZ{eQugpH7<isr|s%91saulLUubxr;ApuSf= z!=DMM!^N93H&pVte(dei`Kiozr(fXRvqqt!aB{BF8ihAYPc7)qP+?muxZba~Op2FV zuOzD@R3$XI<XDEd@ZF>-vt}G^oiL??bMi{r&&kr8N;P);ViYf(qB;9|@r~abp8tHl z_jdG++Ig2Jn^q?7{eQc<?tJC>zyE*S(G`jNcRf=7zx(+=DGAmWw!dX^yz+9N_O91^ zR9l^{*8Z%HZU24ufcV`P`yBf=Ri7!2NjekgWf`VX_`pub_qzY9-T%C|?^t-@$`_Bx z(I$Ui#csVX->g;ZFIiN!L;c6^uY9V0{Ch6O^0q#EHn*qzM-`|wr}i%0_I;FU-1d-P zSMU7MKDImUHsi+qppH;8uh*WM`uejK)$1nzer;@jpgDj3_eVADch~>jT2Zxd!vR~P zqQ@WawCl@m5}#lBh<_1}pN-7f^-1&fXGu>@p755x<)QZz4eM`<P)kkeYyGj>(9%sN zZ4Yxew1K=^;{C4Q@It?4%Ez)8)$iBSBlqbn-DflF@bt+=U#_^+dA<4U`=r`9?%T!o zLmyO&rrcF<MQNNBnZG{1?I|>Y{hRjjo6clNK~aCaV*e&Yp?}}_-Zkwf|9<J5o_;zw z{#<nJtNr_PWb!k8H#|RXcb{dq?0Sy!cjpXhI%QJLLodc6w~GHTzdr8e2`!0id$uz} zIx6o{_t@Xmfu}UPW7b78ZTRg@e@b<Kbvo6u=49MI(bC8j_A<X~7QU;t-C<SU4ho;$ ziQTAul;k(Oc2}TH*QfH5`Pz^&v}Vr7cfY2=%h2DF?;p5=%Fxr(&6n)kquQ=N`*mOr z<KDD4pINtDEp?CFug?>&<bKmPt^}o(!@r^KO}q-EiT0G^v23IUBwNd+>^WcO3(wZ- z68ZVF@5Ikf{kci|>(gB_`{u-apSC$x;oHxg^6>JnYuOKj1K&*KE=uReeq-I6dHPV( z=S#kSU;|2GQNKQU9;^N~9o|N(>H1i9cIxlfn|0#%X@7mX*Rtm3qUg$3tB=~v|J5d? zx8r+Fk4O}8hbB^~i={Y#6XU0s_a71im`q_eo^`={M-)Bk8c&YcZOI&b`He;$_E zHz(;vy_ENHyZOiD+BUg)tAax&HvlbKrC%R^Wdbe!|DUVa4egKEOT3@88<8$&eGFR$ z>NDuX*J*rxdf2i?(o1~aLyOJK%WpM`CMW(7vOLAN=LJd^-R|(O!X1Io1jF?>ml;w< zpEtVq?JJ_poBWZ_6k_nVCHrcO+Vz*uTeU>3Lhg5s@Skrz-1ToaLQ<nEnzO}UA8$<V z|82GA(!xcc{`t@RW6_pc&{$2|Gj~3sqh@*R_WveutbXddGM(34_Wa+UE5H8!?X&o> z=*#)Z^Yt&?o@RM%*)i^;kHhxM%N0$LMN9fJ$$xJct3l)Ub5HpTOKALZJdXV>2ye^& zKU}e!AJj0Jre7T#uXpC5_xCF+_9xBPe>I!^@Y@+;ZTb@zzp+3|_w|wXWg*bWt!e!D z&0ZPmhtxf_+r8m!lb(;$=1l`9ojS#@PfyA0o5MI)<o5QB=f!XTwYq8Jo(9fU)-TYK zj{UX%wTq#}LYQR!cSVT9H(jWCB)jvg8QkgTllEL)4k;J@uGG&z{qXcm^=-<h;*Z+J zPcdJ6Ea2^DP|63L=LqW#ZfgAc_=F=g-KZPh69VPe&T9J~`{g$OsF~z;Z1>~2@K`o8 zy!Vh9l5Ow%)K;eR#c#XzVp)V-U+un#cfs>4^I}DyhXla~lFqJ?Xa9Z@(sHnz`7!J} zsERi)%;(dN-)3;{;%s=jpL3{!{TryiIZdBEC_eAh!_!g!Wdr8@o5gXyvvyx+0l%Mk za(uM}<UCRM_>o`g-y6H5p@}oIr~F4CC}h;$@uoaI{jz8K(qMR*Alb7$eL6Vx6nVco zooiWhvtZXLoeS4HYxg;9->VmK+Z&YnL5FI=TF91@zb0Szg9c5s<og54lcpOz@x8PA z<f-ZD#`nHuBiw$p!nzLBLW$fb<5RoSx?NxW>e8EsDlgu6&YiyewZG9W9UjOTtML9> z`sTWv->uNL&vS!&^V&hZ@Yy@IPn)WLK6TIBaCocmr`ECCzlwM6w>$mm>G`1eup;Of z^1ffuT6097t>b|neFY!G3Ey0|#zp~}%w>+<X52GHF+b_W>7700Z-d}T<+<U#iNBv# zeu$i=-yRh2xBq9wJL&b;_8-sYTF&>{N$7V?>CG(;@mq>R(S!V4`d^DJzR)0Nh4gyv z9oZ}2{ch8b_sQ{xD}L)ug*V-7j#>W*u9T~p_VjdnaD3dE+MSBKS#F+Aw@+-cmx7fI ze_w&hhA^b2zRmo-2Y<hZc9u8we(d`b0csF+R!@)kS)};*ZqyW*4Ml>F-~OEliC2rS zsfTJK7tc)kUvuKd^VNmXi)$)g>!L?%CFASk?{uM=DegoCd%Z%Xrt`fc7pAXI-D7=j zI?UuvCn|RD(+Ah#cP9V(^i2jjEuimZcugYf{ciM}^hxPj|I)KibM?~p>}3Wg7oOUi z=PKNvd%%kT!^gS5*FhYt^7ZL4n6c~Iq88Qcc={K^xty<$zfyyy!uaEmg58&=wo>zP z?o3s9g`L{7{Sz-Fpq*Fh@1J~ldZ5_rnF|-)X%v;`Lr)=~!GeFQpovfQ*ljjw3OOzL z{@_Y@Zr|7Vk?$+0=c*GQ78HMP{$c3cf~)2+Dd)AZ;^<l3JHno?2G+7z+Oz$WD>yH< z?W<9KtZM>K&y}o?-@cy=E(7i6|0>Goi$4aQa}Iab4Yb?yvJX8i#2ovz@%d+1xTf!M zo)2mhffBT6Px-@*f8)$UwZu<B#>DO)sn~6=4UV%l%l65fMlHH`Xrt%C^(lXEY;=UC zWpm?u|IUNDl;(x+n-}fY`S<_yYKixz$?yP)J6qvhe(LYn$Z7iO=QTvccKo&7{<`8_ z_VR1*!yn9(`WpV`vuo|=gdNJ@wh`#q$qd*KwAZ!%wez9FGn<+}meqSf(zATT&redv ze(&poH;t|v-Fv0}6x_61yHbDplMhdQUM#t>ueWyJjd#~iKFi#1j_!&3hkg}4Nrnbc z-0=$U1KyIK)ZY0fK0W<f^8K+uc$N=0y!WvG)aM7Ab>h!?*6vhm*N^rO3lL1%cwYRV z?kU?tYvcJ3LymHUmnAJ<A3tD+Hh1?lehfPePSba+kDQu5x2OEGFg$GbP5sDM<M3|% z`As!9r~Uf$*s><__591v^fn%tFY&vkG5>$&(bvDr(94p2y<d~_w?h-6xzW9c(CXp6 zl6L&Mv_1TGYVZW^+q3<-EodO(>FJ{@_1~X-2%V4eTRnlPe&gHw+=r*1FpP`;C!cUc zyGIJCCsaFOYN+h-^%~q^MSqniH{DV@s*`)|pxVM^k;@9@3TM4!Qp(Za7S*M4b5X<^ z{f(<L17=S5n&=e8?0uo=U|h`Gr%9>-p4lsp`n)iU6m~wF6J(t0YrOsWhV$Ze``_EF z?%(kJ&pElB#^Pn)tG`>$FP>MtPrhu<*J)nuUnSJ{ueScXB>%AHC-0@xzHH8XS$ynE z(8_sseV+DZ=L73xo;>(?-jBWLv3jrU{|8f!O+D6MAAfn_&Cpxlc4S`vD4KHS=B&bV z-;ca(*nRo=j-cRR*AL%xKpPm$PgaOmKk{uqE$8c7%<^7-evR8&eWPbb?RFd#ULSLR zXVdo5#<=+DJ2`V^f4{~2`FHrQjn&)c1Zeqs?%%Z1XwL2r57ewboN}(0seS=Ik)bZ| z-WEZ%t>v4)9e(qrblv>;`!|X!FYxoNwyrfgp8E2-%<<@6OVGJWjG%MbKD*ePori8J zt89GmcE;k$iH7-;-s*!7V_OPY`1Sk1q3PmW_ljKCuRr~%rqb`(>Em2KKJEAtcjQk; zZJptL>v^}ItVP<n_js{-?XRN77sn5NhVHu56M?KAocu*$M}2?fk4?TG_7(01FI}_S z@Zsri*7pZ0tL%5^oS(jSrvCqs1JfVxoTBvG=G#x#vfXh7R-1n#9TRZe9dsZRyNms` zd$5&F%}v`uM~Rqw`nOKiw^yl1zY1P>^R2N}Uteg?-pya?3L@v}pFdp_ssF&c@Td5j zRU4&_+3nw070=hcdo$98Q5!qZIbrrXd=+0~L9?IBm+IeUeSZMFe%|-e;iRXhyIJ2K ztp%_60)@(Sj(h87R@KH%dw$yM+3A(VZN=ApmH&P}&DkCtll-DOY5skQU83$iyE3bh zHthZYowfI;<HhlB+h0R=O#WH%;H_=+XQkwODz=tk`}Tx<D9Z=$0Js4<)yn5X*s|AO zOC#s$i>K|I6M0~|aQ}{@hxR$V`OIDY)qQ&2_jO1|27H7b0+)Omc3f7RUd8Tn;FRXs zKXIzQIQPBX@4@TsK!*RB@gUdE862-S=lv{lWQ>=y-!)b2&ef#(@de!X92Ru?BOM*q z4?gNl+r|Fca_C;q7^Mnr&~Ew3Uwk5eetO(ge%lSaP^&iK;c0Ew_YX87u{u3{UrgA6 z>AY*UFP?6<i)ryLCgX2NyD}l>`ghd5sc(i(m-8LG4UN)?Q}w5F-P`&aytE7yrR#<E zY+VU4S9Yd;xcG;s+HPAf9@-u8<}-KxQEB%rKX#%ANafZS$LCFkB}U;r&FevHILtl! zr%csfFSw^R8=hY5H7i!DzXXT&^z?l-3mWv}Z|<n#espT%dHoL8Z!8<j;xVFf%8TQD z!Z5?d_iWt+T0!7hwQo($P0fn(aD>A}_q?6_<<~Bq^V4Hz>dWgtI2~U3%4&6D(){^X zcSZH={`?ce-E&_YzxEhvbew+0YGKfNY2QnS)1ID|W_f=>3m(}1-1nw&LQ>+?nfl*F zKcxP;v_gL4dHq+j-6u;+A?+rW10Tq!?P5ReI5ZO92<>@$9JFC~_LAoZPEEhtRQ|~q zp2W?$?p6Ke_v<gc(sBAzP2|}c%ffdB@8aw)y^r@hbX4iD^|zm{Rkw?lmsY(D`C7XA ze?4RqLk)QS?XNFSeHY2I|62`Rf@s{dy|n^Vz`U!{j=!f<ksh-iw5DkzzfMhM_k*|c zmwq2it=zZA`18|D)|$vLUXlCi8_(<Svo`zGb4v{)!GN|a{ap@CFjJeh?*lFEy{BR; z6t*u$wc_?V@R?}fR6ggy+sZgdDxduG(;cRLGB2N>n;4y)qq^~Ye$L%q<;Ah4nn>HQ zYrZV;kFSgSl6?I>H1O*jKYaV|3(2BpVW68C%HmeS-2T(`;O&k6VDl^UpPlyR`Vq7@ z^5Vp+krVFA{{GVx^M%dv>s+MsHz37KzVP3OpWRUBuX^zIC-|@h&#HNAYIa6_SQiW4 zF$W5opQ;CM|C$pQKmF;?P3E7Ub}{X<+2(jx>{M#<{CJJmZ<>?eUqMeeKT|LEpFI!V z^zx_c!P^Qqh}&br_U(!N@NFx2iS-+1?)ZC(6{o8qiIP8cUrhLc>BoO+SRFP@nm_;H zr7HQVPb)D>_TCrA58j8Sojt*jg@eAACZ|0;y|t;l9I{CfRIG=yzCREQ$*5b;Os{3F zvAkFP`lqbHx1T>_eQ#e(n=c6667}%*bV!iwSNpZ`+ImQ00i7NLT1Di0DLMV=>D?^v zFT}u8``4!J<<sx+>z)4mwC~JxfAJ4XckIcPG|TvM<N5UksobA7&Ap7YTOU$mTtCXV z{vC9E)lKCJ_A*F{Uw-k_^m6WdC*Q*h+&^s(-ribw{d#KUzBlJ<cJ6P`Pp+>&apSr8 zk{ePiTQk$p^Zy-%UmO1hLYLu4v*y1y1Fdotc8<TNT#-I!H9V2{9*kZIDOu|0|J-Dg zZ&Ci$^wRrK@R4li*E?o$ecH4;9cgDhq*ToBtjno%fv!*5+qC^P_z2R;UreI@*6j5B zux)QNXpvize8i8U%@1<lU4H#~lTAEd%08RTDDE~bEJJtq9{(@Ne;uLj{?2`m>!VUK zsONNFY|mWCifM4(>3bl1XVLfO)1PX7UOzMax%h|F=2dTBr1q~nuwwmz9xrrLYaG5L z|NjfEJgZsrjX|cW*m{Q9$L9&|DLx0@Aqz72j#fqX?62UOncX;EPwT<y8}~u0<a&2a zVcPfL5i5GK`{a1B-}XCno%Ek|4`lCvwhVx}4(~bdErlHQ3NrW4z6WdbE`WpKpY`Xb zXIUXfo!RYg_-ghlBU>M%D6|*&dt+l9G=!a-wwFx@mEWBU^?$Rxe`OBd$py+Nb~+We zuS4SR^-O(p5$JJ^f81id7~>0`FU4@L;Kly6+0b=^{{lXQy}z*M#0+!8FHief-cQqn z7qIqXdvv!$>UZ@e`AMd#v5#|EYi6!8edYe<bN8=j7oW6E!zlXZchu>GLyM+sEcw54 zK`TYoURutWW+(11|E~J`Za(F|`<P{CPXCm7PIdOIZJhVi<JQ8H;)(}r@2>)<aGmsh zHQQh*<$Dm{k%;=YFHd7MxBOl0`PM))&rjonx1B&<@#J4ORli=Z;(uWiyeXI5wEe%> zUip}5&reS_j{mp5LH~5}UYGb5`)@z@p7^r3>-IWs=sM&N;6>^$FHgViYX7Ya+7h~> zSn(RXH&pGV=C)5y{SH<?Vu9!Sc#eDXL?LzDvoq7z?@q8NUpn*i;ctz4vM*n=AL97e zQClZ?XVIbHh*IPODIx8xr7w=J%Z7&7Kd%qp%Cq89&dgq-e&p2je(rmlz2Nb&n<f8v z(cXQavSqe${Jh`;kOMS7YwOJBh`X^}3%#277xg9iDQp$q-=^))wV>JGPO;+opY;CA zzOtcBJ(b{sT>9YcT_NCl0<`tHjuCR+<$3<<?@vE`zn6ucm;S7Mar_zVJjVGVdt}4G zWtPORPnrjJm+b$hy6Hc&+3h^FCO*U-DBcO7sglR_xqlygt`yJB^zP$iUVAacXpO>? zDg3NEG`gqUTyxdnf(a*|?+KsFc|NT#eYztz`@~x$xA|Q&n);9@IN~gesi;Mtp2jW@ zC54-dCJ6-H{=MUQ<h<uE_gp`Gdhh$q-;3Uzxw!j#{rSrJ=eF;E+w6Bda5#5*>Wl2Q zpAU<QYbvYME+@w^pQ*Me`txeH%AQP9ch6;O-rU?|yf~!p9jnAXcKb%2y1G}_IN#Tm z+}YE<IL}4R_+m}b_eb|+4(;pS|NE@*e~FT3xq<t%zx~!e)t<I<<;S-*@#l>1H`iKP z?*F~1<<XW|@+!xTK1&w(gg#r*Ymrv<Xu{`)(oKr{AAg^^X_8Oo%i<+=W%bjpyPr`> zuQl=aooA70`(9<w*Cuh}leYyvuKRE#yRADeHMN@KecR&S3+{gTDt|^re;spg-OlMB z%4%n=XFt&p|Lydz74dc2j{9|vuGG9#FaG<l=*#5py=yD&U&;RsD$4lkee&V%_){M@ z`u%z{{ru!#JO1*;$s10dGwbgs)<e;n6^4`d@TQqJ^k;rKUE5NAT6<4?l3Hb<Y{r+< z;+*-%-`3bVh+Wq|ydwUeiQ|5i>HTX1TmL0)ydS^Ne*Wf%`=3wzcM){O+5Raq`+hH# zk$=79eBDo>n-6lmD{7DU6lHxot#|15)gzT)OD1Q0J^i<({ChXTis_vBr<3gVPdNJZ z^syE3?|d%kpFY1VLG(d+_uqe9A0}ET+yAQg|6=+i=hg9EeE0tb_4SutO5bne@GAN9 z**$hiYL=$IpWf%pKkjs{d*+i|18cX{@%x-A`fZQ@R!y5Ee!bCczl}$QwD^agtvc)C z^?H9jJ;?HR)1jSJqQ^Rq*44Lu6e)7v`F#4{#qnNzYwI*EYrcD1+FkRU|Myd9kbJf? zESv-$=4L+FGsE*9+veIWzn&%@vMw@zKYfO0TnxL^-%lJ5*UmfrTzu1xx|=dzPfuEQ zPi9r<{dyJgrP&*P?7UH@^X<3xw&zpd)@g0~+Fz$>x#ic=Cm-(WKm8G*Ui<Czb&uLN zE1!QAG(NfVrhdQBJ-35kkIZ&j9sjTSgWqpqggvE)ZrjdY&wk?3ucx0@#D`t3+xcc) z&QguDMJCM5#U*o>Oi$cjY5daj`3KwC<#P|Kp8pv#r}?F?x7dNBY5(SZzp8yUz0uMu zyXrgl9cQ_B%!dE+|IA+W(fxUOjj`8z?ssdi%6$0!dbj7_7c-8&W=|{HH{p8{>%HWb z?dfXIkDfUez52j!wuiYQduH6MNZ0<b&$Gh(>c^k0Jij<UJ(|D0;h*@7<hmo-_nsyH zKahHP`eB|syC+}YeIZ8K;P~^;yZ8Ni6}wt;wTiJt)z@ot>nD|lmCMGbPdHk6T3jiA z<<fge>tw%634KreHS;sil6#MAO3$f3Vspy3{Fc72zqYztM&8!paCG0~Uxi6h>*Z(M ztT5g4G`__g>=x5KPje65jywHa+*o>j+x9sMd)n7M+%v(^-Z4%*-}858v1GAb;knCu z?tNv?uk2p4dD`k9R?Rx+qQl(p8L2mJ=P8}H_REnSk{)|i^TIy;p7~{(`}~K$Z*1Rw z;-l%_r}vlCUAg|@SL4jy@Wau5g7>y*&Vg97$GKwnx(A@JFx~Ui<l$OTgpHnc*|B%7 z`oDYnb)9|1Y0pjP%3@A^XH6-*x5@0&v}mD<(;Ielde|4wYrGOYXZg9GE-!V<-#v!g zxPM9An)T1VGJ`$yMd04KZVUZ1vpC-TlN0PEOk6)Cr9Hm-VH#sg`Pme^{b;^C%^3Io zSM&Bi?Qb5R>a3aTDE3@ecjERMwZ`o{<><cr$o|vr+gshD8sB_Lk6%~T74E4^02}St zvONlFOVFX+MLP52&*bcpx)<bDvArKDTp_*;mwEbC&m^Af%)+0)qrFa8MO$^PH$L%b z&(qfvx3BSgp!;m~OYL^!302;F>c$V3F4_L3ZtnZ!`}dTNU;i?VE69G88!T+ugzr5) zYzgtHq*KLqzQ>TTP1Sg)D~=SlhZapeyq@)V<zDWyTbYY9s=O^D(`U1Nu4Rmzv;B^I z<>~7}72c_Q&-M3)s7<cw{vWEa_vyXu2%q}Baxd0|rl3@ohi@g0R2pvnm{sAe`tYsf z2?+bN$D!LA6^hMuO?x8ural#K-Z6LHx5+yqPrgsD_WN8VKI<V<aYof?gH7l7Qh)4g z&^Z?^*Rkj6Zq7ZaOCC?*E3jFA!{2-F(f`8J?inp_hx;~gNnMWJUz;i2ay3ri1e|K} z(DoxAC}*vHsGH1@uTcSZ$?1qgx9hsj>!)n6n_#|r`vWDR|7CC09~r&uzsRHVa<jD8 z!~Ch1pSjN7J|HveUJ+wt`d0OyR?R%uX8!qay4C&Or^zh$iVn`aZM(YshW5EvOFln1 zy>k1H`V%|EKAv}+uk#$1&X#sv>3_Gq;_rbo$5uboeaxA^_rXyxQ<x+F_UxKEh+A4) zw!h{*$1V9q_(|zUvFmk@_GgJ4>xj6$>Eb!*gRf&V_Q}j}z8rmQV(GSJvmx=`K5=`F z?*rY%n|wW{ohh$B*K}#U(*4hqQevMMHBI_auXo(LNOunNmub4n@JN~I^2+^gV4S@H zC?Za$9NPWQ^jtSM!|5sQnd=Ts{wvk?1heR;T=1W8{b+Q|Z_i1!b#`^?f%YpOebqA& z76rLOZBpsBzoGl|6}mr9Ygf*{IrBiYo3&n>W8ZVp-<h4)BKg!AtIPQGXMPQ8eW0tY z_M!I8?dT^zX5A|?Tq&>14hxIv0{3F|i{rqFzDV=oS}{mMd}?%Pcai=4_!A4}E3EI{ zzT?~EHLlNX_$Gh3slFPN8f#deUHCGsVa?`G(;?-HT=$;Te5U-D{k1D5OwW9!`)|J7 zF6n<&-UXcZkMG;-+PD6tZuo>>8<X{6$&u^fS|NyCOWQu^-9HR1(Dw9y$b0()kp(*c z|JuH)?fUKu3M?QSPoK2Pu9*f7{H4mDrYUpoN$q)TGTmxk_?zWR?>+j@>79Smcq%*@ zYJ2`&Q8Vj0Kg4^Y4|DIGg{JzeE!&gDp~>;Oz`c3<3*+E^75*Q(*uc%_QhN3}P=4Po z1`6(FlS;4s6W@0Z6x`}c`IfGGQ@?Jy!ES2y?eh136aJJ|y#M?3^9yNE^1E><+U(2& zSlUaKS}E^c{s~&Du2bDJySxAr-bQmj%=@7Xb%Ze}Pk^(b-n~b+{Qrda9+EKhoPXE8 z`}*z+ccK#beDhbTzFza1zb4*6?78l3rF_X<OU&EVQ+|KlT_|9+hhy5|n@6j?Kq0^O z%e2btpW*Q>dvnF?ZJ(j`+c{M1u0IV41aH}gYsDdPkgE1D_ct$6d_%%OrT;Y7o{w&E z@0~uM1?9j`bxd}t(vYg`y;A<nDF>ogt=T-$cEt&~`P)IUF2DE7v@<b~S}gu@w4KMR z;|t?I|GGH6^QTiBZ~jk#hiirR)Xr=TKODVIeb4Lp4?f?X9$8_!C)MoX+drbuLG|mp zc=7zgx9a~^yCwF_SaM!(*7?`58F{(}?aQ{GQF@*8R3DPy%3SUhl``c^zW?!q%^E5C zD~l8%DXw46VArzp{fE}q-m3d+EWVljdLFpNyJp^%{#)~5;qgr1-n99JkofqNcxd<Q zLTGNxQ`vL1N!;j#`ia+%bl3e=5r4f;V*SJ==fg}sR*BE*<T$hNrLOse(rsT2Az5(0 z+r1)Zru>-?er#yBKypuHsWikr>tE`cPyDs<nIJ6h#~j-I_&BtJH4(U{br4bvI33#k zClOxRA%%opDf{~Jg*&%`BSLV~xhlCwuX#3E<X5VFns$ukUeUtGkELH##BH2Et*ZOK zy3XFE%VxqeLjR;+8~?JyD!qQedtOl6o(bQ3_WtuvNNLE;k$?QV1)|vL{J$ztLRszQ z=I=U>zv`JlGQzWorDAzcz&78Mt~@=Lb5H7`$B(6-A=#YzzX+ZL^3=XA{$mZZ+3nEo zFOJX<78AU8_Wm<S`g<yNsQM8jM%nB6>&=$k6??hQt_7DjttoT2Zv9xw7CC2oiR-<h za;E&5Pk#JpLyrENJG$VWKDwmN<lgz$?BE7PrqZ6-?_kv|=fk)Ez|FkX4|TT--m5x@ zUg&%Ndh<{p6w%S#porc+sr1@UUPweYPu#x7`+@FcS^4>rO0`GNIK0v|oIL4y|B~%G zQK!Fe%+H_rIJ@ffanHXQ@yDTd|73Y+`y~|W?L758rA?4#Rn4Ri>++v|Mp!=SxlrJS z>He3}<+C1s)iWuV29-S1CY6T$6@`>MizjZknQ<U`!!z+W&2Q5mfJz&M9c>@q|6Ct& z9B%h=kH0UXPJ`|KGVMR(?8TlLACczj_)Ee{C6C|ty|G|LZ-?1emGY9Jw&TY973Zdf zMKtD%Ejxao(5TVnjzFo$!?r}7(`{22XY%<rg~+sWuv!@9%(xg3yiAl?x9zXx=MpPN z_3V;UFTQX1y~p-^@w@z3z4vwJf8V?NeU5d-`|ta{fB$Lw{d@Jk`<o9&I%-YTGB}>F z_rblh&oq2QMbB#eyRQ-I9cRg>Z_|9M!}?zLa=$;8M{B;G2`u%~V!eL&Z_LMYYI{!4 zve3^myT`Bf;hf^0-%^(P83FcBY7hUB?enawwSV||*7j?^#F}R8nG=@qtZ1M7rL>as zGTdzCwW)HYn<ZBpm|m*?`u_3x38i7%XRJ5AkTCb{zE35ePlj+^zZrjjp4Z&Wd-gVq z<Js3HT)b2DBY5ArN$O7f^f@c<2JC)ga$NYFj-2~rzGd<*bD6FsJo+Me`GMT-@DH^$ z>nxwoOy04$R_C~TysYt#$Fn8B?SCL?I-9xZz}6-8A@>gbX3sLa$HjVYXUq0N#pkYP zkFA~<y-s=0T;4h2rqb)(@@BeMoMzF_Q2%q}zWobz^~uqTetG^s<-6|`SIftnm#*%) zzx1rHO>xGvY3C=EZhPvsPv3$2lx}TZ-c7&aZk>+*b#tE96j?>FPP!wsHgEYS&6&}& z-aY(%qcm^g<LqbCtS;G0y{i200JilNXTG~E)CJFk?+G33p4A(!y#0<tMSA@C*W6M+ zI6t|a)a{%<$4kBY+s(b-Ki5q++y3#)!kN+Q)L*a3*NabI!1{EW`QJO6)Lrr#f2yv3 z6RL1h_vY%<8`dZ96#Z+ik>7nzx2Wdqog(Fz@^3f8tj$x}bF>NK*PSyzTs!yZD<lx4 zIP!0s&Jj2HApE3vQuLk;v(0wuWs7Fib$s8wW#{H}zO#2WHLTfuGW>HXTU0=v<=L8I z&N{y>_AYrV4X&fw{ppu7!fx)D^2gUd`pXOs+(?%SeepdjZdRBUoqo>oewXB)eNYbP z`-v~%mJ8W)-rl;YJoc>Gz4w=%U7KfVQheHJ)48a1PhRtES#Zbb(s|vzibbb?yqT)M zbMi`uNzr%onP)~{%YOzB=xERX9`%#H^Iyr?Beiqs#1Grzj#UP3{+RV-n%be;*1ew> zr9HlSLf4xkf9nHQ-ajmri=Rw8;(zD+{>8T|_IpdSoR^ukGu1FM{kPuJ-%MK;+zER0 zd|I+%QTK1H<JCu0w(qFl_w4v0^|&AJi_+~5e&6`|j?(e#XLREg{w6;Sxn~~$wrFX~ z_H-_2^yaDW35ACFPo;;k-vZ;}FC6fnVD6Pyxc~QLLGyQy{_5p=J(}rky#0>bwaA<8 zKdqQ`HMaMBEk1o>^{>*3O{^bOAAFtHP<(Rb3sH|E?=QDp|1SAc=bg!Z|M<G%Zkc%F z?Ix4|UHr=kvs@osjX=_qV}-OnERy&6R9Ndj*|VT=zC!+#JClBRUeOc#TU`9@11N2M z0;R2K6HCo@x2-q6&{TAKW?i18vP)j!+szl}`_<K4Dz&}1IX3k8wEEayc@w^C4EyhI z+CKSx^7Wmred}jNzf<{}e1~;U{Q_{<#;fk(oeU}<;(G5~a;mUaD~bb0MylmQT|t-w z9_{+i`n7ps*}6Zj_ugMx#sH4#@Do<qs~<ta{o(UzoQg%KH!S=%fxU9yy6?JG-xU0{ zi+|Ug&^;RqPh^{#zVz=Zh9&TQZWXuH3m~>VeciG>;sYeM%Z2aV`u_1(6I)%=p1>mS zN9r@fUcS+f{av}=d-g<IP?p|(!pgeH43e+B?6=2AC~fB{54*e2Fkn*j9sBe%c|ZP6 zn}x_%n<jnf*L@7LHcar|V^L@!66uRb=jNR6t@^LS@|BQ%;r;*9zJtP>1MFM#6IQG3 zx*_3RS(o>-qT^1`lYM74${C*2eJ8*EjIMk9V|cR8pZM=$xz&4lNT%7_Vy;^ON!7nO z^0O`1>t~sPLffmN`m}|9#sl>e)(Lr$QhUw|+jFivoiK0jkJ5YZFQplPQukB2P3NZd zK856`ljn8k^G%FC@s@q_7UyU8Jo5_wuSm_i`9pnQf9!KH%YA8iJLl(oIJp?+RXK${ z+TNBB+dkzUx*dE7nz`6H^QS^G6#E(7X}NxR`8$;?=f35Vu|D-X_7=|rPy%10$i1*w z<i0ql@cRCH=hHTUovAL{x-E{ppZ-MbJGaBLX_oZ}AHSdQ@1ju?G$W_VK76b93|f9v zfkq4=zJD)t@0b!KOO+qElk~IB`;q#)-}kT8f2x~q1_|b<P3OGYK7xy`nQxv?yY2L3 z+QfIKWnK$TzVqnc$5VHL{^i3xTRG!Pf9-L8r`;$1)TM$G?Nh-+)_%}rB+Ze3xW1wm zVzWDE{_)v!#7!dPL1Dcy=kpPNomR;sm%p~AZ{EH9tTi~O6*ryB`hWDgWX6VbPu@4r zd-Z&psA3WK?1E2fD;@a47i3G^pD%B`W<tO{+l}?|A~W_Mp7>P!*dpQWxn}XI$8C!F zEYviLLw?Gb_F1IJKKRb&EUPDZ=c94|4g=Gg3h9^qSN}iwD`<Ms^5*k7?>dAVW}ju; zKfhY}VeU`s=dQk=;vRjzX8p+Y@Xz&&7QGj|x9nN?!91g#;-)9NUni_oj*GhgUijWA z^NLzW=DL^Dr~j<`>A<nShI^XYvif7Sb%wP$2QN!am9Cp9BokGqX&D0=SpB`kd;cAm zU&$W@@5vj_s)(Ca_fz8G+P~b-&t^1*U)DDlzGv#aBR<XKVE1c>!}{{-dur>SePz`> zp`ZSvZfEDOrB9#h=kvbv*=Q;K{pZnVj;%9J{7f!7tgqTWE#8aodY$I+<907E>2II# z@5bHJzm>Bl1z*<RE(B^7&w6t8%V~Lmdvm*Y#4iF54F7n_&zb*R{$~|~?g{<%AO9AG zbL^K9UF84tvufe|csu*qlT+sxyXE~@`sBhV?~@O2=|BB(Lp}D_Q?pC*xA{K*>zMWA z%9qn`Teh!n|GY@8()4c;`$O9e4Zl^hKm)dI@pg_C(fl8OHHkf${<&s<P2;bnOD*@F zEwa;F{@8B+4x8l$pCdviN`nSc{{$87_~vbC_sw&9-A%t=$&VfH$p=oJGplar<PT+X z5B99^jPu?9NARI-ne2D(D=PZyn0fctO!{!G^fTCW^PhE<8ZGgU-oN0!y#CY2Sv$__ z%g^@=d3N{tleTy-KHdFOWY&R3oNF&FjsG{}%keL#_t-B|vo!r%B>phBwiDv`Pnw6K z?YiNP|JU*%tQ_L_?%Mq}LWlIvF5Osnw6<<zT}4un`)bS}xAv↰=U{ff%+W%2LS z_LQD4jJu+;ep$SoZ$)<2PI#F6bLM~Cyl3A6)}K$`?u%cR^}95~dS-o#ZdJg_3i<8Z z3+Kn*nRngc^T$=i7_mBE@$bg(lF+dEX?!SJw<2)zo>_G}+drJ!(}ZyQoDXI8XRkA7 zIM!7ze?NV(^N*)58l^&bw(K~s&(^Q;MP%KN0E}2oRe#z4c6UX+i*HfZucvW`qIDo< z|I~SyyK4&EY&-Xg+w-2hX56B%f6wjjr$1iN*Zn{LiR{ANp>=T*-+w-R9^C16*T&TR zPf$_D&!tZa-cB#7c{5q>@2AR3^3i1<pou14;NH8pbHq(gu6{XPU*Mjp{KLA=Sx=6Z zE_gUyU+CVkTuAWj-52k-s4g=zFj?pM<07kfpSw>Na~b!qy^I<7-zWZy_-*mNe}$)? z@BTMRdva%6>Ss*~zpNjxw8vWi5yEugdtZ_vrbpe^U*7V;`}TR$!(Z0d>`;66`E+~w z1l?nY=bz%;KLs_z{!x9|KQ$McYCeUxZ2uT|t{a@8;)U-qix=#7@%{A3>cWT9e_OWa zFt69oaID*T@cZePSM>M(G-v<1ww!Hl&&8cP&g;ANzxwaD^vkO|AG}X4d^&y7;jN$v z9sgZlPUl^c|9$NvG<=xBbrUFRD<^!Av+jon|30UR>g%7rGDJ;?&-?bbNbak*x4Bfd zrTp~Eh4bTM<^|8FjH$`Rh});Dm)MuBdk8A$R=%9RUvW=r6C~{StL}L^^^Shv<UKq1 zO#XZlc$mAte?9w!rawjP@278X`*6CvH8Z5L#`n(i>Bsw@Ex9Ou?mR}=yixqSvD6eA z^fo>f)#1=|zt62A+veT$72w&Gx}VmEZf}}&U3`ne{+d(YPfru5i!8eotG(Y&;QP;~ z*DqU`-ulXond0mHe<k1Lf~9!&L$~Y1pa0e8|5p_Cj1N8qIj6or^s4Cb=^jE8;scL- z2o5+BvC5Ry^oF1N1*ZwCIx~|V@hdFg2-6kGTo`P%>{jNV<;rslrL1l_$=(i$X5XT5 z@L$6=r<|2j?Mn`58OyG2h++}t3{bFDzxmnX`ICKnRP}e37w@*-e6#kq?e5<@pWm^) z&;I#dwYq&q^fkSmD{9sMSTk=EPKY(p<cLaq$^3gq+2^DkDRK99D+=FRopxk)#qY3P z`kAxJjaU@kdwK8Sh-1(8n=pNQ`^PZ*KWz_OdHHizN-$n@Ea3Q7c-KmW!|mYft5@Gz zWwoU|c$iReJHGz^4?DYh?dydp*3(Yg83{Xm*eP>)^Z8Uh^_9)yvyvHQoclWaEcLi8 zmqk3fP`SX)a&h|m`B|x!j(P9muE~4LP5L|0<ZNZ>jOAY5bN0-CpS<TQzgOHPll9*F zYx+N~vxxqsnmKFwvqtXyHS<4;)%rgZH=P{6Pw3avV8K6|?q()3Rqpy>XXk%9P3{`= z#}B6+3U_?+KAU)3|LfnC{<prI=8vd96R-I@*(>;K(aY)o6Zc%bKXH$y@5fzVPXFyG z|H|-kx~A{POEv`$r^_4No0fn2&!V(Xr>{HjuQ6<j?=vY^%kTSTXP3_<ny7HpzN0-p zi!Z!xrDe|VrTgr^dd{w^Z1{S7?&*|z72o1rUrsmoZ1?y$X<23Lucz)k+gGmt;(f_P z|17h3-A}{Exp7+0nX}aP-;w?G^czQA=l}fIpCA4HQL}EYT=ZN1#}B8^Iy^N#i|=;b z%J=@YmzKrX9r~60xOS8Mq-B<|zn&@{yIr*YiK_4CU0+W7OTJ$x{NH7F=F;brOwM|L zKF}I3m$rxZ`w6hq%boYvXg9_8Ejw7f>uYVzylH1G)`a#SJFIWouD$<?%(lNl_vimw z;=4a5@o(YJSeW^O$8PU&_ylo!;IZl_8{kf_?D?p-Cm0;)@A&@~)wArENq*z=Pv%Q) z&A$+>&mP-s#Q67Lky-aAsQAV=@7nrTlg<8q+P}tr+PSHqczt<Ezu)*?o8_eGCTCw? z()TyG_mF*Q{G?@-nQ}WmoSxjXJ!RV&{VcWpe@wodo-Ft$sOE=$@#$Bkg8TZ{Ul ze$ecH1k>rMe>ZM$`{sVhWV!c#o?mmT7{tQ7At~m~;fm#Z)c?Z5<(a{~KeJQo0*!w@ z?YyARetpa9h=o_$H~3Foo%`PA>#gn6_w}FOUR7!~uh9QEhBY?LUytv73Jsyu_+!zF zDm*Xm(feyO{o}Pgx(GWA@69zo^|N8tN&RB(Tl?!R&6?u--mY=1T=3J*j^9h9lH=)l z%-}zh{<rXPDa>&DW3$&o4Buq`IQHW*MChwM&efUvnbCA|JRjHJO*4K!^(u~*O1FD+ zHt_!O=e`S-c$Tcwl&f258S``Lvkh;j|N3`DJ@?mBhHLV#*H46oKfBSrxtCS+GiP02 z8qb%sXLbDKI?q|pmR3GEq|a`A?`be3d^TLrUtYL>PxZZ;U~jAYB^MSa@9RImI4D)- z)UUH2KX{*gcuL>2J$L_=@5}8nFYC8&_!sfl^`^Y%<vDtPH#K}Tt8;{=p2(i<FGXKY zUy>O&i(T)}r<`NaRa3z+EF=6YmG%2+le;gPOpe!DzWM#5W@Ye{!av#3Hh+SOQ8Vqr zHTGh$dcT!3XI)+zKksnGbpL64mSo0Fue0p=`0kb(JnZM4uHaTb2~PK%3*+wz9@IbX zo*A;&;@J0(UmsnXV^($0{t-sb65I6eMp5UR{w0}y)9Wnfe4M5QNjowJEBbS$!NbPg z=-yu8r!@{|pG=?LR<~29DSlqzt=QG&b07TvQ59~wY4O}&RhTXhTw`zMKLHx@?#B0= zj(X2}R{C;!vc&rjzL)epFYhtokNNSmvZwrUg{6Fe@z1BC3*+nTo8rHnUDtZ_U(WsG z;=h?nlpjpmj_L5e)V~`a+CT%nNapcfYd2`1|LiG0Rg5s5wP$<X7I2{d33@TTzi5Aq z!F#{p*QZQnzr2|7{_*D(A(HKzzTL-+*5jN1?br|i%~^XUe9W^6huXk$Ec$acB3hM> zMcev-3k5&^zn?tcP0!pF7&q(jKACT|HT$k;p0X(0BacxmZ0h)Wd=eAX{hyMKMccbT zO;4A2-=&Ty7CL*jXKVnwe~;pq)4YOzCjFfgGG*G;*GV<<@$0oc%nryOKdjGu6g5rS zpZ=9xSASDJc-E@v-uw5od<^?O8CueNOTK?K-)p~5W*4|3`6Kf<_vGZCu4kW24_z3) zPvoHf&8M4Rrfjsm{{7=ovyZk6VKpY0nJV=S<NcfN(7@42+vC~=DbwuFSIn-{fu~8o zq&;WX?!F)wy;5{x+NaYu7sl@sJE;Hr@==?+zrgjBOjvG!O5gdGaNz`5<CT9c58U_g z`)+;m(UHs5iglaz^PRhjTACIaz3%Tl4y$8MOXi;rJPAou;Rg3&`#tun_<jagVBtph z+LVp<ubBC#$nnMW_bwk!YphKU-S;N=hu;6Bi0`e}&u{a8DJQ<~T6^;x1^x9cPZ#fV zea38h=J<~N;@fy%7v4BNH!Wi0OIh}~GAplROaH!6kl@pbJ1@b)zWCVFHt`du3-*?L z@4Q|3%RcW+YWmMkDe>(emp+yh;`&fgYLzG3{+Z)^<5Ir=Yu>8QU!G)P#&ga59ADGS z4Q~xTK8!He(Y$~B_4R{Y(G@B|r++2y-Z)40+3sh$&BhbT%|FDkM@R4Zad*Sd4VN}O zJ<L3@;`Bq7CwH0mY)-g+dC!sdJ%6019Tr`GPW!>uLb(Q6nF#(up}1(dcV2tBnwsaI z_?5kHA>R)%qkW&8=YF1>Jd<C3g=<fKEt}n(<{h_I>3_O=_D5gJ1MBOI_peLbzv6%P ztE&8dwI`<=J0nh=>ps2i-S4|+uU@|XKJVU>s=4V2fsVg_Gt9a_RiN*^DBGbw$LgEa zy(clwH#R6<Q6pa?%2UR&Mmh1Heq%2G-v5r#Inf_}m2P;{7@e>*^*HBC=UJam3v|qR z-1u2~!`73P+Kz{|JxF;z=f&R>m7E27t!sVH-F{HFMPy6Kxz6{D6Fz%A*dxEq%raly z<Hoe~2Yc9)CKPKG=rynPJ$JLfJ}NBr!5(p@iN#_Cb;8@sET0Rg<dmm8*u$SRVY86M ze%9#ObBZ6<Z4r@qSyNXh%QUf=uRyPTt?#+09rC(QB`w6A6^itj&WUc=deX9AAu;;T z#53x9&s(zmUv{+A?#P4RbCw=B`Ty@py@_wsBt48Kq$r$pnAE7k?8!EXM}=a6f9s6{ zY@+JU{hR*b;Dx{m6WJero^JcY_AsBk{n7XN`3v+75B{BPe)4_(<hH`|n|GLM^_lck zHy+guFI<v%$4xAJ*&~m`JJiI&mw;$ropoD1`<hL9swW<O8v;`CKH`p*sJqm$zw?e> zU2Sp6;2pnC-nuSj-pBt=Jn{;W$&}bW^C)j1NTrzA_VUGNxHBcbtBY-qJUX$hus*Gj z7i8UU?(XO{AmgV;#H<AA-L`4RMv%nqV~?WZCOlT<eLO4j&T5cI^~|HDt1K>k`Mig> zE`eeGb2jhu#&$PKHz>W2m&`x?x#-W5#5?yRAZl-)dn6Sqll7yrP|Ch`UJbv@;g9yh zeez|Sf4!-`t8-KT_~EZ^hkxmu&ToI#X8!h(P~7Uns)q7U->_>-nVtBvsO@j`^JMvg z1iNjYYSz3_l9{vZmG;Mz$9;9=zwV#<)8Xut{_lrta-RQuQDwEOChcX9)*r6o4d1F} z`v3XME_3ZG>+(N44yPOt-W(k?{m5Lah{C$i4K>qj)IWF5(wzVMVa%NP{LeLMhWj4` z#YQa)_t`DxKPS2}Su*cqb>)r(yTX}qp^fn?c~5DrUiNU?r(fUR_Pl&Mkv)Gx=?<Qx zPYHWv>UJ<5@2&Jw<^8rh(=%46{8hqHtD31-6Yo|<vS0BjwcvQ3B+K{F>Ol5w?`@?K zfnxKmLN-~6tesrya5kjfU*Tayd*cUYkrm1Ret$XiTyck{UFnLX(umJJYnZc^S;_Fd zE>K+0EOz+Qq_>|x6u8#BDllH{{4hU7Ho*AmLyafW^V>D|?%`vd`f;VR>FUGE{->=L zq^&yqaRXnd;H!c(@%a-qo~Qn{S+lOoUp6a3_S5`HAZyu8SZd_n^#`;w#;$Rm@_EzR z!#iI6xbjdetessd6=bp^`@Moh*}5aICmdVOUbc}h@Z9NbeEU~*`pf2}mS+5H1-tD@ zTWQ4c*Avw4H(!!DUt)2p^5(ULKd$|_^3W`-{iy#bkVBmJZ{S<+vf}UqA5Q<p?w0zu ztxWEU&9}O9?$w6xoZ#S*5V-cRnWHq}zwI=&$IlLfEecLQzMOA!r0lbQG1nMPgJs#; zOJDHYUuw5X6XKJcUUIzm;F|=nt4|j{3U0St!)JZ{1jx3-k%_W;k4ilrUtTF+oMhRz zN&4xYoYw(y((|p(q?T&@o(XpL9N}vZZ*i0!xNr6Lln_Y4hU~bSR{^JAUHG#DtU#o# zbVYAK`@UFBra8hO1p(FWHLnc%uReTr931Y6DG}}OKUpm~Y5w<Fxs>JGhZ@gQquXoC zk1gl>94Tw|&*j>~df$g`PmT$~d%JhJOGKWEvVUN0Y0y6q)*R+_Md@pxv+L1dzonWk zH7=8!G%l<P6l87^TFEOHuxXJ5qp3!g(xL(pUQv@34mFMpt}OCDSZV}CbaQJTG>b*8 zeObQw`?EXMGkIShI1}riel9X?^Z%K1@85gB`{qrR-7#0p#Ig&#zaQRxG~wpEb%&Q0 zcSpQYfA@MOyL!=ys2JY=?{;mu`E}WpJkQkqcNi^eADrvr`u+UyF8x1`r@iY8)hWNw z;Iv~_yoCn)wbshcuP=9Y>U!&W+UT7~I9Voc@y>ItQ2%M$GZHc1K3yuAUZDC;Pd7RD z7EkfkWvaWgl&9=%+}Fml@7m)DPv0>dTR5%%@Q1|38TR|mJ&3RAf4%a}_T`T^Y__O9 z_Atqe?`rv}!iF>1GJS^%D<=0lPm<ca+kaE*_p@MC0`fEM9vjTDeV|u<0;1|z;SZzM z!i?v!&+guvb*iq~iZ9oF>3NHoL&p{twaKub*mLZmgiJd#zvq*Hkn-Rcr|0z@{&Ml9 zG2hV~nOpbOjx!pqFSL+5zVJ1Fjf_?7JiEsRO})-PODy>&RQ5WX^*OJX|6y@Pa<biH zgGs&4hjoi&6h2F}3m3|Ks(<kK!)NVF=`wn$j}6|Xfi)aHX~8Eh)$e@6-{a)G{%`Ib zb+two_W24f+{fQp-J5&&?e(O;F_z60b-A3!+g#=UTa-)7>{q(+`0(MZn5bh>+vScv zi~OBgG%s-3-2DaB&7bE@n8VPwn_GA7o!7fAo{^osk@wcZm!-0)w|u|OmER;C*B*Cn z&(+|Rxr(1(JrCYE|Mdm#{{5LBR!Ho)7hXH})%3dW-e3Ry&3V3k{^O<F{;uiw|Ezna zPVVrt$oEB-=QS>$D|zu;=$YMCr*Fm}6Suy2zVXcN#7%3AQ{w*K;r?A&%=LHA{Q1WU zfB(39{`te8TW9m_#e25DO|Pw)m7n<S^ZXk&(#3P<q)*9AxBBvV>X}$0U${X*&(6+J zdCfC3+<t!B-#bdi6P=A!s_T{&Uz{S<miwgKzD&IO@2dNC=VoQxKL6{7$^Aobo)_lK zJF7SObC1zmpZ2<aT|1voJzclVXZOl|Vt;MsRaW~=DQ!EmdVcYS`Taf%=ktHK8Cv)K zbLEaHWuI@KkB`ZQ8^f71Z|!V_=aQ+nX7c^5F)hyD=LdIH=b31mxNDL|>+9Zi|GlH$ zT4!gl)bD1g^8Vj%tNg3qi|zlrr)E>G{p-h<Yq&ShKYha{TS6Ubl1%zLzrvg6r(~L6 zy}oF^x?z6mN=P{I|Ni+%<k!x<=gU6ro`3%E(k-*^*Uhs#x^4c~kD2>gZ=S!tv2t4N zQdlT`Jri57^-cPzEwf)`Up#Ma@cz}tP3I<5e*GMI<NV~{7wOwqu0Q&|ZXe&YM}Bp` ze>Tm|{T(Sk|5@~mzcJ^YMFw`ljOjg7`#5yde9g;wtJb^P`={QMoqpQN`PtR;&lBwJ z5B#n?qZ%2Pd-02R!1@g{Z~u9B{Y>n;!YAA3@4lY}52wtWd7(YiV8PwFb9%hy@0mt# zm;H+R@>%!H?c6C~@5MCy-P85Gc+!6N^7>nEvp?>hzrK0tj>-4y`tByejp8yp|H|z| z<+Uj*t(H35`=`H~tGa3aY@?@OvvSW^?}z}0xm?HJKZft0tJrSzpLDyteDnRkW##*; zC;fi=JbZNy%%3*RXE*1HpRRP9S+>mC{{Fc;cl9U#^_f`~T&w%*r{6POc{OmV`Y-o; zXX$bmKHEompJJk_@9p|6{rBDW-__stx8ARtXM7qS{8Mx0mF^5UXMAdlvFxv%T|3=- zrofD=RQr5Q>?AnYoHx$jz3jsIm3#UxuRi?e-Sg7;>epub|Hc>{hZ|JBxpG?lJXi$i zJey}615a1gGs|Dgr-E}~@y7YZ!7rZk%s>02+<tz$cc%INzkg=$gy+6_XP-sh41nc6 z%QJgFI>A%b`{a9P6v4@%Sn%8D4<f&2-mUerb(a2lxBnY|(%bFxt<P+R=f1x=4%uuc zq2Y8s^&Q`Hcy{@t@cElIB)jMzs+%{*$$t5#pDb~EpO@RO|9vZZ`_H$}&wfUvth3J| z|Gs}>v)IS_^1}Jg4Zlm)Pk;r{PsL}l;R@go=RaOor_fq=FM973zVDB>&lkUT<J)FC zyR+L3eplMfPJjCw7H9ei?`|l-{Uo1w@0Cqt`iVWiDp|gLF1mN#v+n<!?fiEYYjo@C z^XK-}?|-zK!L#g?M^SZvdHdhbXExN!3w?VZ{P$e;{>Rc~_CMa1@9)>2`uEX}!2Uyy zl?A5%cGSe#-MjlOxP0aD_st(9m?~s^ofr1kTgm<3oY4QiZ&JjAjYsdY+L<RSR^O8- z-c@sNfzHhD(#-D{pNXEXy?2W0=WW&|A8jNq{7~z9{qO15+MdeW+WM&{_snFwcYNmd z73<f4II+BYx@T-(*8e4F+L_yj5A2>%DP0HF{7j-^x<UTFPeIep%+7zXHuc_3%T4<x z9IP~`d|BM+Uu4UD|NnVseUl=F`~M&2Eq=-#)woRk{ri{StM^Sm^=EhCOq+ho%Qq9` z;)QeWn@&}F*LPLxTA%Jaw(SQhU3p(0K65!m-s#!bs*;}S3m<k~xV*?NXHD`K|B%KN zOo6P6xLia#v;;yGRyoi};Qw(hmFoP^#CHc`Rvd3w^yl9Oy*HDs8Lp*&YppMjEt`H> z?qr{P<tEcT?p&MN?w#EBVc*|3jh4?HF4a5aDX!rz=1LGfEjwd6b9TjkOC9IWTn}`U zHy+yK*n3<2*|*#~cFPxN$miwjG)2#^3d(zY^_cYC>-*2xirzDl)Rvf`!L#Q%&$MQt z)e^PKoXY>qy0%4jZKn12vh~MWzg^G1HUFNs`|Zo=t{2jiE|hI4%lFTZdGWvET~B=Z z5!1WzW_7;VxB9Mak-aPbcK!7&_In-swk<#IIe)3+?TfemmNWLAuG_Kf_uCCY`}XIr zo$V2O$1GHF?|y!Z!t#rJ-`V!N9dA~&{PJ=44#q|+9ln=8-UZAnwP2GyFJ)cV+IPK2 z_V9(k{5Kko$J3AXb-ws<>_%X3Us*frub<*ya`|r^-5f8rQ2FwWjZCt!y|Vg?7PoIq zwzVqik)3^UqWmJ}XRGS!e)7mZZ++2GZBe!$xuk<{ebtsyb%PHz)`~9e;kJEl$3v3c z&8*%Tm3?tMZj*f6pyY~_wb#Xudp15!E7_C!W=3aUe~;|<g^#n7-F2;^cJWI#UcdHX z*PZv-#Xsz3rrdm6dBLcp#H4IbL!VCaaj}vVX=}6H@)`#|-^o1Ir+kN>>p)@O#>WvQ zbF$yO=<5qS)))6eBL9sAw`_2atn<ad)Hf21eObxJSxR&a%VL`QLXY(smF&rUvqMZa zx<|JA!pEr_lVz=<Wb&%zzAUQlYkt0yPto$r>pwv@b<-32@0WDlG`hCytMHrRk5YE` z8I~{P?Yp=mVA<U47_L$)MHTgi$L}Vcxp@2r<NRp;uM$F=l&>xFovnWJN9@-3YKC=F zUhe93$=}%cUGv%99s9ooE!#VN(e^6`ew%zQJ2fTl)RuCQs^yXu^AqnWx_juS-m;e3 zYs|aHd*=367B83jRO>tE_coSGe$KOrtkS%E@ASpra~ikzRc^MckFTjOxW7qC{FdA9 zhoAi){=Oy6_U`GK+G7fl=_$uEq~Gc}es5=cCweBfbXiI8vc2I8%G(>uRX@M`vlZlq z<cqua|88cP5%BJ`LR}tf`RyGCrdq@lpD#aelz;8#CGVNv`kdbjDpo%%Zl9lg@233e z&)TQ9l-pGKbDrp~e}5??UPD*sLF~~zx9ui@{J>Oo-t2?j`8|85PB(hHS8A^~%ac-j zyOyJy_pP3}J*j(*e(J4i-m3pH6U6`TJ9+sx)8}jT8hfW?zIXZJXZm4X;=P=wOMR+O zU$C}T(Es;$dV1}3qx_rfoAz~PigaHzyCv)XeNn?5j&CLVPuy8;?rolWt6I0}x<o~M z(mfxLM)if|!47fXUzbGKy#8(US?v1hUqQ?EUSIhAb;BNxuV3~Z+Ou``5|G2>_U7Id z4ib1Sc((GoVSd}Epk;d}U%Y+uz;CV3e0A~HKuQ?*s!LVGC*OPZ9i&6oJ>Q=B{p&Nk zAIE}XBj4ryPPTjIGq%qMX$`(m-P-uw_u1MScaYY(UH7DU_T(GBzqWnpbfdR(+wSe= z-Sc|p_T?b8<_pUgHkLDgo|Zr5>r$WUe7E;n%<tWv-QC~_iq_~0zxx`$=RSM8Y|oU; zeAcS%k`?z8?-jmIt<}7I@8yfT$Nx^ydh@xxd}jIAXi(hT>$>O6{Ari{YriVHpK_nm zv_Ro2UFFYlqWk^yLidT>e`FrjKGUrW2D_{Ao*iSQ;H#H+kL=l+4@zUz)>Z8+PmX?9 ztgL!H)7-gI^YT6G3)PVh_nyV6=cnB}xc>C7OK%qDZu#w3;?H%Wm-XA4&+KPxf1AEs z=2NYGF*@_X-wBo5_iF8(l9?}BB`#61-5@_76c6v6-g7a(cRv%o(GP5K=e@6N_xfjU z|0fCxy!USJ)!FXtH_G1z3Xshgq)&7Gw@K3eJZ-PeYwwxg?ls?Q=G{}De6LjB6XYHJ zs(#ZC|K?Wi)&S)S>Aib-_xw+|XQ+P)6t~|OZZ}X^Uv0_IZS9rRs9jjz_<4)}jO}@A zL23K@;_{R*gTL42d=Gw>yME7<%=a;0{CG~h_TubLueDFUx5#wUzA2gSnP1GEDC$%D z^?f&kT)FrR{`toFXSqS4Yu9nFlI>pZncEwpK#`O6MUVHy>hsN&wfht9)jTbAzOdth z*)3cCyX(_gjr9+_6pK+^cjPXwf&RXfcc-4G&egnpZ~ubw>@b5k_vWLQe~W(3i&X`s zb>|m<&9o)f|Em=|@c13m*T1tWt3mN*Ex%W`pW_&}-S!7w=i1+%iT*bSlwEc+>~)u} z_<wSb^t`EYr?z}g{PNA{!@usz-J1HTw|;X}ar5l4o>6|sD>DAnmhEhN5A*J^o>~5t z3lz}ei^}&je!qWa_DYc77r$6*@Zn#3W%qP&uIOJ_zOM1R^s}{VCxeVF{9>2+;P13b z<0;dP-p01v3+LJMKlR?h(<z{ocl5>Gqz8ZdDpybU(oem$n`N&x?;hSWyB|w}Y{|Y@ zePH6l`SwR{sru<XxL<e5d*(O0#(T=lpB9~vRo!@C>W<w9enxUXTU!$aN({9^du^FN zy}B=6nD71U?yo7Ji1J@(uCI{4Xw|*vlh@9G<P-nJ-w!qH3Gm<kz-!+BcZc^p^#{lI z`32ie6!M#1zs)_nM|$1_P?4iqwVd@y?z_?=X;8NLVUfJ0w@lyp`<#Y57K#O`&t2Jy z`&UjaUFK8$`oiuT2X0TRd_4`6_IJzd&F0<ncE<L-pj4=RAv*uT-RYIxV&K5hzPS7D zf!*?-ujznFweOK%wpnZa`P}h$=Jtn$AV1G{e(%q_haKEHTDEufh1-V@R4aTwSLXxv zq1@hdiHh6C@3*;w@_%gaz0W**WM^#O4zg(JTA}x^rKKlq-}$+t?@aW@0I&|Jy-(Th zHP6_-&kdB&{4ZFaKJZ)l+1W5q%vgW16PvJo=XFW@w0oP}!SUhz-Sf<Sai&jZ=Jot( z_e%M__2-6PGQ0Jcvu@sHHRkV%XXeg4;(I&e`|=m}@*bQ^dAEr5-q&ThTYh_&y#Kv9 z;hgrY+3Is=?5^4est>F$%uan^JEgMRMg^3QnXCK_Kgb>1^B0s3a+~KHPZr<wz5a5@ zze!#E@X?&P(CyROI=cfM-d>rsXm|ICCWr3TO>G=f+gMa)DtuY^#NDfIi<{btDcg?) z{dPDtWh=j;edeD7ImgZ{yCJicEqhv*X9ib>$x=7AA^{oq+xL$Dwz>Yia)<l*+Vi#c z)AqhUQ+R&f^V;*Dt<V3q|6ly=PW1-0@aXD@+I2U={(qSnm$9WW>9BnMQs4YPGC{LX zo6g+&+3k7Jh5Clb_N9l`?(mK{IeY1`4}B?n^w&(@GiCm-jCTu9?7bss&NTgr-JHVh znt5HB_t>7qzU&Zx9Xjbd$Fb_SdpDgExxDAS^S#|nAIuEz&8t??KWdV%{K-zNVf)OF zW}0zbnfC-w?ABz+=YITlPxQ6vNwdEHJ@LDS;a*@*`Qg|}Ab!k~+Oxv?KSh7sn%Gl5 z<I&O?&-QXx-Y=d~FE4ktLj1nwYj4A|)#ji2z8*RKx?1FMY<>Har8A!WmHaeMrlFkY z@!P+hPnJGjWH;-#=aYHwJ7>qwkCrTNf3$4Ivs$i7eZB+M(>|_?(|+w^cy_m7<>$VN z`>*VhWFFTh_JT~Qm8#6=Jy31(c<!PK&1IGGF86+GAF1Y;Yw#oZ`t(B&4nLNB|8@K6 zUqL>f-#Oho&iuhIVb6Kc5raOT<1+aq-re6G(lPzj^15kz*3UUn5iP2J)Z~5biQT7# z^<~*#JY?=EzuBKstGTT5z5BgchIQ*s&)MGl@!z|4u(uhX<nkP_?)+$`1qvkgiRDfV z_mU;vyV_6qx^%{~*#14sSsvu3@7ce@_fwG1=Xur}9`L7EK6?6{|G3e;gI&{0{Ax|U zPjh5_=gnLoe|*RD(vw!fKA+#Y-CNK4z;@opb&<N2n#(G`E98eW+&kG*{(UXjQq?E5 z1`O{-j#<Zk1o_GJo;uTqc?T-;ce#S2CiThRXoh>jlJCF0_XfGD_QY;=hWG4}`LjXM zQp;MIefDwq{qvE_jqYXVPXoELR<zQc?SXCQ$8R<MAb0NT+w+#OV!7cxwWHpKXMfv2 znJe1xJ>}T#pRtkgU77b{avxUJ_%Ymj*;9U4>!i2g+34my;Vcj49;|2wrxoW3-)A#c z_$Th^&YuJ_UbbUTHuHyhrz)<mpRz~f@}ANYzdac4@f+T|_ueC}OYnVMabd$#|BCzn z*q_Me<jr_^Z<loboYMbmZ~T|%{QGbgv$J~R!r5+>a%R@HJaxwl1*A7H{i{xpE_$#m zBsweTtJQ|db=Qg$`uFWU`u*e0bIrL^yZL)_${!@m+1O|v_4^NNaboJ#OB-8xf8780 zEPZn%+sv~C=METJSoA##dGW{Cu)g{BA2siV2RA-F%XI#@U$WiS4{k4mMZ6#7avu1d zc&z$8@1*HTo_lf`E375n|9h>fe{@pCa@GfPk5*WV=pT9TM<H(4Jelk*S8}FVp2!Xp zJ}-a!HRqep33=PDw=P<_$F;Cb$6WYuRC{E;*=g0huc0odXUCZCtjb}%Kl5bCw!c=D zaSl8s%ic%JnI2>Pt{cAeLZso&m+^j^Wz((e9~}L=ckjN10gaD8{IYp1wetF9-yKJF z?tfYmzTo6+*WxWRa}Qj6+Ufj!e%H_Ug;V6WModvz^W-ekcTV}l=h?TzpZ+|RysY|5 z?<=qC>oeOVo_#f3lRY=GJvlyIEaQRehv46V+h4NOeNpLawli2asrOy%>ATC{T13~~ zu6Zga{`LFZ{!hDp+`PM2%r5E7*DoS<es1!2iq;B>WsA@F8klyosj7MBrn&mtqW5a) zHC75=l{r2m_rS+J>U?wM&xN1Ze?72nP3Fce8zz}^|9Ft|ta+{W68=v!Udq)j-ZhEe z&-_Th^<9Om=T{rQE_n0$43q9jcJo<&51M%`_<o6Xv+oaCFw^~c*x9l<y8CnORyP|r z*F4|+)W=xwBzt*Gx?jmPr=JU+eR$h!Rqr7`=Wxx2%$Z*t<&rOpz16yEx|%=FO<pGW ztK<89SLAC7p7$31K&U-kv!QV17e~47Ykwppz-pc4Z8pAY4wX;&rB!bFvaaC#DT|tb z;ve4}=h`pfubcAo!G_aI_&-JLl;XHJ|JAIk?B$F2&#nEHaFyMd!}271{7y?g`71K} zY6G70zieJ^EHAUi<(EQ#>V)R)3q!82vHKzP>p@bxoyCPsTH79<QH(UbT@!ZljKck& zIhL1uygwbhlZ))S<24(;+q`VHnU;31`MYCn>eqwUFR)J!tG%#W|0}!vn%%ZYcF8B( z?f9Sbve`!L&f_44fY{By64w4~W2}k$^K}(}Sgk?pyGgrL?(*~PH%8KwZXkbWug5Qi ze$}(3KDSq{i>xiM_?003{b0-Y4LSYyzwJ9-7W4Ie((Rd><flJrR=#%hVe_oR+xcJp zQLO#&_zZizMer|${+p{0-uGCQ9VTxhQv1Q~U9+ei&+YHVImd7QT99jZyXfb+^wTrb zVkYsM*$cGuXYt*)Tk*O5%R%Fr{CW1VzZCkH&isC%b_K|4lV1<=gMKW?uitn!op0s+ z?atz&UJmcK_tr-I3S9C1wn_W@@3%|-O?bckY<itZ{<bg5a<93QmhGA(-*|rejHP$J z-&R{7pX>F|eOu|qz5h4JroT?!_Os^tQM37{3q8N5_S-4deqdrYJf*Yme*R^deeX|~ z{G0GSm3sz%*&Man3CCkzEe-m;Y}X|ITl?;tN!EV2xr+bUD!YvJ=Tzk1{0o(_TVYxA zd%-#ROYG;9>?~^AzBtNl4sYH+5frNy%(VsaSJ_wF&2Zf3Y4_vzJESC|mttp;y6TIg z+-U{&d}nYFoBc}odolmtY>sX9;cBvbJQJ_x9KZSL_Os1a7RD#a?V`__Jf2ijApED< zX-(^|-4U?Fr<Y`R!z}WbLjT6h?y{+%wD)}WWWjyM%{RSjzE``_{>Ou9$Z7iz%jbjF zl0j*8%d_JLKmq(g=F35|cg>>z<mSKqnP<JO%Kk*lqfAgDclSr~pZ&?24Vg_}9OXW% z%=O6xX)NIU^<d>4cH@b%7B{Y)&p94i`{96P!Cuvq?B#iVhuKZr^X0GnbpG{V`3!!u zZC>(E46n~xdE_71ubRIeFtyudy!Nh5o%6QlmfZYYyXhd`oxg?@Ms>4(KG+a=iM{g7 z(m1WH`Jl8E(`dJ2$*X43|KB&<&s+DqnSb9VUF3voEH6{D<BOwQwe{a)0?T)$eLa}J zfIlwy>%mq0X#!=J{wBQJiDbdN!!;YW2SPI9{)H>nud&;~Ra>ysS$@mkx=nBYPO@B5 zci-weIJI5<8;zFQbkpjt+*J76ar{@)jFs!2xIg%;o2L2w?>4<Ji?`nC*ZQ+_?b`KU zrWS6i+GuNcyGHN0>fPz3ZztJFysEC5b@+Sj%yaUe_PsGa!>%u-g_g|9*nd4Zzmq?V z%jiNKpISX<$e~S_)qXy>HT}^C$FmP_vzPvxU{|5{`QXv7m)I-U<Os4zIFz1!)%<-i zzg_6pgAAK1J}mM1bg=aIV<hErpAWV&FXjIf5g(uqD$wkj>~?H@)m)o+YjLX2r-SWr z-AEDk^9=j*um42<h2P(+q9eCB*YN)mP*CQ5IVir`PU3Tk`}t{4n)~@A)NeT3B8oL} z1Nk=<p}!RRJ3G6-h=XG5PKVu%*@xKMU8A^rQ-51-yyw62{_gCjmA3avtS;%iH`6YN zZB3udH_v}oWYy-UXIDLI_$+17S8$~9c!-S&sD-K)9{oGAEbi?+_4C>tj<IUJIi`Dy zEiYyBzgj0-sc5F~@bk`v0&kelE`8^>qTjiEmhzH!dMUf2w}rprOIlj8U9!}C@@qZE z==WYX<Zh=;-&LLAA+zhUjACiI;N{r&^-JF=gn5-m2bQNVy>Qn$SvZ2J-}`Q_tW^6u z6L*ihx{14X*KNNdd&KKyp7g6cPp-SXo->}`Fx!3Q?yZM~FZ_yIuH1b-<6Ya^NxPaO zH1FDW@7g`<bzbIY(WP6y^aV*P-+2~Pt}ONF!rW&ZD|dI#d>8iL9pWnC((k$JcC+Yi zysQ4DBYCs;-L+NuP-k%pyIuBMY27jP(p|kPci(E3q`xj#YYo-@cQNi+#`Im<!m1J9 zpSp_#iSPe!=QL0M;J*LsEcVp@kA2Gj^Y3@>n?G;;oMRJTsWYSFlt)Z^>C)#ZANK4y zs`Iq&|KHRvi@$3AS+S01--OuMUxC*>D&uBvVy#@a+R`bGT|fDG=wth*m8=_st{)5! zEZ^_DaQ8vQqakj2(|1YV6M=+B?Yx(HXU)Kli`9SiPG7SmJo?z3_BXGB%FVYNS!jJ$ zFsOX4Px;#V>EQ6-HJQxv-|y4@9q)wfj!TII`J_H6Te<tr3yYHFmQJtU6)oHSH5w8D zUsvq@8&l3%Y-5mEoijW3Ro>4TP(|E6<-zkNLX300d(~g#h@-RT{M)<docGGQuKIl5 zMrbqyE!)jI8)6vmirt5Iyc2Rs_&rA_vHTn;wkCaqDifdi&g!2|Df`BtUDA!aX3uac z-*!H7E~if7alO**$w|;SV7(mc-w%%VcLi7Oei7N_J>%I6kU5Jyq2^4V@lNbtAUHD3 zxk}5AIWDoD{+)UDt)=hITwhVV_-v8gHU4#+eCxO$P57kN{%l6dHpb&m`z$`p=xS%v zuH1a!d*k|)UAOl^Ot{{)YqwtOyBqI(k9}FoF}v=Bv~BFKu$dZuyMEi*DaNV0tCVM1 zzc13C`nPwU<M#9M2IAjrURS(SezwtX-A$e5Gq0x<?8DJa{kL(>+y~k7CjE2VsB&)_ z!=7-%dw2c4;<{8nZexA0ch*P0@I4~lkLHRse3v>_eOY+ZK7r=X%@r?;KPUH2n|0QR zz4C0<qo0S%`0uXb)lR66^>`c{8+^Res(i-%S!atnqiaR~>g5+*ee!CfXid7Lu+!_u zLFod_b6<X+q4ucuw65m6XrEJd7rR`G9IH3Y`5y57wB=F3Pj!{7m+$`K4JsGVUb?&N z%H6#&<(%`acg=7U|6Q_j_funNe$h9+>-%#nI2Q7_UcH+hQ?7U9LE(J8((TW$Kof_y zPr0<*WN_k`+p?>A*6Vej52f`Rt*ltJ{nFk4j5*hy86_caBcBi&DtlZ$X+s2K?_-Ck zofbyid^=A+(C7=+Gu7yNoF^=D(;$aS*NmsW?U;sgoJze&lB@5v31Q|x#a|_Hc?Z0` z6cW*!J6ZBcNOZ9Br59_KDEnpJw%k)JxBve6>bB44_J03!?%X`<;)nZwTR;D|?|b>a z=bzvGF1|0u^>SM4W%WhOF=}$LTGwu?iE{l-l2qFEbk@frKAX5en*~;{j@YeC&h)do zVmZ~{H&5hfm0#kG4WY_M+M?Fke{hd%tNR;q?8)O@{8#7P``UJ6+UqR~TMxM=osXXq zC#fU<r{r|wcYdiQJx~9?{bf+&URv;L9Y@Xe&HTUpj!mj5pZh6R{!6Uy*SC`V)2|<X z`f|6WdD}zlRn3>n@3ZXt{pRwGa-(TacF#Oj?ray;<=yOZZ|3ro@AJ40mxr(~e;>wt z|LeP>`zCKxxo@g<f3AFOx|wvxnG6ny>^|0->wi?f&FxYBnYZoJ-5)QkALxBf`@a0p z2idC!U+&)B@c!4gg!?BWCcV!K+_zin*SQ=sftgm?Jof`-=j@ASuK7OoF2wfAQ|0Cn zKh|+w*+0qm`fFFkvzaG9m$pt;X!DEqn=&cRF#DTtQTF@v4>da@-$<)p;}!PWHzE0n zmEWS(nU2nZB5POlMQ!wdmGN~+^RxYKPw!6*t_!_fl=;*xaLuQ`%eN-%le^jXT)U_9 z*+KnX>weX4>gPOK6vMx2S6F9m<-6TSw8T#;{j{&GUmN-Hg&4Qo$$!j=j*}IV4}P70 z{PV2WwXv(3KUWsW&ItFu^>NRnjZ2;%bUjtxz2N=6c^?xh?PBKbvo<h?dWpZLd=)P^ z*th%qd?$UOd|vIO(p@&S;nzjl-ml>EyMLFrW_y-2IK<xZJ>6Zs`2CtU`(Iy!#M(Q# z2fH6eZ+xGkbF#c#>;6{v`;kA_RXIxRTWn=fyPfgJx}~CEv%^F7Rjd6vcdC5t*~A}x zuO584>-J!`A%7A$q_1h*|10!MZ{IqDs)8@mp)rxqe1GfR{#x~9&wabyf4-CWGI!%E zX5|UbUw3`&hAQzrP;NRKVz=(8^8a1?HqQ?6KJ+2B@x_BLvD_bGkE^}0mh}E~m-$qA zKFDEtM>j!T9rs}OYBPxCazXo~D=Rhiy5s+CXzw{v@ae|4g)b}8U+XWi)UC8TZ^fDP zV#_z~rAvN&zI=V!<DZAV{?xqs@b~B7S@S=CzI--e-@54j=h{7nH<mw|vTI$Yy?t%S z#}`T5a-lktRyxnK(OP%l^Q_mOgF*4}!OmcP*7XOKs>z<`o2{q3S98A~d3MtFePYmX z=C8TFQwkhf+ueV@n|!f6Y+v*)BS<t=GyHgWO9>nadFwyj&3Uo=$G&wJoAuX26a7Bs zn(`D?i2VFdvBh8JUd%SQu*C|hTj0mKhklU2aD2LZz0>`JPW%Vn+Qf&;?1m=o+e|g( zC9Gfr)_MQTbN({-Do=KdIK*jNneLz6%?x(h_u{9!b3sn4{`L+Un2HZ}KRykKhW$bN zUU%%<{3-VDF{tG31LfY+62Z}2x4NcW;#c0rs{$;yHO{}@%{&_#k82N<FBeM&tC<(R zuUhWcxes=y49@!%|Gi`Cf4@@CG0E!s`HjN-X&Wzp-?9I=Q}8t3b7wy#h#eOSKKJ@j zwcU<$w{5yVah872d{y^m*V!LGo&T%}f2f@FRyO+45}S6JbBk?6O#YW@{a^j_nRujE zx6SrN5l?F`+&=Kx?Yh&SJqwf7_Wn=3@Y{AlxyZ%U$!dF*?Pk3GF|AAcU+dRg=BnqW zkAJ<I;rU*IZErurUfK8~Ri$T^l-o4lb8o!&k2PX_-^?$5i5G73eK8Z*Yn67%y4Ugj z_r`nMe(u<3m3Ha&fd$_U7i@oTwkG_TUp%|^zt``7$0$Bq^W=Zb(r~{N;s3Sq{GPgn z57#^VJh|IRQ%yd!V#bkY!SeGuzTH=l^ORqIru^Pi??92AZDMW>u5Sdo_pGasICJ=! znhk%d&daYax?g>LVgK;;#Y>9pZ}vY;dRoACH@D)?&VBaor3L%=H_853m#+tj)bOA0 zd|y}}{;ja?u*Ip3i?i*+-|cu-Y_qrNea$+n++U^T_LmfIfBiD$-~OPz_vYN{d;YTK z;xnO*a;IOGuV2EpQQ?coQNC5ln{KF=?s?+LepbJJx!1FL<>h~}_@*pxx}(t*YG?nJ zVUEQo%QKu3!e^V~OJcu%eY4eR<C^TL8|FTVoqA*1^L^fHiYESk^8d^C{eBvJVOQs6 z8mBmZRk~@m`e^CC-QTqN>sr+x%vyiQ<mt10pQj2x-;nqy;qN&E3y;zt&lJv{KY4I& zLv!qA1NKB6_Sq?Q9<kTA$kfCZemE3$;9$?yUFXWL*G+7e<X>>~p^wa*j};O!ORQ>& zCp>%p<bmFSgXh@JI7)1mDEp?iYh9-0kG@9_R8AcHvFpa^AG?a~b?@MsJj3F~j}Rn1 zc?S;mNgJ{!u5setU~;|G_w>PskGz#Wy+2ngQ)KYiSn6ek&Gou<*PGY<l<{Bxz<T~_ zzu9$aeCKY;v$3z_yDzikr={f&<^u=kUN&S;Jd<{3=j|7}mOXvIF2XLY%)Ylc)1Y9( z%K#+n<PIF%R&~Zv;&Iv>-#U=b_IMP2xOU>;2}kM2lDB58V;3)LKj^sXusM5Xogbea zpT&-+QfC|`jCo1}c9+IpE-Kjb=)rVlc4hWpi#taSF5S=3+#J%L&v)t19GM!Xf(=i- z&NxbVC$i_qf)sBED=g4`l5lMP<URK{YOVVlb6>vX?DL8$*84wWN^gBJE-W~@{_q2Z zs}E;4o7k(f&u5W|F_$bBIF@s4?(W`o*-?CPYb|bYSw`Ib@p8%M&$aXQe;MUWQaEh) zQE<oQN2mOkr&yNHx)<lQpuT_ijpoXd%^dy0tKaWW_bKJLUHP~An2G)K_l4yQa&u?3 zRI(&5oyhY$J~sTU^2y4V>%IQZ`)G1>@!bjTA9tNO{eZvi^`?vU2V~~xYiaK<fB$<Q z->SpkOC`^quZ-O$Ua(<3Td}~gJw8oSJWFCt*<;_op0U!Rf}_Y_soAZ&pcGZScVE$2 z_w(1kZG2y|ZCA{#nQ`;`{stq3dT>MYas7ibEr#OqKi(~VJ!@L?W>NO}%`!dLo8#Jw z4GK2=TAx@j;njz;j<e=ZZnkHUdGpucnL<zHOcu?_;P|vTdNA(9!6~;gzJI?cJFP$V z_s%%}UC%b#%q=(lJokMplhK{TU2=0i^dlwW<&5n8eMe<lHuAikcza<<?B${lWk(NA zp4iNKKjzb1eRJb3=Ghhz-;0o(Y246k`#hQNP{h2tldo=o67Y_g!h#h)9_szQZLsco z@Ur6Kx$oEgjrqF!nep83H^2QpS8isT`ACh=uhx`@FGzNurN`&uq5}B`4<=5W(QGj7 z82`RsuRzJs>*zslCHBW(6SzLlvWWQq9?6-18=7O4L3yoJc2DV5Q2H<JYBsej`mnbz zwD9foD%%&c&VT&EweR!JS6g1DKYGv;j1*FH8=B`nPvSc?<Lr*&?H56s&vrG3yYp2& zzm)JG;qh%GrR)ss*1zH><hRfLw>IUZ2_ggBS!H2iTx3vm;aEkR*|OIMEuEjlud~>E zMtru+{l&{*S-YQsz5E}0vB0r&JyAQN!9f#SSg?+j-8Fc9_v_cu*MHxRdB6N~l*s86 zU3G8XuYYrW4;%FFc$dG}>d1AGJ@@XreJ;Ltzf9mm#+lhO+zrw!pR74s5^LJ8^89$` z)=R%{&kL}L;;EeS@%zl!`1YOOil5HNwhLGOaqju5%gg4!-1TPbX9M<~>rSuWyMH~^ z#Qu5dO~nHT1Jx0EK8tVHz5CZzT12$SocZzOT(Ql(Z2NG&T}UPGOp71aR-6tf*MmpY zhvN;+hvPxX(xjl#?gA+I4tF(wcZCMu!zQF?n$N)ZZGVd;M{?sL{?kGKyiOmyufb<0 zW+`FWAscp4`SRC<tF1_(ZO<xW^R@hpqr~bH3_iV}vfu;j(Sv^{HcxEU+>`U@!He$0 zh<v}Bf&KTt9!rj7%{LF$t_J1%Z(4kI+?F#wK37@rM)d0Ot@B_1ZeI6O%S!XYjdHsQ zR-b)^*^kFbAxgj-yglWAG@dE+q~=YM;adU9VCo|5@hviEBJ?$?UERyxid#km&NR-< zd6e+=2$HuBCnSCSCs!<R%*fE~96LA#T^D7K@08JDsD5Howe;}sbJL%D&a|+2_z6kZ ze8$JJwS2__$3A`W4m*Ag6maDt?El3rB`jwgnxn>d&6l&eImCUb%pZI6EqbcSNOPa{ zJtFCn$EP>{KDeG~>8Ze5_vF6pNY32*c!A6@x$^KAvb-W$tm}nD|7kpRUmUKy(QReU z8ZTj!1!@;sBr<%t1ckefUASU@V1eANTh7rfPNF%w?m3zf84H7|_MLzG`;G1S$urCH z%D&frTV{Q-!1(vvo8Le0`+V-Z_3v|Ugt}X2brr1N^^!B@&EMM@|K?^q>o(mO(La-I zX6lU1rZ>NP?N`-NIU6IQt!ZhoW&M<O&h86-$knuF{fM&rf3$3G#w&*6$&IaLy0a%` zT{qgyQ+hu&ZN`RmrRE{u*FCOVdUWFrmd~eNWY*qZBE7!+^qK#R(+q-d{5|xkU02`d zZi4*vr>#$UHr;Pzw*GdYEH-xKeq(X{NQT#&(>{LwU-wz+%jIO#otFO>`WP?$%N{l< zZJNxo-QSDmlpRq#ef`V9`@-^XY);fp$XeF<sqRpjt3CJY=HxZ}eoO2m;&&ff&B#{0 zcgErC5$W&TEfXyd|4O&NFei7{Z;w?gB(L?)lHMt=Yf`jyrn%K8#s!|UB8#rfy58`( z&|PNJpYu09%|8|RbDRIt-C{Z_XL+ut)+^Lq*)adN;MFtFD(w?@FOqLOf4H#r+P3VO zk!`!)8yWl5T~B?yR^E4c-IQCZ+5efmXK22ud)a*dywl-n*Uu+in!Q_3Kem8R?9aN> zeA^4;uk6yBEVFL?>6D+duBX<k*hT!-`R=&t>4MoQe|0y;USvNUH{ExWT}Apq_PO<O ze=L4H*m&*F2gj2SZ?UKToMm^zvu49D^E>P-r<Gnlbx=BKciOAw?NRbM`acp5vKzlx zUU$8D`LpNk{9b(5?KD8)<a~r(d)_lUkF7krnm5O8j5YpxknMHz>f#s8OBJJ4-_#}A zi?{3Qr+iIXcbfaOWQx7LyiKux-H!!N3f?wvs_~WoBmD7T;qIOMLAoajuis<4Z5k|} zv(fIy+a`Xi?@Rle`RBz?lDE^ajQPDlC;l?~f1jFyE5UczS1x;7=y>XQ+1V@X&!gr4 z1RiAPwv$-$_-D@Ja@&f^OeFJb`hFz56u!g0@>%hU&#zK*uKUy$bbdYfmQ`NoM*^Ge zo%8I;r#~NNS8dOhpYk;O*MrYT*sHe{$}fpxyL<5Nx^4BTubNM<;eU5_A^)_NoT(dr zx5~=%M8}<Ybu?zW_%!1ab#-<T+m!5U0*ZEgbBx@#jQ?Njj|WS2@35~Fd$J=tzxnN~ zmHg|r*;P#V^<dYVYkF^f%9ZVJ;!pejgSFD!US4Le8j{w14mBU{^rHmDpIu)MDqmsW z9J6ynofuf>PX@LMYkPT}_b2$}r^u|U4Vbq6WpnHi_TBZM#LCFG`<L2{bu)iGP`<{# zyZA-(tKO;084~xmi*K_^+^;XOZO?{Ek!^bvekX3bcKiL`qt}b(&&#@}lK1S9l=*$< zX;05@ecFFE_vf-HS6Gf~WTyStcQ?M%zAW#-zm8e*`AeDJ-{*L5aj<)qeEwRd_uVb! zPx&i;FM4+T_KE|)g&yAhUVDyPs>WTg(y)Em?0q8UpKDHMi&gKx&QZ@jxsKcBi|)Jf zTsF<TXY9qd_g}g=*(6o;XxlB#r`GMB_R(K7-ls2cw4c1+|I>=Sd77)<|6Zq45t*p9 z<$yz8WAf`CKP}IPEIW0^{8k-btquEMo7y_3+p1@EEW>78Z%|%%hH-y8gUn``!V_f; zHO-%*i=*p)35Xx<>h2e)U-Op_bX<&j&3Z8laqCAc50=b)X!YrROZi`A(Ag-rv%az3 z3+=1$4J^~rwDhV7|NF+`h%f)D6`pZ#*k8+hy!6f1twQ_S$8W5>KT_lJbo~C#yPkHM z`>S`k(V8`Xt$o(G=bFCy+I{QeuMNMZ)au)R3MyJ-aN$Avy3avHJI;AW+WlH`dQZ*F zAIay<cYx2s`TWV^VXO-H*q6%6rVn=8TAzc?^7-B97Prr-;{4jDe;G~I@A<Rx?CE&6 z6Q>`_rv(UJSQNLPLuS!|&>33|K^9~@UHYV8?(|JHUp%K*{^WnuA1iwYe3Vb+Pya*F zicqsBeRy~4$Y&wblihzEl=b}u@BNK`2sZmp+UHLJ4SRTU!jcXfZIs)3b;WgkujARr z#NX`|e|6bNJ#=-b{llGBm-pD*Jd^(BCquGs-DBOA<s4u0f9WR9`4InPk8bSY^U+sS zp63MX6zqH5A)L+mxMjW2y>C}RA<DgzdC%b<$0q)#yJ9upXSb9;*8&~mlDln>`O}Va z4=#hd{0WMgX&LwHh3|Q5gHDjye)J9Z+vxQNep@}v72dPL^Iq8L+q0D8K7TW)-1a{6 z(C)8{_x7#ujN5Ua>+SB4#_h8|l&uFJSG40l%bxhsGuAi0+&6C7p7VTm!LH@Up9W6e z^J4e?(lgx8Z$}>5{jl!dz6Ff?Wv2h%xi{}tQl)S3_foS6#mgL<WR4zPab3Ub_?h*7 z*4sY5R$Tl0#w6$LIgUj;<kBZRmEK)gC;wabQNQ-|!g|ngJ$=S=l=uAJccwCM@}2_Q zpwzV9-#4CfzJK<$W)34bjFaa)+~2bOulJr>$Iquu-!;>(7gf-A|1~q%C9c2fuTs$r zrqIV9CjXo`eUh`bzH0mHJyV`8_TMEly?*wO<ioYl2&`=UkeBfsbQaUuJ$pPV=KoVW z4?2tK8*}fTn)VN2_4BstXT(+h%$_}6pXJ2qY$nUA2kvUT`YOG{U5(|Z{wHPq<R)a( z&zvsrw4YWt?RRpN@NsWreSN`u->NP0qlEX&s;r#(LGGW_`O{m}DmKbzJb7B(^8J$2 zy?qNRpFYjcjr*tW5ZA|*7|onHeZ}>B1=((&$k*SVxyO0&t=%(4X5HtYY5jIDjr9M! z|M~bL7UuZY4`JM(<CxOo_W4(wU;nTYbly<if{D|^IrGz{-}8gacAPz3)i}uN$CU*- z|L4VAdC%>(w{B)yxZPX*`M;z7@thB>`FG%HalHJ5&t+F)>h6J#kedJdmExY$&kEwM zsOUdAmtvf4^IcT>+I8mdcMgJL<LtEX$2-?MwrpSC3O;3O{%<X%J>4w&S(C(zWPddu zD~@mGeE%)&9w-f+eZH?v;9jvWD50+YdPm&GJ=CXSHdt*f$P}SNyED!5<1g%4H+$aZ z>%Nm;mQH?oJ}&szLuY+MruD9S>jW)a8drb#@m?q=dhPRlD(%-Snx7Qdo>Mq|{QPvi z<C0#T_GLA*z(;}=&so^>;obbBAScdx%;U`Ye&bR^T1k|67<=u&ZE=(JdulE|OZ9Hp zlVYEC<c@}vcHsJWlfIx0Q8HFj5~Q=9E`4(0l=sPpR{E(wUrg5fTx9X___C^Zpfi}x z?vZh<F!y~7Ni8y-75epk@UkS%xgz^@#qXwPQ>Uxv#MK!(#O?Ygo0V3<7q%$Qp51qb zxogZjF9R`9WJXnLTE;wIsv}=}DK)N7>7PZG7O2Ero)*`qw&%1EIH+b$Pv*$~dDjMX ziqQ>zlTV+b4&4qu3~~P1XHO3^ewrj1kht^$6Y~bvC$(>8E#?g4-ZTHvC%bPEMfbLa ze*7$EdU9o@{BNs6yT7J^ii4|r`u}nsx@`+S7;Luh`i9?Yq`q&o_pFcxMMn5%yKfeU zb|)(3$AjYBYRmd#wQq_JRd1ODj^X#o{pz6el}%5sE|r(A+yA8M(C){K|9-2k@QnKs z+xz>5Xv_A^P2br;(Rgpeb>HY0rIXKpuK%{Z%O}78naI~)uAf&_UeEful0|sSF-eR_ zd~`&<JnlUBAScUn0iG55y^tJP*w)!%e(l6@$$-gwZt$BNlT;JDxArL{zjK@Ef4{UR z#qaX=B(vTxAS-@7=scDC&Tm8bmQO)NC`ERq@yFxs$BXJg#mci((T8vMOapuCbCJ=* z-t$l3x#nt1`BMo<juf3e{XF}L(?ZW2E=G4&{asXk<WF|VgS9NeThR@VbpEq3mjxPu zeu{gd+lwJtQjH_OSQlQJ%<-y_Zu|I+^{lo&`|Jrb66P$O{cP#^iX6@21uxdR?5(?K zo%GMt>c3x8Cn$M<ya2Dw_O$+Zydw#k1ouq+uukSsCFq2-%AcBtcJFC}C&6n%_bwfT zgw8`V{q8*PmkCBU|Jh|h^1Mz3+mEiAciMHP2eVqv%kQXpx4Li6_U@{<rpsH{7Y0n8 zGwXb<ukxPT_TZxa#N3l<*M9t+RCTEOoz`<#-%n=IalOy?Efc<{b`W$%Sk1d^wug7W z*g@0F7xv!g`|_0csILbV8tb1G#~X9zuYKU^`{~eg=8X8>|JzqJet0+I^!(pWzMmGo z&$9VmYW?tSRX-%j9GkY}n55Rr(#hv7oM&3{1<qR(w_iivI&%AOuVtI2V@okoo%Zi` zo`9wpgV`Ux#eiMCLvE$f=41b(ueww`pZd9w?TU*2H|E~LwzNaL|K>s>mSy(z?U%vn z#;Hzj<NKo=x}ZYFDnveV>Tx&B@;17|ex3bkuthVcmkZomXAY`B!;STy3)~A$u83Qt zRtYZ5V-DT^$ObCMJ{3(md-@wg<<E&~p*I%9>puAPJt*e?yvS|u-+x(MSZn6C?AK9m zu>)%7V~!V#UVD_Lx6X5}{s!B3$zq#Lm-4sj)UVT2%zL(Rr>=c0|BC2KXFgOPSbM}i zYxmCj1<#I0uRL(ut>XIpXM0xs{CG|4!#U+WvFnTDuKcNx7Oi-%v`4f_+~kDtlf4<! z65IZC&#v6})U<o2Mg4<Z?%)nFjoAnO^1U-Za`sO`-tX{R?<Xx=v*<>E_0pQ>ewWUF z+k5If_k{)Xuig#F{nm4I!+hV3*L7tr_vA6=>-gNvX1so$bC%wt60NeRD4l1&DkmKJ zy6Q1=PS;^Oo4axA?MjrtzmGm+W<K+0%FfxZLnG@}>;BKlJ8iyniCWcOtNBlk{R*$h zTk!07wQFNJ<HNUB2P*?VKbEz!TYg-8_V({lx|!D=d_HiuWxLadMbC~~`!$v;K74C& z?zXt8{XH$#d#)|z|4+{m-};}qcG-638%UFyyc4E|N*-S?ae9{g_LFLwi;ilx`OYX~ z4qTH`x-4O3iLRr@QPs{H*ZN(TI2`@9O7+Li0L|GevrisxyY(wnA&NV!DQb=HtQ#q> zea^ZVUbgBp&Ny3AE&r}E@BHWIwcELi&VPRQ@ZQYV_kRC-fA6#PbKCd-w{z?5&iL}9 zXOD09yc&aD{#Eg6ll$}BUHV_ft&`qcuD6T-bV%sj&*%R4POAOMDrI-x-6DF*f$C+9 z@1L{gf7UyDI#MWqGUI!3mi+VHv!)wG<v*)cuJZXld9kp=@5FVFmwpaB_t)Wl{Lav@ zgbkt^ssD9XU)^h_T;+W0`1L8@cS#?+v-8Eli8B`;Svw)%e63qd`{TF^*A-JsWUtjH z+}Y><;Pr-mT9YM3Wg`uDP6&=TW%Z_dve5tF7X6Q3|7>zTd+>d=MNLlWWSh!oYtFx| zws3rZd-LLEb+_-cvroTDE`3$Z!X#6AQSs4>FGm?4*B|QLzt89KZrhkUr@knN-+ftS z8y>UXd;az7tIsT&zWo2QYg4PAe(9KT?zPzVbyqJY&#EpjSuR~FSbM~7)}vo5R`=Ap zJPynIz5c+&-|||o1w;8#WmkT9cC`D#;fSStg>$MOx?I1!`c<j_<;{i{Yb$2{I>{Sv zBWm$)`JErfr<d2X2fpZt`O@>NaN3LGH_yMUd!p0%-G%wyXO{PE>8ASWo_k8!_H1T- ze=pqx#91!=;hf-}%Z<~Ginu;+YFFF&_jlxRZ)x3LTZfqT$8WC&m)!U57fbXh*}r4Y z@6|WTcV?_fJo<h)*Iwh`H=F-0|61xO`PTT)uGCe(cclhP?$5J~uoqXCK6~}}+M?Q2 zBk5>vZQGlbdq0}&JwM&WuvY!v^Kaa1BPFl-&ixf*!d7&}{dmV?QGXeWf9iLCRG%{0 z7k&BBrAy5*CCk_A@6%ZKd9VM=*D+}qPY2gOE=_&!+uQMSk6P{jslPZa9p;2jo*n+x zoy#^x)^>UEt+#RhcYXLb&R@Q}?%ec^^JSf$I;XPkS$p8!%3IpK7f&QF{{5n}^>y>Q z-lU(hR;&K*X_DcVIqrE(=F9W$Epov&g%7MQe3v)*x8+k`yv@<WMu*$$JuB8e^L%T1 zZo=k+h3CE;@jS5eg`b>V{D*C}3o9+1?`8IFKPsGWGG}*aXsklT@tR-#OXfVfuU_1? zXAl3h>PJ&wTZey0+y3I~(w-j|r~96pu0AK|MCPZXzQ?>D+NsI5PY<0J7IvV9)y>bl zU4P=?Q`1j8ygl8*?)wt|_&AMUg%1qx_RmpVzEpoUYd-UbqP9KL_RUeRI6q@m?McZ` zpFmezPG`;kuUusxAol$9&2!UBReuE4e$2VoA=+??OJ%*o<H(mEREr{h3R%|t7CQcX z-j|oByIt(R*{%3J(fG-hFHdU@&Ss4}H{trF>G6VlKKotW_k^di5_E%O<Ab+5<KMHN zdQ@}sO^xMVmUy{0_p4R6N2#t{<4_rvaPv&b4<XAnHJvj1YC9hngYH2NceO8DbN%lL z$)c!VMGGIijbC1A=^W=Bzm4Nw%-(OR=_gMAK5%Gyyzrj4*<XJJi9J8vdT#pJOVhc< zFZ_240Oi&b>m0uS#Bgrd#s0IgyW}mM{k-GjbSkRfUEDKAas5(#eZf6<<>BuA6m;-* z$@aK-kb9ri?2P$UR9WNOwY|Uopn$#9uRm=+URo;ri4|W8y@>q7TW^{9)t8p*>kErl z#4dm5es03$OVe|kw%7JPd&*O(Tf5Wa!#j!P@IZdrwEY?1-hES!{w!Mg`RUwC(>c$U zlpOjPz}IfKKj2)j=I0f8zUa}XC-!$n{8DH*i#BcNtN;gxp5UI{$1~!KK<PuL=4a_a z>w?+$_@^BGx#{lDqGHZ{Hp`|gk~*}Wv$oFp#}yfaUsFzBe(3!KbnE+%Z>oLgL04tp z7x;Uld@<C~-mLk&kc1JVR<Zj2dbpdXHf=9&1)E&d`uVB6*N3MY+3!R-zZQ0yfB%A& zbbkM~)JUtEPMNTME`0a*9r?IZz4q59t&9D!*I(8>;jz@Mt=#%Rc1PG}aH5ORtH|!Z z4oe-Mqz>Mmc=@$=<Q#qLIr{fC4o?4*-?4YEn)mzkcDwyw*8N$i{yN7WJwWP$za-zj z3XRHb-1qLSHqlQ%k^S=YoCmo!ZoelPKY0qetT~%C|MS$UTFvgyPo<upE}tKDV&%?B z|6-foEL^`eWN-3|&)!#)rc0(B=fy}WuU+iTbS^?t;HRpCw+mY#k@-{i;BBKAxCQy# z_jVlxTaY^ab80Q;KA%q+GgqI#eY@SxKA(GLQ{%lorn387__X7d+VkTd{kSvPuC}uI z#ql>wp>AI%u;=Xb3`n-oW_^F^{~n)RCyPZ3AW>Sm_`%ujuf8tTIX7K;j{bSAgVPuO z|82MMeE;`%4?k@D_6;NB_%4$FE&c);=9?d^Wrmo%o#WoSy|<>%QPh9W%pHGEtK#>w z*MA)%=jd0@(T`rLFI@M}=u7>5^)EkV`Q|;bJhxLD!_Bi_9G}NsYG2egXWG6u0(*Y$ zoHgC}$<{AVwGQrnZ2H#w+=S`h8(a0mx$mvx{sPXCzUQWEFVWvw^5C)cgUSql=lSs& z&$BoDT(d_X-Rz&T7yI9ChMN6n-GjH*{*Z+7XWoOmf6L*9=Zo*j-3&22?)mAhm!?lY zyZm(3{@Wk^_O+BMeEHd0x{YoA*=M~NacS*hZ#H)^H1|jyynS^Eq%<kxy7y{7BKy=H zyj`#job7ks{aG~oORAHot3K~9v0s0h>?#d*-sNA8p5xz({EaYn{;r&UBJ<^Gd5(MA z+|Hhs{1o-;litDT-|BFOzZctc^Ccva%>Vp!zW0Zx950q!nAc{v|H8TJ37;i@R$$~E zxr_bG>8~L1cvH1Px&ac}dz-d@bcLH+uTk+^`b*scopaOmE#v>~W{D5u4+~&SS$JN* zAvoldh}8CF=q|2T`L*%;V`$pDr(Uu70NBArYais^TnW$aRtIkzyFv==_cfM9UW&_| zynb08S?ra!dg0GQ7oMA&oIiCiQ2+KkzIdhfsrnNSZ`UuZdFMHQUyS~*jmeXsrHM34 z{(l!pfNYBXu<p(}xcU98`M-}tVsgJ_yr15|>Fn2Irk-E5rs2Qni+eNCO}(S>Yhz{8 zJ4nI$o%`OrkI=-&+*E$g3?3ZvB71z*UxG6W^SSBIz2epW{8?I2{h#$k;*kr_pC@t# z8y{IGj!^>I3yN3Vjeu5-)hzkH+f5-wNjpn^UN^k5;pe_LiyvZo^;~`N=?_jX4!+#> zl##Qx?rG%Kl6~1<wJ{Q&yNmrZ_t%hE{JG*mE)yg-_H*8=MyhU>H<c$$0T-@D?`w8O z{W4m}<oy5dgoWqzA8ui*tT+{q>15%*H@?R~oovo|PwD}rqKW*FSL*;Tn~t;QzpsWQ z59LMjizGx%pE+Ne&RH)f{p)=@Pi>uJmtkU%ZuKSfDk0j%zAP1*9c!FE@X0_cUpvi; z_*g_ecAho=_(n*ozV>sI|1YD3%}xks@A&)`qj1u9vELR74UU`I71i$0axa=Sf0;Mj z*||;IcY3YYPc7VMbMWV<`KPA8?|OS7=gq|ezBar41?R5(pMSD%JBFkEJL_^RoS<1T zp6gy;54612K4`rx7;bnx_q|<z-h1{}=82tpR1^8Qrt(c@>zugxUp`OwJYLze@SowA zpS)i`7c2b9Pg7el-Q4Z`F}Lp*g}HyvIaexqZu_sP1v@i%P-{^C?z%JoyrDL~ZQA}* z7n0_S+8@{^Z-u9y^Bnj7#6hb4IiH{Y5UN>uO5s)0g}jC5^|yRBy-}038Y7y|ch$YA zkA<52oF!itQaAtUeK5CtGTh+(qI+uBzXX@&ujlBePkC_qLcM*;i_g+$^cSWUZ^B5F za~H{1e}h&LH`OaX|Gxlf1kD%Uv-9;<eM{%Kd+cKS{=^>n9q*SLYL>-=w2d@pZmMM4 z`MO(E4J~FL(3o=b<MU&4<{Ugy+WfY`VdbGW2P9XyNt?9%67DwKrFL$L#MFr^R6n+} z`mCCCA}OiMZE4mP2~`18v11K>2by_~2Q0bVxOzvSjsD+vPb=Tm-QB1A!!BO_T1w9I zJL%QypWB{)r`^&UtkF~d)&6^dX^kpBH~(2ZkwcGDm+Mx2T`8Vz&v!rUjF!l(tn+s^ z6xr}U+!7vd_)*?i-R|it$@iakR&C}pJhSYl_f_p%S^ekM)kYWm`!TOwc7D$JtNu27 z*e^dloxjR9q3?C}dDcGFV=_xy7OM)e-#`56$)9Wg-~VL4_vmHou3c-l@*N3(Zw$Kl zJKgeOjC`jt!~KxoW_ttT|8IC8dv9UoM8o{-L$^gcx0l6y3XR|I`=PG-@wWxDng2N+ z+jFD2cVflUzjjI$yXRl~F1_i%`F%R-`~D=c#b0}vHK*=<t=;nc=vNWV+@BtLKilw0 zwfM+4)%pGNdS0G(ceUSUzY=m|{3pYMxBs_*F7KYUPeyRh_qr(X)#&^>H9KQJOq0L< zU3!yAeB8tzpU&~@TjQUrX767$|NMFD(ifg(bEoph8?{f>pQ*e}|7XpY6rcEio?ntb zF9vyM`BHs(@jZ9@GvZRtWWPME&hkEZ{)K%m$M)P{=8m`1tmwY|<!_tL+39aP_3P&z zoW6DXu798G=D#-YZ_AFEg<)*um&Y&Uq4!XSvE<LJm}t0uss4PSJ*|4+i>_@qe0Unq zlK+&yO5SPOv(wqa@nJ?kHZ@wEyzTt{b7l2@J~RK@pK5+gvRqShQf6cA$>)pJlYf26 zyx8yj9dU<t_mS?NO5NI@Jr80l=6+K(dUjMU;>V};P2~^l_VO!we@;z*bo#GkP34(` zT&EnL)!MP=2R;+53VRB@n!Dqzx1GGL<mKtsuJ+&Vu7-wg<iTjkOM6btxPEE+ZkG2) z-(QA@fqF%C{nGd5DVh8BD1J=6yy~Nk$fZX6kZC*T_U-%dg7?X5wrw$!FhaujOY-yc zCH6|me%|r_Vn6WlfNtc@etG&iYyRUZcv#r8<o~w)Rp&hI+39yjrfVDj2>SEsr`GZ7 z9mjsxtiNdL+Zms>L|1-a3ZHhok$X=3r=KrQ&e&Ju_=ipZuLtx__Z1J`{#^)37I$<j zqOWDeD<#LhX>8U1uURqsJt)1I#OHa`+?;rD`f<O`Wi~|~??21_JnOS}{>=?~=tlpU z{^Izn@6ZT*C%ES=G^iInc$;w*79#spD%`ifsO5;9rC%)^pLgQHY0KdCX8heZe%G{@ zN(TQqs(bwzdRYG#`)g6N{GEHsnar1`_cm>Zri|k(`Tmixbo^8Pp!FA7a1=g0+o`{Q z=E3R5eLwQqitPLTlh1Vj@;$Gce|}Icj`%srGU@lE^X~q~zC1m>NdEW!rO-Is-&FpE z?<_cR?DYSTmv<57;&<YEYPY}s%^NvO|F=;5Kb2<v{_i0xG&fhw*H2B^?w`BQ4m}`h zT)!lLH;2Yw80-5B*G=_}o^Ab->iCC!?ZMAm^d}nXe>-q!`el~<=hq=QWV`CVJJSwM zcisNh%5KK@pL6!tX6<3On}?n<{KWr8{96SL3CX7I@3ld<+eiH>TJ}ITa#3w(Pvu5- zv3+ZV_bk<ix>z{=-N^^u@9WPW`Ca3saZbLcRtw#^YsCLX?1_Y?2%Uqsf2u-);if{x z@pD&T!62txu{!<&xS+T<^+(aY*2k4AE}q=8(5~iS;{B@m=O0F!z4Yj|pN;P1b7FrZ zUS9p%(F5wit$Cm;2o8#;G6!$(aDYW#<(vny|K{9lpRn|2k;2DR&1U^{pId%*Oz%Jc zteC#Z_u|K&7}>hwVn4Gjv<&KQ+P=&2vr=-Lcl<fgJ-VN_!UFg+OaA|KNC1CV*%veW z;PiX;@|S+s-1K?;ICOU2$#(sjhfhsEBY1myja`+|GX47szczlK3^mnXV9#N5XvPy} z&36xkyLQrpx9=c%gHLtep9r@2Yd=?9@?8GG?*5WyYaX`zv*_kN@2<=FzXBR<lUeis zyPJSRA$9SCx0&z)bDmPg=~zg9{w*ATZ_>f(U-_y-`<~R=S+4#r|9%%nUbYGSlKi<H zn&Hei@4e&CgcQV=S>8X(yfyvA4DsrOho@PawpYyEcOMkE79XF+O4dYHuY9fl{`1bd z&lx+8{lf^_-bM26_t!(R#iqy)(>lc^KZA!*WNRu_UM%anKMiW|W!C(sdm)AKaiREo z(_z7T<L>pt-*fMuMh}Mb0)H*G#6c6<%%<%gkRmgk^WH>TxNA4FzCU0JG1TkPX<5md zmw!Ji?mhp#>Vrz!o1cz$H78}l_NDaQ*!Se~#mR=XKh-Yw*JeY*Ku>7T)YXuneVTO8 z`r96O0WWj#cJ)$l7<{^UWcp=2sq@bFeZGCyzv$||-@b~ua(|<){W)~^PVcCDlLJk< zKhq9c7wm)-l`&cs@^@Cka$8OJgWUZ-_w!F&s<E8)W0PgG{?Y&E4BnSky42izZoSBR z<|6ydC*l}|&(1~i-l=!{Pt3S{X}apc+q>N$30O~f&u(paV0>oD|D093U(x&X(|bpz zXB&Zv_~0$B{C#h0_ci;!*UtUniyk1A`+o4o#V&+IU(wD7x$2NKv7PJQ&fD;uA<l8{ zBKH@&7M-)xuO68$4=RTDX_X!_x%Ygw!G_1`QFYVN^YK34FONSMK^?5kl5hMGoZ+@{ z+)Isy8>`Lw{=!a3<V9|^7r7Pk`fM*O2A@at3%k9p(m*eMVpM-^{4WDFSe)zL#iNii z?UT~M-S57`gW_t__WX(97~C}D$EQA?eP90loN({?Yz5Kd{yB0OS^0WL-5Wb+NLovc z{J=MNT_w0Vp)a<_{9hP6D|bG48?ypjKigP-e0tva$EFuM;tyxsdtUtS+scn}E1sa& zMfu`?Bl0dmi?fvv-dcx3;w?_CBLDAFczP>37;WVWP7^oh{3xnsi?8}$t#<Euuv6i` zgq(ErIJ>F!Yh%SCX!)SclK-3&5(JxkKivBp46pF5S@KKgfrFqZ@X_hL@PLh(n6>Aq zK8904BMUPZLlVL#uY=J_kc6<B<$W_VJXp6jl~-&7m%N*%{P?uw>f=g{xhMbGp8oyZ zxoW;Y=h2_debX_TmgSvwGAk}a0^z1!#cE?n{r1%A;O^>fco<|Iylw9c4uhRaAD@1L z#aQt=57T?gYB4f|=_2{+_?uF%Hgqj@JT_<AzMAb1Wbdtq#M*W4dpqwV+7Rv^%HCfF zS4Go=<KyNYoL(BCxBPzf{Cur_x&N61E!DG{ka`@s1#?0r+ma0x!wRO#U*fy=h5gp5 z;6of<$GbQmI9!o;WXpZ+zFlEy-~?B#fF-4e!#U+ubr<L^$XVso%n>b`A*wi)UwVOn zW&-momlC%_7RkSyb&b#Mc(?F9@A=~MyPxppo-6)#VJ_#{cYAj~uR2#<6d$a%ftNS` z-L|I<makf6uU5;is5|>3!`XRhRdw{&>hD|o^MjxKE)9QgaD39M<i5v;kIAg%JRajO zvxnbZ_4Ry<ov-(obuKqCF{}GH_p0mU=;!Zb-${fN$V(P)3!VR9-Sw68EMyDHzh-+m z2Vbr^82zgsR4S>5#lNoDZSyf~x>ugBFE`8kiQ9Qr|8+gK$AVqk=Hscqu^JV(|E>Lg zJvFaE`M&w<t3UsR{=HW__sX3M1rc8-9@<!4B)+fgL|pB&*Z(uB{@&Ku-(9;;LqDo_ zq1*B{XwTxuH`Vq1pb>lh&bpkNuy)hwrtJpHAhEPxsltCBxSD(<6Y=BI>L&B={(JZ9 zK^oOpC2J}Zdh@!TKD_a~`>9px%;Z~&iO|OSzS@(o7pvF)Dw_D>_{KnJQBZmCwi~2^ z=w^Mtb2Ye(IoH^#?=QSZx&Hd|gQ=PO=FErmSnNz+MZfv%TKh3$N8d|yLqC;V>}S@0 zRp$aqRDWhYI16ooNH&$9)dJ_O;)I8%RhzckEIQwO`clnI|C*l>Z1MY=tu(jo-gsX8 z_SdS#mDA4iKudxTZ@uH>L8IR3U3G729U&#m)1ZUapZ0*_VcNboLVIR+f%Dh%1Ba%^ zi|;v_2o8j&myS%=PyO&z`s?L?Isf@uwlM$Qd<fbkt@%Cax;tpn!raCF+5C%;oK-pP zf$sYYkRV9szBjKM+;9X1!G6sO>-pDyzusgLFD4XkR+r`f&T9R&_rHC3R|=>%s($;a zmUz0U_Vhz#4D&4)$wzO8_J(vg?mZHQ6f~b=4&JVx2hO>c8$LYU&XRxnaaDcnv}dQe zh2!Ps9i0B?ZS&icdl|cH_wBtoyQ(_(^YO#zPOp5#zUNa1v=B>e+CCZ5f7`EH!G8V{ zs1<3!F1F7m{zISb5^y+2`qWf9vBk@U<S(^!ym#aI><496zFvMVC*1~Vl|8&YeUDug zXih}nuf>-JsKMN<`P`72M^Aju;`9tqhh+{kcYK^m1^YWls@P-n@##y+n#zJ$^A|rp zY&>uNkMGFmo^}51=;`=<N1e{y<<J5$>7aGldPoe$C|1lC&xD!0PGryC?=SwALV7H5 zlMYUQ-?iK?SiQ4$-<5^RwFQ5lV}x?KtG(DMXfv|Asr*46v_Y*~k$(O<EGYK4e0cW$ z5;zjqdDq;WesKCukKLllNA2XF)oA~*tniY6bluwX<3Ig+;W>Zbo{%re(fgs{aGE9m zy&J^X%AF71T7Wx3;B3cr@OIVid-2nk{@gU>N0CCae)ivcIXZu(f7fh`S*}yOXOjUB zq(gsryMATumnHu3>xBN!xV-=x4qgXmzrPGgbhb^~UmL?yayaL`Q)?lKqPJ83|D1!< zU)8J4UApDf8_SL7!_%iHuP;cSFNPj@>&5<B6itRYI-4b58xnbO+7;D(@Sup%t!TgY z^7DgDCh^mR<NujA>+|1O&Bp!z=*IKn|3q(;w{Y*m2;<U4@~5vuQ}P?pJyW+rQgTu6 zgSYdSgW6_=d^$Bd!#+&A28la!^?h^VY$f!{dqZE}_uf}~|7zrf_aeV*9P@suIDQqu zF#qc!`R?m4Af?70#}9n5YaxYPzQ~?t_iR|=sPq3Iwhj`Bd@B2F)SC6B)mPqjs=R&U zId|&(+Jc;&3h1F-7yl*s`BP}>xXyX+QYzHtdmqToT?})1<>UvsyF=cuKYgiYr|!q6 z;zmC<opiq_xlZtRO<VNq+LsrnVw7`f7yEU!p)KKiN)_DN(3UViOa5mactI!6b??qm zNHi`yGX1pC52J7A+k0y5-d{3`DYzwyVQSdL{^aM-aMt0xXZFbT*q&+o-U#p6oDLo> z0A;>Bfj!Enzf=}P&eAVe1NXD8E_bW#uHCmnUyk>BVJ><~{xk8#@y23k2l-#bhi4t& zF2*PIrtQhQ;H6M*)ApKecKmwYpPza>I$gvTf9&7S2^-J5dv*SL_emNf!rm^DubvJq z=I>}!xSzWMNyUGgw!apDd*7NRzpxXWJ3cKvGTlGzL+TaQ-Ss<Glr8xG%mC82;Jd%? z$=8dM?P`ApU+n*R6dF_SMfN;}mhgXOKFGEA0JR~(8BXuu?VTRrn6jMq<CDB(jpXm= z&d2QHL!4yNXFTM^2+_QY{cE|Q@idJ!zpxQf>fBMO5I2Vh>A#o{+s<4Emk#gzYAm<b z`oGIsajm{y^IqMYZL7{(eEa$8^Qt|Tubx?B1cd$({)(rcq0X+?tgwc3;6BM6y#06o zTt9QsKVOuR<3RCtPrKr{)mL!5X?N<|U$NV#pZve(#Es{NZ`Nx6u`CZpkGMVlUmjmb zhq^saY>zHvh-atkhi`AM!3wrNQy<8_xB#x8Z8rS!)@u*t-lE*B&)>HvBYD35r_;-i zy}l)bUQX2O{@Pg51T80iv%bIa5t1uDnKzZchK&D#s%dtX{P%^B)Eo2Y^io(@&lmD~ zQhv=|0^L-3k-rf?mOxEqJZNp;4{5FEiSDVdL=>6Zo6P@nLMrKno%-RaA5!%`_4U^7 zJE1>cD*wYpjC3*K#qn+ZFCk?^O~i+9a?r9tUT_a@J$UdBRM*vneAp(p^!xSH%zZhY zHI>n9@z;LYDujlV)~J8``Rd0dABn$H-(sYW@<sB+?$C1Qj#|a%VrVX2@L=vwb$Bv9 z&XWIB84?bWo%-^5_b2a8TJ^N|hP}Mex1VY{bFJzQzDdWZq8~4kceh&sE$BH9-u8mz zklU>Jzl-7Jyg%2yg@+-DB3d|p-wk-8Se^XWSEBZyJchBsi{wx1LepD2Oa9Zp(5U;~ zRQ@p-VJ`Q*OFWQ3Htf{bhDF`|mZibR^<VtVMo(*Z6n|~B@P)RojGM||1VF00pKBhx zy|)ZjPX3(pKzC0#IQQM0_M_-n;p6{*H*M_uZOmQu=5y-J=7@QlpFYKiK=zCMbKgUo zSv!M2OuGk-z;@RB`(f}ve$JX7?FTlxGU(CiO39j=BHlBv%~1aKGpk4T>EXq@F|r=_ zBKhcL;4<j<t?B>S*Y`)PUyIZe$p_7S96P^j>+6QMKCUZrHXk_9tCVeiMD+ig5Bbqo zbJI^9<H`y9BfLyvwS;rvq;oTNxF)ZXZ<xjK_dth+imS(#N9{)YbLuu8*2q>`w<5<! za$8<7S8)IH%=_6p-=E(8F!z?-_u_eLJa>PMc|Pa)_dD;4*TrAcwcZ)?>bTCGS9P0L z|B0Nsdgaf<J9n5)&z}GN_N3njbWim4#<b2pRu>!oud4EA@$*$N=kDIOy+2c3e)sV$ zHJ+8HX3Y|3eP6ua;>k4o!`(fV>-8R6-1}|w@zl?Mfghe_f31mq_G7k~zO?j>m7m_< zO)reo+rFaa^xEtF&-HvHkKIUq)>qs6-TTe&?(e7m{y+E1t+ap3l=qL~rhBJ-D~(k3 zEStJNvG4rU{9+m2V=}#*?wi&5<}p_l-959ee%Yy2MOUx3yV~=GgY#NzieI!%;VC<L zp*^gSTByeJ!@e(D;1$MvmiGaE^Vz2_{aF<K=ybKwk4@WZ%98$d)YcouTi=WJ)<mz0 z|3!XD{{QlMtn9p>pZSfRZTSM4Uzi7Jx5kU@*{Kfibo6uFyJrrm;cg4X-<yAM`qSNR ze+7U4TePoY%g(-8qOZhO+oLtB<V648DD{FSVC|;z7fT=oy^UIhew`1z`dHtz{d3Da zP(@#v{^)eN(T^a}3pex6`6)c$w6U|taI){0<lEWM%oZ=YXYX-n-@EXj^{)<iP-Hi4 z|FH^e=*@XQKK<m`XQTe0ZVum;8{f@kjaN;1E@6Xda_pDn$FR=V;im1MJ0Q-L5!_RH z7oLm%3+(x-|N7@HowL)wtL&3G`M~?*m#IOsCqL?`t)DFA)_Q+uSs{8(wo#0Gu=Ft0 z&Hh~Xlpt-uc|v>6+Ji?wL8axN<qu@*0>QDj$@pXHzqXHeu0C1Ax$Dy&&b<Do&u)Ad zKV5oiQ~$H27$s)>B6)T`a0;2WuO{}xHDU1B>eCeua{u<h14O!M`~UC1Y<B3JoxWQ* ze%*-&r+-Fvt!jEN{QIBQk4rvMwdv02&aMgml6>9~+8bTY`u;-$BtZUbfAIFlG`Pw2 zEcw^-ztn&_OY4qImp1-UbZ|-Z>P>P2zyE2y&hqKqR-KA&@}KoDj(>|sG^+kFLrP2| z^*V>^we#Ud>m0njE&e>H0;w_h_*C5ZhmrkOF7AI9H@?^Z!EMmnz&;DTEKZ&J;`pKU z(3aIXu|2WBq1A`X!Q0<W;U!zv!P`IPK$6GgAD?zh)>vM=pY4}?cBWnEo8QK=>z*HV z=SC0bO>SS3|4oO+;&ZNh+tMK^FLmt$**}xuZnilX{p#{(P;aSz%8yUSC2Jy|?)+S% zFaG;q&H2kkd!N2Wck)xqMe^<QpoMYex(B%`ap2j+&n)j>%!Q}B&#dnc_(N)?YT@{I z=O3KDIgRy2;@cbFpC`5))Va6|BXjEs{JrrTR`>6Dplb`7XkEWlU!3dSE^ByK`o3C4 zb={)ppsw^kn~zT?^6Z-<>?3`S$K%cK*)vzR{#;YN4P*Rcdw1QN8XsuJwq|*MAP!P9 zRqlI`yU!P%vFA2z{~Krv?uglceELTEc=7c*;lH+Vr8BNt<c4f~pMQ%jl+pjnc3bp3 z9;fhY;}2L*nwvHM{9#D)*yQ(N+r2gLnosXwbcF{vb=;Z%<I^ObeQQ=W%$viN^yc^M zQ#o31Hy*bWMsE-43;m7wzW~}Ea&6lFml5Lfoe>|(a^P7pMycZScSs&*?$qZ7)zrV8 zkL}y<ReUd`;`KW&^pTMFVt*~}K*xhN#eEQiw&s%#-rfryX$7~Eg$`POv8|PhnfC0o zbEkfJ%7@f9@A)Nv|6BHGzE^e5>AUF3?NiXj{=e1Gl&mMZrxH@+@A3KYZ7q0i4peCD ziTUuYE*e~D6lr{XYHsvnQ_b}i_DT2SuZZ`XT`$Z>&v`ZdFOILv2iMTk_Qj}Gw3k7r zOrAEC7i@(mV$r7Un}fkYTci5%DZlZLNm?)8Y)@Agc*7!hUlcu5rCsc|)y6{8!_=nj z-=XQ@XWYTxSG?evZZ%8(MrW|0GJZ8bH}mZ4`TJKf`F{L{qS=fa4q^mAQR|E2$JRrg znj^AjZh1B&W2hah-rxvJWkpLLto`2w&dNWl9-Y3Q`eEsh_x0%u9=@4x^zH9W{~Pj- zx#8%c02*>HnGQ_`t6B3WLaMy`>J{$O;60Ey<%)Lu^^l<&ubN7wX8og=e{0+IYaiWb z-@n)-e$~%LjCNJsM<)3nYoG>SZQ2g4OJ}pbKcouJ%GbH>{quhf?(Lt`{Vz}yxbv>q zJ(GF67tMb0U%LYwCi8b5v0-{sV}YJC>Kwi#pXY-X$?_t54&R29K~LLR@-MT(ZIBn+ z6T2OffPWsDu5I+AsPXu|%@JDP{zjg;l6CgoUi8FP)cfN2w`gc$6JyE$9|p-6m2)55 zodX}H*c10*Tkb`0*{1JPvs1BI|8v;W=b3kJe1D$V<ET^opc6enK+80p0--6Rw`qI! zWJndV$MZwoPI%q`t(?2F0$c`NQ{VT;i7npmOYtoQt|BRgr2F?xdR8ROOZxH>-Rzxy zUy}E^Ld~u^czatMq;Ip0<KBD3fZLyr2fAyog55k_b>E%22d8h`Z=dq!_iBlozRWK^ zVfGQ+UG4c|VS{4HP1~Vq!<^&Z@_RK0UoLBzZe;ZAC}@<>?V$ArH*ntDWccyvJ)V7Y zde6H5%6{{^`^%=Kd8<p~(UaXBm0ugbM?quH^<Z??HAw$sHtYL?lM#_8zQ=a;S8#oL zwNw9l>W8OuuB3H6KX&80`1z?-ujjt86hO~__KLqY7EgsHyV<Po4|qYMZ>Q^rJ{frQ z-O;MJJsVO{J3TsmSh6Pa?={oVi{CqH>qTn|)j02)V;Gz-_%~ut5HyU<4&J`J05S*^ z&HDb(Vz`U9bKN^6TD1=}Q1R=?^yS7sHl<CP8=6_(Ut2%5%y!wK>Nl<EkyknU#qnRK zp$YDuUd8R{(3$49P1`f!LkmUi56;G~0w)YH;rMH7WzWaD`+j-vl3ZVZ;>LG#(f=X0 zl3(*-jBEOL)yc%IfpqgW`NGTN;HL7=3*beZY*YCQB}jRk+o`|)<b%^U?#o|#`@a5b zma%>XQm2JKXKtwEvGv7oyO`Hq)R4HMr<T%ta?M27=?lsn*<F3PG7cp<>G>V&vUnG8 zT+1(Nu7m9Cr{_zWHP?Rj=hhMz*|t);Jgf24kxeHP__j!QgeB+nu!#%rymxqC``-7H z->2QrD8E<iUp0BtH@o|LpO>He{_bZgV_EUem+n037ykXRzG8N3wf)@4zg4Gq7wz== z`f=5(@9(m^<c**F{u)xB(6{vJbD86}do81c`&j2${E#{4b<O_!k#+w+>CT&&oe@z~ zRC@7AVBKLkb2iaGJw2AUSBdZV{ngNa4&RRDzf6^{-}vEqa5iX$v^wwW*1qkRh4V{S zKDl_KV&;R`jn6$x_nnv_UcBL!@Oqp3OPjWTsj}tQE0(T!KKuE;E&J=k_m{`FUVl=Q z9x{Jt#>I(uTJ`yFdWXyXJ?`ngzxUJb8{fsB`mWx%a@~GV1VuzWEv<cad-@r~u(tT$ z8|ARI9H~v^Z$u!8_NHb<eq9Ktd{5%jsi|yz@YXJJKB#-JQ|Du<VY7aA$mK7WFRKgO z;pF+NjZr{)eMwH72F(fDtobVgA%#L+)Q2)Y@TldP##a65-1qv}YC#D$)$rrfT|E2N z#7TWs{`S|k_H#z@@BbJh@au*C-k1fQ?~4@Kb9d_T*xGP|XW#`-+AR6+{lIk`s7$!p zwEd?m*yN|Hj!Z94|L`>D&Ki&U-rD+!_qJWhH9cG4X7OvoukX{(BtG?i2A@lrFZegY z5}IN^ZEo7$45^Up)hpV!fh#1CtHZhOb&7uhH{tJg>bo2L2-@@aqEgojP)J`~YxOKl zY>o(e$*^8<|3UZv&{c3nQy=82LF!PwgVsUdNr@TE-0^jBAEv283^rHW_otXGeqPa; zX{+9IC*6;)y+8Ny;`cQeLzsKQz9j#@56!@JjvxH~KqrFNiS2pp3@#c#<5l`x_x2Tn z!y`5R(dn62o6B})FOB+N`)1|w@W|5g(l@_X@0K>=eBish6@7N%wTr#jd}yHG)U7yu z9$G@k9lX886_z}HsveBCSORWT+?ny?Q?6vq%=s?$R}Xt9-;cMw)4VcCrV?Xd<<F)U z$G4?JoxV<RPpv<+VX>a$-n6rDr@v;+f6WMSdi|r*t&%m7ug}j7zFK$<)Mr|A{`&J@ z?dN&Wn}X&Yb!Xl~9bLKjfvyd-(Ou1&|C<e-O2S$5Q`g&pTE;~NAD^m9)~x*ZNofAl z=b3A7e1HB@qB&w&Y8-my5u^R9@Qop~@-XMT7Y1n$#;8|VUxz2`>)iJ)-mL;RGJ_tS z&Nlw>>8hj2!_MZ8+IsbOPYzW-Kl&M?`*GdHewy4h$dubn^$Km+BAVH(`R{q*p%KlJ z|9=C-$<dEa_e$1S8t;jk6SU~Yck`v~qCaMTohOT)_VPvl-iU=7TsixJY;6D}FXjpC zalQ*Tcs=*MM}ClCw*2_?uVl?lfeLp&G5v3UeZSSbdinMCc~0~|2JN@m2y1V;9lX8Y z7izH39_3iL!M9oSZ-;>meyZH5|2^eHYO<~9Gwp=l+WPemJYIcMef%6$FoD*L!e@3t zyBzLAEB~U+4|MOXhSYcaH7ky<2M_Rq^NaU~XWqZSHT1<J)Bm4%a5}Q|_Pm=1lJCcF z3+{Nl>aspYx+w3eo3q0kQjw<ae~_yT$%c#vZ<jz8O@JnhZ#R|a1b`cyKSLg!zWH4C zWNh@cU;njcJz8;T*6xnldQ)?`#0&c{T7`Lne<SWKg4B1PteedJA<c^WqI-0wgQxL8 zrN@5t3U6je(%5)px-O_w^BmNv;n*5c>>Z0yLI141*k3yvI?~O@b#J3Jv?D#?LGFGR zSoW)&{^0GtSa9k5bNZ3#-9|q)rCIv2Use~m!O0UVhn^zdi~Wtbu>k5&_NMJ6vmwpF zKMNnsE#3&OnnB^<-n9KOH0E3$omQ2siQH@Q%Kgpn?qAO?KKrK6kDeaBchu#?!WL|) zRP4SE?bB4VzCXkb?&&@|aA^8>&U?>he*ur&{P(Q6Ir-r9jrDdZZ+<H;b@`io`4oB! z<!AE6{;98_0r1b|Lzw_%Smkfi_e+o!b|6FfIqr3uLPFK+(P?YrA3=L+r^HJC{<mmP zrm5N1gi`cud`GLUxjzn;#Ad?_<hM=RpUc1t2!Gc6%B}OjBY9z8`9sq~tkd10^FQy` zw?!?g*;VX|Zt|a*FOL5Ug^o|u>s6?)y9h}KpdR{7c($0^v^{?*I3pX)|MAHIW~#oI z;k6rAmTg7v8GLfQ*nd<MYU=5x?J>|<rQIy=*ZqVW8qaa>P$(ovTo#I#JNMvp4QQ#u zOVFrQ?Ws-u6QePPiuGOXx51WaeF|^dzCIX|iTSwiHA9w&g2R{d-nCSS!OxCN&(E=+ zv|Df0*YBcNs_eY$uO2qt_<p_IyMrNya`!N*K6%i7KUl61JQ)20vHpAKPK3+3?|C_b z6R@TE$ET6-Y_YN^&~}giX$SOBt_k___{MQ)vUn%9XDws~=%@5S>vYIy8z==E9<<&S z0nW)cXZ`rp1nTO3Rs>Z^6?v;9#Q8BsRPJ}x>C}cmiif8w9;}U70x5Uo#rJUEUjiEO zFk~0ocSpJ6xBeAyb9kTnN6?C*p1&Yt?}zMMA$M>2_j%}PcxU*R<nQj#n(m+9hrYT9 zNFduOR_ND4mVe7c{3x3LK)22V90;H}gj}|Gvn$Sleocno{`x-3c=c}SZFvs#Jm=q4 z_a<TqG%IT!y#3!1(t6t&|KZyicuDy$?!&fP2e8SNagR<P<k^?AX7@E4y9YPEm)9&0 zydU2G9>ZjI7kjh)@zAii+_e3N9mM3HJr87OfL9%Y!s1W!gR}d?!6x6F_@l_8SwH=1 zd8^!HyKjGGKQk!pdhq2TdT9J~zt}JQ9$Fz;s#V<XfXuoscyRaY{#rkC(GV`9XGcNN zH{pTo?DgOYyge2lpDyItmviWu@?X<$e_g9?2W`K)&l<hiX{Y^b<DFH|(6EHGsIy<5 zw&%RptPftI0V;v#i|<)l2`MX_9-Rh_W`bv)>sKaqemS`g+$XR3{ptThJ<n6!Nd1rc zoI9zq$NTf%)*Z}i^7zhtnq`~S#nlVeG_Ct{>sqhp^22MJ3L1Ju9d`-vnEF{O9!zwy z;+^QW*th3Elf6}aYnIQee*3mr7d%y_9H}_sVqQ|@e0;^G%6o_Rz5n@h&*$7v7xK<O zukPRbWLx(A^6z`>&VPUTTlB=X(@%?bzP(y~-njME`v1Fku0OZ_UbOz1r&?EUYhFG6 zuC6J+w8B2_|Dvo1*WycyuG`xM#je};Pk(un+Vt1i_g)`c_rUtZjLo_a_m~MRXTBG= z_xe*Qsc)S3E(L+&GF){}Y-7dh)2iFs+Wt0~mw$-dy8pxs@#hKA3UNF4>vP?^r&?7X z`;09ka?6*mKlwuCcmJMdx%1AUSLI^sET`@M@I$fs@66!+K5re~zCEz+`BwQ`tCe@u z+5LX<FGlWr^X-jquUZ%9tgAa;sAK$$<E{5S_Ae<O@&7`<Bo}Uk_6+uO-a81JSY$kC z{c91p?g2I6@3ZE+PX^DAZ?gWFy1HFIJ-q&0^1h>X@n^30rAyZ;N<&tqxMzS?rJS6w zug3FBa$+OY+)WSO&V?@7<LA1!(H>m;f@Yfc=~T>?`uYz%ZJ@UA&WneqUj;7)nR-RM zziswTdvsI(w7)oh>Ls+;{jXVJ4Na>P9_0RMhC5cfX}gU-WCX(e<5OF?eIoh(Z$*wz z-*{g9c<86ui?+_;h0Mt)ZvicJN%4r66SIGy3|o1yPpe`!#M~mE58LckgUT7us*#`i z2d!<q!RCJA?$qD^=Hcnfe^#wZn=ksi#<V(7jp1IY1%`vSzc}6qb8x)yo~N0RG22fa z58l>*ciVtRL03F@yZSPCR{xvozB_Lpp57_^Vr^8F=bO)0FKwNnll}i<4s=c2Lhzb6 z=U<=f7s>DL@7rOu=D{3A(1wsOmiHe7LCHwBcBb(EgBLfugIXhC(?t$O*Z6`bEPr}D zI=$SsX6EsSU0=T6cn%to>HB)+i`duN)%NnI!7HdQfLA#v)<&xT+W2+@Gy*f5w!asJ z7;YK+;oJWhnAxClUA~v#RZ#3g@p2zNq`s*?uk!8Z6bGgMNjZLIHIQZ5Fhg%D{4$J( z6*bNu#NwgbV~knfU+@PvNkHq^(mC&)VuVZyKkn2|Uu!#Ux0LL8=~w#GU2kmPcwYRW zs&x61XU3bLU85H80z{sdr*AHj_x=qn*3SvTW&>?PKlJ?r?<oKWhRH$ePw9{Wj)O<0 zd)w4l=H4mmQtqhTXR&V5eUZ7`7{=~gB+njy0n!tgDZXdwBuMLQj(WxMeQV)~L+zmT z2X~00CwJ<v|MKB!$^KQVZl)i#i$5DTgR|j%1V*Zxr}t~)_eyBrC7t8m#6U<8|4ci0 z`^O4+a-P|={kJ8=<bp@1XWP_7+Be=?wRHLsyLjE$?vtDNOw*y&5C?b>qU5FN`GS9M zeC&Zn-e#8f2i`-Psi}(}<o<1gn_P47_BO~Q`A+qZPt$E{ZW`PaI{o8y@_hZrx9gog zeiO$C4Aw>Rv+JN6oIuAX{Og5;MvO{@wLE+P-X{9PvwG07(#(A_o;5#1`Qy)7EbN`O z*Sx!S-<!8TPAX@`%tOzE`$E4Y{|txb?b$5(($MKZ<%;SuaAzM>VCaeMSsMQWJV<Oe z{l_Oy{`hk?m0zcImiN`}^T{bv==dgj6S@M?3A}i+?aR~KF7|x?Ve>LO;r)Q;ocI2* z!)J2Oi|=W+zY4D0bX52K+1sw4&icw~b!yW5^I7q_*WO0XM0c;A;NKhHlcBNa&6@wx z9g?*-MSkGB3twvXv+UsQ-_DTO+jnHTe)W$_i@q<rHs@Aj=bHoTN--R3bg}>Fd)TaH z`vciO>mcE~$Kk`fJBZ~Ceg|)Vlm(lbYWeZ$oz?wM*Udim`#V>f|B@Y9FHAR{4|krP zbZNz6X^aRg@2u0YSqG_;Hz`z9%R^W7={A*rUk=ZL_jM~~%UuPRNc(2}_{78?A2wg= ztM0d-i}qER+&S}lGkV_RcC`;<gXX<B6?nmH+f@D@()R?ddVMdt=jnRL5Pz6Z{JO6n zmi~DDY31BUKa9Tpe6+)>E_lnmN$9~Gr}L|D39Q{ybnvzdr1ieG>H7mQaK`{Np7NdZ z-oy2fWN~hVS5o5Erd>8Qn)mLl-;(tva^v~%<mt&lJ7TwE<df+g@*f)Yp~1Z;<ioUb z=xEA!?t7Ky;PC@cs;hJO@a-$4l>6MNFApl^=JQMbu9++p(7Sg>eKvYAx6|oM@^^7) zYj_*yy@%}3`H0k}?N6=Xu0GA0U)T<=R6ngbGX4Ii52^c(S$*z(bj<F)^xgibx_`mn z)6jEvct>5%Zdh<kZQA}G+6=6m`XF{c^7M~xO&qw$zd7Z{r|EM0WZs`Yd#z}_*S8zb zCvQIXui{NHM$*%|*#9#Zn*YMN?>$O~mKnPr+}-5|EAWeYAFQp30-L<k<YVf|!;tRc z=cDgDEaN{jV;CBBv41ISx-L$)f*aB=*y;D-T@1L<3a(fk4_X&(2QTSMRs8r=kRQ6F zPrX*?_v3Tz7)dzW#h$MYI{cp6{$TB$m5^@1b?$q2wc$zF9<=oL0yqil`PW$1-`#lU z>x+v2@kZe0<-QxTn$K^4EI^OCpNSXy_il%#h&u`u?q=DLF^O!}_xGNH`$wRZEHAj{ z?)F#UdhfPSeB7Idr>i181nv52_i^ao+@Z`{tBc+e0G%|j7`n)+Xz~Nu9nc}zGOl~g zx(IVc_vD&GR;Grl@4NHh;pw)*+nRj}(ERb{e;T@jE9bsAzRV9=3|;5G_s$nm41G#& zD!*q0PixXF`E`rIX>F78$ERViAby@c%VOTuA1)ZCE`D+RQx()ySNM{9bIyCyis5Bu zzSy3n*^t#Q=BoQ@PQcSbqSJoOTl?puXXSfJzX~gQp|w&!_dOd(34c$k;<`R~)D<*$ zdq<~&JseU-hzZBrJ$!f?vU=^0kMQx$Rc#oF;kJwYH&}<csQZC#EObZDZkG4gj1f*1 z-?Mi*BnNL-*>~sh!_yYCO0v5Cx69rz#i*C-oW3M~41#*Toa5d>Z%9sfI=5-N?RrR! zUsL-tUTvmf_6npfNp3+*sO+)rSC=_%eAvukyk(j3sel0Wh#4EdHaF^<%5$9ViI8aJ z<g-YtQJk`hSM=tBOKz2(Q>TY{d+I7YbZyGWbu@8Z(yI}BP;61d$}J8$S0f6yd`R8f zeoy_+|MPcz)}4Iz=lr~v=UURvZ>~Q7eopnTKg;G$(G^kqI-{|CXa259>u0v^+NHmj zdvU8%Vd2h4auS6ztLt9fnS4*?SNW&Czh7DG3b0U=yjgzj;holG@%qnG^Z!1V&99Yy zTE20;{;An!=N7eXo4;z(v?n(^PhQ{C+J1hg_2b8Li@zTG|Nf-U&rj=~a2D5?Nfyg2 zmnb$_D${LK_`z<@v~&AYs-Da5K5ERpIW1P}*T$D6pn%(K`PAmR@N(vNUi)I^mvh{^ z1a9~0c~;)G{it?%Y5%TWr&;o=|K=^9ZTMdyIc`p~wk>Ekidu!V_^ZFAGaskNuk)z2 z++3OzzfU+?_~rVI>-8_)p0;z%uenGgF`q%3Lp~K<?4QaHoez)EtAOp~PCa<r))`i6 zebR0!{~`iv^*ucu^y>7_wZgxRDl@P2-?%RR_3YxKy0?%<X>II5y9@Sf{n}Uq+j}M2 z1e@=)X3f7Zy?ajHv41t4J(ZxstFrZhY{h&#e!bJ5p1!&=T|Rs6jp>g*UGXcl>8-6T zytA}sbw<4%QpdOsytH0q|H10}&}P)9?56UPdC+P;=mXzAL^WS}@U}6ifwrmU=Hy?W znyz)$TB^r~UVXDVDL($}y&0Yj>u)2?%0OHWnw2S>1I=ZV9>`XJHv)k-peVD$OULzM zdvcG13b1MV*O%>!xoNdgUs~;A^wuxaZd?!d{+_gIP4jQ0G4bcHZ762)u&raWo3<~9 zZm+HL{%~zSd<9Bn?}OY~i@#q_jokOfw|3{c(xmvlzZ-6boYuMU{rJ9p4%=&^Ht@+J zjRnsGZ=6}}Vs9n`T`p3z^+E3H>yVoMZd3We4c|ap@<AQZ?X3Ar{ob!Xy{V=${MG5R zYlVLY{oU|q%a%EBU6bSIzkT;>ZtcO>7-__Mk$ihPboK0>un%T}kU`7RrtPWlS=CQf z2cy@$DXChsU}?;>r>Fm2neM;c@6GA$50fVTlluKjX>ab#(^mUGB%0=ZeGlJ?0txbb zfxkEYw?Ttk?qGCfEMz=so#39g{_xIjUHFG@a-dBCI`Mg)wU)Q6HtOGBC#A7ZedBul zeb#3Gx^|@_jl7=+@2Ps<S*Npi8KkxL$?ahDzv<9);`yP>ej%hN(y6K3{NSy{3UG+a zc+^&Yxhed+=-Q93BCbNee=XYKX(_(3)DLOI?hkm|i0hZ+j}1^aZ*AKCJrvUU*y;D- zn=K**)*igQCmtN$JC(maJ!x4JIeqi$tgopX*RKzIe>!D{dmk^fiSpsCHzXqM1^(Xn zF$rq&*QV{s^Pz4I{lI4j-i;2*H#;3an7z6B`}HQB_-VoMdM6*AzByTQom7?oo7bxs zMjNJHdHft{?h6tcw_WV}_CW{AH-&#NgD&ZFJ7~QLv1+@$sr-i}B&(+f$H&b%JpF5M z-o_330>6J9y7%|hrLB9A*EoW+W1i4oix05w(rwm!W@yEvSn>Kdyb5_Qvghw^P;EC& zzdbm<?CFQ6fmhdVd9Y6G_phegA2L~9{XrfT1&1+cL&LmH(2&^8^8SM#B#dvWSBQUs zr;B^K72f`ktQhZEyHl}UfBD9HeKEgI@P(AJ{}MwQ6@`RCwTt~WUTA^0C;Y=V*k-Wr zoc9i?!7F@u?t72Q_U;E2OBt_Dw--Y<bkv5520aj$jzU^#4@txC#sA*;0^5vUcQE?a zGDxFJUSQ8>$V?ijCH&9jgBc&FeKJk||Lk8y8tsss0oTQMJX+NiUY~}Z%4!0>BtKOI z)d-g_O}7`_;|iIc`!n-_?PG*n&o-5pbc2h~RMoFf>x+J%6wX<k7%5ErZ$w=HbSzZt z;BDxR@o3ift_Y{vsZ_Abf%Y3j?wd2WXa0nev%9<7i^HuS|E)iM^y$jEk1C{o|9Z5; z`)An2IE=!Gzx)1!z2BjM{HOJS>|S?BmIj@;5(baDe?cF<-2wG;BlqQa9Gj~z{_Mlk zIW?i7o7Z>M)|yr)t1<4&%R~>8KT}^E@3V&XmH0UBHNsXanH}7{5j?65${(OZoo+7y z=V==~$?|<R>g^aMv^YlEi0`h;xd+W5pwnH7S3~mNpWX*|uOS-TJ09dltp}T(<8y4T zzW&*Vr$7FiSGMY|*s0&i@$nkJ-!wnIpo(6M7VUm<ybZP->Yc!zTytpK*zw@*^qKG? zI-fQFsT(Bad7j+y`RP_m$kvyAcJlMMQu|orF+$tFqfTZYY|rF-mV9AI{`(jAL2Taw zSRwaw$phW^<>#AEZ>qUDrN=t{+tUxJZ$RC!UrvQH`TIUk$0$7KE|UKp4qHykeeaYO zB=`MfKWP152%b@vv*f=w1?`!5db(fb@ww^!&tc})RA^1H+!c-y$je>rr|p8q-@m{Q z)4U-q?xN`rY#%$p&Ar>S{jnA}7*dOk-qrj};)_4WwUlRhr}DS2zL&SLR^D72j^X5V z7kjbw(6J`aj)u3;c_rtj?~9hgdkOVId(LWq`L|2w^mKXuV{`SjEo&mhQ;fs-wZ44~ z&0l_K|0R!dj8wPz#qndOp?y+2^$P20(CNVEtoh-H-MOp>Zx_tG7e77q=cXAw*74_T zpBKEFRj_Bia4%?1B|iSgwAFGCKV~D%TS1xz@h<j#b+AJmHo)iaY@5oHAzQyKLC5s4 zzAxAf&gV}nK`G;r%)TCov0rmU{!NX#h7r`_uJ(K}&=nv>>mTGQKssZ!P35;|!h=Jf z^WMMnpx(bu{5I9c=caqZ3%iHW>#ADjZpWxdrn}mIi-Bbm(Sz21G9gLBQmNv54S10a zc&sqLY5NyVa1=g$KB@5e>8F-8k$cUoi<T-|8|<~dP@aw+$anOAZG1Z!+J}x8-ShW3 zbUcB%sr>azxP$A(_Z;<yl%m!kb4_76WZz5Ekce>kUHa$^#(&OVk}uzc8tl%RzY@Bv z<X`XyzFI^RX2FA88*fN$tt45#Z;w5!e13j7<3Dq~NY=qHUi34!ythyLduijsvYZ=C zn|d3!NFQ2d)NOS9$Hlzl6AlZU@AUg!UzmJGp-j=+!LV!vr|{yh>aT>7c1cW?toQnJ zav7sFbDBnHs8H&R3~`x&*1-Mgw%;q}Ro_3kZ|(Cv=gaSXQAywO-0u0F>%Z&X?_8f> zV!M2mmi+WW`#I?j(^T%yogQ<3R(z=Glo&tHebcf#^{@W=xlQf9!SPp5%pT5oedc1w zgAC3i2VWNyCjL44RQCT1#dYget*lHeGRk;pwB^V5O}uR%Y>l4f_`Xv(p6#mO$9Qj6 z%<9!y+5Z<qrm^(=<h1v^X8Ne+EULG4YrDw%2Wys}`2{-6sM(+W(bd<#7d?`VNcrBJ zV$}F-=H~u0?*l$<jj^75J6&bF&At+g=^tLGSr?tZ{(r`oU(*BYM1TKTWRu~lcJ<Tc znje!a_tcz}Sy+4W@nUu7U!PR3_Sdf6CO^?|`EvdEusyt>aSq#B%M}%`rPqSbooQ^> z|G&DTTKv{ug~(a@@4fTH<Np~q>tDSrp1JbWKFe=EL%&_LH2hV%{|xB7k<-)92;Q1* zV^?LgMF0QtxCeK2VP*&JVdmD+H+uH<%hT0e+f#Ra1+|RrK74qZc=UGZ%GmgmmuhZK zy`#5JX8W5T_4{q!9?@F;=$-8EKU(h^dKfB>=YM|a{p`ah)#8?Ks{Q@*cwU~q9%5g1 zW;1Ab+qTwnNk#Q+U9jn?Q?)Kk4TihjKXA`m-?Ca&@6S)2S>w;0dT@G|;FoY!8<TH8 zLk}5hh(5jtKDwde=Ojy~-;<8J`?q~b-TLbIwVhCRAJ@3I?lZ*Xrx8bYr!IoIJGJ*w z?yThN;hRk2%fxGTYBcM2|BcxkBK!QW&BpWRt81P6?049M4hPYXHv-Kr{djS5!oD@3 ze<RA*y>(AH^YZ2CVA1^Fmea%~KUe)Kn)B$c;k92vlAn|0D}EI1c_f>)<+XO?EdBlA zAD-q))@1(s7=Pjuw@KKW&)i#jc1ooA)q@V*h})OacVXX?j~6E^)>=A#P5#ew8*~E8 z<>|trkh;h}-Y;m+Zhm{sU6M|c3dwPAnEB)V!uHf&ep@#|=j?P_*7!QLX8q#{pC<k@ z|Mt_h>PFD=t)JvUXIUTz$kWVK^3~@yK!Q4Qc}4rO$hed<uV0?l?E3z4`Wjd=@eki~ zH~8%@uW8RtyL0dRlf@R_=bO8%BCn^m?xW1g$LF8?s|TGs_VB4UXc|vW-d6I`^x#nY zGQVvQlP!Hee)HV~>O5VZp0084AmeNAlr!D64<4R2*SOc$368|n{DaeX8~@n!><472 zYn^CqfuBI#_k|b<yLXlR?W|nTp=18>`#e9EU5|jcx$0>2|2?osd|Gn!w$-(D>r*oK z-3k8iRNnZ<rf)3Pt1rEi`~64jZADMZ_XFA(p)qrnymZ^V305}0KAVCgQnG9NW7E^! zJ(ae#mcbvl*<^#N{*C-LHI>sIt+mbpr;%@>H9wu$;^$dSVp`pB{FvQ-E(;b_=QZ+^ z_Z*zIt75ObTro<B&knWc+mi$8KUMwO<oI!$5F~9ceiZw&2k!WrUEBY=?v_{a{`~Y` zlm6>z{Lh07kJhbEn)7PD#QvVzIzze5NySk{is-4(#{FyZdq-$ed9HQO>XGZQJ@fX} zbU(WLX$su*%C7Ct@0ZzoOnY|vUz5K6nFpso9zCTw>2~t`c$Id|%O>0AfY0-qu0Inr z9a#gKlsy;p_r}*f(ButrUBgqb$#WK0l-nf2LuKEiw>9U$fnKxj!RggTKa7F{d+%kI zuoi7#vVM(`(RZ$rzde5|B#l%yK6?9eHpJ9D0Uz`3B*RSo8FuvcxA5KjCN2G0wBW&M zQ^^`jMRwZ=zm^-%!_RF!eY@EH?gY>wK*;WmTk>n;OG#)z_;zjod>)b=H${HTt3LzJ zj`JSn{+J9dp)}`nE5F~qPq$fL{n=U(?=!-`|9I_**;%)%a5ILpf3A{mpSK0-?2V7W zYs6l^JiT4>Uab8_(B|SB>~j0|xP0XMbMPJe$xAhw?~C>K{RxAv;5n~<y-C|J=CmtD z@>{w}{<qwAh`Bo*KAH(Yl1BHVyWg4NIcB@|y?gg>{sk4;Piw1>PVZ0skh<vF3jK}e z^<Oo+PmV74L@z&n@?Gt}yMH6Z+@F3&tv_T#l62+FN47sY;K{=2=<ToC;9w5A*B(&w zb2`ty5P#4#_4bYD_4^i2$rZEKJKe57^DuH%aDQps1KIu166EHpit742P%mw{zJB<g z;C+ZV%sYDfpE1<%aL<~bS#0swQdh6)+8h4n^XePS#X=9N*Pp;JeDSZ1Ujw1B7_M<| zoqRMTtGjk>|M~hF=-ja12M$kvAG*iaeiOJ<eOjw@WO{m>`5bRk(dzw8N!#bU%zgSv zapQUY>D_T^D-N#4h|Hs_<X@NF1Z|O?w{K6-$8F!CrHN`+`AcWG72%rq7QVmzb*j$U z>7T0CKRV4S3F$%D?KfJfIo;nny%IgtE4RKn{%<a{u2~<r#}`unR<3<?cgI~=0{m3l zwf+5Wa8~sGX)E{fX%}<_()@V6qhV?*rkaD!7(^*o--rLb@!1y|Cb?bZFQg$UyJ+R3 zyZ<8L$t1OF`|jJ|oH(U^y3W2m%FU2|@$+ys)2E*@-^YVb%S6sHdf|U>{M-P|;;%*W z?}tMxb*`hkU&p}>el41RoDUL_|K?8p@rhfqCUWxWG#%NHH=niddOiI=vur*1Tsh=a zV!z<m#<$+kz{n5Sb2okqq&CnNeZOxvyh_gx*|V1a79^C5lRrLHmaK`K{ePB>VB(w4 z(ib0v+-T;{!AK<eL4R+2sDuWHe8?VIerOEN7JYxv7aoJ#HSX;?e-mQ%|AR-TR~tje zAL>Mx?Gb!<EgyWU8nUDFL;qTAIQvc6=-HPqsk<NLszTCUXjl0uHh6H{7Rmp=7aD=p zdw+a-4^J3^oGT8=?Rhc}qyBPUC13p>njI^5KUxb~V*2{!X@2c{&$hyyyIM5={9TB- zmi~hAVe2K%EfwqjE0+ZBVE)nasZ>66cN6%eJ>+Ic%;H}gvo}K{ackH1|9+6HzSHlc zUTiA7L=`-Gd*?|=>L?YDH%s~Ow1X?>%faJo9A4ay=luJ7>Ctn$`yx9U%oBK@t>5u4 zO0w)Z^R?CDD%(xfuFtYOyk2~!v*a_zp5$ZkArkdbmB;<vB=?=TvrX;#t5vsdeO+ZA zWq#|Q!?D+__cqH`*e|QtZKb2XDQS-{-yZq!J%6Ra9B$bPbB%jykEZ(6Fx_)LdA&&V zzp2{wY_2^gr$4Rud*X6moL>?voBWpA^3+c<y(dd+E5BdAxbOC?p3qp+eFc*5=Jv0u z-Il($Hr7M!_nOLQZ=OsH4ZV^6scPcqY0G$?>n@&uxiaOY*SF&@D!ormGx{w2khSIW zw9xo%>mOfuu4`j=j@jm1wAreEJM!1Q<xe?UVS6t6UBI5-ptb~<W4Ev(T^QtOx#zm` zL-v%f-S%30<`0%VA5M18+1~R@r8sx$o4(z0+iIt`f1bv-_57>6?Z16CZTT#Av%ctF zQDxibX&29X>)!lk`N?JRbKRw}=XK5>d#?L6elx`Wea|aT&s}BzD{t%H#x)Y_k5`^f zJ9^t;&xx*&RdcrASz2M8xAAp1L_+N7?dr`>!ND}yGGFqm=d@#5Z)>mB-u@OVcP`p& zWo_AE-?I6h)5`9BTKS~RGCy+K!#zvq%#Z$EZ^fpy>>r|cHK%^Uv{2FG@d^<fDVi5u zTgx^|E}X^kvEOudlVSRTgKTpd3umPjWJzhIyKJ6mTE9hlQo=umAQs<Nr3l~Tl`D>R ziD@UAmO7qh-E!kX6i4pT0FI+?E#Li)J-5^L``q7gW$!D??pLQzOTYiScHZ;4?>5ip zeSUbi+-$n`UPFx!{Wb<4s+gJ9Ki?#iWRUu8j`xc{vagqQoVJ^`XO-7JHvcnEttLC# zJL~_E+3>Ocb^M(8|5xtC+D9(UzHmNldJXRxUdf`vC)Qd1+WzQM`pe_*kMNin$9=Gl zJNUl$%=<r<m-J0$-}mII%TKD4PWRq_A*arM>4WcS&$gfW;4Sr({g0gAzUAvbPi|T1 zwJ+`2-=KdtzMba~ddwl!xaxSy-r4ik#7(V<y}JA7)!6s1CT(SV*7npr{O(V+)0?kO zKUwQ2_4MYGjlz?(i!Gl-<{H(9efrhCd3VXBoXRq;&cZJdEK1wIL}<*-Z{cOhi`?Y+ z?(u~?N$dFeE<6xh#VYaHPW?5z$?SOEyp_py(s~o?7T)}mRd9Oy%=_nNf*c*sn-_WF z&pD$%lidEY)Lr!NdN}Qd|AyO#WXp3WebwW>^GSDF`di~C`xJD0qxVfdBfLH4`2pQG z-ySmE?GNv|BlPd#tUE?)10i;<KdIY&gKho(h->^AX8Wb?IBn&Ad?I~GJeX6Y{n`5N z2kx`a!keSTjQ4BnPx`3Z`-}6>uLADfwsOff--=kK<xT`yS;Xz#;(0k`-o$CgbhoR2 zKBC(#vt#L=>B&)9+xzbb{p*~4r)Y~O+{W!2e_j0O8EL-&?62~f_gA(-EOgo`mi)5S zXy1jLI@29b`JR=(S6>o;X~p~l>-o1IscXvND4(;@_u6iqg406N(oL@#o%is5JniX8 zo5Isv?0J$;CF5?tpKFtUJzuZ;j?llrS$8&VjD%ZSzVTOJ?Y=egV6#&-Kku&S`U3IV zG{gOCpL>E5fa#9bD&}J>hxIeB_&>Pb9$oXB)8^>no`&H0P;aWA-n^{%DL7=q)IJ~4 zeJ#7=DYKE!x%C&c=jdxp6jt8eQh(uuYt6o=+SX6)_|ED+`!(xMk@;%*t@2a9GS51@ zo^AV`!+*Bbxcq8O`@H&~uJ(-kUWfI;alLc;&sc-NW7ADusegEVSa;uFm71$Bq_r1q z6D``kGZgIU?-BE+&Q<>0v&AH@(qN`~US`RIY5Zbb9*nvr^?C`a!9g!}?08b@&vv5o ze|3>#d$l6lgK4X5i{#s%i51uS=0zTTb^P1MO?3{Ne_nks?e~oPTrjKW|C}4I`WO-# z`_BI{3-j8)B+$MwUOw;RTXnwMdsO@jU#ef<a<XbVYu>`|eg<j1lPle#q_(f|ebyO0 zOLoW8kUM)$JpSEfvp>YI{N(0&J*-t_{`WRt*!zoV`<q+p-wQMH46k2*BKFIk$L*&i z%mwW;?pG#5liH?PKXX@1fTnb*XWKuQyhMZqi=|Y*5tCkR*(1it_nQvr@^7$-wv2iv znVEU#lZTQ2olUnJqc`1Xe=_-X^m?W3E%k8;=6mjMdb8lp@r65&#>7vKt~>GS_?<wg zy<)%Y#ocOmLL<NK$R9aL&A(3IFeyFrz62imN%oH4+N`(9Lh=;zwDjus)3uIKQrk^b zJ|EF#m)ViJCB1^ZI_~;?eNb}aoPEdW@dmh+uOk0O#HvB_apjDkZzYuef-MpIWv_HB z1RC-`4L|QL+0cWO-jXCBUcG7<3G(Xe$a%gJD&Q#p>1yPEr|3*$wBO{!qB_$fKhIpU zGA#1`@jSQ4``r$>#d67C7yrGRz9OdN|K2-!XFJ3}WrzQa`&ozcx2JtveNb0=#{Hwm zQ)_mccE|JPE&P8uY0Ez4zmvYj)jj$9tDrmIzHxcQ6v2X*o2T<F+Rsywl+qY2ms+{) z!HhG;mo(0w*+2dF>y&vrQh&^;kyE>W``&)*zeoC`uau{{&W*KFchBSezs5K3rcDGS z-|_QZ_))#nKJ{zzrD$mIPn&PJe`~%MB)dI*ekT8P;!AOG&d5os)8+*yy!%aeCe4|? z?Q-pJ&s%#R#ppf1l-}Mq0aVazn)o#GvEojBkHt2|JD+xfT(X9Fdq{=a@qqKMfBjCK z7=6e-adPxJNljRyn-;(6SK#Me(2T!y;?KMPW<lfL%y_>jwB-3@@!9(S0!Tz`SJ-YM z>;F{jex1<1tS`NyvA^D2ySV9IYGd?^ayyB+zf-}*-0jJgkEZoo7o3&=rTq;`=k=#v z24(+CYTJF*O!#eKvVF$u>>}>H-@7h;Sp~KIsri}woe!bDO{{yqR|%Tw>Q4VLdo#Jt zb8#Khe%76=o~bgmzdH+O|1gqgoNibB*8-dt_9s=E?e&Eu`y3<xJD)Py^DGY=JKuX> z8C$<!r^x$<dQOpd^ip_I*tY3c;I~asyIb#RADi)e64dK;$NyY=535<;&%Cd^dnv4- z{qarM<})M-Og;}T-7g*al#{vojPa#QJD#?Jf_xhD_Bon|pZv+%@p<Ob(xfNTKAgY( zWLl^&yvX~cvRZy~+yrQmmy=u<Zm$nb{#E(Xi<6f_oiPhs_CrhfAK!$J7|5lv#Qb{m zuQ+w@X{POe8lOhKE-s3nFzuM`Zcun@TW(iTKh;sPchTpXV;u9ly&lXuq5DvN(@EXw z^WeqIwB?b1BYtjy`ul1Bnf%|u(0a47?dMvvKahM_r7xFUxET`VNM*hE{McaK*Rf(d zo@!1@pL!cor&Uj`JT*Vta{GyblKoP*|Hc;HpA&TFrD}26lW8CNZ#|i|Q2bP_%&h1$ zUrl!|ZN1vR*Z;&XMsT%RX0U%U4<xOps(+5&9eNBB`!?tQY%}o$Cw53F`*5|}{fLW8 z&&L@;D!5{u^Uv}pf=k&ywlmf9B3~TPeQYByzxiop`@hOaP>^4JGHtE+NtnG){c{%k zRm4E+@lWZ`wx?}|hH_j=-F9<mj$1nQ=UM|u%b|A1(e3kF)=SkUyMEiTrp>)J3{=Z- z*M_k!PMmy4e96+n(+5-L?Rc8Up67V>xYWmrBEgP3MMWP!@07Ca+5frzug}hY=i=_2 z`_#5yc;90vmNNw!-)|D@W=lZ}_D@2eqw{`1YCC=7{h!TF`~WvwYIm?!3tEcz@0D+S zb~*(V*>936%bo<zOb6M!5R}T+GH<UrVZ7$)yz5`Tp9YodhgM8|Fzw`KL@EmpvzL0E z`nLgGmwvI%>oJ0stC`7l&(}@=2(h+4x$gCK&;3jGUibg>d%41P74=7!9~bA_Km6Wt ze||@U_x!cL_pB+8d@ybQ2AkQt!oV4<NM)va-pwZmbf>C2iR^#f$l;RrvQa^-l6!LW zw|Z!MZT(5z^&5U&{5b)Z(n6n=PlM*}3-6y8hS_UCv-iJ)f68nW{z5&j`b{{+dB<bc zcyP_KssHKCN6<3mI4F&)%kEgJ6;t7zv=o%IH=oqa-lqdE%>J&a^VuH`jpU++pRqfg zpiPPYDRsADIhwn+jbZVv58hH=g@1f~I8E!0ja;+qgWlEPaNe@nCOcB^J8Nd<9V3m( z;?5mUUD@*_<#l!~-53B$RyUta(_S|XR)C#;y{1lQZ!pxxPx{Zw-^)TX)60Z9bxCNI zEqx~c?OUUL&{jj|UzM7_)jy=cg=SoGWmw^CaOsg6F)#X-?2e~zJ|w=ouI-k``M<(9 zPcl>)?!EfWzb@|bfLSL0th@;to-%25*?AM85t?tb|F$@!iE_W`&ZTc<ubxLI*>lGK zU$-X`l7x?mCCAMLTe;Ncyso?K4%Y8|$LDoAJefAHGug2GpxD9ZMYFTv3CU@G>ERW7 zJfZd$P5BvHuo#+m{+<3~c30sqxX7563@X0C?ULvT(Gx;Gu8?aq+<pqwDyr;$8d=*5 z$uyajd6H$7)BPG{6FT3u>wYf0rOz}m`p=^>qwtyUw_nkn2oGE9HFan9`$4UBnt%3i z#-CkKd+(*zorV@1ODF%FTfG)uP(j)y?{;{Dyn6b!&UveK)4=7#oT<;J8O!caEt${z z-J~>(OV<C(n*jT@z4gv(=WpXnYWN<l7oi6aYDQ1p0~tSNLDO!k!spvRL%|iX_Vz#g z8u|;H?jm}Db9q6>Bplao-6p+3|JXIA-x?bt?_AiP(|qmajS0DP4Q^b?)qQb8!2S4i z9|0@14U77Om^%V5bqP&dDOqgDxA>(BhxeHkCuMvd-RW82V&dykvS`WSj?XsP^Iw1d zQyKs3TVM6O?Z>Mp-@Z5R|6AMrpZ7es+t2)XQ_Q;?dB=Cg=NEt96ytjI<KlncqrBJs zJ}S8DBS$)K{M?hy?)%S|$7kQEJ!iR7#&&*O|GT5RJnsp!yid+H)lWTgc+dZm{+E7V zOyAXI+UpmpeU$T0eEDoE)A!OBf3LnsTVMEHx@-6TQxy|)-#JF+Rs3K3zILMU?=}CT z_MX>RTpMP-@Y@UT4|dj<d*?rNTekMaX-%J7>FP3n&t95dzM5&@{p~-`e$LZPXt?fq z%bod8RABPDgFUII#V@<G?^}5P_~pA1y}PGZSIIyA^JeA7qjT@C-SFP+`iir6Pp%eS zRR1Mz?QiyU&pqi<AHulqxjlHQ(`jz>Kvu27I_&kYqG?C63-cuPDyqXW<4&DWKXckn z^32YqPbTmCzTo`&&t|XdrneS*@4S&N9sa7;E%MIJrPq2d?@TT0D)!!ap6S2*nNX|k zKlY!f$g8aBu9N?5xBbDNY0sxkcKJV}y7is>9Mz9ebE5sk_QYpi+XLaaaooFao*8#; zQibk0U1ipMmOWD@`p?)tq1gMR`oG`zA098=fBMkQr!E`M@0$MdJ8Np;^J(9A7FunO zne^yR(8K*_9M4C9Y}`|k7r8ffe}C=#=z_Y_#iuha+TWV~>fgagq4h_1ruICr-Qe;W z;x|jz57(GL_n?YBpSH1S``4n_c#uD%PwZU!MEL*T{NuvA?x#MyW90Q_b71#ZyTv;1 z?igL}y}a|OS7l!1v$cQb?>==Z?3BD$fB#OVrNyTWrx#q%Z+JfKmHf-^8{ZdmpUbbz zlT`n8{B!TF`T|H`>Q|^ULoL)gSpC^4HXh>Jt4-f$vd)@r^ojGcSW%wl&y)*qU(cvd zd^;KJfTC45(tX8Wg9G^~bKabDy4Oz^cULFHG`1b(opy|Cox{sJK?N_l{<+$0e^c#+ z@cPR|^&w}k{%zi@64zaPTC!>TQzy_(x}b1qdhmAE;z~>JM_Vnn`-tqBdca%qXG<lw z<@PBxK?Ty<Kg6@^mi>+uJEyz6v-aDKoWJ`PfP8-)6vNJ4#iu_stq%QlbO+azbGn70 zn(rj$MZdef_WtpG=XEXiKi!$S>4p4iy$eu_y?Y=0J@|9hbg(P9YuTFe-P2&voX&Yq z70K#DyAR&~-dtS*is;lyH_~mNLnE3M<m>op&&BFfb<T#YatPEicyIalU(A!VnXldn zr+?YY|DxE$^~WAz`R=}TE6>)t_L=7OSS*t)cKIvAJI`VRUrFf-KJISo`hP;xpPo8> zUhMD2-!XUPEuGKp{5$8gP2s8Y<-US@LJypl{G|8hdF<=X>%Q0PSFf0%Rbjn4PT}s8 z|9fpgcbPu$^WU>+-+RG5v6mtDwN2ky`01(grRhm?V|ahnE&8K);P}Gz`UkwH?O0O! zdo%RHHkWlpPqgFr9r?M_|JN7Q+O+<B+2GQ8$aR~258lpP1i9sKU-*Z2GHc;?@0lFD zUAJu==z6u7cgIdnzr9qyGWg*4$q$}isN<=vT^PH*JnH;2afBTecD0?Ki|2oNdHUrd z`)xj#{z5K$-PyD~dlBS{HP87+AKyOs@D}WP$$jx3%zQ6^@8Q$FYw`2bN|AjwGrI*} ze7|sA{6%Va?6rO2o8Vr^f*bGg<@hfxP}uo-$6w>T_YHQ*UuDzxf|>B!&Wu^|-&(}R zpL$fY^H}d>{qM^@Jngt~PUKv|i`U%Gy_QCtTz&IHDxU@DHra{V3;p9C{kfxF`)iWj z<<r|wUEF2=%y>uKw0(0_D`vZ8-m78TGYxdAdD#Eo)0fOvj(K0hwr3B&PEBRwgSWB^ z!B>*z7d?J*`u@@nPd(yl7TkA^k6$odw=A-BeLD1F#g3S(HNS+OKeu~%dHUBy_Hq)- zek+4-gJe4x%?-I%?d9fOHQ5!;@GIzUHf`VS1ioCca<;U3{6F7cL36k({(gzwvqy&S zS>cP<+|QhrMx1zMj);Rccp&k2ng6)<xC9c*KNSz&o_!f&%X5x<&-Q1*u7a&x_~5O@ zruHv0{y$GV^&<Vx@62}~kHiGW`|*GNFKG5xA#d@~#{%+g`}PT}kGwQX?$p_!iC3R{ zPB?sO`U%0EuYP<{J$JtL(sKQm#{X-w|4x4gxj6Va=e>94(AyEaS>FGWgWtVquTv4t z_Zo8XXWpC|OSM-0;~&zvzf`|?&HZK5QN8T&RI?iJ{jhx}LeDPOFRXhv*{-&7<BR^@ z{}-T1s^;MBkGmjAYNx}8vVCjdY1Zjr^#5{jr2lM?R*#=I_0aU?N6MBJusO%aTZCsl zm?|SRlQ$lG6{T{K>X(<^bLRhE;va9;^)KS9I5bJ=3GLBcp9Q&qQC@J5b^T(v@v2SR zKb_wNx{>!&qPgF`IWrGUPiD^xcK#)~aDBc<{2a$a*L%*lL2gtQ+*$Pt7C8E%e>Xnw z-(?TJ6g5Vt;&mhBmd@0s?+>`)*&$A^g8z>rIKqFfkXDaB=l}5Z68q~iDcYysTy~C+ zPveef*|1a?5!=t;v8}quzHILjXo_0ev|R{t?QdPk2f2F)=O1Tzf9?J&@CAVTUmrU; z{dCZePgj(_Y}MJD^5XUBptap+FPW)I?*m^#>;6Qq0hTE~JziwL?SIsF$i14US>8AP zodxkg(ZT4w(eMN-+O+*^I3##}=gq0v35r|M-6tjY*S&acthfI9%W@w?=&XKtdAf!D zchC9za)kbFd|3$%eKYQRYtLswLO-12UfXi`MT2{SKA63`3N9_|%N{>DeLMI^(Vpvl z&+9i`xc+?qqB7%sHp&Rc_re`7yvY9AzZj_F=ZoxFdl%|>uY<SmEQQByXw&vrk&vVl zZ0@%&XZpSp|DM++p@q$iy{-H9HRxS!@Rqc-hTEX^0_Of0)nCc)^`P!wC$^^-REkIa z`jmBWcU?QYJen`G$JQTmmu~s)!cVEozoa^uukw@NPqp~+wY6yOr8UX-TyH|}%~lF` znGY?mpPDYRFZ=HTbH5m*l8E|M)cfG=on>(6Z*AJX+8dm9c3$tDtiOHfho_mDQdb4) z{9e44J~Tb;!i(2psqnJMwH8(u=`OM_`x5{)J)1TEyf8F$N}I}`i@~$)dhtDPtxLf7 zBiEVx?W-|r)%TY#xh@s8U*OlTwrf`{%x<0aMikb2;3-qur9S88I%vw2X3f9;-2`%J z>TH(x2lL_O&`-&Ox3~CSpT5Z=e&3U0C#P2j{g^aQ{FCmNudOw23vz#lrNG^O5MGX& zcmIp{FAEEJ;XQBfUxSo!_SzNv^|A1D8O@UaRtyrg>gIm)Dgu9fy7?-6!~YBCg&(mo zOL5oMGRCfIoL&@aRx^{CPdi@8{Rtv=_k?~qe#*VH{!DtoUY**XmIrTt(LFo+e8cri z)3<Zpd&Rz0|Fh%=@TKT)o3?)!gjh36T0MTBTJ6jWy=rPb?M5=cemU**%e)r2T@9Xo zy5Q+2NA&N<1BYRygMLLev~-Yd+P)Cs3Ermdzq27%uAASn`1#3RvnF!dvOwO4&s+BG zQ>@8k>)rS3Sk4c~{pb@{uYjlj&x`EyYGE;4&UNn|J2VD%v*Z`ghNsVR?t6W|OTjT5 z4Jw#7?bKg>Y|5Aafpc9F4{X11UHrq+>f4v(cA3I`p!E!v1MX=2O3vO5E&Fe?<bU4_ zO+>a$<$q=21zeruhiy5Rz&ZHoJAF^Jct5{~r%&d;_DbY!*|%@Qx@*?!)2_F{{h&P` z?uYJ0_Ga5&{yPD>=KYg*)Ar=W(Bj|oL)pDW@Jz6`Y5TuCa12;3x<9@2()6p>@5o>8 z%|gU7<Mg5z^WZkDUf>`9Pn?fgs9S>{v5=B~P4HFO<L~7@1(<Ddld%ZV(y$V$C_Pl+ zH&IJi%`Z%rrF7QS#)B&g=U!CgOe-y9E<Jb7enH+2B?S$oxi1Q&V((r_G>H~8FPXi> zP)cQ{x3Fh|@#MMj`@es`XSx5*<!^sq?tMSCc=BgE+vnx)ZSQ~H`+RPEe*Eq5ow;4h z^V3(H(p+`sSJ!jdklW9%By0WMQ#yCkS!t`1pMiJN<+py}ef3RYd%+r|l5+vp6V6pC zzEn9F8G38aw{N1e?j7Aeqsmh4>$#xglkR0ZU0S{C)An!QtWEZwkKKOw!}R1J{qxPK ziZ8GJ-5Y$_I;Zoc{?QDE&d2_1`|{^k`8>Tb<9TnO?(?`V3)bCgk)`L~$*Y&z=bUt3 zYqxLOo{VXZN8cM<f1bbMZ-%Vp!kzo4=kA)bW|fC*_-eCDhK5P9vithZO(^k@ub9hY z9Di@=+WzXggmwKgldTq?nQnjii=QyaVQw?^-(UN(Z}W*W(`6=CRm!<c)URLn^6uB# zV!Hqxjre&Fo}c!XIx+q6mG=?a`D^X=h3zXV%2|2mz=`QW?jb*eUcCrt3%tjt9e?Uy zCD@w9Yx{4nGrjKK=Q%g<+37jU%4b|SZMf3>RLxIr-}jB$XKPLxuAKhdQRL^RIN$ee z*=K$_Y+O)N*)?BZ+-!pW@zbs=kG@)Kw@++$jA{GiSW|;fORorq?wev|HKjW7nfFcm z^^+5yo&LS$YvE6);@T%Ou1Kct+Y^6z_v`9qaebb91D~B{H`%*be8$g7;E>h$^wi7u z{iM}C^_pT+^wod<{Irbi)6)sFw68qi-}dVV+kerl8kSafHn)9KtQMV`9^~G>$>>at z=I`gFMrUevdPU2N$0Wi+j6bW&yyo~7sAncD+islt%)8HXuMPjA6Vv&#tJ351etB<P zP-A)Z{PgYS6ZHABYj#RasRmi|)b-`k7oUDLPSju8x8l>%D;F2m@EXHH>|ao{y#L&M zm^J$^ebJkl{_5$BE7Ga^V%EOg`-RCkzR2g*(@Fu?eKjtZtzX?<wqJz%)bz`LYby88 z(@%abE4Rgmmp3bC;kGdOc<$I$yPP|7<s^Ovz3R}4_mY)8b<p7R(l_(3pEUUVbp6(^ zh5ucj{W@W|()d)(%<Esyi9yrA^^B@~%kzjdAb<7CyPX&2@Pll6{`+Uq+<E%-|MxdL zatBohBy(@w`s+v3i=eBrUY}+n`AUWd?yGfIuN}8PYXnONrL*n@I_V!@a!=#)(<<Nh z&FM1{F_b*(UT(bkbLOK>pPstb?W=J+KmBym^u>t>&+1;j_3Cl<Ozx`(9E|jNdTKOF zU8d?U?c;lzIOAu~xAU)4X8bH_-e$LM7Ce%qP4`xxGyGcQ^QzY<-frE?+Ptor`j%>6 zKiPCB#oH}>8Ebd<%k(72pGEuT>&IXGyfoF8t7hW0P45$O^1goLJ(hhb`s`18B%4xm z5H?NTW~cYg1m+`k)4jKs&4h-Yd3M$PnJI|m;GbC~UUL;}(<d+c_<eUB_Sr1j-hExc za^2PA<{o;^`%mcXwL?nWrxA%;_tr0qw<WN|t&>qTUEOD|%;c)YXQuDJ_(g6;0+Kb^ zRsL@c{w|FO*th4_`RVd6etz0|k-K_t##@8yD>+}eEMNI)Vtw7OcM7+^vzuO8ZgXw@ z>1VILd*)vKBVl^;OLNkb<BjHSp}U{Hx6TST{S|Za!s!>*EAKp$Dd4kUpTm5P@wvkc zz9;or%f3kLn|9&#qv&U!dtQ3UJbn96EC0CY*~OvG|8rvfIg=Ls|9ti5%Kwi;{;O-Y z-TmKM_ka1ye=n=2A9`26>HdF{tN(v+{IWmxzJA)P`SY%uX8ws?zVv-~t#@_Z&fGme z|4d3Qnpg76>bd4zb@TJf_2zz2SnW02d`azcXwmWAr&Q<c>+C6UyY|1c+E{g`Kl*xR z>E8V8D)AoUzfWge`E9D&^+hkZ>gBz~uaErI2(w?ito%g0>0W6+BTzc9^`6`F<=K?D zs7Fp$ZvQj)egALA%IV2L?>*w*TP>ab?)9O|x9w7<FDu`6!P_uu&PtnA_xz{a+1Ru! z`?^@F_3Kwc!OtBhEO*Q;k;-~5R1)>>cA3ptTdi#twP{njbnQZpuDJ22#NPL)*z)fV zfg6v$%-<K1Q&`nIyGz$D1|&B>+)!)V5Al$mE?qk(kbwIt3DM{|%S=S0WA@q@FEE?! zm-SporNZFMVTHTB+b;gd*!;6O<9oK(*KdpOd)~_Vee>7k-<R(!>Fu2LcaO|_?a2K$ z{a3F4?fr7&a|Y`z_jA9ij-Rai6?ML@;m^d`mg{D@pU7X?_=_WJaaq*o7ZOp{Uwz-{ zA3y*5(#D$JoxK|U>hmk@ZI{_!>wkWH+vnmrH(%75_+2}A{97CI*8Og!7iVbB|9XY{ z_SaR@<#YF^@A|&=Q}*7^w~t-)*uRUd?#}JUIaWXRocVXJf8K4A<jJp{@_%QP>^b{w z?$_JT3Kv_wp4)yd{`JpgYvrr>ECsgt-B(z4C1g)ZsOkMFdf#_)^u+QX%V!ckec+RS z%{|^{@(kRo4?e2eutieGo;xg#g*(5gQ*ia_zt5IEU+r^-vHSDEA6f=m#m?k07V9@G z4gdS?yF-5edFF&oxxQcbyc9loC$sJ^k3k{di&%y*vBsIw2c85O1TuNQZ<_l3;G^RS z?-*vtebP8qsPaOVecG|+pC=Q(#Tb0`HQ2|nCWcjPJ$teDpC9pm>dY3*tCRBC!%=nn z;HBt<f3^mn1!w$W>8WL##vdPjHPV9Z%sh@|`*@BmZ<tzq;1O#=p4^OodNX#2eaU0< zwrBcW-ZYc_K#E*X?x)-5Tlx-_UD<4X@JgP+KJhg*OwZmQ|F_ih^{QQ;=O(17&6vY| zOpp0A_rXKF31ylycFFeKXAYBN>VAFTj+nt;n;8|NU%V1tzEAk)Y!J*id4AKzZ(l=e zpGrhXFsG?Eth{{i$IXOPv4}kqXJQ$bi8psjJH8Lwutny~eeSfd=99e%Ioc6*f;u_u zNcM}_GZ(wtgk7C4$EkL#>7L656V@~58H|e$JgG65#&-I8Q|s)5IbuF{pB|rov{YB* z;3Mvg^LyErl{a+OH!Ur@f8X0I<m=IOET_8<9_mf_7G|)Ospmd>SXlGX-h@2u8FidK zd-$sIo0r~AsMDWulU<F!Y2!ob!ny8Ets4`{lxEE0IyN~Wl`mor|FcU8zpM;CI$zk$ zt8<t2S$NY-(}Yi+2HSXb_Vb9{W7)j>z?I(#f08$BlGoX08+UcCG~+V&=FX1`%q4Y7 zn|{trNYk6Kho?uE>9l!6>(Yd8Wd^1E67>>m)-ZQRAGq^5;a8|ZAk*IZhNb)mYgo_J zaH-v%f8eXVlukb*xA1{CFB4wn7}!a#iQy4j&rsZb@JnyPqp%CnjMLt+eqMd>jGMtu z(Vo35)3!Ia&P^yYpK*)(*!RY%=MOv*oc-Xxhk>n_j}Ob<l?j<_Gv+g=J!?K`BC(rK z=RS|vri5>L23v)C)-!6)KJY02;GNS6zkCfga(}tU?){(X^Y4Q`w<m|*Jt>%wV-g|9 zE~eIa)6c+ExaT~p_VlKst#!Y>{=|iUN+=Y5k?XkUi?zXjt`~0|ZGOkE5nr0TQSQm( zgpbE(KYV>R;g_SqMzJsRn7q$7{<LqJY2SRZ{NN4tgrD~l9@-~-+pv7qbAMUZWBXac z{Ma@}AHQ(=U)(EIgMU^LH#x=1n|JO_sFI&y!BKU%f4yA16z8+!O*1<ainJuWn_j(l zI2LTNZfmFY|81{U&p5Wu&GlVAOXyOo4A-6WSI;gFI@dq%=fTfD=aTo?7uJ0{<NrK7 zcmJJQu1`yL`yc$wQ9l3Cef1r`r@RW5DWCu7UGNLb&fWem=l>R|t4ptrop)>JhkaHr zzm{lid;Ib}7pOQib2uut{I{ysHjBTqQ@eEI-oFoj_gDGU9>xtPe{+W~kG#Lv)84{p zy1a#45Z{*2`&-LAcyIES30Ny!U%&0uZX;=Vfv4qK_doF$e%@dBp6&6xqJ3ASo&BE& z@BO@UNAZH0d|yibKKiZmOGY&J(|cFjzqJP=@AyA=Naj27^V*HTz4yE0%`Fbvx5nIm zdOxu0{$%S(G90)4Kd*QAy1w!4SJ}F_jPB37GP^(9Y(9GTb@02-zQ5jVIV+s?e9`;! z{da9j=5Kzy^MZWIXM-EA-z(22#Lv3=>RIB3&3STczb~x`Gv{xfTl(4H#`LtUyDBB# zteM?@aG%NQt7i`<q~EpS`L;B2ZJr#P_3LMe8}!d+ffPoX^EcOKhOM66e()Sfhxg{F zyEZ&!*UlcM!05y+*M8Y(e~o|rd4mY|t(5B7KU}h-zplCKzUu$=3$cOyPkx&}{eAHE zs`-=N+AUf8OLt4v-rt35-P6`@{brI}RFigPO>bD}?f14vSG=&w`8_M$b?r31e|aw# zJl1eqy8rjurS7j@1n_R#7_=tr&b9uvbAMg?z3Bac<-MIz|Np1dXMbJ%T8Xu{roMh{ z;j6_9-hcYPNNW@Sz4m|73RB(AyBC+v(c1R8H{4LGci#Jyt@&$T`^+zY|2pjbf7uH^ zavv}Jc&Q@iW7RMA%fAm*zbdwk&wOWU#h>-IT;p5v?EJ6){<Ez&-<F;EIda=~oA}wb zZS{M8&c1g2Id|>V^LZy;Yt+7bT~}f=H~8D(=c%`TJ+;`p{<o*>w$G;3`~OMt&u^D} zTCpPkrS$8hTVD$2+`RsG3E%CXv-ZmOE*GtR`TE!8&yV@fel=cI`TEyUuPbl99{l;H z=9vtm`kDE8|7O+|oc(#oZ$`<!8H1IzcAma%eZA^@$(*f^|MNd8F5Puo0MTFOoHOUK z?D6|^Z8vdFT-c{DQE;M*fcCnpZoEx9l4Mr~9KRwd@_Nl?wN);x-ky(Fl}-^jzAf*n zM7Z?YS5{FWr^8kru~gOS3#;G%^Su4%eg5~J&z<?kuWa-0J$Cp1?mhq4X8-s9cGjQs z(vIwz@!UQsZmWIflq!#K$*1e~-WPa2zk7ay&5z)B{|bM%-?sl-?sDb_@8fUh=k2Y3 zwUyh=@cY&ehp!gzyTB;UuE!T6Q}WktWnk*P_<w(*RC1nftKA)E{UR;*jDO75*~>4# zZtt%&-CNiDRL9`i*D2Nu=S1$_WqY-8hWUauD^u6+&#g5(aYih6XSj)J_?Cx~f$vo> ze!K1(E#6_KFXCILD<yAWeLep8sztenT`niP%-$y8tKzzOcUPKP_qHW2!N<GI=BT~$ z70%rsv88lb#^>HeC#_u{^LLfm=-v9ZCgWG?#bxHM!txzy_QGe6<efjeJ9R-u)`pD3 zRg3OLT>R#C@tUm5Z1qmH@8Z4kdbbMqWZafq^zyUoY&~J$80A@eT}_JnGfpcnTDja+ z`j+e7>n^wNi{+l}GOO2|wPv@n`TrlM7RhFJZ|fED&DXkR5@)h;b4Ho|#WRhIblp3b z`3dD(xL=vAcdM>7<Mr1?XE(b}{@!)$c~{vP;oRy#lfSz%E;C&`;&k!Ne;4Dw&pZCF z?poH?Y1Sk7b(=u${je>i;TNBsTy%0u#-E6beZj7`FD-i6?K(THOD#|2>^Z@+HVU^s z?Z|i)d9iJ}>t1u$+xNwD|N3mPEKAS3cd~KO$AgPx54+wr6Z19iGJC5qYlh0Kxk|Gv zb>`cDz7|t|Gwk9SnZWmQn)&q+TfS-rzL(YXuP-cK7yCPF`;#rEinA==uZ!9BROsRr zor`U=UH9&Gy)7@4TN||Hr{%>bs~3IT?qY2xlKVTxB(}JG-T!5di#oTuY*u!;{C%D6 zq~G3`V=f*sUUXC3)p&jPHXQ+9U6I@$QCFl5RIcx<4ZV0Ranadim&wr`$0jc_TtDrw zuANEhzIPhms;{rvzvJ*9&%BEk=C5~_eE2%|^I{u&lXGV*u6<WOcmC6#k~-JlOFxGB zbibW`^w#d8=MDE6zuURqE8p>5^V6^Ud;KSV7k*dUwP*c{weN#V__x^Vr|(--`uEiH zmq)J6tG}zdt!ST=!nUG)@k-l1Sxpg)uC$*b7;QPfOengt9?Z%UjQ+``p}g&rXrNop zQ)UpMy|CxTDRvO?SmQNk`_F%emVWhYjFG*}zc+32mm`_;ix%9uzPk35%=Q~{&l`5u zA1&G+>OEhrzJ8+ZXWy7V)8F0w6mhv{dQ!HA`Mj>L&ri)|o?5ct&R_5Q>Ah$DPu2X3 zeD^o#<kp@0s^>GO?Fp@F{dFfHqfVi?-u!=G(954+zWtlNb^gKqOrqu2zx{EF7r%9? z^!}Eq*>i55y8Bu4d(A2Nx!Wuf_dQy_{<$9C-Qu9$)^!2m?GN>KJ^%V}Ux?QAqlr5< z>+v0~T^X{j`*1;etW4YQpp`M=?GMlGvf%l?G-#(D-{HBXg%a<)mlnm!v{}D?WN>G? z*VA1VJmuGpChpLmdI}`Dru%R~yw+5ZWTbdI`39#>l>S;7)+hh9GGbb*Y+BvV`_giM z#HT*n&))ykPBmJ>a@y~ZHTJO<{Z@}}O@C%^UHt0t1d&_ss;3G5x5+6ze5_;E@l%42 zH}#Z!-4XcN@cDkvBYz^qLi41LPdmTy@4ipQXKX@LuYR?^dVfXXpU;nYyng;Ey<+uu z^@H?{;q1>OqSATiObGg&yoQf`+rMkhlA2rh3$BnZo%=KL`#HIzcI(f5y?yQQ*CN|( zpOQZFze_qAo+RD=(<?&%%j_GsjQKj(?zvOBX!WFh><g|~bgT-0^ULtq;#<Y*s$8zV z_I!L}UGT>D{trz1ta4tPe?I-cR#v9;=5~I!g7kR(lCpoV+A3vz=UYejzrDHKvhUmK zEa~vmq1Mso=d`cg?{)q4s;?RLtM2ZU>&yMM>~ql8v;IhukE^N{>(9Mge=7gJ`S<hh zx}we3y>Xd(Pkzq%bLoF&75!N1cm3f0wNUeUx0PVXbMFiMzLxP<Iu}^QH`QgF`nkxD z<?2tNFV4Z|#7mV{oSVKtepb=G6~d0C`&j;7nDW_mF2}0RsxR7?&C!455-?Za(ca`~ zO`v+ys~S$47`ZZO-<1FFwYR1RUblNuaOMY#yUvG`TU71uKm1et@8^3Bar=YyFJHZz z|3H0V)4Kxu!tedN>$&)L{8g@K52^Ye@VvSF;iUb~V{Ho+DoU?RRki1T_NvodZylH6 zBm3fgKkjPG`Cb}-?$EKq%QxqV-?zK$aaPVgS><k^ug(s&>0!tB9b5SP%C?%nzl76z z&eTpacw5;#;s4j2I<EGg)1Te$zTBz2{?yXP-0Sqd%+}j-@qcv9x5Y7^5AZ*`JMaAS zy5k=^;+*GQXU_jve#jv8&?hV9`IY~i_t!dAeV*=7f6FdHNpsuAsjp)q<Vp+vY}mNf zSM%-GycuQ7_SV<#k_#^Vm$q-&Re9gwzwN)?pZ`3+Jni3`<?FZif0};XX?_3wV%vVL z>#rrR?T<^&UH>=mZ>rDl+u8G@+MoV=y*;J!>Z5-V)1TJ(pO9_d&3*ZxEstbu(TCkD zriq$<SYUi*;og7Y{l*K$veFq&g)wNiZ4ml&LP6ksAhYfUsdMblBe|_aREk2JSMTjT zXt9CU<Iqv(11BFuy?LkK@OZ<?_3ysMHyu2o-M%&8{`p%T{2L8Ke!gyS5xnO5vqMO- zx9Z{*eZBh(;mM1IZnAupa*}A@bM|u%YwnrNreAI=yiB&9<Il5@vr?^E@!Im10)tOM zm3*y!tm+NI2PGAFmo-ka*}PzFWuCjtwx=_>Ynk@&++z(5EsbN!VLR5Wa)Zx@!Mgdb zqwtOGtFFyv3R2*eW6y6se;`~zZ1vYHNBax%Yj}<|2_G;iFx<g+M{@N?s{?DVn%+}i z5YL+=nZvy)AtblgeDSth)l1gh`n@QA>-O~8t=o?^WaTdxO}?l1amMzC=1KQ@6hrT6 zzE&)lJn#FBZJUnDi0&^@Ie*J<`t`_3(LXG!4ruLkS(f@aX78DQLDSBJOHR$dU(mKC zf7_SI>*tBTtF0+bS@mx5sx|NI(`T`NKJ@)e)}7a;3*_t8HC31UZcscnv!VK%K!I`8 zy#8<D%{&!+rS@#UA4jFE%G%@j;fIpJ%3Zf+hX%jpUE5um{5ttwo_1*E-;efZ?KH!l z`mR~`N$%u+1DUOxeyG2`o?ff-L?(2m|Bibrn!hgP+i%{Xy^@{zVj0IL_vW=5+wLCt zxx$j^=j^7FC-yT|#w)#_SM!}W{p8mS8I|krRrkoH1=`2d$*q+1ef;xRRouU-kGqdo zsC@p}|7^Yb{@MNRO7qVbSE>c`#(v7MD?WB&>%_fc7v77c$d@#;*WZ3w{!g}drwnt} zfr)l~2YxPGAm+nZ+EB{Jz|Q#WJ+oT#%@_InFX|e;&e(6BP<!Ze=ZekRC0tW-PwLF+ zXX`!?vY<G1w%d}|2SpBSyWF@f(YEjKrgjJB8SD}JW%oRK96G1p`L6i_Sp~*>jGqtw zR$$%qKzM^d4VM^W-0}4X>KXM`w-=mV+gkkKhRkR07vc|!H*nOj{bP1poMZLij>Yno z4@wSHo@L&AVEKyc9I`xV&7BE$Gnm90TQ&Z()bRY{wPT8$;3)b0Hlv-36<;p9uL5h7 zgU|<$X0s1=uWA{j3hwblIWp~O-&1~&$v}P&^FP*mZ267#P3Dg5KiDgn|M32i-NP2g zUeCI|X@A4?gW3<yKT!Q(Q^EL$a}UR@gT)W{A4Gm||4_Ywse<hc^M2<2tp1J54^CEK zmSgX4R6h{&LHfY&7lI$MKNwVq*l@@(eQ#zzQ2QY6gLVP`4(Tn8yAQ||sQ*yiA$x~0 zk9qS!-3Q_i(h7uk2;O0cI(Yuz+6PqyvOCyfIMW*EH_m*JRiL|r>yJnbW7L7A4~z;d zcd(pc5O3~2;D4Ys!75=-EJGd3Irhu~xfyJA9CbYBn9`ez59~}}_`zr)JBQ7WQN7vt zK<5I<IV^q*_u12%k`ER>kSH**;F`m=tm*N=i4P14G&~v)AN+p6@j-$Cs|?dVZax<F z2IT|$9#|(B-H?C7`i;#u!G44K4R)1-c?snkv~MuK;VEMgKIoSqzQOi~*$u`V_HC@U z8$Kp5{SceM@<V#9;4Z}*QaP;KSY|i*9(b0(ZNQepcC4xQpxXk*9_ITjw-?LTg+E)C zz`UfrIWR#bLcE9Jb@Rmp+X)Oc9EaXNsphX?)ML40|Bm_2?Vqz-e_x!Ikp00@qOI(< z<ImYIGYc$t2)*H3$9lX``k=-J{s_?zsfT3>>>qq@&SRR#lHXMLz;c671Or=R<^!7o z#vL3!4AYxp4}>;ck4|p=craomYmfLbFWv+l13wA<9@$>;W1Putg+(kDG8uae{3MJ^ z8w?LsB;LtbV^Ag`-6MId<rw$lmXC)cKtdmW8QDo_-%)?f`2Fn71g!^grzDd07%Y>T zSjT#X{qjL218y4@w`P_M_T|m8f%7+-F&Z3`$nQC<a-kymkKsLu@*dw~GRdulV(#*p z2W-paUNC4L_`8iag@ON=T=E3NlM%@=MtqX9d+d)XB~L0mEs-|I=$J%vPvEip92@Ks zY}6PYPyBdtN4m{gW{Js>J=0lq7jSNAdVa9r!MyheTMk^*pI{`s!MNbZ<vrz<H|9<E zdb#O;WWQo^L$y-q{QIk>{gn}~ZIP|hKXl5{jK5fJl6JNH`R=cazxsy$Kl|rHxq54q zm40!|=Gc{Axoq3#XWBnp8asXWvh~`<x%(C^{dW7l`<2SPH^tnhXLdfh^fUeY8quh@ zjCuO$A*U6;b}!w!?OXme{VB84z0Kt}Pj}j7u<6{=fM@o`$G=Yhct_qnvGniLwA(?K z{=7>*H?`LNtEgF-=HG1Dd)osa&-goi_O-&0pHZItoAuAer@h*(f5!0d@0phV^|$UU z-(mOla(w^a-<Q*@i~l6OT=7#hd-s}C?THHOZtl;MwQdyN*S2k{dSID{OC?w8o|<jn zGN;@X2t4<l@u|$e9lFb7U0)SFOqC0)_Lv#_wd2*l+CAz%8al_S5AFG~OMLeHwexi2 zzt0c)t-J01ON-j2^Um5|_BW1Q<!`I_P0O%--@boKzlPMlUiZ!0I?q4<dSra{uV24T z{W(*6&1bgVi&FE~Gcz~G=6lV~)-`|o{ZP*8)HC5G=hyGyJ92H_{`AhZbIu>`SUcyv zaL3v?_2*T$71>=>+4gB&jcm95X?Htqhr$OEJyUYyr0zGe?Q2;p`&l&p>(S+>A64nf zt!WXil?`*c>M-?%`qjo&qTW4@=eNB+uxUln?XR&>_B%ZO@%DTV3YR(h?EJ=#+`miL zG=I<4{F?JR@%FkX{o@*C_X})pS6TL-TeJMmbHzFO{Pi+7pQ)L0+n34)e!ZC=vh&+9 zt0h-{tvUX2@wXBY{jUfAmFgvaetskH^>foVXAW-M{Yhi%{-m#eUhAdT9sPB6pVwY~ z@3qVSu4;?*o|gIN<|)hiByIg?r!SqGUR><{zVLvU{psvKrO&G>{BItMpBMN3`@9!r z+ArVo-FUbCY3cu>>)Ll3-&O6sJon>O@w)jX%lAK=_^fCyXy&P*_<-dDq=~5SRv+rC zE=j~Lk%A9##QOG2A1}-G^e<yl;n?IY#>jT!&`IZ<P5XC;ciKo<I_aF8A^G!U{Cek! zQ<lxQJj{4<qN?%<`x8dL89d8wrhNbBR(Ftj(>b%%IYoY@;TvC@tzM$u9rncJ;kJnH zVc~Os2X0@LXIkBq@PkK6!sJ8n<pZYe4ohBVhfjU2@Tur-J+n=m-oNt)s^|8vw(Y%o zRQ|)hS=(ZNNq@<Ho&Vv!qFsq?(uekg@@(}tvJz(KZ`<`?yP_S>$Azy2o9%i28T>dL z#(cPD<5kxEydM)g_+$Ge{v?8wZ<CUE*1cBmM{WndbIpsYBM<ohoBv&yz4+np1&#N^ znCE;itF7z#Il=Jg)&IU9Dt7*O-+27=(Rz81Q{FdxUuIX|)K($)W7T|nCs*-x)3-j} z)n8Kk_RvSQbK3D~>tp}!%CI?IJC{HA*EV~5c82M)Cq93S{Z<=W8z8P6v)<bN^S^h` zQ-9nP*Ps4py%PKJx2vzbe)OvD`QCi5xNW~T6(0T<^GWD9|HO8~ccpf*_C<-4-)33X ze7(7@fBo$g*|_yl^S|EMufMGM?=AUl>o>kRbbep$r^{{jPY&<9|Kxe-?dr(A>+bC5 z-8X&f>f2RU3xBNoTC)4s{n%|P{=esU`~TS!^Jn_Yr==f-Zh!dsGULEg`}eE<@Aa*; zSQhhlzxV6E^ZUyAC;ho%`amlGebyhTH(R5b^RxGUHv9Yf|KXZXW?y&TzRdP&L8Bvc zGaDN(D8>vj5-uD-6?kwq>p|@4dY%gD-|t`7lwNqh;C^0<BqZc_NlE-!xVl%-4ir1i z;mpnUC0h-TfMf7QR>Ft&b!Kfp41OGbz#q%Y^Y6mdV*>JQ^&lOM2jzF&s<{5hS^W2h z+6xCxn|ye!-}JzLKTlKr(XYqjSr6Jj`lTOJzMrqD{?OOz`z!~IWBxb3eh!j9@b&(V zx=_}G^^bn7-p|`qf9&h;$qE&Hug!P-`&20KhkKp<47P*!AOGTA_uKeF!C(G$k1GZK zNUxLjZ+hSz^S|$P`Fys6EZ5f;{(TrJFy~>_zIEsAXI))=@kv&gzJ0Xr@~e-3-h6V? z!_Z7$@A<(h<DD~n!!{=v*Y8_7UE}<!qn|Qu*B&zPwmN!p)x9~Tr^3Zw|9W|}Mr@A1 zS^Cv1yGR!C@aLaJ-?>OTZjFp%TXy@wwMV;8c9+f&%P_mXv}freUDv=k*UU(^a{X7n zwvj2GqN$Gy3+ne-&a<Dp=4SM)Nz<aX=qTxK&PY7gwKUdH=SGrdme{Jay!&w}Ya%(6 zKBOMF&MG42D*BWuHDZcNTiMwnpCpwI#m<ciPK#>ha3uLGny{k9W#^Q=EiOMJJVKln z)##{bDF)hYQ|&sm=+vYm?`*leg<RizdMvt-E;L!A%3nyR`@y-QuWxJEoEL|%T|T-! zRN{*G>t5X~#=y-^8D2M63d}mS;PRRctQ=FFr)+zCU}~mK;v}C)6Qv2il-9gD9_~Lc zDnKZ#LF@ea<<GCCd|MNg5Y6uUd56^YbF<wgT{7qFIZ-&h?4t_r>WJMXGukqzW`uQB z2c7Lw+F%sib$QRF!zx`5&!$aLxwu2?w%Q^Ut<?d^9g{4MRv7J3S>@EL>~+CSLOQPP zx5)&Jvw_A}_vm=7GB9465;K!gI#u(CNx&wNwGuj#R|F+>BKg{lBNEPP8AT*))G~@N zs#<uE>A0)Ng}u)e*%xWL%H%9#Ntt+X(&Z2~Z@$dr=A|8vwag;AWW)?3x@1gwr!gri znMH8%tiO?<d3;6YhAEF1b@5I!a+%HM&3X73n|G(+L<yZ#snE`YK}vFJY~GyKDs0}J zi4BQ2euRWLrAyTB3VCGLt18#N!#}z6_y)nYs9<Bh<DRVxmw8`EU$yawqFX75tkJw0 z@n{3b>X78l#fDx|-ZHI~Q?qn5L_@PS7z8b0^JYo+<rVu;F;z+@P$YEbK_S-;X*O>b z`7=DzIDVbk77=1_QRrah>YQ#>`S6=!+$J+}H!85Cv2lw8r?PqX+$(NY?c1zn9+6a0 znYZCchvd$KLeD*?O7A^#Au?~nkr`4&&8lu8=Xj^3^!($Q#&U16v<|09goI9yeCxqU z4oWP?uFZN`5u>Q|N@z`xgihw1kk*5fHi<T?9^Dfyp`)p``%r>sqcG#vT;t~N3X@(1 zNrZDO+{N;HDw{XkdrjVHJW@Km(|D8_iZ|}#ca)yIL31H{vubmh;oB@ZC9Y@Dv4?K( z7+#*0)b~h?ca3yX+0_`9sREx@X&U)SIn0O&H0dy4U6i5llxH!=ueW<EyEr;#Ztnfn z(Wo))ZOE|+-I7k{a}*a_och+|vf5ShQAzpJ2`jF09NOyp<ZZ_-vx$xZ2XCt>-AnCw zXeP*L<gBs1vtyg2(k|C0St`y6+dCtAQ##(8O?<80QaRhBQ{Zi`((?$GS`hPhu9E5@ zkpy8)!8E4X9-Rh?H(b10Di?Y`Nnp4*O~dsL)5U2W&de^+i$WxYircQL-LW`jP<q4V z(Gi{`;Z9-UPG1$jGwNa!FNd{d9#s=kPZOW*aW;9<#?C7?&!j-+J-y+QC1>34yToNi zLynT_A)6FoO~GZ#vpqVGJTf@Sz!>P!(!soF0>@<e4yQ#^gc6T!vhvatRMP|Lt-tBw z)slHeElBB1n%P95#GuWcQ#gueyN0~>@+kxfECUG$&+`qjXmy!+NK++9JK#*yx3@<+ zPhL<HQh(;s-SLvoC3=xYSk$q5YokR&vP6QHE)ddM=F>fEg+|-T;DD7OJ`0;xUAR@0 znCO*hxU}r`v5lWZeAYI39Zl*I4apJ<Uc2B@q`^}zt<=L;v{y{w4c@RoD`i`bgWF95 z)#%dVt=R{z=&Z;Q4=$O0%ZFvgO7+b*SFO5!b@RzZ$L>{h)fSlE%n3Vq_36`^(7D&o zufF+eldf%~@3Of{lHE_sR~*YvpPgkD>BD^QY}mQw2eT?m4Odr$Z&sao_57=wHCILS z&a1ty{2Y3BxtZ=N_Ru40>6KeoriITIzxw6P?FU7hW+m8fUKuvId--SGPV1k1yxVP8 zZZO=mbm`fRH!^PVi0=O>SGw=`-1nWo;>@Fe|N33IG>G}F%xTGMImw4Qc1zf9Y>(L8 zo+d4PY{p7M;cdl*hh}ZlPl#eSS>v+n*ufmXB%z#rt5?7Ll(acYPb}c}N%8OetF6oZ znp|rQ*Kc^;d3L*s#owcMCtr?U?tbv)asKxq?ce=P`Fpp2-DqKHXSvqSx~@QCj!fMu zt-1I1mVbHhaOJPM-`8HQ?cZ-<yUOq1uOBZaf78?Ot3UiBBdY7c-**1~{`|Z9YGX=Q zB~2~+^dXtm*>nZN>US58roVXl?c&4c+wKSdE_PoY8oYL?$=hWTH-AM7o{@{sH9OtC zHST7@(Uz@Ft544`J29(=_YTuKp_-cSTbhde`ubKM_W!>uSHp!R=cxOQ?EQ**FY{Oa z3}cxu_juo{$%}u_YP*^o&9Cjg&6_urKT4^LCHhgU?~yYX?g%GZMVy(R^X|&(2Suj2 zD<$UgN;7|Ycr+)}es+9KO#Zd)KXXNGR)+IGEV(gfds)8sSz9-zWMMt-=#B`%SNU!M zYrdHHe)m3~oB83ED%;PL=5@F0cIC}TI67yEl25B=-`<!mgRdw5-kx^7$aT`<!V8T5 z^GsuPmfsI6f7!dkF0)uLwrKKPhU8tlS`AF%*Ux^{7MCCMW%BCJes|ZuUo`Rb>a!=G z{3$XF?^%EB{t7w%rr0wV&hzg5?iQE#=BZj--m9m-X2ki#dBnNIIn3k_c$X|@m$q_d z`NSJ@&&b8(Cfw}XDE;YV<lhwbt3}<rJwC5{em5%Ke#N{i-Dhh|=WpHjiDBQ)ucERm zHcB5BTjcpa(Olwb#I<dzRXZcjo0*<EaIxz6&9ZX&yuW7y6SL#oTi15Vif&6Q3%N1t zR-ohTql!;YE9~QWc$#4!&;4uC<&W=MJ<0obO}+ecZ?xSCy+VV=gwS}Ky?c+i_=smM znms%8YES3cA|}p-0@cfp&EKJNZsNfgQzjgIG0kbUNaWHRTB>21TfHJb?1_rWee~+j ztI9yzJv;0z=bvB2=X-tGcIEv=MI9`k-c;<{7nO61)8b(!2b+_&TbD^(#-@(S&ZT`P zmBf4kXXqp~UM<bo)WK>dxbUQs7^_;*WY-ifW0S}ulV<WxcGVFs$=}qGDz<3JW;xYK zOBS9~n&xr8O|3g(nveKv7oH%aj4Mk7Cr(NXR8N}FoR~Vd!*N=x>)eimyLj%-2vNGE zrSr;#XIgE<@0lq|VO4v=)7v*hB)VJKwgjtsB!B9(2y5!{aWd@Lz;xuG!|IA9nG3`w z2l33zdy*JF`Qt$*5d)tf!9|m@1D2kdJ9~@LhmG;gTB*}csfac5EBK}fHYCVQj$%<L z;?>Hz7HG07=w<2TSQe%QMlW4O7RpSHcbVv$`FSCKN4`-{Vqb?;($XtcQ7vDRJH7@P zYc0^;p)sl8?p7}2eG0dv7foBi9)2dbsBucnWj~$<jjp@0{>fY_&q`!@+MQ%7j^0TV zeRQC^T~6VZqj>S}m;}#dw-0vh6KIby{4`79){NvC+%7xYm5U}$>@E~xSag8@bCZVI za?9Hyk~<}s`CY254^1)XNJ>9-d4f~QlO#1x?uiq-XR7G9xamC$j8HTxGVIp@32|q6 zraYN3oh{Jgi1JK?N<%kC5g|pD290gER8@r}cg}F1)_UTEp(Wp<4T2Djl@TEwJuy9( z1q51MI_4|fl0MktdB`c{iO{YpMWdpVGlV*OWG;VGxTUP>Six}d+pd<|7o1X_Ofm$S z)>3^Lq;ig4V}|#X1U?2(jl;C)ZK+KF!^LfZO)ptpZo6>?{ZioS6p(ck5i(Q<S#{__ zU`LM(zk$P+<AVLVjv_)29XMPR1<O1YxH=6)8#Gj$Zm<P<w9MdIG@;|=3Z`Or^CPM? z4;CEYNfOqac;dvz8KNN7XB4<Ple|=nia4J+2(-F*<TPlgBxUUqcxf>;>X1tQ<CLsj z0w;H<EOVJrs{>LPRLCi$sle5FrE`_X5mk$)?!Jl2K1UU}I(Ot8uUvK4S}a|GOIopz zdC>%og)U00?dqH!B0`A|g%al~TP<?&I1stX_+dncJ7>ibwnY;<JcDKaciq+$?7R5J zOjFSBa*mR!)2?OSE~-Mg>$QLKcq`72lvsU2Nj^$q^+JXDQ4;D?L^!A9#lO196p*{p zL6s$C-<w@ocTOHp4l<Q{^4aiJ!V-bi%sLNO=xwxNn0jqe|A`f!8--4@NHc#8=(@^u zt;rx)bN(dHLKf~-Q+iML2kA&}sA(xJ49oxCwpcPKcX49kvZxJf*;Zv8G?NYsS;cx= zcw>}usE&$5Yxjeh4ARVHJC|_nek#+sG{QSUmHqFuBtynkuY6A&lZffM%JN{6hH7xH z*TIn4L3&&YVZsqLlQfnbikcOo7<%aBZjslV70Rii+*~<g8LS%@F-%Q3I*BQS)#t=i zqi55T0vmU6HBZoa>a4OfA}pcZi6<$RQL9v0Ol*Nrb3|mrse4B*F@;>6y&+;%j-Hsf zge_O|lwQUyM?NK)<<DzeJ#YVyd9%+Ygss;XpDcbubM@x7tjfxLbIz{qUAEQx*{t~Y zwL63KHVS2iUG_cs?4@Y+;qKXY^N#chS8u<4;nuV%w-aw(zWY|F<(_WQ=9Ypr=I?Gy z>9knmW;M<DMwEH2?aS>;r4L^d{;s~v^v!9PHDMbWUN6|Tb<wShQ8(T*WWG3;7yGdP z_mU<4UcZ;D@plS}H_Ly{9@1Z{`fZ}q`c0ZHbLCd*^FQ)k9~Lk7Cc0|tuTKi6zGQuU zda%y*+N;A(M_z`$I(;~qX`zG5EV-5X{r94Z7b=Or>G6s9bt3Fn@0Zd`;hyozwXI*i zTztJ}Jugc<<A(L!`?LfKp2pfL3S^|tohQJtVN;*q{sKz{@kcy{5zjlKtJ<72riE4o zDY2Zk`dd~Nl;o}c{*`Cv**R-2Pu?VdzA9F6N>|#3#gnJZ6S#5uq}AVP)lLcA>hE81 zHlCfm=JIBj^@(pDAMw3$#M8^4Bj<j~+<5{wcAT`bRosv|xwOhDVYAw+FS8C_^9_5+ z+2}ia&1GSx+oqAr)mhB4Hu?E;<Xi-4*gJbew6J;NYBfz;#SNZdZPjY8zqA}U=5y^O zXQS@S6_-~x@y=RvNth{{5u`b-0z__01{pE2<+#f2em~ol8&rjPldZvK@Pf_wtqL+@ zoA<SsD<yBQUGLv_)bLKYjqEBVnQPx7EDl$9G4E<NyWQLC#*@5lWqwZWgySaKt7KI7 z>Ks{TDc8+wRCHm%&DGH|2O84eZ<$qHEG{MU!gAsxo%6>Z2fbVnTl0u>d4<lm^&a-k zY3o;tW^F8Y<&ygPW-pV`g$kb=_qGRF*_GY8dphYN_pH6li=uuqWNnxG_)#;Y-S^N5 z<;*t^RpsJdJvF^m{IKx;E5}!it7?R<6@7Uwcv#u>ncK|Y5pfr}zt2rQeJD9-^S4B< z(mTH9OA=zO`WKd8tlYA@`(9|kc3ImQw;j9Y@C9|HO?dQCaHFJa5=;LZ^S*1D$9rlT zgD-wHnEZ9?O4Zogm1m!`ePCW&)w`VGmRv|hqPq3Wv@P$SWhw05DRnMyop{I!*_`it zZyhfyj$ZvfrOL2Uu~M*-F?0KUP7mvUe#^eSo$K@VZmrsyXNKB4<#uaryS<_7?X^Wo zyT7iuk>{(mEqZ#_+bw!K>k{f>>LThw@`Qz_-ahtZSFg{y<EIv0KlR|zKeY>Q3j{aW zhN<0N?6b-CmdfqLew%E6sodti!+)m#H2>-TQ^~0b-y$Sq`llZJ8e#b<N-}2t)RW&{ zOv>puI{7VQa!$Y1$!{+v=WL&P@GJA@$Da;=TKp+Oa)nJ8+x6ts0^6{@y<u$E(^EIu z?ovUsAvd+)?}0x%{%rUIQmq9xA7qX2`l$!MM$XLX*E{)5V{%Tv*~xD!Cg=3qoh*x% zjIlSc*R$8L*Xp0zcnWMj+x6t9SF%$JYC%5m-eh}9<@RFVO}4L8ZZG!VbT^FcI>H+Z zKEb?kGdm^Y)aGwY*B5&f*mkiXQFpI>mHIBdWWyZpv-$fzDScx4BylY}e0^kX*in_Y z+oWg6t)BEcOkrmph*I2{Cp+nNn9@$a+YK*|K52d8`h@k#HZ6vo?%Ffn9pqY8y(THB zELzm3KlEg&_Jo-2Y$r=sPl(yhcd}G_V$AZ;gI|(9If1MwiWY6S6UKTuH`L&6*in@? z+qB@;@J@OihOlOJ6N)u!xt85tm9T4Vm*@4|Py^dA)$3~=itb9OTwm){bk|Dd`dXKw zxnZoAyH9eT>^{MLA~%$wC|cBKd+5QEXw%P;B)aQtZ5Zq2<j|tKTq@VsIu_m4Qn|j? zx#+H!%Js}pgS|8MOxV-02jmL{u=yZsxaWr+{1P!WW;@f#((DN_+qq7bzMc@XUFc+K zl&Fusn!bv@lD@+BP{tErf3sfBy?QB~ByX66?X^1oD5F|#ubX6<aLYT-ZL2QY9tvxD zcXO-KhwsOKO^6o!(VX0UAYLMx<=>JUN*~ORh&9?vZR1!Z<N0KwiyRh0FY$;_rOw3T zd!to8MJV;Fermg5C+@QPgjx#sCPvMjA~C0Qk8G23bohUEF?(9!I?gnKtR~5dSqW^j z)n+g*<4g<4Y?AbtmB7}oHiL07=Um4mTa|?q58u5d6I#uF$5%k5XvgdoiW3jtb>Z!l zd8d};@ua{!(0R(kyfW4uvlTp^Y;@r(7vApi<P%?I^BR5DsYPCfnopm%w_ghm`*D;t zZuumZ)xTcOtO`GK`RL=;x`;M=juRh#g#Bh_`DbQvcye^^MEio1A1+M$SIJa&vC&*% zoB#BO+qElB&ird45x13d)?X8ec#oMK@>Y++eHU~tKNwQ|O@H$TZ;313%@=Qes@W%( zyC|h->&~d2JpJVrTR$C5v$fh;TJz!I!IxP<`OlWftoW|cYc^f}lda6^pWkk`f98$* zWwY}}O~$Oq^~)A-zM1sf>_z{)x2v`HTzVM3IQrMx%kmFxuPDcaTHM-KU*&T64L@V+ zT7CBl-O#VGe``(e)m2N^J~FlCV*kA_YW<W(_u@UjeiT~lDlajq3VAH+bl&~^tMth? zjilU9x<7nT94fJO>CF^l$%j+jOz)kuT~rV*ZW^*n?qjb*b;h@Qdk<H;2bRA6_Pjb{ zj=sUI^^!gFAAb-0n)bi;VQFH!^p4Lr-?U54*pV5#uiaLvpSvbA?!vzIWwXQg?cdq< z`e)5L$MXA1=jFum-E`OK+12csv$vx8@0$1+9sTS2*A~D0^k-At8UN|!<s8fJb^d?$ z<$KoLSK{yY@2uPIW%~Y8ee<e27ptyE{hs?O?ep=9^hYK8{xbx)zs_2ve4wuNkoVhf ziGR+`&%e?A)B5fI3cd^b7&ctIUU&9V<>7?ObE8;n=j)wM<9OshuVwMsCqjv@vc7N# ze_#Ca6U)TEA3yEv`u^j!^sDtn1#R^~{_Z?8uRcxr_4bKoSW`u=#y!2Q2WDHXPUrr2 zw@=<#?saTibp*?Uqixk0Q}g+6J8zzEXVd%r!kf2~&rf=!amV>IgUs9IH&g7-rh!82 zuzPuz?bg}v7v8nr&fov-!kdR{A3xUrma?sczhdKZ_tl?@*ZyU>zAk=u-}$W%Zf%wR z^WgMXY5Uzj@BMyt+PCJ)^zV85uGdulf9AXC%iDvcTh4I5jd^_Z*p>FT3sy+wM63^C zukYYo`;bZQ&b0@DZ#63Z#J7LtD(!iqo1*H+{f3R<@vW}UUZuBohe&&Q{E6I_y~pqT zhfn94t7;8)TKstMkiGu<LFJhJ2fnJV<F~h1p?@Nf`|jtT%jU_gh<upzO`Lu9=AVrB z*t@<<%GHGHi?R1VXW#Mj{Il2g|6d$`=O+37Snul}@2fuB|BIhq_Q!kKt|;b>E9`pZ dW8AHCgI~#ugedENkNu+_aq#hfao1-)e*neI1AqVk diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc deleted file mode 100644 index af15eb23bc7edc6e95a1fa7be709f3f3bc3d5d17..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 301307 zcmb=mWB`K-#@M^1JND*G{a=^^1wDZ|IXP<trKO8EY=i*G;|C;;N-|4JOG_8mU4I|> z{`byx(*JMnJD0xpciil=58o7j`+l>!?%&Pc|Nr;DwOMZaa9efb4%_uRY8LLOKft+h z;vSDVbNq|`AAMvv)#m8Y+N0mRRpu9Vtp4q}@3H7^{i(m6{hK7c)xxg7;@H=nNAI3i zi9e?nXOQalwdmyX&0cm7CjI&)I_bRl6r1X$5o_i5b$!)W-}yP~u65ao<<~vtRRrBP zfAr_jqi0*6hzm|%FWfG^R8Cr4`1$(jdk*M+i#_?+e8WG>NdD^QLcjB;>^Y*zXHoZU zwu*o5O8$+9tE}_REB^eHwlZE%Q7*c$T=Qe!W$*6Z?H>0Y^O;Ydx~F>elUF~c=L@fX zJb%yJf9-RAwX}Wt`{ezEe_xm%ean5sGkvYaaXY;aztT>6$2{h0-*N2s>?QjS8vfpT z<B06L`&wUZ{XRD2+C2KG8)W}b=KPJL-1BzYU(J8|PyM;|Nlxx77Iy1*9K2Y0M3?Wp zZruL^A9ru4IDEGCi1j*s4YPk5U$3$rIURpwv3A_Y0>h7Ozq`B2@5H*i`P2K)`_y0a z|9ao_mA`##W0ooSmlHA9|F->-___X%?T@~y$@-`I&T{^(;FFzi=RFir&$%%x>3_*1 zzT3;izE_wWWj^<@Bi82O&yXPd$3prQwrihgulv~Myyx*>lc4-LQO|NWb|&W}3g6!G z{GWxz(aWVNd*5=N>ArrvTYQgAU**R?5gV(S`|bxHmHReN>D$KNDTNJl)jQ`(d)NQr z+1R}}=*`0qFO%fi{e_RupYpHicGvBBw?A}GTE2YBXYE7JRvuw3E9hju^H`GK!hC7{ zVbOaV)u-zp{+oZ({)k*$VN>tr6IGgd1-;dG9{ku6WdD%o{0*zQPo7OrsTYr~IKmiL z(9wO_yS^_wCgDHNq;iWxw)Z}Coi+CQ+ZO$%Ci0o|hHhc+SIKi8v)oRfwC9lAx{odH zdmeF4PoGwoJn64=&Z7r9lYUzqW4u?`raLeH$&SOiVdveg=B#|KA7MK`vQTll?%{u3 zXSOG?Pydvvd`P#i@WlD=LaXP07d87?Y5zc`|HiYQhx@L6=6qbAqCEY%uw2;nX!+kZ z_1+t+?501QyXWAw%BL0EcN{h@lT*2Gz%94o>wcs29(#K)e?D2Is8`T3_p^6gg8cM~ zW48A`G-$j3G7mj(V|`9u=ku=ksXw*eB<fGLIjH-3sl}d#HSv#G%x^sS`MM?7=CR!D z_{o2-o_FVdE<WiibIe15YMc7Ap|*M(Y6V}uFKDXH`S7Rg=&PUa+QN&Tzc!j*weNM( z@u^>vV;-^W7N5T75aYU!P3|&9d(`(NJ(k|I|KMk4Ve=cuU;T7$c-%hkR|})jp3U)n zuf0#y=$>2ge#2LTm+>2_>h?ZrdhY&B=X39kgD;n+{N-5v{IOwQ#lP63uYXn@GCsea zZOuIYUW*6cXUeX=pWl;Tv`0_<cXh;HyC;tuZH{uED=4gdnO{)A_>=M4sw$i0uYY$Y zeElm~=l!hk$4+ZmnfmDS)0-#1f3fpx?A^l$Z+`!B=jXn6FCQdbEPl_vYkKo)`B#-6 zl<(d?c((tm&9Bb9$IEx^Zk{~<<<70I<oM3jt$Th>zr>$ieE*f=@8L;bYmOg&_0zBE z>Q}9!uc|_mOZR4N*cIm+@psRhjlU{0|3!TZx9`_kQzyr|<JljcjrFXS@x?L^ner<R zzWTQ|;;#K~^G*AA)$@gY*FW^?-_eM>`-N8j4o@uo&$i~>{f?`DZ*SaHe=I*$uJpgn zns@X2um1JkSm|mhCz)5+d|cb}-lH$49!X9Q7u3J;_2@OV&n@Aiw=0e+nyu5UY>|$6 zAUEA&-?S^=Ee<npTc`W8Eq2di!QJ~O{}g-kP-((Hmg^Qr|COq&FKm(C^N@4)^{Kxk zx8L})_l<o5xBB(TzZjld9QpQD_58+mZkvaE*UcyVlKX8gvi`=7i4})=%l;{R_PufR zX75tFW2$}|s)bK#%RG?MuQ*~oub?*grTIUN-~Bg^ygaM&y|9=0&Hb29Jnk09rSmpc za<6<}&@FEBKvaF=mG=5cKdaVp|33J3{#ToS-Fd~=@5;~l6IxXIKX}c%`rfNwR~~%z zOXQF5uiAb4lD_`kmiYDWvp=z~u701~ef4{A&()vP5=!@lM$FAO4SRljMUmWk&!;wW z$Lv$;zy8fi`ug`(^4AKs2-|s*VfW8lhTT7}YxnWvF?G9p5w-i*N7U}W{eQ~OD?cA3 zl-jvO#PTPtS;wv#cD<Qv_3MKTSHC74c~!L`xzyI+pX%oouN&L1e!X-2Rn@$tQrq(z zcFi-7h+QAOX5DpTyN^}<{(J8IpMPfYy6a|P*Ix^){%m>ZRgK<;U2;<*V)?7qtW#$W zyFQtB_3Mj$SHGSxeIlN@bzl3{Undp)`73*`e%)~JRh5P8ujkJDD}`$7xmW*fc9xU- z^k;&2`yT5je--0Dc3!WpdGz()5~KO2-y7@6750eFdGP4rr{Dgq*)hrg1t;}e91^~_ zq0s2&{hB3LX74y^Cs)ug+1<0YjeFgB%d$Mp>#xP0Un#$FZfX5NxwwxlZzrFw(Jm|a zneno}pgCE_#_f~P@g0Y(<u-hcSSerFUT%~0Sj5Qxm&MBO1&y_DY~ntN{I)o3ocEzE zI>y%VSNfettnL35cb0n1R6l5D#&yy;=P}3k9S4N<3ctC$d|%kf{>IMp6EAzkaqcpI z)z5El9R50asr^A&zrrsfC$r}~Vw>$hwdN4#^V>bW(I<bV&)T%VSNG0i27ikqH`gw; zKd!2`p<4Q+waf!K{feX3_X=vGUzYpnelERn^x|8U{=zQdoO^MfxU6>^W0w2aqdw>O zrTjT=-_~#F+-vRe?y&%S#ZgJKcN$+U^9s7F?>zkB7qma2{dn<F=57AkpWog%`1SIW zkNpMh$uhRFpM;*j2~YfeZqxq;TboCm?=4boAD0;QN1pm6SGJ*_ea<7vbc_17FXlTA z>3*B1`LoA)&jZou<<l#B(mnU~O3OTwbGNA5c17Icu<EvX%9Z`GHV*{zD-K%g&C~w- zPBLYmRP~Mec~3a|3cAc=k~dHK#kRfT5c9mkPc<ve&ujdCe&f(LZWaCw?anq2W!CRF z@RWP${=>ZQ3O^{F43~M#`~Jqp6uZ|)gWf;lJ6>^w(=T4>tEgT<@7(ELc1iO76~~Uv zO!?0{d&jD!_mAuH75p$dX*}nFjI{prJx9&n74(bSJQPh|KcVjT8Kd}4**E)wK5?*H z9OKse*ry${-|H9qori+%>!;Nm*EBn)^m)emiFXe3ef!uDZ1b4sc`wAjaoSM-rcbTx zxsLGfUWkA1DIodxp2pvIHzEF=4D;{jvqASCi1}9>F!qaDX?|W0?B8f)|3*XoYj!eR zX0P*}hrHI$C;Z$~?)B~w=kbcC&jXuI?>=#Uz1Pz#*R|@WuQ7kb=5O&r#XRSx{V}zB z8~fLmd)GEt&v_{L+@iMs%Jv-xWXtYp|7@z=^GNdc^C>^g-aL3!drAJG=<yvj`=6-B z6|{Eqd)PgY>c8=S!4vDg4TXBo4$7HTul!!v9c=S}M_qo>FSh49j(%%boxibN+$Qn$ z9i#JIxiNJvznt$p;9!@Zy61qQ-p9V`oLZk(>~|jW_E#K#d3@<T+x|1*3H;XcC)gac z^ZVG7z2_lYc=H$k9Y>A%{s$L*-m|H`NjIk^=o7<jizBw@KDKbr`8)kf`Hn-j-|SR> zb}55BJ^AMufA78B(K3%2)h&MZTnV>0Zo180`)7x(%|lM<=hOe1=RC@qQYT+-ap2-} zmGcE{#W}TcpTw$n92SoI&=ww3>-a0z{n_gc&5QND<R0=Izws;or1zUgT>TXXxaA5z zs=mBm*xbu~!sg&~)$avOwK+bMmftug`L3{8{m!E|+Lu6WuN!~mPp;)YWy85`<H!Az z+HV{ad{@}09`oqU>Pz<@3-RChJL`!w--kZ&H*p@%Zf|UVz23XN$$HMC7t)iiTO8#z zOFyap=7A*tjXfLQ*e7#8zdz+4L%79}d)rmc7j|&(dBi7uf7&lO^NORk_X_^Vt}NG6 z`kZ>>=(Tdy{*B$jHjlXUcN{zVeM$Wh(|H^I*PiU{KJ`z~>|<MPj$V-b1F`gq1D1Yu z3SV{46}G>X_u7}hzrNzox1%ZhM6GxHnfS$h$3a8BI-SbS<d}!D{5O7eUg_U)*jjGm z!&5dd{g>W5%=&HPXXBH>a~|{DUj2mkTtWBR`QCPqMf7j{X?=3-XzDzc+c$nJeWJLn zu%$ZY0q1m!-&4PQ-*JSwtX}tL8}pvWth=XA_*wSm;V<(`^B?dnxA?X8iK$;<^I6|d zoYob`n9cMxf1kf`=;!+-_6J1yHh!-@SuFF2Y5k7F$K;pnJI)(d*l#ZLKk(ID?~^r> zWgEY$pG<!9h^2qWA?|gBAJbpT7xt>Z`R`iv_|m5O7T-OI^0RkeVc&67Qm&wH@_w({ z?&3R%zw;;Q-#Dy2Z$qu*%>1K#djIwQ3g#8|9Ip3>dn6Km<InOZ%y~a*vyL2$efoX1 z?C~2r)|}a#%)fih^T&eAD-KHP{ZsvIUU5wK+<*U1lHnBx<@6n*=baHwl3s6d<e29Z zc?b6$2U*`0c0Qi$RoicDlkhraQvRv(#}yCxc2A%D)9uYelZn4rcCVSfsw(C&tN9L# zop0<PN}a!9-}>a))71YQ(G`ao&HOdLD&`gTvBx}k5)yR(q0ILiW~%WU+s$nfpK}@A z?~09?7xgRo&I1<q<rDWDVm-e3*txq;fAm=_t2<<Ewoc>s?<=z_j@jKSXjol+;+JGz zVc+3uuX~SJ%5UtL{)Btm$FAy}c+Y3EH#Bdq_O5U7mP!78UsZo&X;h#1yAKWSHjloP z1nqy!Bpp7ja?WM%cZu@9D~@U2D`;D7>`~twedp1Ko=N8|4sx66oLsy5gpJv@!hUC) zhaBnYlm0PH-*M#KOx5>=4eWa!@V>7&{xx#R{jaI}_^K_eRzKnNE9^SE*)uMg-Mr#} z@Vt*bpErB&uMEAp|B%?XbBdJ>&N7cgpWoQ9bl(BqcLn{`GV_C9h0l4&8~uFJot?AJ zJWmquw>ZY_S5TPua(zK>_M7?cp9I}24oRD>)B8R9`P3@m?Kh4y^A!}?tvp}QSDZ6H z9+sWE!egv1<;Oce%ihr3%<UofP~v#San)^l8ec`B{&fQT_xhB|Io!zp?S}ey5yZcG zYCB7HXC6Oh_O7r)UFPALsSy7jMfR`ilKXqNow=UK?>>Es%|T7Sjg^`wv)?>m<F7bm zJg=}W^QAd6*uQ$pF#qmYb47f|LD_eO{mK3i|4yHLXJ;Rhe=8yWjdz6kSNr$u@F{Tr zszUr5ABp7OIM-iqU!SbuEh~874fF3hEx3QPApV_RIcGJpf3u<fodxmlI@O(D^Nsp@ zbMHJB@V7X0bL-Om2UPVoN_*UU%)no9Q2O4-zSplk_E$5{Tz^=uZ=H5!Q}CRm&sCGI z-#ExC_n{@-=JB6jm-6ogd|SVvb#MJ-n*)k`AG?Zk?l`>SzVm?Z`;Oxud6(85mAtn> z)azY~(fsaMn@1A*76*^^F4=#`blt}K<dg0)4>|L1?3nl_|DMY$-#bb2{1yjJ`YyFQ zY<X^Dt?|j|HxK#zD-LSM6;>9%+@7!V+4RQ2gSsmH1wF}o9t%ZJyb`|SFtgmpj?2+r zfBU#|?zlWF%v0UjUi;>;<noHclI!wx|MKP)b|1d&VfUCt|Hh8BPp<i<%1Pheaa?g; zLCfabp7o91F-hOQP5N$efI03%Tlt>HR@3Ufry0e!+sfQ^{KT@{;^;G3)%6>jrEMN? zp07CebMBJ+dv?BwPvovHp9c4@E8M@a5dW5%pPU<gqDHUmV@L9y2Q1>9U&41BGyV2n z?`May%|l*kkbj-w{<VerS0CBG`7gKUYl8i|A0EN)lwlEUGWD0N_>CP?&pf^pUc~?V z#&Ki6k8Q>=cm01!-+3g~{(XAQG0wOR9~n-rjXsUY!2DAn3A$MYDFZhzy}!2?oS<hy zGH|;GQU-4K+FuzBPSA2{umoMP1D2p4yL<fYGTxK;dg3JO?UR4Tym=&eyy76Io}B7m z(RGEre`BBtI#2)i?EY!61pP?@lA!IwkP@_=-><h3;0$~m?%#O|F#mqBUs89R)$E<Z zXN%S+a^E)gr_XuBo4%uJ(HHX_M|Jt;tNiQ{-jne7<fQ2GDU~@nkLB7c4hf!{r}URq zudws(axbI=z1(wuwK_OK&(i}Z=*?619OKLT(4cPfSn~P#33Z>(ZK`k8ePbK^iGlsk zUW+5#=L$V)8^iA;K91ZJ*Dt;2kz78g0c%}8@u$(7q}P^{j^8-SDOcDk9`pFo&P(=> zr2Ih**kiG&|2bw?9Jb?ouUzlX|Dnq`Cu~z(U-X?vjO7-U`>yC)9M|3!uduV$eCBzN z)OvPvi$ii>4d)efhQ~bo)Vk?kgS5?~2d<OeTO4y!`uyg`;cMMX?;V%*``BSTXP?U} z>6iy%*7{Rxj@iY1=vlk_w2kz(!cgz}j%b;OA5tfYTO3q1i_@#@&$W3VmtS$n`dpmi z-*>ht`-G}*)GmF($yd-N9`jh%d`I2XFaA3Y8~4TO?yU5`X@6AAEMB>?L00Aw<MWDR ztmmX(zAtF4ezQ01lW2RzVePVas-Gp-Pp%R-w>W0&SMaB5<@$oQ?3}%hp9FVT98xxW zr~6y~#*w4aOYa{y<=gOI?c`c^kN*eiugA#d*aw`S-F%n*Wo7k-?+Lc=`Mw$1zUSwY zTlD<#!HdpcYwRp4XUtpAp3Z;$^WO)L1grAwg33!b{ME3{=PN4)DVJaS{P4kt$zN)$ zEGpN4RQ6v6sr*=#XXgk~DPMI@=8agDot%t);Q8y#o7G?L{F!q1>%nuuU-nqvs9!aY zpS|7x^5>Tie!Q&OCo^YSm7J~f_nLxFKDP1v=jJVa{`=t3&0p`-^u2qP@Ovl7EIa@6 zyPN-doo{bG{QULLl7c@>w()#^@hhI+KKQfy%bkji?>;5GR;`MYf3qj#{O#twQRja* zH&1{0v!dWjk?nkbzqp0ZUmv`w{k6t^N5#~6v)5mXmCf1j1_}f>kipkq{VXW>VP!j? zuP?6t1b^TC1<y|(yeSP<K6##W{<XVuGFz+i_PCap7kmn{-F|ObwS`6X?s?YlFWAb) z><K=<xBO)s-#RN>{(Ebyt#?%R&vSo&HFu9cNO_&@cDn`D78bu3%(LG6?&X6=&Q<Sf zBfb|Ed=<3iw_8<hz2oPMdG7Mpb8DQ+%L|NN?EE@^S9|kj|5rOd$M0I+-0lBz=l9IJ zrw?9D|6=oF&AYb`(yn}dQM{}7{Ofmoe)|?Yzb0PF&z}B&?elZfLE|{_*NXEm?UjkC zbv@tw|KeWRJAc;CbC188TjL9IOsVbmeG98CEM|Yb^K;odHg_BO{EuzvIr}2#t=Hdi z^x*ag{&tJZrFO?n^)~z$J83QRP%gjX=(Fghb%%A!_MQEA<LJrTOYDwW`fd1Ic2fJz zLn;4?Bii!{e)7FE->3ZB{Kk=k)~eqNdzkk;=81kk>6c9Tj-$%&3T}D+ZQ|aO^!)Cm z+xMsbta<Z5(!Jua;ktXue^v7eTMvKtvU|YNf1_gl6YFgoKibY*eq3(ehW^dpz3aQY z=Olh-o@8!uKsW9~Px+n)znU-Q*Tz44xuJJ)+$VwM7Kfg-tGwUPTy66}^nAtPpY2QU zN2dJe+I{19#}h-o!lv+;#K((+;vcj1?>H{pXRr447=Oxtp4m5k?RcVDR@ml#=K<^X z9Y0#WoZoSb`y2boweqL_32yt?=A83y`4#saM_J_xIw$*k)%F+LB>dj5a(_d6xy{2L z?nd{!gk$Qwerex%AjLj^TFnu|c^~?E<xl^*mcHcP5!r7aJDBIxg+a=M#ZQdCeQZk4 z`RnrS{)XPw=RF~1!e>aCuutJ<SMHvNa@O`!|Ej-v^rrig{9_^Y8-MeW2B7|`zBI2> z`(1wH$i?ZZ-wV5#bNnWy-#BO~SJ0h)=iwjiOZy*it-tYG{^Z-xXWSc`!(|d5*Dtj@ z#;aG@uPsv_`igzdL&50y$#?$leDgkuzu)2@w_oAM(wFNCd!^shJAdMIuQ(=cR<HPb z_U0?mJB~8S6?9C__p0qHj!F0(J*oW0VcU5hy2AH7{B--$`#(X?vNv=$zxR-PETLX; zTy)z$?XRZqK6JR-Jp57}l>f);oBoE*x$nK-CCjr{9Fx3P(6`$4SM;4neC_rVY7TP7 zZT#qb@@@R-8nd#3er21766yAn{>g<`9Jtr7dcUBfd{5GM^G*9d3a|WL(5!vuvD9(< zslNo%D-K;duVP-O{d)I}Bg)@CHZjMnxitTw)bktvcRtbWD`+?0lkizQX#XRz+q2J@ zC$OvUpYn_2xy7+>>s8)wY-hK5$h*Gc_|x@E@Bf|q=6(V{`~HbG2Mzr`_GRb%4SOYh z=OJf*#j%%aU!T2C{m&GA<LBHbjNb}7(&s$p72ok|?-%_YN45F>EA0Hse$)OapV@zn z$`)Cf$Bfe}j)|W8ukx39-G`37_TKv*3rN>bsSKNw@K`@+{v)>Q6$g0z%2v)V=u6M} zA6oR;f7ASSU7Lpt>n)Bvy}!i%IImv8hw_uwGLPlnR~)@&zohQ4Y}r51=<v~(_Dk)K zvHETJtbbDb&10$a6-T7!6@0C~l>f)|TmHsQ=f_*r_awZ1%))-dqVtL5w!*&ZJC8Wa zEv#35(cf`IyUbs0=ibvbjAb91l=nQAxUN3^pHTUZLl-qw<O|x|bLIwplHGmdhjzdC zw+~IVF>}0r1;;$*`MvB3Z(l*TxlQutD?$4oh_TmCu4I#W^u#1+{$m;O8+L1-$bKv6 zSKsqUG=GQ9+%MMk&nptdmoJ}g19E3E8<IOq-CmmiQ~bUC#*u}pJNt!Y5+3_ZO22W; z^4-TC^*s;XoVk?$H~5?W#@@S|J>(uSxK|uxEjy?6RaLH_Ki%fRpPZogf4sk~-_X5x zv$x!10riTbqGsn5znbQK=n%JgcxYPH<NqoDrDor-SAU)O_1`((u=nq662Ja?WE}Qh zUryq=%|ojxAHRyOKJR~H!>>Oq&sBof-@AYJ=&QeXwQVjupC9t->T_L|h}wHjZC8J{ zKabd3|D#Da@ATx8RqAzi%yu6?OKzyL|0uZnyYP2Ey}z9C^EdvoX=h!1zIcP5;@4My zkLIqq7r*C#Z0$SErEzPYCvJ^vy87I5=?(k-Kfjkstv<gxYs0TSt2kGm-#u&NuRYqI zLpIeP`I-2Tm;Hv#^f~sRo~3)tgD-c2?muMue&gY1zwkGYdC%W?*u3$|^ToS%Jo+4% zyv9!7^q}JW{;0&Sl`Bon&gp;dji{}A)pGgwsgI_9y2sOxshxYTzOz4E=E0|blhP{= zTdtE+sO-M_e0P~e-GV3F+h&%Be!XGWd&WGGJ-zSFevauD$KJ83l>2G_Wqa;gy`kI+ zRKxqNe}4GjP025J>=xg6T%0d!^MGS^4PRbQcFZHzaEr&qMb>v7%eB|!z3b85lhA(t ztf|gS{ljWzaZ2((o;~JZuQ(|AEl%sJrCh=4B0Gic!Ip6wPCkFJZpX22GgJ17T3b9X zE>)gW`0vP#stI%aRr?BC%WWP!I2dIAi1q!AvnuB2bUydoIDE}n<$Ga!@Sev!*ZHUZ za=ZFi%$<L7P1x6pgZ~bzZa=5=S>(pCbIL0Gg<Z-yc0r$*+;<$2ez)<z#!C4QJ?3wo zOnP5&;9X>@-RFyws&^dayjRe*`nX4JZ~C1Fe>P46kJQN>x7l&@*v*uAA3jd9-f>9p z+dPHJj$)a_-}Wl{1x?;LB9p#b9AS?8*tA#O>u;BO&ivSCTj#6nY_66`e0*}!@f`<M z;|g0(FZcM{pl<W%&B{sdcN{-vnKJK3=A`N!2krRg=~aU2rR`Jp9F)x4_?72my3AwN z_cu1B&U-L3DE~2Q`;FRZPXy&Yc9^fbeb+M8_UVt9UA@Jx^Z5JDEq?y{;MvNrHa7F$ zy?yZOPgR`7uDjeX=JB1=U-A50Ye_$Qy7;xv|CDw$cfX9|Ul(KhT~9CU{Pfy2^YoWT z*xo<Ap=#gt2g3IAh3EhHIVYmF?tYVa-M#4P6aJRxJpR@6sYc<5Y+pf%;z{l~kA!B6 zPup{xPwqp5xy@tQ=i(FVzE3iWZ?%0B8}dnN_8tA_K|$;9JeWAYUAHe@(Y`-@>SNcZ zHShM6W=5_*X!h-g%rAlG7Kha4JI6oZm|k&Ex9sPfUwq#y4zkUU-v5Ye_VkHA!*U+Z zIluM$hnCtoA9j8-lq+ab{%ZMs$6-~zA2Gi{g*xB-?EMdU-rsmo{O0cIQ-1`%eP|NS zv5j8mulcT^xBSk7UzS1k4_VLes95)8nfmYk8;4$gR^cybca{mA^nAxL)^GFmE8Ds6 zBtK`Jw0@Obe#NnKPgC~s?%q+g@XPTXM>+Z8RVrJBW0D^qoz#EhnDD!eg_Sq!52>At zQ~GQ7uCU?pbgy|2*`8ahP|Yvww0^VC=M(4mien#7tKJXo>(xH7=cBetc|m)u%-*0+ z0^2PPJzNb*8GE8W$=$9vV0-UFOZl9=ZolqopW37PZNqJkxW{u|2NkHU3^!Z9X1BG) zzOH%F``&$f@M2X}UcB%3f`Y12+w%1btF0<_FPpdh`X$-;=r{W!*PZ8lSJ=2V?30lB zj-$__Ro)l$s_%IqnVvtj%6P(G@tlWmawoCxIIhTdU$1iAtI9uIIeWw8&bvL`5&HAB zihO9F`qce#MUSnF;(L7eB+IksPx!^4Z*lmYwCeef9ocX8dwya&e`CkGH}4DY>}sC; z`sL1I=RWo0w~xN6IIi`)LS5_mkFbcd(aEOy{Z}6wCVZ{PiMTsocJ=S%cBA|M%y#bQ zTX$ct??Y2^%pT`YLhE-NdTOmI|DnZw&R*|Nvfpp)n0Q9G9FpGe>4MYyciTzk7Ke4W z-P7J#nEdyn;l`?(nyH_EuxLI1cyfc)Kg+P=`$PZA?B`qkczZ(WpRhH3^J|4?`peq0 zuYN3^So$YvO<#QH)sL$;Sk*Cy9nU}V>c`s%S^LM!jQXwW<iei!_h0=v`O%EWb5~Z~ zdGMh2Q^l5wgU`;U?4Nmjt+mCzo%5u(U$`qL<65;({?47C^M|8f#%=svH?#b(a#^14 zSL?FEzl<yU3)_WdY6CubT`jg<yYV;Q&V79rOY07$>Hj^Jn^Mm{yW)V|wtKp-S$7<i zoVW6P;XlR5{YT@}|H{gJXwrTa9{NA=<@S8-&$(}&KX_!jDXzu&Rq~!kLh0|P{Nj>+ zFT{UJe&S!ZI}c>b-%qW2TxR6|!ER%F^{ZN$`jBhhg-7Zn;|iLMUq$EC`us|_DXbTt zW6)n(J@fd|d&iXd3eWu%NSypQ@ImV@A#wTXf2(62Gya}Gq2}<_-%l&v-1ymWqc?S5 zM-_j*#X-^I#z*;HU%l~X%bV-ac?Ub?ug_#t{>wz)__yW><F|qi^*N74#dpX+=N&Ae z^DH|TpGmKcdlh@<p+vvM!I$Do_8nqfxAC*<N%uF8InUqNG5O8@MB(uNx_|BR3L6gV zd(L~v^8Ch+O;2>U6}DIJdBC~eV)l~z`!=5mPh#I4Khfq8W8cRn?>&#XuK!p5%lz&` z$KwA}K@%<Sls?ahpNcfm5<mGLTlkKn_pYnXFYFNClk|F>QU6EYmD>xOo$oy6IKCM& z(XvkyX`*GH>fd*F&s84!zj9;y-+b?R4>*=r95yxEul$ua?_&$Q&EqG#gYwlj)%WS< z)cb#8sJ1vFeC}fxcT8RUFY7xG<k-8PX!m_=D}Qq*_Sxn-g|B+=3VPh<JowTc6#tOv z`i-9xpD2I(*pi-e$NAg+4V|myz4!M=%RKm?J}KSepru)zR%LhYoriMz6^FFX)v5jc zW}CWC$ofXCYJWjP@}37m?{^&fdVXpB0a>}iFWe`~=OjIjGwT28ebV+#f;_*)QR8z3 z|FmD`7qmvd`5W~~vc2M<_O^GrpC$HBtdcgjIAYsZ@F#kuenFdePVS`dH;yvPeQXoo z^Y~HurT71Kp9xRq|Gj^@%|SuGk8RmG{~TXQ-+9EzUvccD{L*`S`rph?=DuA&$>x9@ z-^Z5XJ&%~C*H8P$XTIb3!FZMU!XEQI$?8*ou8D<5ar{z96r01Mcs)3Z>!;VrZ?`z` z&|c+yK~r(gpWshow|5-2jr-6NKIgCZueZNX)~J?k_+3BA-Qs{@TtR1f%)?t2Cpo!! zb{tmj^HciS7o2k^{+Xe_*3Nd>H;);YR~(Z(=dbpccU?jE-pSr}j|KEAj$UI<k$Wr> zl>eBmeaCUZK7Y-xtmg_k*9LpuOBUy^I3gYQp^rQG*Io8gHKyM-)MuR(mU$?WzvI}m z%q9B{>dyPnkUnQl*sHVbC;wS)+fZwDlKIU;0e_3*+Ij_*RWIKcG*`>aar`8DyyCER znVin&$n+^y;^h{{l>G{_JZoFIW0IfyOzOXJTzKBbi6{R_n-!L(z5HI-%`7v|^%IY} z#qnd2s`(pxt?xW$^{+U3^X8KKbsgYTojx5YRX?BbkFmVs$V6R`e{DjZ8J^cf^6z<7 zh<}T{?H)_%->~n0a_w-+Jhs~w7VDoV<`uRa{_Gj|fN#2m)&3`-B}u;SpLne+jx(3Z z>wP|Z<M2<`CHA0RR-xm`>^+azri)LiIV3%=ur%@HS@#qFthW`^3Z7)ni3yQ;#P<Bg zo*7SA^9tK_!#~NDR~-FzRP}yA|6KP|HP=*C%M06+Z62{KpFZgqm-voj=a#C<Z|qmM zNq!u->3^GVOsw0l+B=UJ+NV#oIm~%(<3rDTNx!`w@qMp2c=@VId|_GX#<{0!QWeTJ zRLd5&G)sd<K84<!Puz3ptM5_fcX^s}g(cb_`!3(^?sY$Hlcw`+L$UZq&~))nxk>33 z`<L%H7^;=Gu{{1`&tdjXP{uYi>i<}9GWX5{f$#m(Yz}=)KEitLpL0yoYJu-JYFAYp zP`>x!lh4WW7#r_5c45z=<CMM?d<-gV%AS+7f7+g7f_Wd>)N}Uwyh^{5__=V?{|?<b z`(j=h+uZSb)#Q{n^{;x@)%)+6*ZixypU{2#gJ;nCe{u4OU%&t2v%Yi8e_GYo@84Ng z@82ID@%Qh(W9t9@{?okl{^M7%)xY>w|6;wqCvHR1*DA-KA(87JyuNq&$gB6i?atY& zoZlb3CQkmuhN{{FRlB~-uGxEB^e^)_JDu0Lr}o%wNd8*o7<;NpG@gH+Vp#ou{*AwW z*Y{n0&CVLOUf$yTl)BmL`)~Z{IAfgnwW{Hx&dTuh>N6tt&b#oaZQqNVLF=z|Z;V=h zBx|qN)z7~gzRSEU|M{!uY87|b>&Ww0pKsncYyENA+LNEZ{0v%iZS}@k>jS@@5f8ca z{_|JS)j!SFTq~Zp{`~VK)B7Flzcz`#`1~|t?e!$n_~ox=>Q^oN(q2=0Jj?E}>!j6R zZPr}-ym40kG2z!D`q!RoZ;V=hJZrDxRpa<iLNEJ&?)n*2Ys<a*E7O{5+@ZFw*H$Fn zU3KmH>WxwPN3!;MUfmq`&FH27&s~3uYHit9e^pv@tvl5A_1lW%yU#>_Rq2MkJ{z%i z`--Y-+HsnHE#u4Mm#&9&Y^qkSO1J%d^~>k4eluS?_Ft{i4tt%uYTnmX*H2Wb#($Tt z-N&%{tI?Wkr$cRD-&{Ynu6sTIjf8!ItG|}5xn>-9{NI}E4`%K9@O9Ga>&D7!;?C=A zsIuL1<ki=J@4T1ZfB4F|`nq}gnz;KW_cgx0`Wncsf9CqbY5RWo2Ca{K@3Wz5@8(0V zzFv}Aeck)7x%d9#*TvVw{g2sL_4jh#(zv~KuM)ogdf8C@>(X|Qy;Zg*hhKfYz_$9j zbM%@x{<$B2uvOM=dhn(9(Yv*q>JD7ryZz-ne!hEpJCElcUHj_${e|bH6HN2luI{l6 z`@C&O@aL7k%unt)YMol!y<YnLrMq%DrIV!ZsNGpr_xbLxJz`;>*&@zLCz<B=Wt+-> zyRY-v?ft~B;@{@-ox8vKIrqFBU+*n{ZXEXfaMRU2*M2XJJDZ(sn%}`~Dqj{g^ZL(* zFXd})%gEFPoVUJHko~3VUXp2k`_(<%VV~DUoXxKHxaNJe=0;8TncWYY_PseAv_7Za zrM%HNZ@Kfl`jA&w_ppY2E{iyOJK6O8sv^_*r#^l#jI@9B`rz@>_3ZBRC)hmL_Au;g zd$V1B3s~tikkbEai)`3!9>20rt!-Z)J^#vG`8R*2KM~t5xqa3Be^cz%H(%W&820&C z#M$UozqX&;BPv($DKoM@`To-fpNy;aJ#4-GU~|>Jq*A+_h_kPIg4RDP-8jqq*t6TI z_gJ>y_&w(f|C-yfGWBui+3yr)*E*iBUh_<L!>sbdS@&dDSKie>RW*ASfB5?eKYRaA z+p}oB#f`s{=7sNgP-gk$>GYM)?>`TTIBT6?`o81p9_x8mYbLLmzCZZc+=4~des(=M z_50w-{~mIMw+mOldi?+DbKecKwjav6C$+lL-Dbtlf=_xgxBpzV=KaUg=h?fCH}75V z{jMOoVD+!N`7b_KMVy_TV4B}`b&qM@)f$U8Dfe=wedWDcUhvn~)_kApy&qLAq5u1? z{>-k4esy(^T-fJd5oc#V@;ckTxBT>;gXJlEJ8RpTFTa2FvxPlx?)#TNJFe~#4*M*1 ze|nYa`p&C+?9N@Sxlz}DCR_K?{S~!*-|81U=UunsE??ze=au1~%_7cPCz`(Rxw_|B z{}TJa&xw!s8oh5a{xwP7d+(;7!gnS8cF6Ko{tABf^#146h`-hQ*jCq{zn=8<{m(R+ zRX;y;?Vf+~7i0YQu)CiR9z3u5eaG4zp@0ABtAu}Mi8wns@$2{BGOPFB-ws+gby_i= zf9GHCoAyukpD+H<rJNJ<W{dhCN3CPLdK-V+RoZCVJbHM+UhY@<o`C#6Ue7e|>A$Xw zc>6wiYkgPtE8{oy4!>qQ*H^!oU+D6;$vo$8)U&|fw<F%lCw*?-6yFjZBlGLDbA3Pi zEA}~GHr*?Gai9P1yZn@WbE^1n%TM~pvifK6nrG`by4N<V+kh5!)&GdTdH>NKDgJZj zw&nH9tL=9D**oud{e``9G5-V4H`{k!{T|$L^?PvN)t^xbrTfYv=Jwl$J%8MF_2;(4 z(tVco)Baxl`6Q{-?r6kZea^7w-mO=E1|*l-^+ed-6AtU&{!#p9yzM--u>R%!SHG$p zcvUq?_S2sU;9$6>_xrZYbiwtnY7RYZfBMJdpVrsk?nl+u*{glqXxYJh=aJ9X`nXRq zU+W$3Jo=jbh;MqlxPC?45$$vJ3fumxeO`GzUuI3*{?3qp-Yfe<|7jO?{<iPdmig~$ z^Wd9`y6wYXR*ya`c_eGTTv)n(dS%n)ZreSd-rudAQ~%+O`kLvFJ}iF3bACtQ*ZGt7 z9DTO@sBxLSa$G_G+WHeV51*@S|JdU^r{41u$Lx49@rb?qLX*D!3adZS?!52ex7C~O z_5EGndAI&lO;#=c>aUZX=gEEgHNm{S#_GvuMf3kl-{&W8tuHun*m<7(r$-aY+xOT% zd98S#Z|CRwUp0DRpO3cNSU$O`xSs!K#fjz4c@od99@>QV_jg|XXSZ#?UVnN+^qj=c zyFoo>-LTi?5o`B9_*;Ex|D#X8lYXlh#s6a7*jz4?xV5h5>aVAY>-l~boH*{hPrm5! z5~Kd8`-ijY|4&%nZe#uAtYSX@&zcj{o%81U)c?s@^NgFnvg8DJ%zWo{>8jsy3&8{6 zb0+2AIBY#{Lv_*2{G)Pu=T-l5<`r}`7kkG&mN2h4F8r?2&SrI0_&ojD%P0Ib&Pjaz zXcGI4ql$8ceRI7%Y8%<_B>tW>>HCi3+VeiNZT9xuTkJJ+{Smz~ecj5QwVw<26tru{ z1jHvlH@ajGSsJzyyfkdrnlHaAjxy@mo;2R`Kt_D}<UL1C-)*R`JSi^oP&EIBS?azI zTtV+2h`Qg{vFM3mUO{Jd%)=jELG};$`Ym>CdSZQULt)m;<GZ?^$er7;z9{~aNVUab z;k=N#(3Sb2ed&81vWACGu6k`^Q+MOo)vK!fh3(2RvCf~E(svxWW~z!bg1mLfecQEX zwkPn5U!P`k(A00Eyhq$)4)cn`!hGwMzZ%DFthBm$f5*BfVtEA()iRHMR0Yj{%p-nd z*S;sRWrh9jdmgdo@AzT<vfNMq^Vu6mfAXsI7q$w&xf}2aw9H*w?&FECNrzrmKCMcc z)A(5URFzuZhW>Jy2fy5c=0BYDwdl<}|7-b$?zQcUrM>?*8ShDYtv2a)`jnqBZypG` zR~$6d%hUR+x~{PG?`%)I2ORwshpo->^}e>Le%^B9Fyr^@$GQDJ_8G^_kMHwuw!ZV| z#Kf9o%)fOlcD9~Lp6mJS<cjSZOBrACZ|qjKdBh~XCOk=f(%0%aj~Q=opHg#J?%v0i zyT+$$w7(TpYQOaVaL7hePfz3X^&Q7}zv-*|Y*Ds(%sV@M;$P`+n+poEZ`vO)<=a>< zeX=;_Ayf7Cse2BqzS~%BeKI^JF5=nUg6_GSPt`D&6&4Au>@VyS&WR8C#Pxf}F=M%p zZR#<xKEHZ5pZv%AdDe}cd(XUoEW>`IPxXAk=_*UU*vS1y<-W?!`_L4==g}v=P5Wxg zX6h%}vP+9k|EiqxSmOJRBf{$n$~a%j7j(M6i3|E9%YMV6{mkYB{`WVIe_gu7{;2J_ zf||^e(sLe*-JU+N=9paEhZf!FXOSCwPoMUx?~s;xcwjPYaa^n)d~w{<rT1*rpNoIn zP|SK#_|0RH^E-}7=M|K?zMNmsoh}pSS@bz(j{S{8$EK?CZ|HTt^H|1z$AO!nOZJ0& z{n~rqBZmBngXeap?vvfUW8bPT$9Ej&>|3W+*%};^{Q1?S{u>8{<u(+`-K;;Rc5c1m zU%Pb$4STb_<~<a6UU5{{Y@O;?*}M-;)q5WOxD}K?Km6J04b5vEK5^`}IHs)ku}M27 z#{Cz2%wxgd*QeGT7c@Jk{yFEy;b&i$+&ix8_p!lvPE7nOuy_Bf{p_*b^MEt@`Si-L zoX4NoF3CS)bGO*B@Cj>QVQ2ZBWbG-x7|SaT3*Rd&Q(jpvJ9GUZxo>$ol}*lb9*JJR zv7_^f`Hq9a?>_cCp6#`_lJT5;PU7n{Sk@MgdGP4jrTY)1zTep2`b4|$L!0@Vn1E-- z$}7tYyOV7mu&8gJ@{8;Fj$_}}s>p9_SGP%g>@>&z#*wFMm)<|l%U4h!ebQLwvCR7& zN3Z2B-FH|v?n6iToO^z+-g2L=VJ+KGTzyjc&0~r4JB~=ND-iYm-w<u{=mX!R_ZA0_ zxu(cH?weG7<CxvM!Y=hW58wD*y8l3E`;EPupBVFfXcEtf4S9BRWAE$T9`zm8G7n#@ zn<Q>=RMqUgT4lei%>%~#ieuL2gkP5Ht9`zD<M6+`s{RFsKkpS`FQ4+f-qf$4x!2m$ z?qSE*v^|NJr~X}AeWFh6T|tAo%%eB+g62OK62Gx``V(2cf`0Kmk7V<A?A!juT73FX zt2d8c+*gIH?R7_5+q?WyevH#M(AwVLUhuWO>hQI_|AO{E;8}m8s^Q5u)l@ri>xv_S zaRoiy>|XVq*)fU#9VhWy98$jbq3<la_ufLynahvLm08Hw+B^_BZ*lnN+^2tXL8B3O zT|d3uv?>1Z>uo!iSKIH{F=yWF>DOarbK)IAlib>`D$6(2tJ=!*@vUF;{PMw%p<ik& zExvxOv9{R1YMylX<yg6#*x2*0o9AY~sI1;l?hBeMShp0k9AGPGIRNLE8e5Cqee>S4 zi(kK5{JCn^>gKnvUso1y_?=&MPxj4yhx6^t&C;)aRuzc7`dL>{t!&$$zvlLy&UgPF zd|6hNci-oGNkL_??f3kJ)wVnOzS!(p`tI+8Z(&t(_oKE~O5go^@MiAUJ3HrsX2-u( zy*mopwP5|CvcMg*Yhmsen_Zjp9_xX2E$n`^v()_V;e(Njo}as0>d!9z{X+5kdAp`J zzpj2+S&@JD_rbUOUsu-1-%VS!+umaD`gym%U$&K-b3gw4UG^9A`1RyhJpb2RvYy?X z|JrAb%egi#pgHjX+wF4T`TQO8touRp`8Gl4_qv1T^NVcx<-qg#J@efAujbnLfs|L+ zZlAZH+Q#BPzim19-OmS~3V*#*Kk41q2ft2M$=SJnuPgW+V%tA|+3lKL@BTg5a;5mW z<gVw<tJ7aqe$WB=XY*H^s=mC>J9qtVzWn^<&e)f7{O9JcfBufU<UIRy{VT=)U3YD7 zo-O{m@{7>jW8S6Z?CM+RMeAR-m6@|Q=KS377xVb^;#NMtx4L9K`}OtLK7TOX^}YGF z+xf@WUzx=(-)(PEyLaC0{0p{nbM^<Gzia+-9>3oGHP7o-Ti0|)?EUZGa`pFrk%+(5 z=Y>|+zrQK}>EVQYiznY3W!K-RUHvBiPH0{Hhk|Bdn-9Oex+eS7&0op?_w2&@LzZ8S zx5+F0eRliHU)?p|_&2!McBs#h|JChW-yZ&|I>s*KTRi{Y+Y9Y0t^4_p=UVQlYM;mc z{>on2nEl;<=6rhZF1<$Xz30rww#lV?&iehj`f<w!t9gueA1fXT_lt)eUwq(IMM=cm zeA}?+x2sNUcb3~5{p|FN+ZFwF^}cJ~t?$2D#~AiIwcW=4$y>$ud_Rj$TzAgfAO7sM z<$YP1`@Z|+mF7H@i0*u%T2|QVp0mgQ+I&mBrw5<?=C_QyQnh2p?l<NSr222{ZwIZI z{>T_ve^@l^eR*v1*ShP<VeivT62I2D9b-?m{aWXt7WTgV$zx%8|C&dSJFouMeHyX% zeo*y`_k4f3!`?sNlJvFCuT1~*%<oekKYSqOUU9(C@7>YIo!l{r&+ko|EkEgNaG!VS zhOZBu`)Yq>+dLM`uQ+sd|LMA8?kRi4W?Ss(e_|T;-v3_0*MCoh!`{oAuCBUgf9lVQ zHS6!ypE~sF?{cO!a_0qBA2&Y!>hD^vHTUkXvi|bD{h#RS@BeRYsQRz}JlQDz(BIOH z6Hoo^-`FdB=P}p$9mjswF1`P2$C~+tF|V56`pXo>T)dy~zHZaK7H6BsqO+UVq~DqU zx3F1y%{~8pM_>JYlD_6%fA+ywe|N0;pHh2o|LJ4u`{s*>^{2O7eH@wawJuRL?7jFk z`CskM|J$zqp7=Imul&s4o-@}!|5s&E*C5l+l5TPI8nf#9jqTDliNE)!{GIdolFXZ$ zR}&sj{U<h^f70KyI}cdd`Ja9Ky=9Gfvh@2MM_>K@Z@uQ;|N4XK`|4%wKK^}er~G>L z_x;5is{Zfxcq%^qx$wqQg`HP_uMdsb`~OzK3wi#()?x48r`3c#3w;0nnPz&CP0gK$ zBK;PJUpg<@cThEN<L`iza|6F=Tj;$#`0hEMCEttpADaHlznvE?sB8`1gUL|A7<;Gm z$M3kx{~sS5+{R-TmZL45Gjrn^<MTG3&l$g;kvebT!De>-IGc(OkB&C8>&w|xG%y}) z{=ZKC`{%Da*U9~RSXJ|0x%}MRFLV6w=N=D_JNo<o|LAqqH}n7fZ~1=Rczs;zN9MT4 zXTR#!9q|2s_T4=}_4OwHul~D>|9n<I<LdwZv#-OA|G%H*yyw4daa*{3!o5ez_Z~hm z*WGtq`Q6-q>dW_kmzurbZ_WPx!|{g4EuL2izqx;4-TnjFF^~ARR~%uFxqIPP`})+n zwUZyeDD{(h|FZtb$KIShocSMm()ZNu?R`G|On-FE5y`KwKQyJ=#2mkte(<UNtizLg z_y3D7Zn55TbX9s^=y}_7{}25#zj6MI`ttt|zI?x*@HxN!$}RRA-Df!8?YI~6Hb3We z;3J{%ic;Zj`GTJQiUSv=bN|V{E-I1Pf3oxO%ztwqnQ#4>cIOf6a`VM?`Om5fTlUV5 z-gEfi>Nk~dJ~Hb6s7Q{Mf3Is6S0-HCviJ4u<U0>!R?lM%vz4CnZ~NE$k1g!W|G)Uc zfA3V)(`)sui|dV-R~-GC_dziHM@x3hokQ22ca-lqd{I|dZvWY5_c!~^eVkJ8$YN=I zzxtkp^_xEK-5&j)&HYD5@|?S;ZhhaeyiR@2{#&nJ?>&6X`tO{z{r%nZ&5u`n4SvQV zU%1d>{raVkb{u{9cFljr?-eD#{Qdr4wbtGLXxg><-sV$#0_VIwE3$uUY<;iup2N29 zKD1QF+&}h9{e9Bjt$lr$%dHD%{!^cG=i0H)_mbZlzj@4h++v69=f});4_{pk+t0W@ zKKa}I?8ovq?3wo@{`dKC=-<qwxARr6eU41DozK4J@8^!K^?rW;Z@%0wr)&RqzT&li zGat@-efQCyZ`<UoV;<VZ-9Pxq*6#ynzeVl*v-daUsUM&H-MVnkh57@(Z$0~Lf1v6y zL;kyrk1WqEj{cjgWA|XjuilCS2ibFL-p<!v^Vj(3Eqn8b-|4NP=cQK9b6@j!^Wj_e z>C68=`oeFY@-y>)&xUX3b>oWnTE6=r$G_v?)492|eii-fGWU-@n$5rYUzGXro9=Tg z?p>%qV$7$O{r>*dWAP8gmhU($y=U+2t@0m#o%;66WTkCf?UiHUw$~!Q-mv(1rt`XA z&GRGH>i4WavQB^Uy0)k0UEWW3i$jKX4?&IFuYIqNyRWnUzoS>*zwh(^%@xl7nbf~; z_$W2!p_qQffrGX)<>d=La!S`ftJM1VZtJ(In@Mxi`68ar6nt%CoH#c<Y<|k$8}G0E zaI)Qa&RFtV<+8G0@8|rJy;nHz<;HWy-0ybAy$_z|U#I`7Q(NZo*S)&)9<zv791-^0 z`7UYO$EP<7KW298f6@Q=&(18qoBv0H`S+!D7RQCZy-)x6$=IyU`>)oVedk_P9<Vwu zv+<m<@U_acV^-(a*k?a}{gY?otC@*&)3-%DpE>t({rfNPH=Z+AU-P-=yXEZqvtNJh zI1HM@KD~FXf8+NZM?U`5wR_BaJ+|)Nv&rJepNe-}-has0F5y3;*+0SU6^CT!ef-vV zZQrj&z5AEenSP7Q|7w#oH{EK@=QBN}^CCB#Gk%!#c=bHNFx%}B&u7ZKw%J_%%iQlj z$T<hB&i{zt^wWEt`GM8!H@~V|9MZIVD0F_uq227!|5?*x%D<-0_W!o8=pEPgFY60` z3A}3GzT@ycXI;C;qH!Mtug9nEU3s5RzOcO%5?<FTkNuw2yZp0HOXzut)$=T`RW3VV zb>779|FxI)33Jok)_nH)P<s0Lk+16tF5dXHWXCaS8M`x&MEweT)!)<}K6ZZ--`3>& zyI+~h_iz`!vAuKb{zJC%A05?mY!BVi-*MocYtEks6MNeIujKc4Pqv+Jd+lH4p<DKQ zyKhz8vaen9*ZA0~^rq1BOxgc+Hhw#Q?PYzzul|VN&s(<EuhUEW*&6d+>U%}A`<#cb z0=L<j=6&E(@87ngzEIjeJ+`c`+1sLU&c*tE@jH(n7@Ga#l;2S9DZT!<-MQ%O{l`te zW*7WmTm76f`@hVFZ~p&-%=Udd&yfA!N94K96s>jN**APU&z1e(#^P_k-+#{R|8q8e zJHIvmXJ|}*$MycLug@3sY_B+Az2|}LJ^ORN*r#v%$bBvKeMPCHdLRFn{14AQUg3XU zap0e@&b#<)$D$uIl<zpm`8E4v2m6}8#Yb-0H=n-w<CcAR#P9U3uQhoa=7FqQv+>*c z#h3RN|2iM>`+3vW`nKuY|FEqyKlD^Mw{}}ad$Ek|$w$?D4)V_X_$oT*&!o~!nf3dx zb|(KnX;#-AZS#PyzoL4>H^&1N6|Ld^i8gxz_A}qxe{tS<!R-HYHhepObvww$>k+@7 zv#p*d8D^Wk=5KNP*7`EP|My<*Pk1~3o~Vxe+xdchJMKx$FX&T`dGKrHHapNt`pe5B zYieC*uTP4NI{t9Gb>W_+{~4?Ow|{iob4b|k(be)B73zDA9W`F_pHY6reZl;DDPKX> zNoN0#iSPXS`0r<d?EhyryxMtm)pNe=|96t#&fk0a|C2A@_g#K={ol*vxAP6x?Yzg* zetyeq(83v;M`G3IllB%gAD((PK3i7SJ-qDE50C%K=lab4wQ$=!esEJPKK|%2?!u1h znj@B9UvK!Iw)%O`)_T2&-{}>h((%93TejBciKg#ABYM1g&EL%jZ`u3%{Xh8fef-&H z*Z)Z-yq(V}xAUGz`1y@rH9yLQTh!@&JuGSWh}AuO`_J+<r!N-%iS?6VKfn2-b<F|e zcORQRKac+XVR!BxF87MROvffy)~`D*8@FMeetz!bmp{8UJlZIHes0CXBhu>ktUfXx ze`5WJk^TCHnzTKKc8lNox^%};={aRF_V<eCzWjcpqUgQF-?;Alm-`Ri>(1H3wZ5RY z|3`=aj>AX0*ZzMt%k1B*=bDFQ*KIs!Y`x~Q4=neE?cI}ovqt<?XX(7ojpvLl!~P$7 zc|T!pdeoZFXBJpox7PY6wEN$K-#YVI)_>>-ezSMV*F(JT3Rjf=tdsV)v%J?5WB=E9 z&fYV}))zLGTU6{kYAy3f?z_crM(O$mb<r{Vj>hSicYXfZu=i1o-Gbv*=jUuVXMB(U z){j-~{h{Y2UfWDgn48YEy#D2v{Eg>~+1GqN)8W3Z{LoeHoZ2w^l%H=uN*%ZTdRX$@ zhbDiEN4qx5Z~OS<VBt4qG5)yB$N3)_-xu_Mx2T`G^?hNhf5qRdW4pKY?q6JI*>^AN z>z(Ae=~8PxpW%G{w}J7s&Ex+ode>L6MSS(yaL!osTII5mU*UfLnXgqo>nNQ!v*66v za}@_(pZGMpu%mqa#;+$oFy?=JwpC2N;7n<L{h4Ev-H+dv-(KPOU*`Av%^$y2^h)1( zC@lA(;q>+B|9{kT{!IBLT5*W?TII5%R_A#j6@~DhCB5sb)@^t-Gih$RS;X_1r(VWe z|Lu=>K9ldYjqzjI>$@MkNVzWmPvkmi8L95K`v<BXiuGGO-1T|>wvSIv7XC99d;cQ- z!CUFvKWx)?99?Z5S#v;8?jxu8#@_dP&(1pzT90$fo<HLEb5QLa@%#C!^xT@O#l4~D zd9VGOnfP}8*30$Jzr5e@?Yvy}|CkR)zE;_%|GbjF?Q3U2bMl-$t-l`X>TUQQ*6nZc zbXWKM?cjQedky<v@0ugJb`P2L?xlV1-EmBqkG*^P{*1@luW#G09&YjUa~6Bel6~%T zc*Fj4idP&vcw2YhKh;mq?~5yj*&5H8a9*r`$6@JXzV$bcO-^6)M|{nn!$)qlKM{T? zm;bS&IA(A2U$)nEIU7FNKWwdG-&4PPZT=r^vwHuyxsU&Z>#qC5z2=Yf9`*TR$KP-H z!?x$3^1Q+i*=GAb#V0<U|A_f#-iK$h)BZE6&-#8n*QWBwsro~w>c2`~yH_v%ojLC# zTe-#I+vU-}rL_OchW(R0cXIpjf7ZJHKbYtKQ?8ViN#CDZ_;ml#Q}ylMpKd<BTCKBR z&izM={|)clny2rRpUOWr{i(L&FmIoP`JY^Af4jt|_6bkl|L@y6|AhL1uhqK$S<Eet zp3L|tr2U^u`#-bXiSHe!%WwW#UpM`$>iT1azp9T^{=J#-H2z^vP1c?V%6#|If0q4d zfCj<+?LW((NI!gWciMlx^`__KBdT{!{`$VU=HdPC5udB;Gya;^w}|%7t$25YTm7EJ z$7`MZ7Ei0(Y#t^g|EzewFmA^|O}j_D=k8^{wchjG>azW&k5BFu{yHwE|6>2agBg!Q zEsmJJ`zYAI<M7qrYyLm;&#AHHm%R2j<ng@U$?c!N7yp02^}XURW4C_9*H8bhJlh@M zzejz(#PRpr|9rE!vZFrj?^8ML|H5Ja?#++>J)@?^=7Gd{i-WuQqyDjm{ZkG5r+aV0 zd&ct?Ke%V_S6sjMvC8HhpZqs|(ic^q@AZ*CvW|VvQTI2sHy#Ox{WA{x_j>=9pMUvp zRJHFoY8rP@|A%(AzWl~d?>Bs!e|27sSj~}#@wY3~Wgb3Kp7vi%`@f9#f7^E_wjaFB zf9q>See&b?Gq#t>{9Su=YJJbt`o8Q>ngte-b-aEB-R?FCPwkVQ%Kw>rHh$83uJv{) zKd0YVKL7joYjJrSKiMZdwSUxFqqgV&il_T;-Kt>Ub8L2hWKHwb`nIX{y~>~NJ`|o; zcy!NG`x`Z%ep#hGl}~y)|KXgPZ8!e!c)I;?_MYRi?>2t2Pkj3R@8Yxe6W8~@=D+>x zd4=Npn;-wpKleEwlv*2W*kb<8fBOFa=CAi3GPuv*P|-BCzH4fIr}U?_1)l^@*B@d1 z{Oo_y=aUEb&wIZA&?)<=C)1nNV-nv_o|fM$U328r{==v0k4gU2t2h{$_tW=@t<}2! zXCM9gevR+-{ph)mo?O=cr+K>kAnUh(caL$Wb-PFWJRb4$d6xanD)Ao;{uQe${~ta! zeeZvh*Pl<?U8~eMHp~9tto;R#mI~(=`rEP3sh{$jIlSV~>;A}^ukq93i|=;V6+2$D z*g3DV`KtZlSMiT~zFF^iaPH-O>%ZbMG54Q+{&)M6<pYlFe-hdMWWI0FFQ{XdUSIfY zyWjt-|8LYVm)^Ga>;C-X(T>0OKmT~PEA6WF;Z^0mTklz~`TB0dwav$)&a=ixtbcv8 zM*JFgwr$dyuO~LX+s^d5E_+VJ>mz?(->y-tl-YRi{fiCv-p{x??|JS)TfY2+$Guf@ zg<mtP?Y2k!d=~NZUE!I(cOEcSn{WA8ekR(wFYn0L4|VQ6U+X;jzCQ_m@WXgoe6#tE zqsDR@KFM$V#P2n`{@Uk`a{2AgHy^S4yGJ^@dgsxq{m&Y{)}3APZT{98^*fKQ`sVE6 zTmSJ}@wGZ=)JK21`|!(uo&S>IXQJ<2c{cgFx$*12a|B-hox?Nz$>yW0&qvo;%RIET zdl>h&a{t?wsr$=|XV-i0v-@V3_!F7{#IDtU_It-}^H_M^iSz?s#n<eu_kMl<0fTz{ zmWrmW|1`t?Eq(MPTC>kR#vniLW=&Cn_U3#2QHguyr#|YbF{?Nz$|rVu`!RM{3Q+$P zTkz2{`v042)9$<6Tm1N!nb`H;sn%=0pSHR)*}wm@e&jyxbsNf~rSt!$!cxHwwfju% z^EdtRuQ+XU^KDMe&E0z=-!K0e@qYPH3$^(y{5uX9>wSEuJ*~cb>VHP<|AOjItRD(4 zFW>No?US9QUUv8Ezx%je|J}!&ck+41<@Hf@!uK9YR>yDt@vF~l-zWZ!pU!`9+84cN ze(qoEJs0<sZ~FK|^7Y>Xtgrtb_;Buwzn!4nBVD_PGUD;u|9pRS{<Z(&e^s`J*gwgB zcy@VOeU13+{p#z_71o7!zups=FxOma&DZRW*YXP<Ef(f~ckJur_gt&%UmY>`+jGeB zdT{c8<FZ=!J;!9<eduA|^Wf9wYxethKb=0|`<op%EDQH6{@=9t{LPAowrgs;lx-gB zezQCOXUD<rGry1Dvz=ML<+tJ?)9>y*hw~$B!mDE*2%3YsU#|>LJTZRshI?9mk^brD zF7x(2esoXl{E6*Hxbsp!Sw7gCUav3xk<q`RSg?EjyX42(|4qaGNykjcZ)?_%`sY5! zD6c49+JD}Ld;jNcy!Suu{`p(ap9m-YUo<Vg?RrJG^`670>iehG_d9>GeJJN%J$t|M z`o)jm{?%RgJ-K+z_vG&t3iH{fi*NqpU(wDk6MN^;Kjxg8r}7C;=RcTO6C9(v?VoO+ z={x3Jo5}-K`zwxA?YF3yu+{%$daL@Jr1wJ8-m{tSICN_Nu~Yv$zCXGB@Q3%b|Gy5J z{cqe8b$oVvf$g67If;Ac$2?a4`KF-E^Yr$^tnUg7G`sa9epd5u{W+z+#r%o%W6Af0 zCoEnc`*qs(+V8vSYrgN^+kSCgP0gLhp!Jhe^M8s*{G1-~bNh||o7R43+-^~^<Lvzj z*Y6d6;^^MKM}FR;Dc|>d?@^!6b$t4^KYSI<{T0Wc#kl*eKe9jDDt?RZo+q{bg}BYB zTc_REe1C1d=KJgPH}P%18Mj;1n0(&f^Oq?<KJQ-A)A`9y_a9gNX_oar^z{Aep5Fb* z_ihzlDYD%YFQ2@3{`|+2YPh!6w?4i8fMffQ7WS>rZ48s1%G+Ff)_<bB)qe*lM<0)@ z-g(rDUv|SeXXR_Zd?P-umplJ;@_i}oeT9!^3a_90<6LKX#iOdKnEJD?Ha}pi_TNx5 zX??WKA<4dvP0=xhu@{Bc?yo5T^<WN~=lqe7FX*d|d2na<9dqt`54g5h)CzVV_uuyM z>-YIAuix9V&f8({74yB!erv@3__71P{%#VCU!fkhH;jF@z1n<Jxq?>aUqAg5Z9g!+ ze(&tQW<S4g#Qx)^$$#ap|618|{5@l9#QyhF5+Tir^iOvm-*wHc6^}8_|7tSZpKpHN zwfpOp*6feZ-B`C?<M_|jxqrX!IK+GH{rx!`KFM$R^nHHf=j~6VAACugR^Mp*OSf-! zdQ|=2+SNyY{l3<q|7RZez7N%U=QsWPW!ois?S0^qh@bfzKCS;SttR_T?43{Z3r_Ai zdhyxh@bhNzKYmN^`|#a-&HeBb8|va09{aWLAK&ZWzhuMy*@pe=e)QzE?eR~Rk96mq ztS;J9y>9FE`_I4K_`l<>ylvda+NZ+TzMtRwJhHxa{>|gR?23D));CS9U-<7y^s4`= zIe*#b7{CAa^T}$P_=LF0`3=@{5^C+ukN&F5>-<`Kp6m7RdF*Tc^hf;k-=TJ2vVHoN zKj!*d{<wXTlL?=D^y~MdQm@~4yRUh#Ulwsce$K{y{M!?1<@IVN_OAb<yynk#3&r~~ z{X34&JXX80S6x4%{{NS_q{6>{jSu~*3-9^*cb&}Z-<qt~z6VRK`4he75Br`8?>oLv z-}Kc#F6-x<6PwFp_MCa7S<kP#@t@tTq}uzB8ot(c3%>sRTlm`Z@8SB}KTiEGrTw4R zZbE*W`M#(1M>HR+tyhS*Nu1lBw&wGNUH0?skIb?!eiW^~ulP~5`n|_<YW#2P-}3bR z-b>HoljX}Tj)~Tv_rCJ4?c4XgPRnXve#tNN`OPf%;l-EvbN;OkkE}C(d%dDu`JY`E zq<Im2>wQ5~)PG3bx@YO$?^&mh@80;ef5Wr>jnB@1?E1!P_vqNm`&NJ1YZm?O=$?J! z&%x|-ueTqXWq;K4t+~aXm0#D-`M33Q{luO5r?20tk>!goe|NC(@2}4lHCErBRQzxI zT=DBz@%f1Jmls*gFXy?R^0supTgBPGn~(02FJAMzu)lP_X~g&2oUiMoHoQ~+f7WdO zyXg$C>pVBSYZrN4r?K%>Y~t154WZ|i!)(>pT;DAD`fJKxvD5w^1+?c`eq1a3zTo_> z>G@kfe)&0t>-A?%_BHQs%WT}2Z@kie{`2`)<=5YT_M}_?NjH03{k9!@diL%stypZs zChb4(<HYmpbu1o#)_Qn*?cTb2g+ssoHtJsc{<Ub$``2$bUfX@})c=;RwR;&~|E~IH zeQv$|fmibrYUA~f{@NGUS-!@-b@Az2zhnx!`YR3zf74HYn||lfpSjcG&+j_h*IjN| zc;{mM!P}>A|9H52ZEdIWo?l|!`GqaTHHWzOeW+ag{YHhjT|UTkiPyiYEU$h4%C+YG zYtx9YIU8RYCe+5~ANjQ}?nB&Z_rKv0e}mV&f1SHw-}>-}ov+sx{$0Dx&i&2<K6S>g zhoko-yuYmz*E8*YXK9Sg^!LS&DqQQ|{}r=;#4*31r(63*jpe$+#>3iCcYZhYihuek zBLDicw&At!Uxn7Ze=Qnu|GM_Zee17lo%`*}e)Q`<iEH1#s;znddaYf?&z1AC*Hq<g z*tdRqVr~5TW1QD_A69+`ngjn|7CoO;e&ct=)9SzZx~Eqp#O~#fj#(#DEx)nCv0k1% zeACAynMW+&cN|dGEBre5+Wq-CHMRFN4*c2^*z~n_ugL4)RjSv%f7M#^{<Z9eSA|Db z9S4Pi<m=y6ulu9wUdbnYJ-=b!`t3)5?YqbKe&;>gzVNcYw)Y+g&)fLjaJKypqt~tc zI}RzoepNr`>yfR=|NraUyKH~pXK2nJmT-%suUALyIbyi(LqoIwjUT^iu5rEo9I1Kj z-_C=#?6ueY-F)npeSgH)7aLv~CcmA}eeIuQ-K9^#|2bCw7t8*CX5+W>w)1x0V|%|Y z?RD`XUAcm)(pTR#XWJiV{I>t}Bhz^i*AupV`*g1GLuU8-m-`RN`h9FL-|;7J_WDEC zF^@BHKVD7Gsi~cp*ZZ|{7qs(gdhOrN!?*0M*HnFp_!_a{oB#XGYuNX<%O`&A-}vi! z#P9URt@UOWPphJB9x_&6-|$tUu(|nsq@8Ts!?)ife#_2_ynglh+P!<q_xx%)>iui| z$5++4dwBZ_+WdDM6TbKG*Q{&xe_f^TKmXjSc<njA@tVKI-COJ5ZTNQH_S(Oj+UM#j zgJi0^&#wP_`S>mS<TX`l5npvSd^<0c{XeJh@K?ElBHdT*#x@UG*Y8XDxprUw*F)}m zj&r}>^>5SG>C(qvuaEfS@?Svwe*WY19mk?&+QjZZWO@Iw<M8@hKYs1WX!%-sQTN)v znaOYG!^V#yzDjI(^{^g3fE4y$WOaS#*7~rB-_IHKW8MqCuRm}t{Sdp&<Fouh``1U; zvGNsua2CtoaJ;nj{)TTwuPwf;`*zm+mh&(79mk_>9?68;=X`$qQO?}rpJ2DU_{NW4 zf8LUK{due9wSSQ-Z1;;_PIx<C_u4<pgsaI%R=F1h&W`{6oGbgk&&FT*8-9U?412fM z%YAHn{q{po`kVOM*OCwF^697j{AzLNXMfahvEMP_C574g@-ptzH&nR(msqbXt$*B5 z??Z#M&113mb!UDZpT6be*MA{RU+eN4x6V_&R<+IV&x0fG>UNeNuP)80>)n4$s=hh; z)7gSwkEhl5Zm($HJAXq(d-#sy?lQm4>K)?dK7Mk^PiDS}oL=3fXVC?{drwFF|FB#~ z?jg(ZiX*nyo?rHlnD75xFZ=OJo5#s>)05VG_Gv1er@7(U?jup}#a`PKAE>fVfXtyh z)v=$Oel6npOy0O1_jumd&#HRFeDC4e^8x-b59HW49-Vyp_8;EY*R1{aAMJcR;h*}Q z<oD5Q|8~^I{9SWa{4wZ2f?_fIhurg@9~ZYfFMs2Q)p?eP=QCMe+e}WHo9=bga(_tI zW7r_loPV1y*Z<9(HvgQl;<ZY(!_w=@yVLg^{&)U%)tkcZ>N}5x&($BiwLE;o&**D! zpHvjPs`qLC;;;DIZ8q=!+iP~m8089@(&s$7s~`EF@%3+U<!j&do8E8uSUN8<ac+9h zn$Kt0UfTpG+Rit<_PO$i)%lW$=QD4<+;8#suy$mf)p?hQ=QDNlcHWa&zTfBX2Ql*- z-a2)MvTYvl<?oyPHSsRn@tdbQA2<F})~i4IjC)<q+wzLm#qnD!x`Ou{6qeh#Z@p1s zZG38}sC4}EnWoo%GfJ=d?9)~{Z{@~UI}fi)hYl8YU%tP4)ziiQ1g}-99kV+B<kR|_ zRU$hM^4dKTOW&XOmObW?;QG45k8WN)(OxPoo4@|ukwTaMobx}t+P&s)%k>@qd}rr3 zOV4@uWV?=CV(tEnW54Py{@Q)=`LS=6r@(`_-_GlT^8Kpka;xQF<Mdn4uK#Bj_Fp3V zzt4tm=b6%D@;k-vJW|;D)#3rO+(%CT9Y1oj_wQ|cdVOQw<i~%i=UA+JY5({`dCs1% z-=luBv{xLFjQb$=zW(~J^AY!tM{U^GFB*6G*!6#siErmeulZ|y{FeRquFdggU5|x9 zIpXd7e_M0vt{NX$mEN?qep$rt^iKYm{8sb*?tcsV`fvQN?e@1gV*IY~UoEt@dSCvi zV#)ue)%F{H9=dSrePPRE`z=4j@(cRX_dMXfKmRwQ^!r2KpKthfUIJ21GG+hI+3@OO z($(Yxx9r{5{5AgJ2&<|77B_FL54&;yM%9%aN8Rr{<jenm;@0^chq&YZZ#>#9zv++P zn#YfJ{7F>rOa4`DpY)N@z2cbRy}zAjr#}`GxA+^}U44Dq$1k<c@~?llZLE>4-s=kr zFvz@y*lIcUHB~*(iH*7|pMw9JhW&>Ywp$;?y!ZQPzxnn14_x1Wbcov|=x?eyWxxHO zs$4|)`{OJ4*U41d=Y5p@TPyRowO9PHh<e2#)^A47j`M!2KXmN+cW353zq@Mdj1T_$ zp5C>!ei~@9Z)?3Aw2InVe=g$p^Iz(^_Fwro{z{Md{ah^j|DBb#*LNSk8Gozl%Z>x; zGJmEX-8+BNPvtj{h1Y#JvFn$8?%SugHvTsjoBu-o!LRkX`@q9*_XF(bJmk{1=*ayu z?bq6ZU!ki(*5yIwQNWWMkKRn5`>5jBEqn1be~te{p7#IR1DYAQWnaAJZ?XRWwQJp* z`G2%j+dTO5e$C$h#b))r%rgJ>9?iDdxbEQj=<3Sn7C%_K)xX3)1fAI>z32X|8d19k zQu+T5K3W~W<>S}7yHc<J?&7^xl@{?j()#0E;rDYY-X9THfA@FN)5RZ^=S<G;`TIKJ zpJm)*rt%#Jnez&N_Q(9!zg=Ve`jSPQx}VJZ7xy2%n4hzUae2iNVZOSf$3Fj?`LnP& zde7fgw*Kr}pZ~tAe62F=kk$D$bytsFuT1MLop<vA_tzs)@1<VbY(8vtz63IvGB<rs z{N|dHd7U37zV0pjrhi3Pe8+)<`=j<86wLd`xxW7Hv(*vPuND4~_LK4dV*kKu`%kw! z4>;BTyZx=ZeC+fGDg7S};aA>weEs_uG&(N%+Gg`VT~Njscx^K|@oI5*=y};|m1+H@ z^In2y`lsLeV|D&V#PgXF?Emv#Pkg|vSJ3Z0=YRXw{K8&-i$DI|?fY{-Ute?jVBr^Q zKN<Be_KyVpD-Igp`#=5c_J6U`?;D)={LJr`-&mKw@bIs_tswgn=B6LIzxiY7yv_~h zjHB07J&S-3*q?l9Z}Io<{p~+X=W%X0XUutS=RJ|%|C6uBKQdic(9U0R#63pzn*A^4 zXV<MP`~F|(d~EyITIT=yUh~JiaUXgP_x3)IpZ>G3+j`A?=NB96_!AFWov)}*dHm8w zIB_nxu2^UBe7aNN9q1g#gD>)P{~eT{d|vnMeP``8pMAQl*OfPKzrOkFih|DUJ-hZD z?YB54D_8JG-R%Fo(pNI-^{<Y6^!YFMJ}=?3?vA7P=12bj(sqSEzp!VobmX4nocs9; z5B>Vf_5OUW&D;5Q*ZxT+zn#x{?O$YqEojF5X3|^GjC(Ppc8ZUvyJZiaF<Zam9*=xs zuYW~*_oZvg4_n`P{Nk9HztQadiFd1xzl^skoO9`ai~5_tQ@4K4OM3i!$3a88M=a^) zTfeFA2{_OA`n%nq{o6int!In)4KKGs&vUJwhcdhWPx`d~@AQtX^=UgERBgA|F*Ei* zUwcJ=^_|C@^9yQ=U(f%y7CODZzxdIPh5s8SM+fZRzx|se--jk)nLCqyeq`j|RJWe> z_4ml8wY}^A7Po?n$meX?|JQ7I6`6eXbH~>DvWVZ$-+YOm^Y5WO$al)1wpH~y^W&AN zuQwhS&HL~xOw9fv3xCCt*{^T>VZWAJFz4@L;p5zY-S_O@dRF|8@Y|1E`FYNN3;N7& z>|cA-{pe5I*`9@U@@ZesgXT{`?ZSh%?2Xs_-5fUOZ_#oKP@@er!7=CGz5Nk(yX2MD zd}mGqmFwB-wjYqq`_K>_bMM@>^aIs4kA8d;JO6KLO~m$#AI-`iRXG3WJYVrO|JuF% z&At1N>E3(5mtGMa{hRak_x7}{%jdnW-~MrHeOtuu^tP?_c^ki-m%a9R=kZnU{afqj zf!d??IdxyZZ~S!}65?Vzo>Y17*|j*fzFWEGfV#~iN&kv+_1F9V`k!8(d{^!G&w9(k zJD2K@y_~!9etq<BUA~Rw;@#;Lt=oTeq_4T39krouz2+Zz*!1GYZ|7w|Q<+y6A6#{u z6Ec6if5XqK;BM60`I^`MMLrU~9{upqx@~ssF^`q`-lu)!TwZZZ_}u^NpI%39zgbuq ztKPSF_w66tc?A`=+4V;R&y`)P>*k*G_<>wc``5a;<+alO@2|T?yp~K}n~s=w@T)0# zbdXnP{)C$F9mh50KC*>>-|~9(2f6DO`^2yJ`>$~>b)N12EwAJqSN@Cm$CC0J>+_}e zAJ&~$*e<?jZ~xZy-n0Gpmv1}#Ywu>h*EW}v=cbFT`P@^UEbae%CP(}V^?d>UYd-rl zmd?wZ^Kb3t`U6(ydm^6Ce4sYX|K!(oA71S%`>Waafo*<4YkJJ%yMNd0FAtWkKW%lT z@9%7I=(S(A{Tf_-C;9z9vG@;M)#lqj_E)rj{asMK=6?HY=t_ukZfXDLlJc)@gtgE8 zR1K67k9a;)=(WvdtH1ny|6%Jlwr~047xP|9oPWdDn-8SYEov4V&7Si}cHV~gn?EdH zZz`6#bE-4>y`$MbIrAOI-D?irY+k#!JX?DG0XsgqLlqJGpI=Y-JMTW{Ya8Q)x#@py zBbtRjeKyWJF9d3)%}wX?`@i^d{UNLKXCj`@{F<w~f5L0t!hU0$N4o3evR-dK%zN+g zl8qGw*4L(&-n5Rpd$03x&p+O{51j1$n?JJG9QY@jvqz5IqJGEL{0(*gHyr)-_b}sY z8{?$8=}oslbwg|EJkO1<b{<@n-U@1_oilb{UjO{de9!`vYn5ty7C#Ly+$$Joe`sBO zck-OajPE}-N$;s&@-_bM$z%GF(~o`pb<R&l{>A-AXBV%KKlicYuY0u34(`{z;X4lN zUV9%Zx1nx*(UDvB>TCWMmxfEn|9&o&{r^tFRpY~}(t9CYCCHG+n!lHK&wjf2ukj(~ z>(Y-u8E&()y!X)QZ*;eLMRT;x<F9vf_jQ$K%C=jp_x(M7>j(RtM}p-Qhi)H_{C(9n zXOGbOkFP%Vv^&@B|J?EQ_dHM&EcxyH*VVUv+_HCG^Vc|d&flWd7WWb%eWmhW^XL4# zw>PH_Qm{Vmc<uXvQ@rAk>bm&}uP;9mlP~z?(%t@j+t2K4Pajz9>K8s9{Y!r1cNOXU zL)tcvmE-PTKW6<<K-_=ZKle5FlizNr>o0{i752>UUH{kk&@KDz5$DtUzSg|k`0YGf z_J148zwFER?@!+=^!v9*!`6DWuHwBbAF=QLC#1gPkg{FEd(AcCc?Bi)v+IxVl94^m zUjSN4z?bg7^<#R>16Fqb%@sc!r1g&ozAJ41`g^PGwfEQcB7QIbt|09XUPf>%;x}lK z8`J7}yx0DLYKG>^^(DX9`M1?<sb9C@+j)`xnD=bq{@cH<1m(awwy%dJ-+gF$+<xOv z^|h77b8N13K7KIMtgfHG;-Kv}sn_%EPv3IiamX-EUXuUy_cs5C{pN3M&m6l9Sp;$I z-^_%!^I5}ez1LK219dF3|I5t%H~Dh?*Migjkm!9d<Mr7O4cRu2W$)SEzP9`@`<=&U zi*@GN9D0@?vHf1*FAw#;;$QPO{Ev}7Up-|jWVDRgui&T6>-s~#YM(K_{yy`s`|TgM z?3vg6H9mODK6uUF%^`FCZVHl--|+3c^0j}KmVeWi|Nmt;ZT`3Opa%bkzSnmjz4%%7 z*Luz)+j#~3f5kV%?Kqm5{dxPE+b2Ih+vq1#{zd-7w^p-#|1GlPA2F=A>V_;fV&wm0 zce~^C>J9R?^J>-|xc|Pe%C)%byZ(mr&AA1CoQhl3pRF$Zt91H(hxDC?PmYSsPp#w6 zKd8(%KjG)f`j)Hp-Qu^NSJWH-uHC!$^8UhK^FK6xW4?Fi&adD8HuLQd%(6dd`8N2? z{X@T|`~5%l(*7}Xsph<t&nI82&;R{oht-_phnLR?eb2G_Ui6%dy&JAo_ivrYd#!5T zht{u$#IL<xX}15G@`0#+me+M&8{aJ#+J2{B^x9vGr2fis|II(m?(|pNJeFJEU$%c< zLHpk2(f=P8>%M#Zrt+CY|9Nxu9giwCKK!fuwr7gPz1h)o3ir!@XmXd?b7WpSr~HRk zlGEb*uUpiw+<O1Pgx|Ws)iKHcr<K{iE9kb4F^ucKuD|)?>hxQGEMGsfe|^XL_`#1h zbtQA`f7|S<I=9n$P1U!EuQ3~5y|lgl3%sl=8Qkj9+3@OS!qv@3S3Pg=zLtJu_4M0S ztmik^DD63<KIai<e!*X^YtrAZEI(G6`qlc8Sh>aCsYlcA7~E@`eD9dO&HYceH}`$y zK6lsBFRnsvzRdgGpDTVXDn1XkNOIqeTVRW}M|?fA@zu`btJ0f8&kKjyvahN7rLP!& zP5r<}?cBXWdk$*OEA0Fm9%z5(5%al~=L6s7=YE}4(3NiU@T<4({=Sb+duq3yHGed( z^lW_EThQ{kPoICh5`F$ocKYASn!jGfKTc}ber7d1;yx#F?RT;4z2y}<m+iHCclBS? zGr9Kj8?N6Lez)<v*6jZuG-uyGeln+T<G1N7+4Y(m>ZPOq3vJ(VRM_spuWi@rj_}Sa zD6;NeAD8<xwW3#i&%uLl*VeZ2?>J_A@8KW5Yj$<Lz54^EU;OxP>+_FKN}tb@HD7Pv zpYQkl+r5H!&5z&oie3M__h{9=@`&@_<zD}Mw{hNk;cK7Y?mc<z`scUFw)YLM{hgL@ zH=ot+)1E07)xEa%x_TcN+1Ee)c}Q_yL4SDuwm(^~HXlpO{d((xO!|%ei;rg87~SIw zU%&b1<?T0q2)-^VnzQ$-jh)51du4L{ZN>e4ueV3Xe7%!+b@Sm>>HVSSSy#`yXPskn z)%eh=^q$c3&~>af8|-xT;`3jdK9H3wEZJ1?!SA&CQEi)tBJEewo2z3I|NC9x-~OXx zulbD{*}Q_Edb8_KTUEZdD}Q^V{(R-12Nl2XeXcN=_q!wXJm2bh#$mSNYpUAbZ~ho^ zo@4bqShM}==7X!AbLy`-zu;T`mam`h=YN(jXfV&;RMAvCC+YuLG5tdSTCJ`7H(!0< z5M6WRWvq_fL(|7+cN~7puCrhEwOH+kJMPCnwx4^v`0?HL^N&xupR-N8T6}EP^QukX zR;71@p63Bu^=<<=3H5`M(BA%Ae^ze~u&+5{X!npsJb&vq)^&xQhqp)WIcoXUGU5Na zu=Rq=D-H?A)t>p}Es@{rZu6k8^lsgqTc;;K{`3Fb<Adtw?po^ERm#QJo|3t9|D1hx z%>n5(U(ap0w)>d%z4JF8zF1`z*IqjB)y8wd+;O$H&iUWV`MJg7kd^<@i03P1ewT0l zs((e^yyB4Vy7zf++3!3MRJZPCpTDifXwM;Tzrq6k?EMGXV;;&~x7fdYYrkFc<MUTi z3u5ZO*~rzuyEFOm-{<EazZ5^W*CKC!h1`9eG~4@<*FMK4+upZc^L+Q=s(saKo>w2N z+P7E#W=+*T<u$)`kL|i&RQaItD0AM2p6ET*ySK_0bi2>lclgo4>ALf0{aRB{p}#u3 zt9Z}Be|vS~9_l{6dZT)I@BEsh$M_@L?|po>`uWGnk3VHU|M=ncb2*vt`x|yZTI<NM zdhhD~pZuzz<nvr?^*qZk+wT_1wNiT?2=m=@{riFOxA?}N)iMwM++AbNx6*&rw;f0R z)vl1w`^XsHQ-0+2_ZvTsy5|1tDUFl~7q{-O7Pl{dZL#}E<(>UccJfDjwMn{Kd}!74 zp3w7Omx7Y$kyYt!q33nOY|BC2zN?#$tG+HiwEFw4s>*$5<rC%S7xbvlc~F(Ix6r@V zYtH@audajY_A2}C{vC;XIMv^8{y5t^y3X{qSlqKa?8h&ro`2l@_*c>Mk3T*=mn-}# zdHcVbOwF}Dzr?cFe~n9C`<*Sj{_KWt*Kc*cpHtEP_x#No+k1~$#pkEj{|h^P{CAV( zA<Ng&$@TfK=ROt@uQ<f}?VbPMf@b!bBg*IG65ci+_@w!Pi=BT<MVIrQgSK%WCYI*! zJ9})hdAP;)@}tG_GWq-e+Q`)$-)VjP=+twMSHCxT{WC7v_P+F*=hla-_GPbmUfuq+ zraa<&dH>fM{fO_gw{NN`+3#9${<Dzwzq;zWqCE#$=M^^p<&XF+w!Pwj@K?#gzY4Ev zQ%^5Hu06+oM%ClKk7gCW9cQ24-}QBV<oCIcuZEs~Tz&lH)pL(GKYsS=`NuE2&#VHi zxD*AgxU7=f`06Gk_cVo`|FAo^4icgAk@IEJ<u`r3^_a=r;>U)g{uW1=-)-E#?PvBY z;RhcS!`6e>ZaeG7J(~DYE2j3yv;OsokJs;fcdqc4?emZK3P0|B{_(5n`P!nGxYLhT zftGSJhuQW=e4Vr5m1XkP;=i?K|9;d||F=X$Y(+xtw;gkG_EzmVtS(b~<<Y(GxBqB< z``D5!6Eq(*!%^q_x1c-vP3@Uy)(_a8E51Ix>rCHid5eDizS;6N{rPn-?%d;_zkBM> z>bH!m=Yc1$s@ByVITmbtJ>n~@9U6LGHq6#~&j!1_x9aa)%Rk8eCU*Ag-}c?^7RL?0 z*(ZLK`F>@2!0-0RzfyM|i7n^f{-fb~kNG3M_w`pkt=!UgacA`Lhy8Pp`}eJux9@ki zFMP*1eU87|oBE6Av=916Rj>WdmAyCEX3g8b-Mo716W47x7j1g2@^#1Fqt9M{;5#4j zK3yl`eR_+1>d&WZ@>~A8->Awj>?*c-X!}jh^KU`3|Bnv#Iku;6{kG5jEN*e&;9}i* z4+NI)IBsmW;oa}~8$UiVvHSjL$KRWuE4~#!|5pF+j{EVS`g4vq$D6$VTGjfsW_iT< z?`*GsuG=v0z0~WUc1gDPWv_j<O}?AYeeJK<ze!KG|57=x4PBfuf77?>n8$4Gn~(Ze zEV669W#3lyf799fj~Slpem%zdcxlCvxTL@8*Y=jl{5e%w^W5U!)z3ekRQ%6={_)B6 zv#Zj(LeC4Wo+lb+i<(vQZ~Ot5zdrxAto<*>U(uev=lJgP5x?cO|7f{<KI+bI-`V?@ z+1c^QXMgP~>=S-tf9?_MJ)^kJz2|TKxR`(QhxXdZk5z2@%lkgxx9eYD_x#S@$B)W& z<^D|AyKmZ$hUhit@5^nltG9l1ck|mlCJ$d&KD+w<<`2p1+mCVId+^|M*<VS!2U6=J z_shO3c>d-6+<)A18Snq@dm3za@0DQUS^4C%^B>E;<(9EM2wIkY?WO&LDc_Xm6?|Y{ zV}E`3p;`NnX}*5-f8o~Y{e7R$R@QuVfBtU6tGmfps}DxyzwckW|C;rosPhupw#7Ax zdqEAisD80*Teh#y>!hyE|E>8$__X`?$6T-LbUw~|&GG-rt?zfv3!baL@$S3swF=8? z71f}1dcxQK+9%xo|8Ksb{a4<Cf2_Oj3&%VZS-(HyqfoW~mfvd+@!CPwcFSklKYrtB zcJJ4DUHh~0NoVIjZ2A^0V{`M@`#Jxrm)G|@-<n_0zjwdI{QJje*&kDWE4<bI#-G+R zzoz>A7ki&sf8b;An*Wgb=QE#fU#RO%-*Yf(Kaci*so(yodkea)m)F1l(*OU`v+pJM zEzkXbWwY<s>*DWyr}ta-x7SyLW|PaGe|+)&Y}LG^HP1DV?~3PM^Ly*TUGmXueit6t zb>D5xZ`~WW{_L6`7V$l}t8{-(f$LxGHNO`g+a=!@@%7z?SGLJliw~|!|G__PejLBj zn(NKF`#w}P>+iW|@$pz^_4SP(Yu75TtFV3j;g|cHuerx&<sY1-|Hob0|09R?zS2iK zh3_ZF<~Nt_RhRi(W%hva_y3g7=PeHGZoTe)=aI<r_)R|o-|k%ZWPW7*9ZSD|M;}H1 zT7KiV(faKbt=ct5*lP~C$J8ACwfuk9<Kt`ov+?hk^z%<;ulKjz%GavOB3@fQp7m2q zrta!9{^Hs82L<Imik0vA_oU*tNp<Zl>%Y>=|8q{?BLCsl%WL=lZ~y$0dCgB@nTvKu z)nlywYA^rK=)cAO(Jx7}|4+WmpZo82{q{fC*4%zk@m>D;$0zpZZLRP9pMEs-J=^Mg z>TABrZMb&(fBx(BUwJlMGd?JOjXOR<f6dnw8?HTWD7BZ}_-;8T|DAs0YkznAls~;* zA%DHW`8((B?#14Y%THQ!eKO1IuS>wI_2&LK*xBz_Q}k%{|E+&Y_GYhnefL4@bH&5I z*Wdgc{1`MdbzS!!=;DU|ZATx!zV(Os^)*Ysb<dA{ob}K4-G@crZtULoRe$g4`p@za zg7+RuxQlQ6A-8<TL1DYZ_lvI89pL?zpYU0>uwip|<Zrgs_txI}T)U6)_1^};*MA!x zw3+RjH(&JH=gE&g{`~g-B=5D~jH1`}r)RCHKQB}0xNq*Q_&c9K$DiGK{K0M7{SM`r z*h9ba3s1lH@4KA-sj4gc&Vvt;V)hShAM;x5ThhB<JNIAE>zc>K=6%=AE&IRsot{1K z_~F@ef4795XMFwhYkuC_(DMwd=W&MF2Cu1_7V&k>hF6sbtm5T1o|`UwZ=;=YpI++g zt@~P^PJbleZ*h1YsLm~a<zM^lRe!aN+)DX(8_T_C|36V-cTO+&bLo!M*vqGGomM|S zJ9_SM@#AmTo_~BZ_uSjN*Va`1iul^I@zu<PtD6t3O79FkFSdFf`<kjgqvw}7U)Su~ zHTP+GLhZGRV#(<Byyptr{+^E7b1Zw$VcB;dTxzRg>~Fu?{y?sJ`}Ueo&m(LOF+V=K zW6zwk_S)xmO)uZ@kNMlH;_$x1*7J_DAOAY{+~bRL&my<2LH+2jG9P}_RPUAD_)7B5 z(P!8H?LTzue{fAwt=Wzpd)9`xX2(4K5Uo>pg!A2pj`Xj~?Ye*2Uw<Wf@9L-1g)M)d zN7i(UA3Oc=Uv%!juXoq%KVQ1NZ}IN=$NBp<->tl}|M<@SzU|+)?5OisI{wSH(>Baj zdQH{5f{!+<uQxP5pZ&M`=8skB4WZ{*s%!q69_7{hc;;6~h4_ss*@EtVi@#>w{uSNn zZ(<w2?%V!UJZQeacZ<XSKChI|`^dLmBEP@CqF7$qJ}s8*`0d~Gj=LZK`uE)9kN@sW zeth?@?z*aX8(-Z_zWTW()c-x>wfL`JHolTfzG{4MReB@1rqJbE=kfOgBj`NpbNlb! zS}&LJGqj@j`u)U@yzf@}w|y3m_?va-k*r^Q#z(&6J=Y&IhVyUwS$yqm{fC|9ea-E2 zj`#O{Zl8BN{48>>^bC$(>0<4hzxsc)G`C0F3CBJBWxckxOM1`2lcyeuo?GeP`}f?d z(j%JhKD4CIF}&9#UQ^NXbbGq&WA}Nxi|5$9vaz$gXaCD)p53!M(7wyst>L!HYpU`# zzM7eQwYWd@JlpDd>T9a_BEEi+&;FdhF6XuU14;E8`?<az*3~O)*WR;t)mHlz|GQVr z|Mi&Dzv9@}?zMk^6;6vkS)mvgd-j-o;rU(9`nP}k^kzrJp~{-CM?mGS`rPB!{moX- z11*UMTeb5rXhw6MZJ4cp#Mdu;X8T{gOulM-jP>>82M=Cti(}<0?5w`?NKn4uYwQ~H zyp`u$E>Dlx8|J_9Pu8Ae550Bw9jrcfy5P@Uv$_u<ulH|wSAG2T^0~*QkH5Tp{&Dx? zZ<5bHo;5xTZc$6Eo@c$Lsx0E`n~kq_CS1K-J?-rBDmO?5c`W-n_(4YQ>#Gk$wpSct z{<hBXZ$WeO;-`~?=8MiN=sG+-(EiS2E_uoI$8LVVQFC&0?w<*zFJ$xQ70cOM>dmi~ z<DU;&nDn)#d-XiiFi_j?4W#V{su`H1;~^7mkWHI*8|--R)vRB8ofEV}$yE2<{tM6I zA2XC&92EZQS@^eTbv)>RlRu(j_756A_U+g+?QDFBS^cqHI(@6f=N{iHK7V)VoV`zM z-tGT#hkXsGNoxsd(*8;{oA*jG>FVeIj7;x+8V;Dlx60m5z4AF~X8Xqn2fNwz<@Qv3 zfHNL}MH1Monp+*08JrQ=Q}F>LG{5v*?O*#hf9n$eUYq-7?(=>3z85@j__zN#uiuZ- zA16Bh3+a4#wfLDSpIyqjk8I@?^%F18|8YQ0Uq6fQ-}!Uv3pyTm-vr&%@{v*h>pyk> zsz*PU-g|$BSMP&Z`}|Em8T;p$Kd{>N+kF0$-*$=rrOMZBpO-$r==t8~uP(o{`PuWD zIWFd#iuykrX1=s%FE)Ssvt?_o|3|*_A3q&7JOA;C@-r^^4^pe2^Q}&Azgm36{hM&j zxqa!ig}1Nsm|GmYshSh_fHm&XgGq0skAKN5Xs?#3n^m{t;N<hSzq`y4e<=1o_1moa zgY)t~9{im2{@h{xU3s?R@kP%k>(9PweCXB8#IJLbzs4kfU9+KTTZC<Sgl&I>t^1mJ zAH(1LR-3*^{@x?jcLj~z-nT!S#y#Yn7kBJg^<Mw^aqsJo{c4Th`dixO;LY^hd5>B? z8{K*QAp6_D1NXGgPhP+K^Va7}%AYqs|0Vs-=I^pdTjMqJRKw1ThMm_9J1^#MmsD@Z zzGj~Lnt7M=Z~lEHnf&$4$2Xg{{b#-R*i^2dclAu$`ww~VJ^oT;#=kH3yZ9H*!XK8e zr#|3WUU9&CPH|kPyUp*ux$+;2x6ix$e%I%@&mUF4v$5Lo&PIQKe(_hGja6n5w%a3Y z`6F!E*UY=f|EA{aoFtGh&upv;tEj8I{a;G{BVT*?0{OfT9px536z$)OzWpi5XYX%+ z=K&LcMdxb$8=s|PisG6VYv26YEq}9CvRcwczy8g+{&}zG@A&*?`8xOWvkyLdbu;1X zn~ha+8>;;3(%!F5Z@FrG_?6}HS33{AicI{P^KsJOHy@sy+O}S~?_-Cx%>O(4-Z!LI zl!|`qmHs7SaXWgwRCq=6WBpq-{n_VU7f!nI^L6}<zpB;UbuX(PSN_s2zgMYO|MuMD z`#bI>gRE(Dua2`-kFX71Gfy(?ywK|BT&tgptxoU0T6`e;Tk(<2t8eXFwtwsU(*-^3 za~?m)`f^x$&$ZuQR(`d6SMW#m*F!$`{hNPBpW`m9E8U&nF8yuR-+S|>f1mffzTo-d z=g<1z+5DgU`oa0MuRI&8)WDW_gJX;hWXW^J)z2HQ8cV(Xz3okU*YoQeYR?un7R$t4 zekMBak?FmMvT`pjKYVriTK*APzJE#ggKLgHGrm(C*K|7j=3n=-H>-b!SMNW5@P5pC zo8$H6pj0pauI8KnW{^ePYvy^cnJ2ww9&gxrxz!+xp2U~!uX2m9HQtkMU%Y3}wzt`> z+A$9;wpMlr?>Wp~bLICJ$*=#y*M`f?d4FX8M(qzg?eUv`GWE|1fAGty?Ee>)Z*?cm z->sN8_sZOS+vn=V&mW$Q-9Arz`G(38)!*l5v*s1{UM+6ETHJqi^YK@b^DdnWKhGIg zd*$Ch^P?Y)-~8h#-*LqK+vY>BU*FodZO1{~bL-MSGwOd3WWSz%fBB~O-7ke7^F6ot zWA(S7`MSlUJyP2%<Zk`stbS>$@Avi`|Gd?gcYJ=bWgYwZ*Oza`*k-SpCmVL&HthU^ zFW>f6wMEzpubHPAc3u?}ed*2W<_qNSJ!+nJoLMg~<Gg$NhWF7AHRs(s@vQjHMfKyZ z`G2+UJTN`CFY&XipT+vawsCVWzSF-EZBe-Qn~mjU>wH`B^s?s{BV*ogs44^J*n^R8 zYroD({OYrzN-V;5dW5Zcgst?NdA9RXxBX|n_xR+^H`Q!14__VoR?}u)(RX?Fjha^O zUm+HMmVM1CY%8xg;6A7LUWfZ0+ugPCXXPdr@3>d#r(AAVvF`lKbDN8I+9iFJ*;sY# z`L^d*i`%XiH(uR*;8kSu*E<Pc??960^Nqisn{WN=|3PrM#U9DO1<l@j4r}k(vD0?{ z)!+9Q&A)e?S?+^i`2B6qmp|Y7UfWXt*hSqpf0*Ch$S$<2j<c(Zn|FV%d+_zS&p$D} ztEqC_Ty-qMws_4v?ltqW*UU2wJI}ZJx!mgX0>$0&w$5wjamH<$FXEq<`8(=WZOp6M zI}e!eJ-Rxl_HmmX^SOP=dlzxv{vKM<_4xg*n!fCFrwfa;t?P>ftLszVZ+-sK_3qDO z#bt)&c2#*v<#zjbM1t%Q4Lffdc3u{2Psdf`9W8g4&of>#k2CB%)B2m|h0f>Y{=W5q z$GqZzEnl9q{hou9y>ER_`O^8J!C0ogzi!82?K?$rt)Fk-s(rci&7T>zCG(z7FMD2n z{%Pu+%9_aQd!JuD^9)=lY^-{>p-OIJRbPbd<I^{PzOp?0YUhDhkqKYde4O?dbWQKJ z^|pEi{fBSgw%N1iuKiKrJ%=^rUQB-YD&eo!orj`&`|>~QzO!6^^r`Hde@{i<{F}44 zXI^-C$@7C#W6bA$*53X3gVwt35w_85<}rtzR}MR$ne=>Rde2qk;~;Bx9s@<$n-BB; zzWHdhy_Pk6>u+tDM?d<^{0q8*YYuqt^`9T~{{Mk0(;Ww*W&T}w#%@z|ui>@z&A+o( zf2@6@yKmR)wa;&w-l=@QbJsF!|8-A)HC!z|@JcfF_Pp@(nqlWH!_LdBPH(+h+<UdS z{p#k!uPh%a|K<$(J@4z>+<4<V4_MO6H&!-^S9CV--jpwMc{%95vLDL79<uqbOTI5$ z7}pZLXZp?Ty4dP{N7>JJzux)z!>@JG=a2H<`T3+R?Q745s<a5(=MlEy5w_ZE=IMfC zOF8Vk;Og`m<=^{G-hI)&y`s@N=E0+P-)efpE1H*c&wl^auC{9L|K!)=X=U}z>K4B{ z&j!yah-<5sxpU*5{Eh0Tl|{nk`@YS&b7e07yw|gLe*W?-?dzEhRnx$>Acx~i-8Xe# zdp1@*t0?2Y{h#UmM=Aat$FADG{mGaAL2mi?O_c@SyZ0~f?>Ia^YCY&g5$3o@b3S|7 zJi0mO?<Y_-6}=NwO<j9uv#a;@xzC@ro%#9xyBE0B(+xY%9Cn^@b$W@lb-e9zuosKh z%oCk=|Ms{2$K3ZEepvgWUE1c6EMH#!{mnbp+u9#~=m4vz=HG-?RKIWj?6$rEsi=y} z>%PR?zc@F&yvVld-kqDzetkyNRPT~-)Kp70)XILfllyAd_de@>`2piSyX=1-<E`bI z^Y>2G)g6bVW&R&}Rvl9u*FTy4#?RNgZ~k5St)~3C^!dxJ*6(eme=m6c(Khz|#;R`- zwuh^4etu<n@YT#DjIfN`JYRX9?S*fqd$-rdw<X&=kYVRvB!BP0)_cqS*M2W-e;j{_ zzuaO+|K0mVaUGx8Z`WGi*>L^MzHfW>e7D&@-&)?*yw&>r`}bBA4UyntAnd%z>gPhM z(>t$jKKN?q(N~oRURfr5?UBp4zdhAH*rvYYZFV2`oW~y)nyvpJvi>9A`wtHD`NH>a zs#AVf@Im4Cyhqo~=0BYGStv&D?Z3s(-t4W}u=oDs2a4;$=RI!U_4!`$){^I&+h<-i zJ_yR9F-f4}E@wm4H*niRoqzjpa0}|8?eCe7pB~PM*N%C}b)0`YN==o&Cj1Y_?|n?? z3o5oit0}><|6e9Tt0~)4plYhW?D@mwnC<h#m!AC|{&;@<qo-HTnueX{4LiSg-t+qU z+sR*f<g=fze$KKwz3c05&BMR`hCEXK9r^I9a&CO|od;s+{hNL^i2vwlcHjK|_|5M} zzjPM17t8#cR=49|woGAMZ?sMQp1JckOuzT}5$C%<4|Y~9vi6_%r0T<-x=m-VZa((v zW+JE*=L!3^?_W(DdNtL*Q2yQ{=64?&x-ZS^pO<uh`=MZ)+BIjN?^x{L*L^>7KbLt$ z@8<irYubg+y)Nhv_uu-P`<s~E*{`=gKY96X&(|26!*kuwm+U<ZvPEWN72Eku-$7Lf zdYD#xv$*}A@%_goo8C(nw5&dU`?KV|2bO;G6F!UTy$F8zf&bd-qmuU?GRha8t5lZz z`0eJmTGMKud5^1}Th^|#mbbZnzWDjg&$055vQ_-XXQZ-qV^!XUs&|mYDSqqkXZ2hE z)PHmY%h+|+?Kr4<@1ba%&CPlDU;VYe<p2ILBma+%)%G_(U*1|9f3niBFRbhzWBHq{ z6%%ds<!$xj-<(@KeaAbS>H4M5FHfI&)%e(}naN*c628W~uKf2G5teaz-&d!1Ts1!E z{cZB2lfgOh-ZGDc%6A-O-*a^J@=f`7E~Fp0Ie%^V7p~v?xcm!kpcU086YKh*+rO2o z*W3EXzdUC?Z*%z0&lih7XO-L4_aaqPbLTAw_XS=_?z#3Z_`GD;d6w1bjo06#cc%Mq zugxuJleT#v$9{f+{JjS!U%#m~`sGq_K(g<@ll`5CqIs6<5B)TLyYI`_vbr;JR_8zW zTHmkMyZ_+aWAk0_Y}emQDMsoGRLz^G9CrT4*|L3A=QdR7ftwcDds6MCZR)4J&F<&E z^LWFi${%98>$}t|+JkK_s2{!>zc#+pde5Pw_jC3gwSB&IN6ogo`)gL;oxged#m}#D z-`Qk<YO3wKKYx%#si|ICzqR@M8&+4X?!WavuDBPRI3L>nzWMlRaZdcf{Wt%L@)iDx znXPVdRMYOk(tFGOSAPGWw^u6WfiB<w#LvufmiY(1etz@s?EcTSZ*=RXgDR}ucProT zytV7|6Z;tX4OM&*wu}F7d49FH>uPZys9uar{2G(|^-ki~Hyf(@ew?Ul`hMg4n)&&^ zXFX(7x2SGB%f07-x6GaiwU1}mfo>E%Tz`q*yy8>b?|B`cmF_&+dnWGl_nV(}?JDB- z+@ISnUvRfdFRI+`=YmLEWpG2?GVJ`+dC%8x7Y#cv2I|5+7hL_k<ErsL{onge&Z?{E z7T<B0RWB~({Pl`f^NL?duqsRcwRqi$cljS!(hr{W`@`+iC4BC5L4Py<?cdDR>uvn) z9-X^BZ*u>x&j*YD-hXFvcP6Oo5t#()$K82V3F^o}yI1}2?v*N{do}&mXW4rXZ2jWX zK0kO|R_{1ppj~}q9Ot=$F7`b~ET2!UII{Ww!{?8m=h-fwU+~=e{L%lrKEL=MQ$BC{ z{4F~{m80~UdC_a;vD^BC>a8oFX3SAg$7g2p*EJvhJl*!+)b5ciU%aFJod<&N3qEN6 zp68l-{(M12(65JV;uQzHW9FWjcmKhp&r&jbFP)38x%KY!jnfY*e@cStt@>+o)8`l4 zR^6+)hup#1m+=1eeS3J2iiVx%TAkiK{Y`qi`ua_^vV}eFcOF*cgX^s-Q%Jov7g}%4 zht*rxZ~T0%e+$&b+V=$1#YzQrvGzYY_jvs-XcsGf^Yg34O;?SNg1W^z8>`lBsLI<2 z4$VDj_U<;-Yu{%7D*e5W=Xk{dOTIXF`#lG<_xjI|djFX3`0^$4?+Py5{;T`E)uMjy z-R*~YtIzIBJb$|S{O9~#pe(FgKCk|E=?B@(h>pNgP{L3RJCEGS`n9h1{<Ob7A8V3- z&w9-C+@hxWthUY3>^+CAZ7wW7e0AlT`yJLYHRty&=g74?Z2MewN6q59^;hQXoz4#G zV||ZZZ*$zf0My57e^>KO8M%*T>wlhYb$b8R&ka|LKPB&u2Pe>bo9A1`?K}Bx>mku~ z1%J|JZ?`yVU30*FumAkO_w#R69kt*19@NEJz7bk?>6ZQfvK(4>@xH5hZTWwuHGloP zU0?V7Xg#}nO8vji$FDz}w}%$E|EfS$SA8a^>RNAi>i>V?*WZKNzW!bb>0@n&_Ob4z zLHby{zyD3GjrW-^QEqYkVdl$r={?5;pI_Z^^mF`eNFS^2F{qEV*xJADb=8MG|K=iB zUUm8JAq6g|-4T-n9tNoRRQBtkuH6GZ{v8$Vw(@@u?#pjoUh&Is_W45h`7+|mH`j^g zeUM6DzWpbk`kd<z<<|ep_%8lN_s`_lTc4ktf4B1WPKmpfU&OClgFAA9tJ6P(SI66? zgKJ1ua7T_86np9Y>HpJy+rOx^`8m7xe1me#-`%$JAM(z7{3X^b{$Z1yDBt;ny-{-> z%F2BZd_KqgkzoG+y!+vsk3W%LS3d7@JvbNZm(OE;ZvRog#Oi;?)#4+sB<CNQ7Y-`e zS;NjVtxiYjv|f2PfB)@o_6ObPJSy<Ezw<!o{Rb}ne}_Rem@&Kt>$J7Eoe8PI{#`z2 z|DbQLnELkTPZvLbs(Gif#0%8Z3VBx}H4CW*Oa8iMBd9a^J;Js*`1apdl1X2EQb5&L zHA?mM9a??OToYa*^{siih27?}&v!iABcuL)^Jn$tTfe)1i>sbD@7&ycoAl-7py36c zcQtixo2#CITkhFw=E1|$VB_yA#ckmB)dSPtk&kZv&W)Fz^N@{y$MJ*gFWSv3iqwA3 z>#-A*D`<PX`2v4=MQilA)dlx%)xMnh7Shd1F9db7BJWn#n1Q-kH*?p`Ln~_S+UKqZ z_2szN%wr8ZFO`4uJllEujNi8&Gkv%Cqd&WS;$5U})|zYUkI2S7e3WB$|Ixh9Q89HV z-o?+m{O<hC(=RGZ^~&Yu+%A1=Y2R<nfBtn<_tniuLCsB@q_1=4oj(_RUSf56AE;{# zt+z7I)qR^)f8(3zocr%ULxlY|U_*p<wO)Jc%U)l7z?5HD!8cp}!--1fb@o}`^Ox1k z|5n9#J^K7+?p>en6_+ZO&x^V7)$y5qJ*eL51~qEnCGCc)b>QA4sP|u!Vt>%}ZS}SP zxsZD6_RT#9xc3}6c>IQW-^<Gn_`X~8yl9uU**T-u|45}^-p9DK^_4m2xBqxhSy)&u z7k8-i_0Anjtmns^T4O(7Fzh_f>gO_`0jBg;kQa9zepPwom1WY`9{t?==07d|eh||y zXy=ak({CI9SoGe5m+CqDuK)h>^6Nj#web~_yZ0Xyo-_CKGfh7W{lm6=dfD&!-|YQl zGjr$Xt<Rqny{q{U6Mt{+@^FLI>1|gx9|4V)?L7MGX3|#~aL3qhea83I;8wuq|6Bj+ zf3b;s%y@mrFZ=3!ho$!%f2p1;7jyER{tMwpAMRY!J}Bw;v0=K!^F2cS6=kW`_K!eS zR(Roa>+`OU&MjWO>z$4M`ZUZD!K-gkMg;HO{CE9FN4doxk-r7a?t2a&G<{PoHh<gm zbPF5)zXi?QHV;(Soy$I-UvRRLx6dy5x&FG$@2PK6KkV5v&Dy?d-TJrZHedPrqOw?a z_UiOz(6ADy7qWBR-u3g)f^zE3^L+kx*}ttGN%ZeH{PF9X-+UEq;q#O4?^x*HxcPG= zWJK`uZODk=r%LPk+S=XwQ}QqJ#^l@h=f5~-eg0|hoys{oq1BZ+XfOtMb@jXHZFZIL zZ)kP(4S7V+W~uzUf`Z`P`=9O+cpksyciE1_^*7JHsjPfoSyv1i8OeKi?sN1mzGrv` z1b0n^)K!1Hx2?C-E9g6{e|yiN;62Bz_Z()fwTg*7@T+&n;e%`6R(EmVs%fh}=Uwnq zboc)imeu<C$?v~g>{$DH>hm9E@AiC;xqEN!`F9DBR_xW{PS6P5=7X<pCV#a__&Vna zbU^Uz&GRvz*WcO~w&Rd!-~P1EV#_Pq`G2hV{?ho>U+&2DKl;Aavd(+>;gDJUgNdKH zV(Ra{n}6@*J^r6NrO$7+-u-#)^FMm;Z1${veeLt3JTw3N6ZZd)u!EX@@FA@7?Vlml z|F6GO693j|eVq7r&4*pvYjX>mqvzBfe#RX4K$&mf$$jGAY7J|X?mU!f7hfo!_d&{i zPWWT7^Y0U%tG`+Leb0{N*7g<a-aS1xxq7#p&HDGr#Yn~K?_0mY#p;HtW#BP7?=|yy z=hbxARdn<3ILxfKFZaCr_s!sez`Em*fxx4#YvWs`_Z+)<G-uyo&gZ2&j;}rh8VIbY zzn*=5GW(9t*NQ(nl*`$k_zImLcqM6b;~u!`0QCuwLi6#>^4$OIdyY?Tzww>N;?ULa zZ+`ORe~?+uK6`zE<9x36ji>{GwJX2_flFV{ef}j8lx^+r%uVkvsD%^-@|ovXKj&Hf zoN0A>BdC?W^WdwU$3Zd1BcJ;H{Qmsk^B*Yt75-rU^^k3PMSFO~N9XFg)!+5~?DzJw z+dNQSr<Zu%Uq1JKcu`!>V)>gt+3#$;{>bp#o|-RvbnaGui2zkkh3{&9Rp1y1{J?@d z5LlP<JL*+s%p*SY9}U|pz6yhe*m*2=FZtRv@5J|qntl4IpDou}>K{Mp|Mt(x$#4En z+<SfAa{sdD(&ulUn%h5b_VOK{e+Higb>!9{m#S;#9lZR;4mPG*akg$+h1rdLW)_Ee z<qG<n=U?Q{|M2AHH(SYCC7TC4$EPoofA@iF`<(EHf1a1c|KhQ>Pvf8a{HO8VpZAJ? z>Xgfcud6=4I{kJG`smdk8EbHxLOjBjd(Ax4dz<F-&ijAp+t%Z(?+Sl-&u%}z_4o3M zrgDp;%(X@_vB!Q*-EmkuCg$3+=sU%6-KXPk{yqKt=3mQdN!#`F-k)n0-;rnYT)*V` z!&_gWvjgD5yLRH-<?{?d19Z=sRzH^lH7GV8uKu?9`04oD`<ldWgZov|DE+GRYpV~k z-h1?+$jtu1#LsLo@^Am0_5NY^O>F;_uh%}mNd@KGy?5rSuP>;DjJjl1$03bhArGkb zzfrGPT6_N2_HFAW^9q_a--lLD?{C7Yr#&`*3!2r}Z+hQpp?^sC-sv}{^;>Q4XPuuX zzP|AJ!|d4Y^SZC^{Cx8D*;kT@U(al)ItOl?hk-{rt-&)%%3<d#lJA@UWQJEy7w4`2 z$d&)$i@(|Z*R{XCRQ>0=reD*1_x=OUbL%obi>S|;{!orRf6I6FZ*i6L_MDu%-c~)o z3^Zq@`_86zHQJmNXa?kS$JOFrX1n8Ulh@3%ocH(UxBUmI_Z(whS7-|DQ2kH(ykO&R z?q4kydnSGLDrgI~d7ygEa{UqId3*1k>;JJc`ut1lJ3r4AfAlMt`#T#n5O_a#9cW?< zxd%`+eeU{s!E5FfubF2VcAo3}|E%BfkCpE|{E+|UFt6N4KKA$9-benqJ8%BO52wBy z=GFVqFn!0aF5CSFRiB$${A!=O|HduIIN<-obLDNX|9^RI^Y<Ncw)yw6i@zcUuikI| z4j#Nhp8l&iSyxu^$^Z8C!1Ip<%Ps2m*WPD5|KU~q8)@?|ng!D$AobH~=!})noQFsL z-~IKAxvc)=y+zl)9{c>I`Q4t+G5@Ap_uD_If=sZ~-Iy1Co)a`ohhE!%nDtlY;~SN2 z>tDLR`E4ZgnDM!V#Y)@#2c+TCRyC%eX)F1ykZCI;=(N>#TmAR8=gZ&Ke7RF|X6|$O za@jxqcn1UR5+Q?u_B)}2fg&h_f#KW1gMs_M*&a2`fpn>CmO{Ez|I^=pxA?K-_0;D( zia}kf;CD6OGLgGf-~n9dw3RWmmI6;(*-U+#z4UwG((leFJ*xQ2RY&J<1^1|~Z-Vxy zu9W@%QVi`;)!qY*2F4dWe|WF-b>&Cb+5P5E=hr{_mG<X9tV;zNd_1fV>T-hySpU|Y z`eO6{&sIo(>hcZ9oRwcTq(8Mh`F{L1*qqfKGsK+LKT&J@ME$+bkA~m<d9e7aY5BaG zyI&tv-o1@HXC;j`XBBk*^5%n+FJI=*|HxQgap0)ro1ZUcf2-BDIeOXnR!yJvuO~Y^ zpjA~VysFB-`Iohtd;i<2x0Rn{%kNd`?R$N0@%x?kAj2&Yw$06Z!Hsj!L<?vn_c`0@ z^u^C#-h6!X$hZ0f)iyu(fjd-lPtH64f$e-@RT{Ley1q3|_uL0Q{vRF1=cGS&Y`5R= ze)Y}dPtMn^&%f-y^Yg*Ze;cjO*FE~xakco$oc;HX*>A-<Z}rv2_VB@X^XDIh^rk+n z0cEI<eCt0v%K7#u?0tNHU6nk4#R2!2*!%P5|2wm9{gXWs?&;e<^Y2JrfAsv@%AYSP ze{z@0{k>HBeCMC3*7N_}S~Jh^+Whk}po$Sz%z`RL$v?;5g`XFYe=+lc>Tl1FPh_^O zSM4k8v<A;yg&x^g=sce>-F!pb#f$sa9}1Saf8kkiOmSS_<l<XDC*R)`59(0;19zzQ zgF96G?`r<JpSjQf6r)G=L4CJBtcM!6dA{nrxu?HvJt&#?p`lu4&g{C1X7&1Q?@zuE ze#n?^u}|=CL3{X)r+c`bKi~NK(#3QAH=UnX{u3|X_dVv{)w##(i|<y){k{9_*Jsdt zHn_6^ow};O0iU{hQTeatTE*!)vx>U7+t=m9cN~BCGe_><<#+QRao&3<!vE!D!PLn8 zLgf{$-O{&fx~tD+7gpBouK%gO+df@?@$*mv+mHL*FWFdhzCKo57gR1c?+i-CWxErt z;#&Ls<xR+l;FWKpa}I%fR@|sPD`9BQDj3?c($Dyw?|1V1#_c~sKr1g6TEDjqUtae7 zWF~At@bazCuPiY;R-nO8yG`>Slzg*&&3$uU+Kz*q=k#(uGwFX2Vi!-mZ?Oz?^UUK9 zX<rWW&YN>@pZcRc9NR1OH(&q5^R1?vf1Y@H$@7OtN?-4^m~1_N&doLG-GQU8pgpTs zl~u>mH@=@8GX*vvsFwyA5R|%Iv;O<b%dh{=j^6)aO&MqoYj-Pb4r|U?=p0rVXbx-6 zVbC1biMh+4o8ahKolgbztoXm2`S|7$VldGA24pZ$KlAg0JCMP^?ORX>13%yRDQI8* z+R}Et_5Dh{bI;E${=EC0js9}8@bf~TE>Ceccu)y66?otrY$`D3{N>GuK6^v^R(njK zeJj@G2RZkPP2c!D-D1vVe)Am%nByM33j6klZTg(+4_<64`}fGHEdE#=+xgSnyFV{} z{!8hd&F&SiFMfWOWEPGXzY@Cr8?_-&HE*77+@|@m^UmbNyUT!TuYEfpwO0|O_A1MM z9r~Cnzo15X_WQ!Km9~C*`OnwuCw`y)Mt9ee*S*hQF1=IveCM7m*8TdAp><c>HLP{l ztI8_AinDcn6=ki)Eb4z|or6_hI~Ji<U(ulIYdUn*g({@_iiNDY2nVma=z3?ff70s* zr*L<&7Ce6`8GCeJ{^`196?Lh%ugi#A9Df*^6ZcRv?y>B>hmCf!>+;h7y4`uGu<^ZS zUjApvcb57GQ<J{So2I{i8v6FH9D8{2-cK^|cjtbew>o>r=bNTyU(HPTs<WX=4?IL? z7GYbxW*+;Rd6Tn21H})SVaqO7L6%+o$TG_>>?;4!&|fv*Zt=bS&0o9L=iU#0Ajwxe zTmQp}O4fDj^S=8BSKB{%o@aA@-Q#oH=Y4*?>+^@Ib<yX$U*E2&UOkyb{g2j%Ki8f~ zt$xl1p2P3IYP_d$?)rJ4#SqutFXwsvJ-D;~O?vC~>l+|Ft=P+up4Pgf`|>mHi(ghh zCVQ^1!u{7nw)KTaDoyh~{&6d-I}}%SzWdBvd7E(avgap#WBBJ?H{ZIm>RN=Y^_qFr zYvvt1`=<6Q587fD|8>6hdycZ-dB`Zf(pLWN9q@2ql`M2PuzV9_IIw&hY&bA45i}gQ zXDMhn@Xp-r^SYyVetvOnT{+ki<*@S)%)Wu=MbyAecf>-L^XsyI+dr_Kcjwx^^aFzH z)}^0MuV{X5v3K9u@Er^MTMzG!STB}d(av6T#PWG>#gW^$Z+^e}#&G9eP*;l&)YW?a z&Sv-G*U;H*_3AiKi4N@_fTsm*L91obE7Et@*D>Gz&mv#gby)fmzxj?M)_V?hOW*t) zy|+I6*UMU)2aNKCrRLRjRpP(*P5PW9lM0#3+OZlum-YVHxy8G8zq6UnU2eCxJrdlk z4Li>YZn;Z=7n}88HQw>u#Ny#}@Sydc6no8icW!*!dc;t#uwA<5K=htnoS?yWwmEnI zrl{A2m#lx;`9JERW}mp({KvCC7tOhI<=p%`x9`c{tbSWrC11Yp<D9$KK^?B&J3m(@ zf_ft;%LS6Y^1RFczVbQG>hz|on-64v+k9m6?3?fo*X+xn4i_W5!}Wauyu&3{7XQlu z+Tm)x1L|-kl*`#4DSco0HDESqO~Ph)VVm@|XFBF=*8ZoGO~Q3=3mdCt&gIJ4ok6O- zO8sAlKjK?oP^dpUzwmseu3moXcYXQ1@6X?)e%VviV$Co2a@WT>_NV5y_m}NO9?6nV z#<BL|)3t5u7i{?rT6<xJvi9QsZOGb-d&i+`FK*xZJNx)2&{WnO&{WoA&{S4clePc6 z7tpmAwkPqey_lDCfAhY%Z?oH^=RA1x%}oCTlYU_V`?ucYUnV;a+w#2!4IZC7g;a5A zLMkr*(&rB^$84Y1y?poQlg4LIi(1q^*N>UsYA4Tu)m!f}AoW(2I&>nd-u|_?-D&Vd z7QEgHfvyrv2dxr(VhKv`_SfewKW~hq-kP5es<*BhAKz?!`=5A4|MiLkrt97%oiDFw z{%)~%_1R|e8-H1Aon-FauTr%*tSR?F==q%RM}p_$GM>BtxwH0p$=h?~^SZ@%etvOu zo%Q+C({IGsf`(ndYY`z!FTMn!ExkAkU3zgh_ufOc{)+bI={LXYh<*FR)6Ty@KChsW zeb14r%x{14>RGNoc+vLFpQESW?(5mhGjIC(g6Hn%OW&T`9KK7=Ha{*Exy!YF8;&kl zk^k-Mg2yY4$o9o2gQu`|iGy+#*Bsjukfj&)H=s)|s&D^%eH}c7755x8g;fQb!ZLqn zQ`h_&QY8Fv!@o-K_l<qa@;AMQt`gjbJSO;O!emzUKerz9vFC4tbh+$z=eN%`zfpWM z`c3@Pz0ZF}-~IVwXXR|`^U~K3-ad;lj)feceEa(U`h462T`73)KFUhLh2WKf_cHGX z>%b<l8lXL{G*FML{JQq}kGnzZjH<R<&(FnGW&PiPy#9hY7rOpp6UzFF!)wC-%!dpN zK7<Yo+TVCLf8TNFz~J{?pn<{KcQ!TqK?8%#X8P|6COv40XXPsftz&|(6x>#}ugY&j z)v}7h$ldyf51xsEEWmKifh@q_-})2007LcnzXk9qEaex7DXjmSJ_~=lR2^4y0#s+o zmpwn}4yv={QR=LEP_rfpw1N+5bkL>;HaaMmci!Fl_dd~ik6tS0*d3RxEsC+Z^ec47 z!R$G<r=D5QDTr&Y-t$Z1_tiN2cU5mIKiPwpU(_6$>;C_BmHOxBpcPq3UwQtWzlVKv zP#H2h=zj}5I=C1*I@rJMCztq_l?6XCUSEC0^Zr9azs2)Cg8dbcI_u{YP@Q!dl!xn& z%}t+QT6^TzXV6rEeLAl3LHqpg=jD?j<AV|KO6&No&(VATOTa3v&|9A`>)-f3b&mMs z7loio>(=Lc^QQODn;u{C{NnVO@_DEAcYl5veg?dDcq3?G!n%z}m4Eo#I&kG*ak4JR zrgG2Q?9OEP=pYMZbg+8O*RFkO_ro7*_Q|J1hX;k<{yBO5Eo69bul4<kbNe2ib3cF9 z{O->`(P>{n%ZDRuuY(s!Tdx7Fi+FImY(8jR1gQSslV<O2Q$6WzcC+!F2aMk>DvbVq zVDsOXe1Gyq^+U|(3jS35ddRoF;B=+vx$v_1U$M~I>N}{msw{Z^@q6s|dExdOc2=Pc zYW--(tgY(1AZsr^tTf}VPx<cuk>!0s7yGZ29aV=;HVM~(M+j{$LPrRf-~4-8{x)QU zu<p^h=J~twY@Rn;^VdH^nZf#Z2Xh7s($Bh_8-Gy$%|EVoi@LV6!gC&p$bSfWe|h<p z-~OB8z)Rfyw?US;|C(9$|Jn2!`ReZar&X^jzh#%-t6o?4_}t{huc75^G`=CiA9HKZ z|B8eT5uSR+8uvhzZ{FE`g+BAy%6A-p*aceDejjO3`+vxy_DB8iZ2nAseXaOodbyn> z-T}fN`rm3NKfl1A|B<PD$AP28Z+}Xy|G?%yKmGIGd&~XTf1jW9_t5@Ze|hIUc(c;X zzwl6{<h#NT=GFBH@25ULdH?RuTg9LFK}%x)zdU!j9(m10($}1gprs}K5w^<@vNj3V zK^9$ZevYWH;u0VgR_(&G&66P&mfcy@3d=7GQek~bc~|p%r^Qa|e!qviKJT$vdiLt( zBcRphcalI8A~GAQ+?H?pjI@O1;m=#p3acA5&G$%_&*}HPquroI?eqQYp^MtnVT;<Q z-}u=bd>b-G=>HhBsQvP;&u>aVi`p;WjsdS32CqpxDfy-rW7#FRleOo{x6&hqa)s@W zjc<LI{lK$6?igqUgDL&|mN?UOAJ~qc-}F=N`kd>JpFA!5SCs_nWLZrHb+TsOt$e=I zrrWyz{3~cDYu;I`ovfs<GLQj6(QWHr-h2xkAYAdX-MnJ$_m{!1YwtYVu?RGW#Rr+g zS`V4SicA5oxF`m#xG2^upEv(@X@MNliVNt{>#K+!>)h**-qk-XXzyxuE^_Z`UM{G2 z^`Pd>YfI=#!F|Wx*=L=*clz_~-?n_qKr02gcYvm99n0-@PlyC9@kUu00PYzVC88|1 zxCUKrVTCd{Xn(!xsCc4%Mcd)$H)|T*&uJI@t=e5*6$tHI={*B=u5Qe27cakC6&G{p z+2!5yo*dbKUgrbf^;z51`<i>7RY1mE<G_pB!_JGKEVk%<o82va=g}KpGx-lp@`WGV zzSU~}-Z#U}@LfUQ;pdn6%`1A9&#f-(em;F0q^62F2CAv1mp(sv=IevXTFcqsNrTHV ze@%`beEJqk=jxsdtaFvW?fGKu8{gM{5b?jC^x5*=i^-24v0ht$h&S%>n^v>?4_iK4 z-FbXf^zFZ<y{+Lzd%xN2+yq+C9$xr7@KF_H>2TJ%y6~;vzh1u$UE78-j};B6saD?v z*HpKmHB~RPceNFD9&7I|@H|#FXhHk>m*?2ef8BbgvMl$yJGcn9*!ukI`}xM;mFBEr z=TUm8eRu8acfYM~>bE%h(E3HYbj%~!xQ9or-~L>CuU_!)7dyER4gEW+Hrm?nT?bug zaTc=B;`(OrLW{3=D@&L`Gg)o#YO0hWGg)VUe%^UOW<646<(P)NQgHw6ebX$CslF>{ z=C9}uf1h-J$HMaso2N&te{t+vt?0Z*KbAtfR(o!rn}6pBv}+X%?pmDzb**Oa{`^z- z40tZfB;5Y&NBFGZolEafR|-bozRs4u;~?|9!VmIa4oln2ybW8@zP|^)r2YSv-<P6q z{xqz9VRJtJ?YZysPT$_~`NuZUT-NQI;JK`|&t5IJgl-Sm4w^xQZx48^`}^jDpVc|> z)qDG^_x_KY-Cq9S`C<5y_NUOb7NXF#7W>Y>i+@&De=g4L{A%l6pC9<GlRp1*?%m1? z@$1px1>cUvUoXq}zt6(et@^ud{e!%+`c`L|`UB56{R+GID^`Ag8T{(+El9U&-z8|b z>e{>ecjmrN&i{A_G?OI<n#sC*d9M2RBIr!kyWI1y-?uM|sJAyc4h~7=SwYZRi`qBU z*W|a<o-Jq=p7VD)WQ|}=2YiiS_(gv6ilTU=HG;n(YXn8!+3eX5s;U^u?J6N_1ZO_` zQ#mKQ4|7%fABErhPPV^<t!h_>u4<Rx`ZMnR<>l9ZuisYpu=(vjHvfWeQnQy^Jlw<c z-J+snul<Jar#?Sf_^#%yWh8iIkwN)9yE|(@n}&iw;g=6t&<36}yX<}gw)<*-?tkt* z$Fpr7NQGNN*IFFUk+Yff`=#)s4_i@}T71)hF16?{1Fa5BzEc?jT52Jm4Owb2YxVqR zKOkEJY|p{R13_B@p386lyZ)o#`!9d~U*I>dIASehb8lbzN6zZHw!_yW_y1@Aqw-KQ z*8;we?@sBJ-&r%a|IPWv%L3lV_vm1^--kyBxz9L!ILBaou<em!+KUC?Eq&09eEM>K zBG1>#-M=4o{p-KaYtCC0$DXW}OMPG2_Putpdz`_K|Ns5}PMX~R|GdoiipJB^Z`Jg6 z_s_d{?%|8%XFnIe+4tq)xB74M#QV#hv!B0Ozx(qS!*%TEUoSm-yxjKWKKA^=<(0)Y zeBWk0zL@;>&!bwi{tqwyJ`;KWkw@P?;j`+w_X+Ry3unxf-jiS-ZS!l#+t&@rHV?#( z_iuiG`!Q!+T~qDjS$39w*RQQUq#F15N2=NVhh4v|=4>hZ|LWtne@%N`{R{Sfv#DDI zDhvIKo`0Mi3)$Rr_|iQ2)$;cqX@;E_0Zq3f7Dzl6{cZW+q<U`r<K;KLFSR&kd#>Pv z=C6mQaW8FZwdNkz?Th!bzw_w9%5VSLeml*ny>)N<QPXPQ`Dy1*Tc6*&d^c$MsQo*e z-|^QEf_nK$Uwyzu1{27V&BtEB=k$BRzST;9Gd{NY{EdBS7RM#|?6W@$^?&5b|0wqT zOJ?CuuGi)d#Mu9*-=Dny(lg;Zh4D?@=WqVzpSAh>qnTy<4j<ecqi=iseA)B4&p%nd ztN9eOxk@d<w)pH-|KdH6Eh*sD*?sfU--n;)Se@Qg{wBRmeE#-Y-NH_9nfE#O9%$Zs zWNY`rrj~7P{nW2r+WYwZ3w~tHKL7DRt>wCX3Ge0Y@1JY`b9d|WliYWH9xMLlR4%vg zMCs$oe@U~;zuUb05uahH_bzqahJTg2kNtZ77F;A6*L2=pKTmnhyx=wWgS);~Ykg?^ ztMl<y_qO%C>k6AM>)+gSytwAT!Ra@@d%yji^y|ouqmq2_&h{~nMf-NFKg2t4?c0AX zdrRg$*Droveg5g`yOp1~Knu{ckrtq(f6%gCKTi>~tmU}~XhsOURATY^3;g*X<hJiP z{xkgT&lgv})q2|;d%XT;O<VM@6pQt1<2$qW9D13ZyYDFH_pLh)pT2(Mckwr|YwN=2 zxvwvM-uwJd={uXMy{|zVDM6Fc#ud$T!Bea(sH@K&dZz!r|5#RT@5Oz~j|=MU&pW@o zqBp(b7w>HSLcjS!?dvzk@%nuf`~IWB_?`3z&;d>FSKmnfly*J({N-NI3`u_B^Ow=F zkR{WPw?npcSYi~1hqvGUoqm7I-~JDb{)Inue?62Eujrm$@h`W!Zt>^!%jchaEcg9K z!)fyypC6as_%3=!{P7Fxvj1}1-<Z}fx7C-o(ZBcf+~Q-UuXk2#x0c_3d<|%k0><() z$vxNaK>||$#(9zcec8XG9!QAqIBI(DF>l<vo963YUVi+g_nLOq{Mz`=;}&(3&!+Eq zw2w#q{^sBA@3(&jEt&4Oe{k;lyvzKcB5`l&^UeI&n(v_X)mK0ZfDgW!`B?OK<b#vn zbK|q;Jd*mp<M2WL7wy+8z6k!FH^olyU18T_^UM6(D>|j$X%~KTuD<_eaasKtxv2A- z`@uVX9>25s*Yf(@=S^FY7fge9W&N03wjVT<aBd@bLFAr9`)r%v6W?aH7vFjK;kX&U zed>Gp$Ab4BX})_o`B72wU#>fkRL|W{`po-o$NGau{onlU_P_nx_?uBhT-~v`?DI=w ztIydzKX>^2?t2M{`TgV8yZu4qxsu>*S<vOu<!{p4#rM9|{?W8|{}IK$`mE0^{vSo& ze{_2OGWf-x=(XX!#d{7uyq&Y}IP>?fJATdFTmRQ}U;o~t@kM(-+Wg!E+UFBr`21uz zbf3@S^`Jy?47AT;W+G_4En>NJO@h5+`u)wncD~JS%AWJ!i@4eOk23lNAG|?hk5_ga zmF2tdXCL$U;`O)HO7FT0n#+yAtEJ5=-dFzfEtji1UHaJa|2Av++T-9^GZFAoBg}n1 z`?K$x{}TNRni=jcp7a0Ky!9W1)_?qw@OkdJ%gZnSERWb<kpF)DM~>z1xBL`Qzcc+2 zAN&2ypKYu4ZTt7VKNmi4vOK8i{?)nM?(f7%(9T-Sru(1d>iwX-h{iTQd*9agl~=S@ z$2|PB{aa1<^@{f8`!`p95U#HGn=jD*ep4K$-$#+}6^+L4v_CYc@4YMkvD|##a{JQf z(dU1jzf<|8`1;!CNA+{oftR5o&4&D1dUyT2<Tao*s-T^{=j-x+$3JAg_vi!vm&2xV zAH>-AZ+buT|HXOpA8c4Czpk*ade4EUyWjpa)!VWD*v0v8{{3A4wpJ6g1(gr9x93-{ zwSPUv@@SdA_s)TLC?5qy*UlraB9p%6d}#Wc^D$#Xt!zOL`<+KW_`lUw+Z;S?zd1kV zvik9t)oa3Az4sjZSe?7?u;}+vi=W+h_y1bFcYi|u#rZMoZTs0l)A|42oO`@~2WUFq zWdC)~<NtsE{ILGpo~phLRel>mOWe4T_xG;9ah}otU)FD_|7Z8<A2obe*w(!NBLDi2 zUe8|!zqH9K{A2g)p%{Dp_V4C*#2>wR|81Y{x|`X*_^adYRnGf+88p55!0C8wiJkSy z(gH3}ukQa(AFxHxeJS9L#MNu&*@m5ek@9;V?{CS+H|=xcjpsb(Y5%{avh#RF>-LK7 z>R(%S)a?H%UC`aE{CnOXX0!PZ`hE+=u%uhv`|Vi#EpE=F+Q$z<)=i)HIC%HxbH$Ro zKA+6|VC!#HSKo9MWgjJ^((=njuC&x|?whvbh-#mI;%7Ghk7DmXIzE4C{NfM$+VC2y z-}_|z3wCV%DZ_tf`r}tA)&GBdDYJX>ex0rVxd))FKE}H~fACo+eg5;rv*A0xp85D> z-!m@IS}n-Ne`A}?u==XrZb`)c<xKK7&I_&Y%ZZncdCaza`IgH5=RX>ngEzk4d;`1# ztlL<ouA^?pF>RT`_*Q8f>(zJXZ<v1W^Pik|HSc%Y?6&ql_i)$uJyy%lUfp~MwEp%^ z;#VFeZ1q*}WoUg>nQ`9z`KI^Lj|}DJAA4qe=aTy2pY724$~|Wvw7x374XUr^9shdj z^NT(2_I#W(|NLC{=jHIJ5jOD+knMp9pb<4aaMKzzZD(V-_HBKCd4<Wg_b&@uPG7$H zSu^gj<h*(Jo_Wu?y!^;R_qE~8!F!I{+CAv`&1Uo9EbH6fTHj{GocMa}^Or-QCVcFX zxy!{%p-p)GwDYT<vw+qyr}u$8xbqljw*6+}S04Sm_w(l-{APcM-R9^+$(QZfF%NX( z9{rs8=4bD@`kp$g{^~oAnf2`R&i5z(-q-XyNv8MBKij;U*>#-Nads7PF_-4LPcOP# zrKk7y-0|s#;I+!g+y24JB-o~Jg?8$=zZoB0Y<zp4n8h*B-t+Gj&C}Bp@9$XV-+$RZ zV*QJvZ?&p&k9TbS$rFBO`okw{%Km30JqImFlZ(GMcfIZM@B+|+w61qGWvZJ&`(M4+ z%+m&MzR?Bm87)Yyo)4-^K+UN=N%q_}mb2ex_Xfv2mMgcgu>Si&s()S5{mGZq583(^ z{_*_vP)xt@aINaObBXU~$6bBbf7AJO<+L53ovB&xZ1(K}El88XoYarM{0`i}fbQ=# zf0N#u{(MXA+k!r888<Wj^~m+rr{HVr59r1{`g7Ur{)4{XQZaK*-`l@q+g|%*{pROS zOYc+~fa<Gtug@KRy%VLry1xDQ70_Nfl=W!G>&hw$^KOS1Fjv=iI?K#I{)}_pBh7n{ zThCoye&Of&i1kv>E1D0Vzg5%E{_b^QX<YUHUu~e_$9&NKo=^Iq)o9D!+3eg1og66< zu8y-k9s!z6MLCaR_45Y)H|jf9)}DV=vu!=+y27T*pKse7FWz(DAS-AAeeh$ka*IDQ ze+zou%{RaA+@XJn_uk1jrn`6A-hZ(7`A^+Dl@;FCd!N@lJLkUL2&0q*?;(-{?>u`P zefxXwu`h?EZI0`nE39*@-gn4*&#}kcH*1!E{_?Wq-{))kdwTcoKc+nAU5?#R&hJZi z96p%)w&wgj@AHdq@BBRV`IB#;gnswnTz})u7{x32_=F#Q-@qG{(<+J*Z~vD8UE#3f z&{NkpKgHI6<n!0h`uxD}+rK$>ihTPM_6p5;r1|b6Q~I6o2aNvja=yF&x!e2vW$c}w z*NW@H%jNE#Eqz^CSUwx0H}I@%e^uYcs&gB_Dbi;5jJNfz{1x4YyD#%!uQ(7bbLa5B zbh+D~g=Oj{*6lcE`R*gr^!QEBukU!UPs)AzhTqS>Z~49yyi$5PXr=VOt8<tCe^&Kj z&%W(Q`*~#U9>-B(y_mFZJ#*j3hG?1RkP6FtBc#Hbz7|qph37yjteso#?%%Z@Tw(nM zRao!epNl?!l=)8O9|!1;o)3=r>ngqE-%|EBV0G2$OUQLqD5$RDuC6~^t9VW?{r&An zv-U3gp9k(({Rd}S#dkK<D_%cHgstva9$||)h5uzfv}e`%HoL8O&chF%%=AA>tp6aE zU+6zyY<m3WI_A8>5Aok@E7L!7zT2UH@TlmUzwBpkRtMhr$;ZxLzW0la{h_(#^G>_( z`h0Tn8PMX6GZ@n$i`_rl{`tOMFzmd@`y1zZ^w(wlj(Q|C-D2OQv(h$4qxT$VpS%40 zg3s~W<385k{{FP0>2Usynm+b-rwdC{tN;Hngw|5i-qk#|tX^ZyFaLbkN1OURXD_pV zykGxFE*xo6<e~7Jy00>cU*{zKofov`esClIoAi~>*C+kH^+3wpV(+T6)i%f3WmMk$ z?u4wNf5g*%e~J9Mk6i9|!XL7opO^Ao{ms(PHuamV?^o-2m(Q~~3Yx<YL7Kytv^jfj zHF#$&Xvr4r@PrrO15b|YzALONt+uP$ReN8k|KkrSv-uCF*-4(;pZeD;=CP>X{@l;H zemmA5m5uw?zt=uJ|Ka~#ag}nmhv%Bl`#jaU|KItqur<??HkZ$V>W_4^I{!)bt$*Ju z+TG7@0#{Pm&`K)qHnfr|UxQjnZ3b6THpfAg)J{;|wLL#~`Bdn1$e$X>WbJeCQ5Q%@ zP^AB0s{a4RYTJK7y9b(l%fB2p)%zf(|MAbRZ-3mL@AtN!d))TjN5<*Z)pdWIAazvi z`FHmpPuu%Uf7A1)&Cic^->v*2bbamfM@!$;>@mYpN9k`yuA^R~)KTUMkUHvp<oXv+ z!FAM+-)8e4cKtS*bLYZ4`MrnEfj9NkEwzrX_KSZC8dY6=_vaf^Y{w@o*!F!TXzO~@ zRpaY({_2?Qp9rs`eu)2iXezgN+Wz^FK9&3hSf6Uzt>4SmZ~mS9?LyVOz4zw6w-L`T zc>Ym3wtU|7{7smBs<W7Vs@)r*eJV9Ge)Dht4CNl`&U-Y^PE)Tw1Jb9ePl5KSeBb`< z-hKnpr`mUQE_;4)Z1uT&@6H`A-+@v`z2AbXjxxR-{y`61N8P`JQb);0L+YscH=uPC zclG~W4}af?{*!lI`uybYJ3gN){ux(3Z_oL!a-hwb##Q@mkvjvRU0ASVe%QYW*BscF zUg~}OI?Hv7gD=lKvz+&sl`rooXo^f~`;Nnj{-8b;J5rx&+qatL@AIC^7d?O29&0}D zbN`Od7u~^AWIo{XTW=%Mc3w#DH2GWY;%HEdPiDKt@t?VGesbx5l<LpVhW4rENA3Uc z_}f3J{(@h|v;7MX)oQ*g_`qp>fAjZCpI4MV*FJwz9kfX0*A(mdb+^FB-7vw{^}_c? z{p;BaUPC{##u`yYy?qO*qTVNbey|x*Mb+n_R#A58&?@Tr-JjQr_Z2_CC=NO_A%4qF z(1|zM$jyIm)GF$9&VTKi1JN?~Kot`6y+;pDf3y8;ee<)h%)cgB6}5j0w2CU<_WOB! z;(kyK^<x#NhT8Y++~sp$A5{J=efH;lHvCkdi{@|kfKDn326x@OA?GFO-#9PU|1Rmb z)dLCs9Y;T!zy1BKqG@`0DkwYkU5<}j&o#ZG_i_B~ns)7XuM3LIch`T_t*$?KZ&Cj4 zxN5oj<DgY$?{|H!cwg22b^dw2)#*QMtK)3lBS1SVg26i&RlzI3*jA^nxBP9HQCEKZ z`j6k=YBl=``;BGJyllT-vGntol_hf9E$lAvZ@2i-xOe}<eFEv<H+;`6Tx<LP!o2C_ z^V02$K+VeI?`(c|zFuICQJjL;213qDSUmsM_uL!jCC<Og{5|WTOuEIM>1Vxdj%n{X z8hz*T@}p1l*W7Q2micpLpZfo`XVrH+-p3;TKkL1H#<_dl^Kbv;W8Yt}_lwN`OQ0$$ ze&^?t>%ogTuvAgad%+u$HScYlFB$h|_S@`E@0dqF?wRF(5Xt{2CtotpuKC>mb5%$8 zZ+w6HBTM`K?a*$N-M4=q^WWB-xF1_R?+<K+^h5a=^Lfwfw^V)z`1jj?&oNQ(k~Z+^ zKIuQycgI7*bN_OY*WW`PF#nEx6q*OEpw>ews5||&{O}5@egUF_`j-Q#p#FD*DyaXj z&Q0FG^PTPbe|boUCN$UIs06P*07n|M&X>D8zpkSB`;Mcw=j0R4yZ_$;?nc>PfOezg zq1~wY@NU$DL&yK$-~0THuFXkMPf7&blX`P*u`+ZrgmHCxftNLS86_uZB^}bfp2_St z!Iji<NF~L78`6`~%h`9tverw6PhGw|qo8a1j^pfe3hy_t@3Guk8-G-8@&!;OWdf?C z=3bk-e0ednC*_}yt0#3n;r;x-yWiHg@K<yl{=YzeU18()iq7Z!8=sq7{eM1rEo|^_ z|BcU}iDK~J-$KaXpZ#R;;Gf$&8>`K)uN4=HmD|~^2CqOw8L<K#BxGzcdoT3Rrw#Kp z<!sM<+j_uIub?}7&vEHJfBe7oX8-zP@%Qz~<F$)7-~K+eqUZ7cn>B6P?@kwdiZA>B zLZ++^G=2Zk5;T1;we$1A;#$3OJL~OO_V*ZD^zZctov|joW}ao-#`&!CM7FKpdhT)5 z^TPJ#_RIY1Kfc)W%~rEk>CR)3<MsAGcf9A>4jlpHsJ{QD208-xc{iv>#q`cb4zx;I zKLau=f^;O^$JRG>U*{xzos$ge0f$u_uDe$8&ExiUzUMm*KU|z67kA^H{W0Y|M}zNN zRzLdG-p}q`_yaNh!him=^9zpG^6JUuzK@SNa&P{|=?6c5TJ+9l$BfsvipvVi<&Ld` zp7{lyzyGNE#tw955z4Vi_cqR#jr+6xt@e&3;6Xt7WaL4>nu3x$n~z!Ed+<SJ_WO?q zYHim|`}XhaQqZoi_*-9(eSTsD>QlvCo2x#(crSRnUymB72@S4H(jq|X>JZ&i`5XQP zmA~g*P0o!Mj(N<oynl0L-}8#b=Hr_|r#~IjeOFMy_3NQj|GI?x!iDiI(t9S~%r0}S z-gmJ2{AcZ*pFf-e&Hsho`T1fM`1Ge`;EvmLuqC`mYt81|_})9u!G6!d;yaIIp8Ick zuD$0-woTonv*lL)^ZlOtZ>xKF_03;Xxrbj)JPV#v5Z`4j6La_6_cwdL*z9Vvj<57v z_wroz`Kw#+{QPn&?W@m5P+fvJJq;3)rEehfWFMZK-1gt{-ovT$j*IGfSMLKI%y4=6 z4VxLaei#30v9MYC)vKUu`Hth<a|+`d*==^NgAM{-F9r<)eg)45uYG=$Hy2|NaMwiC zLBM%g&_TdV=pf+#r2EG8yKL<bK3w^tJ$ue$IsJmltm?X6?suyTzu1@kx7iBqRBZ%R zS$U7nU7o#5&Srgn8q)Stck}I^Ur8o{JPA6@1ycD#CadCaUuQgCaX_~(Kk@$d<HCDt z*nS@~tu30<2A!-jflgMfdGqh8?%Tht)!k|9uFpHZz3BPc=Z~(vv)R}C8dAPifY$h6 zt*`pS-#l+n|DXO_>i#)cpDG=>PgN5I>Qilpj{ikM#{a6=!Q+3ape1IIL#<@*++2e( ztAaFH^)BiD_C)(+o9b=QK9wa(pK3X{PgM~9d)}Wf(6K+8>-Xv}%-JhmzWK8(-@A8J zFD>VRhW;w~%k6e92e0X{L|+$OT%unMKBq8vPqMx7o{D*p8f(X<%I4)2-QRyK`20n( z<R8y9?SJ9malZ;T=ycV*{P*(zXV2yTxjXy(<m%m@d!N6tduMYd)_mUc?^`QB7ySF} zKgYJ||Nr|*h;wP$zHk10wYcSKao5*suZ?y7tacmbtH$j;@NMfs)4YOTPP4yP^zmC% z2>#x;AH4W*yT##$Ghei4?>Qv+J#@#x&FnY+a#p{zIWPYLG=a~)^YfE~pb7j|<e|TR zcd>P=j)GQ*g1S}zgsSa+@&DeZD);!Kcdp%G-CCnL{c9j|RWsppRqf!pD!VJ78Y>Z0 zWBp%l-T&`2qFW_W20p|RRKOyKsCxG8|8nm?ym<J{*0!(Ur%|=t(QKQ;m#5#Z=`8*= z#loihYZh{aRoMcru-tdutD0wb3bePuefQ@t`(cw+$3f>L9E6=n10G;}w*hpp#o?uU z>%Vc`{?8%*L8N{C5?F<`e$#VvtNO5CFKylx_Ve$snQd!dx287!V69<aT<-Vxg{R{_ zAHVte)4|V=KEAW5Y68vQyOi72O~O%MRcu18ul628uCLZWC#&9E)7E<X+so$IN6Q?$ z!=m3)Eh^XEwXf=$3qF<ZPY7s7kKI|&koWT4pKt!3fpoqPY^_=I|E=(T)p6}_n-5IB zeskY7i=(FR3fr5ngKH~Ecy0B3iG1EiA@e)okKXWp+xPMmWbE%-J!qj>#WL&u`A>I! z-cz#;W1-ox^BaGI_QXTaN_xoqJM!^O>D+khIgk0;^EXxgh^hWB(f>irztDfa$n=R{ zwF|nZTi8!OYrf<0J~r|EZQu3hrN2K9I^HL8=jR7M*JYo-$$h8thtqZGHS>C({kb1r z1>S!0So~(~E6HTkoxOXK?XCCRxB=Rp{M%dR(GOiS{|_SmALaB*=GnELn}56Ns>N|> znR&;bRofKA_pjc5>-TkiaMvmb)V10Qny%6>etz;aWV-7A&z`u%^Q)grfaa1gHlsHF zWm&)FedOM=-%Jmh`W5^toBh0^Pu!w{{r54$+N?W|_}ZT@m0$PqMd&wM!SAj+4q8W6 z*KUOj|7G8)jM$xL^L%~j^TpQ_|9$5KjkAC(!E!KNeExm&Ds|X|)&A3v39B6&fBHRt zdHLm^pKIcOuvORhx?9-pKfB!G;XaXai;Bf_-`}i$S@}h+eBY-zdv1bKd$0BUeMi^) zuUUq+?*uXexE3}7_$d$3y{di#>0aH>{`?^K8+ZgzA8iEiULLf2Wepku{O4CLxA%1E z>&lBaV!#{I!QBqXD)TRSyW^1tQs?bG|84&v_B}@*{(jk>ZSz2v?_TnK<Npny%4!Cz zdnFF*UdeCy{ro+&vZ|O5s;ut4KX;jbhn&rN`wZmDD%yHIXv-YR<RAC9%|{ong)OX* z-}L@AXkkSMWMPGV%3iIQN2Y!HIiF?M?O1>KWc%BHSKGmrmEEJNx0QbaK~q+C&(1yW z-wm3w+ECRtf5US~!3sL`DKg<}4E&70ilcRW6`ureU*ECyy?0?x^_+(f7JoUMz2{Qp zuMn&LXqotyx*bOks=xiq`@PlT_l&*y-Pzw})tv%&ujYfdksH6W`Mu`#gJS#xfR)gR zs^htkiK_V<kSD74toRC=sG3{rUuQVmzu<T+r(RU`f6z2l&B1f!7C#ofUi$opH>goo zcXO`)z9i6E1C&E(z$g33)Ly*@2}|(!pIs(o{O{isl<`0D?QtKkzxiEcb1*UIv!&b) z{o_}czy1689CT^Lb<nw~-+0UA>MnzpR_M;wmpfelzti3O-*12LDXrFX>+gU3|N6M& z^3U(h+wX6~Qf1X1gH~CQkSgnk%<q4F@G46csmgkP>o2&<`VN|{`ZLekf8YCE-}n4# zM6R;xPE=O4MW9Ur+WfNl`+@C!VR!YM_iui_aEC0f(7yp$Ua^14SM9>yaErZD&ThAO zxKFIS;%9Po{keOG=I@BBl=}*<vaZZ^w=YIaSJ`g|O;`PTo{KgV_~A+Sw*R*G9$wUc zQ(YzV@RRqqny%{=ZOh}g{S<osMYFIX^YzsSBKd{={1%V*G4fY@_Wr%E%T{fF(cZ5% zf472GSg@DR`*-Xs<Z$JGYi+@OIq0r`q<OzTbNAMN6NOe<@k?QqR{UmAr6mcgwDzpA zwg0ylQfXQ3uJ4cjHtYYvdDHpliPx7rzc?SXndji#{{J~)pi_$4Kxf|-Fa7-I^R`Qs z|Nh=g0-b-y^DpUr_<4cV=}qEq(!1Q_x7X?x^l;yKB=!CyoBsZT`wD&M%PpV2IqqUS zq~bdA%s8enzHM^;t-p)+-~8FQH+&xGc<1c%FYWJuHefHep3f?7`A<IPit%C4baPDN z*E_FlY@_Ecf8KD_*y7~9<)AHqpuX$+8|Rt)_oe=hdMt8%$8lM{eZKa4jx7$p@qNjc z&Vv46nfQ5iJC1taDTwdf{Qk!8W$L&88dhi6p6>%4rBLWqE;s*F>GR6Utl3ECfL^Gq z;<NHUZ?Ofl_9}<gUe&YPmv8*u|B)mAqsaF!o`sdIiyz;wY>eJ><fmxvzJs#gO?Uj5 zx3|79s=6M$ANBIi&kw$UDlo}Al@)HF3JiW`^$(x2`Tzb(Cjb47dIEUg-!~r~{ob}d zA?3Y(VaH?n8#V`nZH`v&xls8_#HxPj;>Yt$&wXTT58w7vj{nZ}$FJJI{rfuer`<QP z`A0#Wtu>&0J@4#X_4IORXX_l^8tnO&_mO{3Kx?pMNDcOb6JCRbTUx!_ecV*e6;_AM z+?)1%({t%P-)-!-Tl1fPyzAqf`Pb+6pGyw|ufhVI(pkI`lt`{r{;Ry5@D;xN{MhsD z@1?)O=CS?-S3~Bp=ELT(R=FSldx-nS?`)exnMt2D{dVXdmE}8DwlDqt(&rah@BTbh zT%-uv8=&{*+;VZl)#+WJ)1-GEdA0LmWtEwgKlCK<AB*4>Suvs_(}z}M^_ia^Bta^& z^<^b@j1OwgdnBh{aIBV9?!%KuW%Wny->sN;40J@@{ub+gy_dVb?%6TrEXX40vDL3_ zY^&!kPcO+tt;_zNg4Sj0p>>&F@_l3b8=r+`;{Kla^-${hj^o@hafj!{KW_S+CG+># zyZQ0g?oHnWI$N~}wEkk&j?Z5{fffyF-#|J|;i9Oe|9Otr_vdSdotFfk94E9oy;=NC zdbj)jt*`|cUf;kAFz!R=vrPN@euwQik}VT|`k8f1VSM}K`?vqD*1iooUp4mV*IS=| ztO9NDQF&*xZx*ccRSFv{{Qo%s9;ydHdk5Zp?D{M7;R*k?^&d^&{0@?NESGNaL;3Fq zsr4oE?53W3EOz|5oz=VDhjsbZWxmhfp?~D&{5Q4HF`KV{iTbu@&u5$6+dzk`UN3xp z^Gaz!ENJxwXsvtjnt9xFpWDxGJ@@(iZREw%yXMv2Z~NQxF=s>V*@8Y}nSVE*smeVT zz4u7>-OJ05K3TaR|I2pg(Su*#Y=2&XE*ac^<2QK8ARB1OAaAU`?Q!!W(2~LUJ3m!S zL0dwht1qrqR$a65hnxuh{KYX)A^~m8I$oDn@iF}Nb)o4yj(oh2vS@JsyNiYAf2e({ zwVe0hLzUV5$Bn;>V*cK}XTNVHv?Dfq=jYz%Z=Sug+0**^*ylgf%=+h_sQ=#?9Rocb z^zY|whkrfKwZPU7`+FE#srf@HH4eAqdyj2@^nSU2PxPFJAAY$XKYt!n=RDfSWnNL1 zz1u!ff9~_2x!{dI(dF~*oGX1_Sz3)e#dYT(a$oF1<-gxwoSy%De>wZ7%I*Jb(8YtA zZ+>cQ29F}n&-pBw_j2;XKWy&DAroEs8L)}2dl&D`-@N^U-@5R5?&W2m<%4?fY<8_i zEFau48*TaE+RtCsl+K$cJ5L5OideoKJk=F^3o?p0?-X>ZtGBPO+RWl;^qt4d=XT^D zV7_+-JlA#SFlZQY9%vZx?&-P9|G)YLSql7rHf9Cq_x$C}mo~PCt8f2KU%u^cKWGi1 z#3lagI}SweIr91PjnC3^|4&zb-JX5tv7CIt%v+xy|Aww1+yWU!1RcTgpt3N&T<-39 z&>437t>x_x;8;UwbK&0l`uetr|NneU((QxK`ltU!T17Z-9;gjz>z7|sa>u-C0(c$a zzE0>m!t@Ql-TAjc))9(>R#yKlFSn~$_y6$R^Kwa`<MPrwuNJq0c9lR*1muy+dLMkA z6Vez9f0N#*p1!4aZDCjToyUCa>5Js!9$!5CraI`C%Z}rkefd=-cgowM_Z;E<KGj0{ z=I>?yPu77JW_*hWb<Q;IRQ@TrKKJ=k<r$yt&rbs%=?^-46*5^f5p&whdNXv|OUH~~ z9%X4pn)`9c#8-YEbmA-W?cZ*9=wiZu+pYQK9`E`#NB3^!kBIBiYyQ{3PX~B>9Ho0! zGOxZq%<BAp`)E)X&9>g`cKC-1@a)(8yC}0?(K52%*A(`dTkKhS_It&HeRAQ?H+)|| z|G@XTH#WaMD;(=T@5!#uF?KiSsxO5u(fA^QxkO{_xyzfcR#x%-I8fKL{MPq1=Q4lK zdLX54(VH{xv1QzY2Qi?FPgWLoTg#m5>-+t#u-DxmX_ZFxE=V`+|BlZe>Opg0={r7O zJcVOfA+*`><NurAZqJv=-+N%0SJ?OY^Nr7<agR*p=3jqiJ$JsW?Dtm%b=t*0e84@m zy<6cuwQ10vS`MhEW^)|WQ+vMa^UKd?Ku3~dp1JV&6evL+i}?TV%!em6;BiIKcZIE| zKi~eW8~0dtUfh9a2S304YYE!g{OrY!{H9==qqcDmdVX`+JUGey=3mGD-5+gg7h2!1 zIv4-s++y+FdA9m-NyVVk9j<|IfA|hQ-QlA5+q$nZ$zSJy56+raVYcn>`VWlxg%ys! z9!l|7bT@CUJ^#n)+aH$p?Y5g>y|wL|p_5_a+kQXS-wYXAtk?<at;Ib&cR3t1v>2a? z+*@0}4S8s>bYA_vX;$a$^QF(;JRkPC`^G*#i(|}rg@2@e@3Wov;HfKU9Axt2FW=mc z*J^|MZ0{4GeYT@-{)Ohg*S`_{CF*+i`N!9Hf)2Q=Dwo@T@GBqaOakMY#kSCO+n~!d zAhU~Z&tKkrVPjjpC&`}OX6KH#*?-i2?-Mz`<G3VW67q8R&5IxJv%d4_!CA<H_}D{` z1@Y$K8m_t<RKw+$KEHW8hCLtGtXS#1d3(=YHm+)i)NgMlZCfvSuCVQ}_su;=lJ^{n z-gDHpHY(=c#}_;9H$=<qxx7z(|77UI*z|4R<xSGxAKm??roH*t*Gt8J@<98y?>|1L z{vNr4LtYbqFa7=X{r1Xh>g$(P9Is2O`e(Fly{cYe=i}42_8bh}bF{kV!cS}2Nc*|_ zH(S>2uZ{0hx7gEt_IbsFeKO(GH-GQ9Pk8S9@7=A>PntoiH*W9x{J|Nt#E}1XO&DnL z2J^atGPl|5KQ@$G)Smxn)x`JR;!nrj`Umf&A6`B3@1Ewm!mi7wZ|^yf{B8S@=sh3L zncw><S8h{(;Am`rpMAxjz~6Rz?T=N}w}so-AD_JY=Fh3+`#zo9Zgl>AL4R}q&iDKq z@5MiGss0{Qf9G8GyxK#hH+J1i_?ow&>U+d*yM(vZe>`{l|L)oNZRSJa--pz{wFdwD z$CWL=_Sv7hvRRKG1b?ghE9boCesZ7pKI>mwY}fBE@A>-uTtQ{o@7i<=yY`(&e$}qu zP<KDt=K1$qj~;M+`z>40(tQ5Lcaw^y=H2qL|K)`D9J9Rkv$ecV_j66}=AC!1S3dt< zHSc@BUH1LW4}Nm=ze{``yypA)4g2alljrFdc1yqibIscR=bXL&9k%yA|M~px&y43) zuPy&KoxNJ!c(uBB>wBr}`?7gAp37y=U#x%Yull!E|2N#(^2TdwbvD-dt6qD*^58Gq zyp47JkM(c<US4yZ@Adn)8~)w<aQfSycRAmx<sP!`|E_uM`;v(J!7ps~PhWa2{$kyI z>6k}s$HO;O_J98)$5&B#UitU)_u+4Tm)IS%n*Xrt`E!e%)91!lKG%L<)17X!|1i5u z&DGlaj<5e%+W%+0pZ!Qmf6wRE@_pAomz><6_I`EYm7Sm)p30v;&YyAB`q-<viEpd> zw!Rm=W~aTTcH565`#yBm)?U81zP2Uex5tJ$|KK(EFEhRV9+B`@&f4Zf`Sklm|L=)j zyTALW;Qsew<`oBi%>!+7-k09A{7;<lp5vBsADF_!x846PmH*TBO2xl?_v8EDpR?Zo z{apXP<om%NYFZXo-~K7``mgbt@A@BGo_p^(+*@AvdanJ0dC%En?j64;Uw?g{|NHp~ zd+U3jzp31_{rS_m&!2w3^Yh8?b=GU{f0tYR{RR8CJ>O&#-&!BN^_(YrzIRPh{Es91 zHhkN7^wx34*WXQERQ`KD`A7S=+F!PQ8|wPC_dI+*>)(la*YD3x`n#8P-u$coe(pWO zxc@!xweKbo``^pu|JW<{;f>a}-?IO2+?)ScqCI?TW!v>Xa?dJe$;<xV7as9kd(CIv za}{;%>@t6j)Yf;D+8tc{`_}Je70u%Jejc)pe?0BEdDXwVviot*-oM}bIWqsg_{Qz$ zihl-|&--%*v_PqN_UiK1tGkch`p%bq|DgVxJ>Mjg-Wnf-B@fnf@5@0GYrkxBH`Mu8 zueqPx|FznuKJ)(c&v%)x-IxAz>e;Vq^&NKIfA<N``BZ&A_21{M2Y$^nmHS-1_M=$& zj>FY4D&Ky~{k^p>{p5Z5hadmu%4=TxDS7Rus$a#vE1!2vto(n?y8ZzBJoyi=7{C4d zk#K+ccmECd?2ntCe{NB`VD9=opR?cpd0}4mzt2zm{N?+*J~u!A`u<Mkuj<WJ=QmX8 zZ~PXU^mg~bThGPH-#l;df5Sch#&!8Oe{UUU$(Apkc|W-CYxSy<dG{Yjo&Q|T^DpCj zf8~3&*Y9&T{JSR?E^qO#KfK_;N%pgk?QhopIv?Hh)mAd@WA)s}C$GNwzWY*T%uAcR zk8|!H=40o#+QctWVSm1T$8pZSin=c8Js-}A-}@=`zM`&KoPXQ*_j^C@Ew}r%xi<df z=VTfEH-C7R*W|Z-{k!(u=I>wh@3ga@{~CX{@|*efgZIzAl1=*Rx8a-Z(Och{vgfm2 zv&)91l8ec2m4CI@&ad-NUUUC4&+G3q6939c-}zYn{C~;+d#u;)?>@F`{jpu^5C58H zd+mEqQ}?a+A6|W{?)xCMe8)lKIkAW5#Xr*A-!AmN@bTRHRnPe=9{gks|6f<~C-$S~ zx7w=zEU*6-?|JxB=KR0I=fdy(+*)4OUOex8!4Cmz`FV$G|8u<l>ur;|{@}@Pd-i;^ zu`hbQICxhcsE}H7kKJ~CZQ@&NyQz1V*ZOVvW_cWzKC;);zS&UcFMI91rp?y9@%xVp zUVC5I7+&+;V$1Wd-;YJq=eL#KmwCNU^xF53i2IixvF^VvD;ImYZvVl|H-8QJ3cH1E zo_#ks8VwE4a-01Jk2=5ozV-XL@ArPb*z&Dbd!GE`lZW5@;d%Yrc+GqL!c(8M?>t^x zzVGWf_2rwt^Ot1XJYQb^{Gw#c_IcvzTXt57N9@gBQ=7lx+uq~1()+ibf8kU1zq1xp zNZ)dA+u9#g{O|eXsn069zgFjLtn2@H;@<pM)*Jubv%PlT+G?}y`rk@xeh00&|DEUc z_q|7|>(;Br#htFZ&%5q}nELf?KN;EoXYOClxW2GPY+wIp;WeL&?|i7~+x&miZ>{%# zZdva?%sub@hZP$>Ka9Nly??_!`=f^Em+v^*T3+{ZuKfevSh4iY-%mc?y!&2d+`Pkc zk3TnA{hej?cbV+_me=-auc=+P@!QVB;DFWM^gUZ%c}=a)#yWq^YwuSc_+_iNv97;b z=EJ?KJMZk@e&|<q^qSxQ<Tu%~`{i3AHXW8v`5G7c;iH1?mj<SVnE{O&8jb?%rd}}l zazWqr%LV5^XMS5KbN`nM&iua0+aeBVOv~o~8>|0&clz4mAL-Z9zukQP=1pzdyh^q6 z{V#*U3;zB8Unc+iCHwK6TP%JqxN%hb-or27_s-Yr_{b@KWAC;j-gh4I=|A0BH|zf6 zSAvg@o{C>v^WP}we&bihd$vKJg4aBMzj0pt<0)Tx?H(RHCBOEM@8kYSpXZ$RvX$-I zFmM0m9d`ETURGCFo(w-f?e%=~$GhVV_CEMh&^P~E@lo|R?3?0#%O<=n@7g-Qdd=T8 zA0zjFh}^&7^ymJF-y0M6`k#BcRBo@opj}PKyW4!PYi%AVpP%|?(UaGomqpCqe&}uM z5_!`;eI2``wdn_<&U5mg$vzyZ^WE}~s^$J8g5TCFf8^o+qI~@3;-~v$*8I74YQD+2 zUy+adC)RwQe)hBNn&-zYl4_gOW1@aeoqGTA)UWO__F?DZ*Z!G2b$+blEAAJ!lXmW0 zB-y_{<yXp20k4?nca!FRZ`pd^_*&iW{YpPo|9;tU{`1ivYvO;biI4alnE2Mc|EryB zsqKCJ@HO8*wtTf)wqNV3=#{rIH*4a=Uw?kLp>FSyi2ZR~Yram2cwgSG{4Tp+eb0-k zJ&%6vD%$^dwMVV)cf|uAA3v#EQlVPkZ@T|j^)>T~!_8Ceqkc+%)3QAO({$zX<AT>d zmq(mG|MA2xrsw_B|3+!=-~8!s{PfRh&&oE;iMiU7KW+Dkp5@`fum9dlo?G6#^*-;l zI{t{?mPv1)x1Im>Rl4Y2<o*rclH0e+TVDGelCalb_uB6piF^CsU46P|f>mF{{PF|8 z_NcG<og49;d%fcCOk2TgRYrG;;)`YC{olQ3d|%jYZu79~x548FoJIC`?oat_djIe& z`Ny)ag>4>RI<<byAG!Gv^+(PMKmBv{lzrsSgQiRO^?g<Jo2T-#dd=7Lh}ZKA78mcD z_GWom*|O@>H}hu}e&2r7Dxd%Lp5!%u{Wg5tedN}8u5A12nrr$s*Ywx?wMcyH{=qtE z{=MY_uWN55@9meo_S@n?>vO%j>oxa;UVko&`2Kq1yX^^U(~m~=|F7P(zr1$mdB%5z zUG961|Kv@n?KOVq+&owP^k0cJe=eT7zu|n<^Y2UNDT5N`;i|em^=slgD!S&}kNP=x zs{P|xU%C0_YyG^pb*Y@~{o_@2y~4j<9orOFyJb%N@t)t;O>GnQ-dDa>nIG}{ZH)h? zt@j15)xF#B&G>lw-$Utt58dkL%eGHj^F8xm)%-oLy=|-HYu9|=c*Wwp;5~<HuRps* z%r`&0E55IEKl8P!s5M`Gc0Ag5&f*92&i(J?PyDog^HB1*#WOpVii1n1#z+3Ny%$k` zq&@20^{l7!*Zg_FzjWWcuZn*2)u5r6UvTahbJ={opNps3NB%5SdT#wCcSFpb3q9=S zMz)c9`8tJj%UetD*<P!Y-}tTi=&kd@*Xr2JC;mPEtM&Y^)~)iA*Xm3n-d|>XT`RTm z-f_;?wLN*KK4;#|`up4D+VijZYOhQ8GrX?TyjJxs;`Pdpoxg8Ac*g&<j(^8tWj~?L ze2WKm7Ut8d*klsV-cLMx{^OZnOw-R#_<a=;ifv!H`{rr>jMMu1;-}rU&%&m!gZDf- zb!vU-UORg`qv@Z-iso7ES^Dy3QLVPI+})@(->ch8?^#}}6OZ_PH|cG9`_}o!cCW?l zUSH3B@~7qb_l@6_FIv>k_c86$Uh{q9u`2oSH%0FrZhQ85Tg3eGL%Z(xl-hqUyK?%c z+_m4bk9#UrZ|q!n=f2SI9f#cSy#AGbvexR6*!r-4Cr|Nz3%Wn?tKvQT=x?`^ZS60A zopb*|(^vL4w(g(Ywd*&1ntS{7PsP`<HjiYleNL|V_Gia}_ZMYT?7t@M+__k?|9wi; z(W?Fa5zl4sL|(JLrN8Fyz2vv)ZCmH_g54^9t<ERn_rp7`=dQn>u6OPCmcv!@$!orE zJlbscJT}$7_MYvv=W92<yPj}2|3K9Fj;-@}{qKmYFTQU+>9_1dsrLmH$(_$D9@>fQ z$a+$@HLNcE>G>ZAezCrvr~UKZ-6fF3*c5zi`HvQD?fu(6_1~zSH^=+|-~2Uy&Yn8| zUhiw`t?<+B)iXXmnYYaR^xM5E>ps8RaIX5;t@o_i`}bCR@B4Q7_^tCS^S^S>|H_?h zpS9+%Mbch>=4-!84pqsUulZhBFTZmAl00+s`PUEs+GBX_uh5#`QzKrl+;Hvk;~BrJ zj&R3)Z1ew7AiVSb!P=$!8h;Bsdh@d={#(Rn<265d^&;yJ{Nq-s-||Ua`~J@PbL2PP zJ1TrH(boQ0Rb6BFwdp(R7S6dJ`E&17`8)CF;%7u>Th=y6mdj=A+P<fL!jZ3a=@HNG zCcOR5n7!Y9&EGj2zFn@|@TMM``#Uy%TYR8uzE|w|^X#(Me&0ym+aGuE=P#Lv`Q=A` z?UBCrcTT&<zIWn@Yt!4m);-_xe4m)b-j#Rmi#^|Q_}y6*yT{XhZ+P&-wa9)?yO-^( z_sM7dKg|28d9NV#>GiOGg4O!dKC|6<JbCK=$e#}nFWEQotKd2QP4UZ~{VZPd_56<m zGT->8|Gn}~ex_a2x&JqMs_T`mRsN26J{MeB-50%9cP-*~<q=rk=gqc16!hxyZ;`~k z{*u>z&q&_ezj)pAou}ProR3bdy(jm&&V9}AwGpo^6W6L&EO{ee5btdBz;<3?+v(Y- z|K=4Ot+jaYK()x;4V)C+PyV#Nw!7k(wdwQY5$E+kbbeLMi_>~u9#)tCRDVbE->%8i zJ@@_3Sm{6C{y<e-bMUWMwr!OU4;FolFqX49_hrSi@0`NdDy^rkxn8$B;`iRex6Vss z+jGOp)E67SB?o@~TXnQ*{-5LCwN>)WYrbzhq<db}w(<G%%JPW$=Eq;NKCP{3%)WPh zd&K+l<*!%fALIRAdN5Gy`}OKYbLJ<=ueUfT`CakoxzkVULc;2DpUQ7M|BF%n<H=L^ z*Zle58Fc^1FAnkb6FwWS`T9KKwf%!xUv>H7HGfW?Y9Iac<m9I{)A#jGJH33y#}6x) zrJoMf{Wzuadc^bEBe&i&X7Bfp_`NgnZTb(BoByFT>%`=@#~EMOW^KIp-t%njoQ?O6 z-@W|wk8SDNi22`JzE(D;pQ!p<#ksL=@0*R+CMT|4e(;>`6Fd2WZugoa!uRe6U9&zv z<#Y18=5FylhiAzrpVj|x?3a*yoa*=0n?F@+*B`Wf9X@B<r1$pTuP%RPUh{mpMfzX4 z-Rr0QxpgXkP0jP0oBkh&(K>yx_{@)_otbBTyxW;Hx4ftH9_zKb=@Gy0CcaJY-#VWg zTnJqM{G{fsd&5?F&1=869Iu+6wdVWAgH`hWHy+>l_Vd~2vWWTRVeujR>h*W*oN=SN z@kzF=-L)#An1cA=H+Q|><+nP=JQkEMJWwm~p}{}wU)s}l{VBiFuDS21>Aka_=k-s^ zYoGadB-VB>zV7ue<>~pbeZQ4{9j@YEZ*i=uuIcL^Wxbcb-yPjFziMlZ#f*<nRG0Zj zy#L--dhelk>fUeGhi<*+$+j<E^VjCV=DLTQ>mIf|pTF_j;o6yV)_*^FxN3e<%_BRt zi1*3u?(?$$7v1!qZ+`gK9^QK!?=zmSI1+WfWvkqC(M|sk)s_~PX79XjU30+j-d(px ztYvZP-&Y>deYfV%y;JcYCVthvw?FRN=XlMpFMl##`y3pzp{{?gxkp{@Q}eKY4bIp4 zD~`;b`hJhstBaqxWiDQy{B+yYuTuW;xwk5NU-G@)Q@!SM+y+o}mH&V1rupBD58OI0 z0xPtn*8G*&aBsQb>)Klz?zulN4eGyloG1Ls+r4p*e(kZl_T0DN>B;Y}McD7W=gPJ< zy;jv{@pK>Gj~4$Md)MB1&RcF#(|l!nfxJ@8-oSU^ZKn3eXVo8IzqY;Nx+gR&-9TYE zzo6&qAC}iYmma&X@;p@P_}*ic_ZA=f`qSF7J~eOd)4#LN?D(B_+5Pm*=nA>If9E!y z``xhhzV5X;|A^m~$#0kc4*PZ;6u61gpZgcId$0Mv@=(?Msx{v~c6_z_l|T7&`4!Wo zz4wK$Jukhd_xgDEiN9rq=W6dKu2nx4<=@EuEcDTvvZDFcF^}YaS9j{qI{$h7tp8~{ z_5WEfu{+DZ;n{Mj^uKYB^C$j^dOYWT#+=XWG8^lT2;W;%vwV8hmp>fq*W7>jYi&_o z-`0PJPQ@3Tj@eXx>R;CT*k$aekM2IZ<G<X-bJho}-iz>msqQ!a75-Sr{KoU2Y_Kw+ z;GXu^411+D-!t34+C7bUpWOJ>&hN*O;_&yA|4tV_`FCyM*QAev?eY`<$0Z$5wo6=_ zemKhiN8L^PNBdkV4oSup_PxG+`mf!CX}>Q#`jJ~?uclqU?bF@GbM~k2Z8w)mwzWT4 zRoA(9`{_#2cZK;+<=6fZ?O$X6@Q>`K_z#RL{m=7noL7IK`q!)a3C}NDM(Ek696QWE zdmg9`v&#RjyeaPY+r+o!JzM8<UaOl1Yj77Hxz#WFy4EUjum3^!rFMJ!6|en{c-;5= zR;|yq*Vl`CzP{dhK<@s2?>mqAvTbdzRf*jxiVv5$@Az)L{e^m&2fWL7JhFRJc;WQl z6>I+-J0<_2@2m2>k7-Y><-x%@KVtvkv(``l$h=><eZ!~dI~(^MKDk?^e%mK;ZTr71 zR~}#7ezNGRr?Fh^sV_-8|7|%^dXM*7C4a<k+oZSO+qTX>m!4w(HoXPb-eSF0w<W7K zzVoZy*@*X#o4(o|n?JS6s8(;y`Q3@N_X?(b72KcS^P$Cf&3EhLQRf+}%cuOl^GMFW z;)wCN_bRXNo)6Uje(><Q-B0U|M0^%r^HcR(Wc)+rcWeJFp1S{0=U4TZJ?`iD?exFC z{Hb{D^Y<NTwQYyLd(|aBm0wfyo%_xCn5@&g^=Ey&`stx}n$5pWpgL@Bd8hHO*u=Nx z{afd|ulXAT>38i@dY*0XwC3-PWN;;RC1Gzr`<m~ChwYx1P5!yZ_S*B<f=b4pm8nPV zzTf`8>PfaO<F%@wn8Nt)7CScIxi9s;pgG;<!HnMqk681=_I);8>Hb-H&&IlT{`ZrA zPffPn4+_W4`wqYStqKmu`@eTxnY{Vl@pplrgx5S@9`Rbf!1ey){1bJrCO`c)JI&^A z@MY<1zu*3ud8G87;I+E<8@^c|zjdA|+ddkWY9ls$J3JfILA1N}drHFIe$8vYZ#?dN ze&%1yx69AZZnS&P$p3u$@4JtBeuGl%v8evvy1$Osek~{#-+ABgT|v|2-Clc+Fn(Wn zh#4A|Gry|G)H%Eh{~#VTf1Z4jZGFG=wRDSL3!a#V{X2L{{_2|fAG+r5H#uLvabEl* z+1k50<@KsRrSAXVn)yB7=R2qlvwAO@4XVRrbwDN9kz40&!F7YUU&TM>Yjr*8g+G7a zD-jd7uGfD4y0&EFz2h?1ue|*~cg^+Zyc_KDyNcuAeV5xkUFdqm`|{?mb)e-reK$@% z`ImR&___Puw(c^C&z+0rm+d%edF{`kQ~6idy#Mg;@TU7YPxW`r`@FY)LKWAw-#31D zJW-E0@4xX{{KE-fP31mbJSD&8&x_kd|2lVmowoV?jKcTwzWmcZhd#1;&-8jv^qRl# zHhil-=KgDE!rSHF{WtxG^ufO=AHCJj^}2S|#(T?^ul=@2*xP^5{`617Qs0RA<;Q;Q zc~_oVTkpB^yqw?8_lDQ1gw}kWQt@bC$c`Pe@4T10{-fjYZtt3-+}|IztnNScm+PPF ztIK}`vj54+N6deC_qfXbji30#{&fUj^Z(H@x84*KqWcfOQ+R%K$Klqg@liiluS?ha zx&LIP?6?1SzhvyRnfdX7{SmA80<ZUckN7<|@ojnY*7@vf{>nfS?!Vx3*Xwpf{N4zv z%GP{ed7w((d(HR4W76ki|4;w9hxgiZ*$-u$JMSyo72G#p^VQ<NE~sbQw{yMqo(D4d zI}Ws0pZJ^i@j&g1f^UU8^*2x5AMx|ve-B&L*R^lzogk_6{Q80;zoh(sWIW{$`^UL{ z&HaMEo|g81;#c~g@82-*{-Yi{_W$ayuTFlNW&HT!cc1eS@4q*d-n;ibb?>*^$8Wu7 z$+iz(^LNb$XxJtnxYaLw?e~_KRdw@){yDt5{97V%Z$Iz5%5^{5H^t32Klp2pYTwTL zqHzWNTjwcX`@Q$kyx&!aUfMqW$7Vn2bFoa)_c)>ZkEi{f@bE`)k-e1m|AeRg73Y6( z=HK|av2tJc*FUn~>~x<W-EkZon6h$__h0`yf1<8?*7NwafByO}v75i|?6mCXUG{$u zhCJR~JR?rm++MkG?svx5d)(LjowwoJZOi3Pvh8En{JjHeEo`n!eCys>{Oe-kUVqzb zzqcgp?bp8cd&V5^SEpB2A3lBg>F>?Od7a_s1>7wxPM!a5ZSz3p_3tL9&z1I9vgUku zoO|r&|Le0heycusEC0PskpF?&?;jh4Z61qE|2}PfKbQHAV;5^x>W-NHl`Z%YxwBq< z&ST!)i;o=s>{ZM8+qL4T_PiIx4^LHnP1|WRUy}b^e$}R!*aJQ7;v%nYl-GPdv*Dca zajWyq&pz*4f3@bBW#*j9b5iN^-nBldnY+Bbbe>^f@yq%q@t8-v;XexEBkw<!lP|2Y z?_6(@VyABN=)s|&`M)inwOj8wZuvWP*S~+8_SXxZEU$QXt?0$fkLQZ20*&9roGHn# z{J&Y~dSzO~^P35CpEJI;aX*_rZ}Kx^|L4zd%&*+F<I?~C)@wfZY&^I5faG7zjh`7_ zhPT(=d8{1wp(Q-VW<p(j%q!`c{tchcpZ*hc=b^3NK824`*WX-!@WF9YeG%tP`$hVb zpZ--n`y*%Pr?V9$nwPtu{<<V|z0xe=xn;uK=N+Z<W`pc9+BARP{N4|TY|5q5=UHB> z6pMI%^HtTa%MTwMytH2O{fDOXH?d)_EEDC;S^plh{Oq~$x9iOCR^gb(qVk2u>zdcq zcf5&@^E-F`#pKVQmt~)Rr$6K4y&|z0h3`Kt>xbCH`P#;O&1ataz;BoB?LmQSa?Yl> z*Z4g1waRS~&n+KL`*+5oZpD|=e^o8(`)&6;l&I!UuG?{3wQT>9KLzd8dmbsT+pqIF z+-B2#&gcBoKktg4_DkxQSnR<s7eBr0N~_s;C+1|&@r5r%U)%UcJeT~_wdQ(dTg3C7 z$F0uuyta|<H9p^bY$nLN!q+OT_G>-o|8o53O?l7#Wjl@-e)}Ksh_$bvQ~l1vzMo$# zes-P7K4=<OXyJKh|E4S3H^n!+wx9Sp-R8peH(ECQak|g<rybiHZ*Ci*w=V10Uyn7P zc{ZFgK5BK|_FCn&S?9mscKiJEbMXa>&oZXxKwd6cr}p)Qjq;ug^JUk0y$tW;-*I5K zyT_iRn(sbvp0`M}<Br?-T{_7BN9eP5_c;mQCl<wZS-**!`+VX1-qin6X@3$d|M?o% zZMqY8xkvrF&}*CEHJ{gPIJfzj)%nHGjL$oMp84GK@tNYw7N2>_i}sx}K4f*C$GShM zZpTsfnj^aR?4z#v?>J!mO-{M+`}QY)rv9wh@o&}{{bS001^-Grr(2}#_qzW0ZvN?i zjOT45{OXSP^iS))Z~FM)`<e4}rtjA;oSWWSI?wi6rQF7I#aC;dRbHxjCK)sP@67a$ zug`DWUIVAw2M;!0`p+!)p(EYq;itk)_d1{cVcTu7N@d?+)6boSKX_+`Hx|bveV;A# zzTi-uZCqiUtfl=@ef85%OV9pD+qrk1r2qQ-TRR(H^1ZgHUh_F-!@1(4R{sC_Q|$kK zHa_s{dHSltXFIQ3#LqXq22QpQetf+2Ur@i|uyonKfLE3_j@R6G9Jiih7x>7MZ>E2v z`<<lk{xjv@edsCv7G3gpa>{>arLQ5kj!Vyar_*k3^my{qSI5$9c6Gj#d~GA%`}w!x z`(>Nrp1(|<o8DA9PxD%3m{j__t;c3Q7kq8QzUH&d!=8URH!3%M(cUxj%Jat@@`Y{c za~?m^{36|dX8F;d^H2U!yYo;|ee;pKvrqpt{atBMv+9lgqj~$5ty~j+e%kl#29M9_ z`hK6bIeKQ{_iIUWm$!d?ZG6n?Jj-hv@3YT;=PvzOv;VE>Ih%f|^m*FXD(7uDXS}2L zO8CY30_<DAWcQa_95&ATz$stw+2mJm@iXJZ@8?tF&gDM+!?L^LsP&zV@oQes*ZZAc zvGD!Xw=c}gzAwu@eevztA4xlRHcQ^WEBN{MZHd=5+-pATY&^I5a?P`w*>;~}OwQSa z_Zs{E_XDMt16Jonwtq?Qf1K{IKWoo%-g_S!_NE)z-+9ct`+ibg`Lrr4nTMk93;v34 zvOBK)ThyX{+8ptFuHQaayu0`5-MX|t8J4Hd>?k+C-2C*<JE80A&ojNYiC**h&4zQu z*J_^a%&`0X<=w%5XD%QA^*I0<x)lrTP0!8K{cH6|i2p`?-w}U{L(=mKD*RWjPqq{E z`xo?V>O-mL7Jsxm<v*ONTe~j*$)CS_Q~pQ%PO;p-T{2$R{JY`f!n|K8KMUeJ!FJ8r zaIW~6mH+p;C&vE#ujkL-cx-0+qQZT4-jHl^z2;Z(l|RqD_J`FRP~THM?@04+?^;d1 zkDTud57ij$dBoOUfA-IZ4)-^9@#kh2w7+IQ@sqXovs}ingq!sfB;|F)`OO|XCRQD> zI?wsqW^Vh5s=3R%OXpdyvFAS;@jNnPPUSSI^m($^D!)ZM-<j~7^$h>^8}%!$lpkTd zw?E+8@*M}N-|Y2xWEwZqzxnn4i9dNOjwqiK@65MIv45TzbiSj1$I|s5538<|(^aoe zIp#m@w!PWom-A=b+i>o(rs}%SJsZvyx0iy;V^F~o_V~=^%NC#KY&=(d(8~Y4V37ZZ zq?h~q+2=fvT)xBR#4m7l=MAdvK1&w-*lx65_}s^~yZa|s{P0+*pSYi6eZ^7XT0J?{ z*H>ph{d6(SrlRNN$)c|j#&ULkYe04xAGSI#^V)_VY}e<R&zBTFv&^1Txohg2^`F0N zIA?t9qxaJPoN)!6<}nWspH8XmudX@r^0ex{M-zU|`OwjP+`IPOePjDO4{gug)W7_7 z{e-`N)jjqdF5W)vu==cz=Zb!*7{9Z*399f!I$zruulby_;oRqj(s`g_%=7ci<)1^o zrO(s5Hov_r;<;q<-1J7{U%^Swr(Rmm_j|{2_dO3d*YBth{kv}IzKK6sEdI04jPJ7E zbNu9X)wsvA{z}Pg+f@I_1X|r)232=eZIb=-GOISm*n2;+I?o2q%`zL$6<@A-_R=OA zTnp8F`xpGUruJFH^T>p`>8oGA^lxtt_uikj=P>uYjo)QfK5wbLv!|`@@tmJaZv5># zv-%L{x{qD`JCgT1Uf&<~O<&s5ezE_#V&Ci2?mnOS@m|rl8sm3+4}5_Z9Eo$Ex0TL& z$eju*#tvDX_W{`?l|GMs&F7qr=ZX*M{+(I)$Li(u-%79wZ+$wr!uvn*=R>~l6-V7; z)^Dmis{DIy!9O<3_0=1%T)(jWMZ&L)%I`wP`y%q<vW`8TJ~M9Pxz8=7^A4U)-FwdX z2)GggH6=3URLYs0vvFVZdCrD&mn&Q6*k6zrPtTuH_qL!j`AxZyenE@3%|pKOGs};k zv_H8=EatIj`+uFn|5JnRpQ>YAcVFfC`HBVWZ?3M8+Y@yz+W6hxTVE1(R`g1KkJr9d z$rkZ^X42f}a<6T&L1ozDpMQQ@X3VMVlS-c_eXY`J{p6~Wd4h2-&hv%mPpf<Sqk(%* zb@!3zIgj|}f9Mf@w)uf*_4?^mhu$06+dN{~oj>(+(4EBZyf*x``8vPzO&+tKE=&hy z1@oC7pPWsao8DDAPxM;lwTS1HS8ASFX4rkU$(&P}CzU?$Vf2%lx#=yX^CZje9s5(z z&Htk#dQbiCHS-G^)8FiMe)KN-DWtv=pBdldKIg%oc-6Q^6aH$&_Lt{R{>wbCdc&MO zcR}@C`HYVX%xxp}?rUPL?)G$}Rd)-f|C9gF;BNDnbNc%!U(f9ZS9ev@kCg97voqxT z@B1wDfz0$9f6F_|EfV+3t+%M}pELi`^vO>@?M<uMd}rVJp5^b&-^%!wM?AloJokB1 zDX8TCB|qWsm-FWtUeBMu^4QGhagS?iuR)rG4Ufyc|GV#bz?*;L=YlK675!`a3;N3~ zYS$krmNA;o)W75CyUw2v`OaIU?{~ev-~XF^jmN8%Tl(eA?nd(2CmmBiebxPJ#TUcN z#@8zKq^^IT-@N8}<*$h6GZVl;JN4Mi^tFZ0Dvwy5XMAnrZ?V{3y6m3*UoLySuRkpg zxzBmX`Fw}PrF<Ks`C`xiD}J6`(4Ic$&+;R8{ZD{vyFU$(+HU@oudi-?`WKvb=jWD} z_lmwr8o#RxTl4wLhPv8g5W80Xtl7WTWd8f@dymb09{Bi7@lmVu!q+O<7DH+~`6+d8 zKk}tl9OJcn$ed^AvcK?LjoO=k)6bm#IcLuN1o8D1?bhGAOaHc~{^!;IviFzG-(&B@ zeXdVitUv4H(W1|)#`}I7UHd*Cl9M}2=goa)d_L~;%;$>=pY6<=Q~4`?;^)$NjMplc z?O1AGEmQCEEA+8#-iJ2!H?>oKK9tM9@i+gOxu>mc--oX99slGb=RcnKmupVF&pGb> zTE8o8%JVC~n;O?`nNxeWr~1BuZN$0#nuULV>1;T+`KZ--zSlPCXVd3(KQs1!?|*ZC z<*$f(IWI_?kc<Dz@?$p@Ahq599njkDM|x2F@fxK$f7YMTK5Tlf@JGFn{e!80qhkMa zTGrd2{<rbl$)bPH(`vTfsX5(qygu<)%Fhqeg|34e%87HI_ms|i7eDd5jqRHHx?@u5 z^E!|H`~|Av4_Td$`~32J;AeZyzfm6<=YMz?EX4mW>Xmn4hkr%C@UzJeMa?bl%#fG+ z*m2kYbfxgSg1_cPb&mJ<9Q|@|)Bo*hH5+37%$4N-ckfF_={!(7`M<*J(s_E<D&KAR zXCsn4H+^B@v&gJDm1WR`JNLYS{hg%$w}b8rvRC|%jGX_7>HS7?uRX`KKW7&FwVipt z!QJN3pWj0F3r^IXD_=5CP`=PR{&D@39aUTAtV#Qmvh%OH@w|VVU-G`Tnfu-==DB3z z+~<6+ZHm`?)&V7ywZ~?rFE4y%3CSb(_IubKe7@wrom@dv`kY6fK5yE0h<9D#7uHVs z!m~AOGXET&l^(LZx8b*QXZwGxuc~jvABwK8SakmA{*$2o-Jfz}xj8=m(^mgCc>J)w zt8|_qxa~SKdG2$^&p+#KXW4z8V{*<Wz1P_P`+j);ZokG~{|`;xdmeJh?^AhQedn>V z-+rBsqU~p%x46sv*?#5uzmA^|`Q8_vtDCv*f7hG$|NEa<+mvTp{)sof7wPx^e2?|) zm-jyXtH$2J`~Bv;P3#(b{<omI)#^M``j_QL&Z+!;wE<MIa?ktF)xG}GdwGvq<<Fc2 z|B|8goxcjCzN_>7=3l4r+x<)Grak{BO3v2}w>Nuy(cedZTK01<`@j4zOXu-ktK^G# zZkaUq`Lds9E?c(0Szce~_T$ap<;Q;hIs@uZTAdHOetAA0d;IjevlZ>)Hp%bPm&_CX zR`{70)Y_b!blvj1|L>rOEY~ZJy5HG&f5Gehn!l$%pYqxG*ONJ?OLA}8ZkK$ooBrJJ z@x4l4`H$b?e*Slt`0%jy(SfO7dFRU){@d&Qr{rLZdCj4h-&OZLWc~eo^504F9ySMz z`wBlecdG9=zVukV%15uqJB~}+J^FQgQ{B;XmML-Scaq-!37Y@eSm=9Uce#}P@*~N; zkD1o*IP!I8N^QIPoyU*v2*rO8TwhTy*STAK!Y{Ws5C7~D(l7A7-^_ig>TAl*k1Ff_ z+9kaGzM}A#Wu}a^_|%%U*N;Z!ca+KrUaL%rn6G~Pm(A97`xn^6Hy`HiWRH0uSS>#7 zN1OMX7@t>P^_4zyq*t`d`aiPtD`*VA^XSE*P5TZD&)ZmU*s1@cWAFT_RdeP~-O(Q% zlQ7r6v(#?xv9JHKW%MI{?@xNW|9^4OzHj;mZtWMz{{JoFcX;yK_~xzk%-8<)M*QBM z_;!AA;Y#=4;)!qX^JV`pTJu+X!?*QEO}{TcTvea8=hA!E>;LDRIlP<YYl6JE`1FcS z;kk!ZQ}<SFiTROsXaAI!2aD=5jo-x{`*QKqzfD5+LGf+hr%$Moj(N<?r?2@@@OZ@` z?lL{~-{))WOAG%A|NOV>u=MNXnh&Y}nZEBh@^Y!ly_M%HPtO1M^U`BheT($JU3a~` z_x=AI6#vn9l6*q@9})I7_a8G}x3HT2#9Zn)^Sns=N1u#}{<T%Rf0`RVq3Tg_hw_~b zb?u8!d)4*%KcDuMb=^7Tyuw4j_|{h(<9)7cu|Pgf;j6{;#qm3ia(=V0ziP4W!^E$q z_x|sBQ+~zbd*T7kzZMUhzDj?qbNqDpw0Esy--ixko4Mh?CO$qRD*xfoFR}2(H};u! zf9IIa|9dBX%4h!Y318*z#Rkee7E%Av;{7Jh^IG_h!?toC1k)F;i7)K*zwuwRlYPI+ zS67Q8!uKAFrtkO{dNRMDo8MyJrX%iqjtk%0@cDGnzH-sX_mAY>f9Ob_^MBSF{eo6+ znLoRZSnoM(JMUwYf5p+Wp{n=yH(j~@kU@Rok>EKGZOhiFeU$pW<Dk3E1J3>(KX^~x zFX)}C?PdG$s;b;WiT59xiuXKZl3%CzQ}<nA`{nyne)JUYN%%fX==(aAuPir?Gy8q$ z366>L{-k~9ft0&-r+i@(`<_R_Wp&=y@^>6f)c7h}*z$e9?rY<i2Qt<Bl|M4`6?VJZ zJY+q;<5%p-@_N^E_6hv&>---X`V}_$?>O@A{pnv^<vWfu+dX=7Yg64(Rl7v{X%!z< z2gSEI+dQ<5D{OoH+M}*Hy5_*gQdPT0w(~x;&DB2r^WN8#z1`J&4lDN+*40MFKj4~f zv7_+_lCR}G_SK87Tz{C+?_a<p&2t~*tUHfnzj@3i|FJ>c=Fy|JN&6p4S?^c-+G0Mb zq9u9HVeWGu+qi3XY<#kP$8lM|e5H?)&nphT^HqKKch?o+1bKdogT{V^mBuI67c^(f z+>LqUKIZ}B`X4QGLqNWM7`k+ya^Hu4Vw>y^v!2^n?%gS0&=GC(h*Q7f*wwWv_j{lG zVgB~v-8G^9!Y<*OL#k!@%CEKWJe1@A(XsjVsb8Ygf3*C)?P;@P))n!G0{#{K;d35V z>Hp--`^b2F`}7|j-zyGDm(@9+`~FVhb?lwTvT}tzpRG^*VhZ1J<ltPDdk<ybee4mh zIi#KUPUq*pt4sIsv0LoyhQ#$dEu^?Up;CX?v}~Q?*Ov0h$e!K}@$`E|h^K8;-~C;N z<Y|4Vr=uUiJpKE`FW&7IdzM`(KO%VVIn>kf$e#YIa)0l%IrRtR?%j=tM>TUwZL9U2 z$BgoY-y9<MKN6b1;lDyByY))_LyGf0$gQ_H{Iywi|3O{52RkOfJnen%yPOi-)9p}C zA4c}HoZioW`QEj%d<CD}h5QTKzTfzn+<E=RabCMejQ$lzj?VY^*OvX}Pu~^cX+r%4 zJ>?Y#E$<aH^7l{pBldmA;g`y)c8_KBZ`4jXviJVfDyBD&8PzRnwyg30*r6?B>-NZW z-Uq?=a@rpm&;Mw7eB86<FgIVl|Et>tE&Nm0oG<7vo?{pHh<(muvEBX?ezb7gB){(z zDzA?}r@yiNueztrF;TyQ4=J6~cN`Pto3Hdy&iqHm;^kg7N7-u*?T&hMaO={2V(k`n zvyOb<aahuCp58~d&+`@SGX5DKRlfI_Gyg{C)0+2@o9-R8z4uV?xJCUoNcOgIe<XYF zq1^e3gX()8Et^>L-zq5nyHjDqWbw}6IeUHf96xvZsOh(O-MkNi{1sKrN8Drf1nznG zYi-i=T|xdo%nDl`mv@3g;hz3SCi%WcV&CNj%Psz{s5tgh{U~>tz3R7h>R(yr3;(V- zz&UTf&PS2y@q*zyezxs6cG3K(`<xnYn+KxvH*EH(IjqaKUjM7?e%+58@AoU8EBF{& z*tfS{P~PNU{iCqQvnviM&imMLSNg<H?r#NOZBO<Wb_>hY$3IfN_n0fc;^4*9r*r<b zeG0sLx8wQ#9dE8D|NXnSJh?M`7vJmmui4k^U(cVX^jg}^KI!k@-z{Iizdz@jy7%9| zdq>mv??0|v`S0wRuZ-8eYF_)!TD|5yw^_}l+I+p=FW-N+UbFxH{K8f5nK$f{UlDXt z|7T4F_r`zw><|6={g3DM?<SeoznQ*IoAmy}Pr29c|My4K|KFcfTVHUfd7uA|sc*tR z|Gc~Q=r22kW54Vc9Qb9o@W?N_gZq1*RM*rU*mb|7bU*Lwx^uaz@!zvQHkO`zd*)Zr zn%|)j-&b#ZC;m>={=sMAYroRg{NA~J`qy3a+e`P$l|Om=KH=K*#JlSc?z+$Tx^B0% zSKX24;%mOHmD<0i#`aga(QEDv@5~=4SN@!P_7~ThuUjKtzuxd}`W@F#$#(UJqVBhq z?*FhZtyb{bUp1Ra;c02LqSyXbt@$du@!j_Qv!5)lxwmuo&iUP?`(>~FO<MC?w<7KS zFS%!Ryx0D+t@+&>@%{9B&7YF<zrRa;_dNNo{=r@GU8Vbl<8FR0$S>Y6_PS2^+TXM_ zzo&km_^0VS`_7&59i{tiul+Sz^V{<K)IYto)@!~mJ-+LHQ|W%u*L7e2rtJ6n{4W36 zcF1Bprq^}%m{smS{p`Nxy)pZm_s0Ga_uKO#?zhXydDzz2)lG=FpRX2iKYv=p{r<3s z`~7VE(`x3Q?~k}&?iX>t+^TiW_3umd@+#wRtvu;1uk`xs-{*3#f1j6<udKh7P+Ko$ z8}iB7&OW(Tepf=R{3~0ZYp;I?$iM!5f#v=A+IjQ$<@<kZYi{?hJHK!3(<l9BD*B`C ztm<=)S+Du}E#h_ThHJZ%)?WWFd{f`9|BCbj*7*glae=3*w*Ke1EUNdzBJ$&>iJRhH zuibF%cJkWq9b4~-wm+X*<zI2+@ZyyJQ_dgnH`^QKC$Ic*M!&ASseR>|$o#IY_qek6 znqI5wzfrvO9^>~Nm2=+6zu0x^%f+G(6`j>JIzLwY{3m>-ih0e~Wf8CMCa>LoZ1%Nw z{^yf_ZB{?^PyJl1&UJsI$Lf1N7}jl)jNf>#UHDp6^YRl_Yt4^Dy^nhwHUHRo{jYBR zQ~xy9R-gWTtA~Hb^TppyjO&g>y>HlhPxxAu`I@h7{?q@ut>u3%KA~!Ey2qZ2Hm4sN zpRH)tw$lsSQ#WnR^(yH#U-=?l%Wk~3`(fPc>TPeP#|6BaTX1aG7fYe={ArupYV5w% zT>1L@`K+&L5wCMMT&q41^}d5YO8#D~$E)1=>c8D<>?-!Xyjb*wsgu2C&5sp7A9A0q zQeFd6eRkuu>VWK8iya%TMDOwVR{rD9$xjcDrrojZ@UO8uI%|L8UjB35DSNL;C$24T z-+E8xd6ayazS7rY`cwa^os-mgu5bKUSnh|!o;yALS^sP=wrq`iF1ji1b?L@yyAQ9t z*6x3!dg+txZ*E82+k2{K`;Lc?Pj<;iy;^=xEPJo;wW{<rUw5sa_Ghm8-!C6}#A9CU zTR-t@*7vQ;xaWK<-0<k<>oZl{Yrbxac>Q+6HS5O@76rX;V!yLL?py!QnlEgZH$VN+ zE7bqv#)rVq<#QwRd$!(V%HFGbt;+gMoX@Mbh3%`&PyUmeUw$uV*XB2ST_5qu8{1{= z`yIA9jz4>^;k7E^HD9OwSn~DZv-G9^zT|HDe`x3Ar=Q-Y)f^O-UtB)pqon_z>V2%~ zchc8S|N86w&zd#==jk8Ze69WT{K-|#<zA5F8Jo7}xUT%%^4TAu>nYak-ygs6-@kkH z{co0kWXQJJ`lwnv?(ww$_m&*|IR8|Az0m_(xsPq;HB0QzRWF_Q_vq62iL<_1ulZ@I z7ZIQEUT6|@)$N3@+;SVg+r4^NBmep*XI#YmN3SLo)j`(i$w$~fn5+L&UAunsr^Da9 zYc*dh*Bp|(_7AkGEa7|57w+<kO`p=W@7tdG$^6=OPHfb-?v3;2C)ob)(B8XUe!|}? ztDhcbKU?uh@$&4a?+&Nc{1?3Z&fWKW#Qu4G5&P$bt@-}7<?B8+`N@C3*tJExe=PU9 z_Vk8(+u2^%S|#lDSHAY!C~>d;Ifdu1YYR5sYnQ!Nc|78IY{J}h(8$HQ=d}s_Uw<D> zIvTG1u0H0K_3yf7)BT6Ge)}uDY2TIKEq_m+_^VY=YgyQNc)ob~4}p&AJ-hc*T>PWm z9e#Fy*Ny)w&*Ot-68=X$5_x`I@cqW>*uvhge|?+3{yn?k<Lnc^0v}f#KKWMVU5(eP z$ikNL^65YL&RZP6$gCRofOETr&HO*r-}&S}_Pplyt~vP6^~k;DOXodSJ!0plr|DPl zt~+?oL$2%7Csy?SJ>Av+L!yIyPE1_QC5!jl&DOl%e&~FF{6~&#o5Qa~Q}@eE4;PXL zuZ>^xBj@Pd^Ru2;N1X3pTak3sTRSfD<Gn8VwLh|s`iI#_oc-mr=Ih(F6=_GMwd*!K zS}Zz$&5qBOpKHWl|FqVYi~e}1YkJt8;C7?)a_cJ&o(xsp_ek>heDl}b8?H@%boZ)C zeZPCm<9Dxw@=N}j9$~)re_z&-UH{XL&eiv@mFnN{?ERXGyrbOO_o6<Y>pCA+v+>bA z@w5MaM7;K1Q;~I4TRSfL<6=?yH9t7pm)6wZ{?Kt)-?R3evWnb8zVwO%mgnR&U-R!c zqWeu=^&_Wy#WCTs{W{Or-#Gkrv8w&Cd-FfuZ+<K{z2b=Ix%9~UkGbRvKRg$LbWNrm zX<qM9%gVR$ySUK(#{%gUM|k5tFoxG_{#4GZyvKRGf8z6UHOu<6z1^qxPx>R9SJ1O~ z|Fnum;h0CF<re>>Bkw<E)vws@QFGMz+kUODa|;?~@1Ocr^W6tI^?LP>QrmYN{CMBH z=0LU0Bi{TQzu6<-*Z97AS=e$n-@B&Wdd_1(af|<bYw}I|tb6}2K9U~un6-WNk-h7^ zZB4%w{_q#_FK7zh^T_M*f1TIay^od4_G^D+EdSBszUSee_>|foysr)m^8F8eB-vNk zCth=4cm3qAy7NA^_*Wb|yZ?06_t`~t?Y48~sK`AQQ@8lX-#NVkv>8pV`oHGu{vF4) z<vwyg|F8PG*?#ITEty9T_N(qYZawFrq`Ac}`N;YM!g3$G)MNgyUsL|i=Uo2A?#KTp z|KNN7vrh0aueelt?aIPC4@)Nht*SZ1{Y_r`et%fak$*a0cix};hoRg8)av{5d(*yS zqIm@$m^=9^+TCp)^UmM!`FW84XXlgs1?}Y)KPMh3p7W5&+J0I^xB9&8hmSs9B5%Cz zW7A=;NB6cbnJ4kP;vnZYOZ}tnF^SJVZi?@XuDSBH;=ph96TgJ#-ONAy)c?uPL;ENE zQj>XjXy*(6`~K-Wj#=`ZSNzD_SJ*9X^RTHZ?Tu~VtK$Db=lD0ayURRgRo_uH>B;np z$9uTs>)c+w{>Uj`SnSuizT&8Q%zW=h2je|!x%mo9^E%&uY^*ZcQ@!cR^#>yIA2|DO z)OM|zU(h~x|FqBUZyx<wxv8#QTjueCX%&s>uXGD5<yP)LEc~vpFs@U-;&`3*yp8|O zgW}KrVrXCYWVyw$>OBvn=HFO<@a*L!{}{_Fj-0%#y6+*6b@!FWHqMU(zkTGBFYHr~ zdGKiFr2CJg$}MW99Z8?_fOY+i-_a|N*KS+$y|7)_=7C81`H5de^$L6cx;=82dC2Jh zqa}RL!(Tfm$^V;s<@zIz<L4(=w0*ZYE^U|a{^zEBM{VbQ=t!Tl-{IQsdj03&Hx3`< zR+W1!>-V9@yXKH+UYyEL+jk!X)%B<S=rXQ3bk6b7L3@u{JHC&C??1K}$Lw+W1Rkk~ z*Mg2z?9+Xof8%KTbkBc$-z|>4JgpiB8Z@tMJz_2MK#srSpmAK`Pp^~T_bY!jwK#Bb zwaUClEbl*dWxv_7Y2QIzxetQ<75}p$_djH^{;%=1V*SL57Uw;OmCt=_;*QxH_9?pN zfb6}!evgd(J~sK^h+Zo9{p+TC$7TIKGz8Dt>v%1E#}U(S_ccB$_kCzkuQ{ZBui&m{ z-4Fku_-<vH{hp5`&lU8|HTVA0z<dYPMLK4zcVFq}JNx4L4eg7!d)XZ0^!w1|{brBv zweTHBZS5W@-`gL1ZT*e|>Yz!7gXK&23A9%nsowK|Cw%V{^B)cT72o|j`z?+N+a*4a z-(mlL(pSBAANcq!j@{gyTHELyll0$K=zT#;war7;^%Y0IR;%9sAO4P?ui%ee=kkjF z?-hqlzunV+Eq&)PV}HewpMlr%cN{vm-_!OWyNcXH*?SKqpZ{p#zEczMDf-SsK6Uv? zKYEP!Bs@PX^!;D#tB)J|`z;O%`xSl^Jh{H0H(RDI<dM6~V@CZSE#`Y39zL#OZ{t~O z+gD)aVRP8>+{WL9ur6x;pFQS}5C44f-1)oxlRwJeKK%P5)c^5N9p^eb#ply^92M=e z*Ze4#{-a~Azh})+JG+O<eE))9%`WKZ_V@lLcirOf!*rE<4<zJ2G`a72$SH59{qtPC zq5PXiB~yOzJ-0aa?zu|b1BU4p2W00J_MblQ{jW)S&!ZRILf`*Kzw$0<2%nSmyn9n! ze{{@a<-8C7yf@VyV3phWJ+(96;>fxG9=6JTADYT{{OWr095lByTjk!PNxyF-{%0z( zPnUVf>VM;R{L1vBcI)&te%}19@~*b2blT_lvp-IL`iVX5&d-G}Z*BUnY+ScN=Fg3u z@@b3b&-l3b=?%{MU)9XD^DY)u@fq7ipQ}68vwm84@vM($i%Rv3-_5&Sl6=#?Uou~} z{kiGmy-(+6A7ej#^5>Z!DV6ng#`_}9=_efXpLUviR^fA}W!b0iwVwTPu&6-L_+Dh6 zjq$zcd+}F#^rwC9o>}-m-M4?*>G{TwA0G8RKkc>mjE_GiEi*qoH~-l8=|*qkZhg5} zR3~ZtF8<n=jGcStNb=X;`;xTtC#$g>`!fG&w@=Uh_(j~;dVk8U?Q{NalKj3dp=v`+ z%*7t|a3kBubxy`|f4g2DE&9AV&1T=Gmy@4<(@L}XYkK+J`q_3-=hkN(`+EXp>MTkA z`omvxcJA9Hc|Z2>m#m*3W~bTgT=w#8QMs=1zWX!3)t&y5u=7W*vD}|N(Dd-`G@D<x zm%E=n`+l~fCik-X>D-)SmtW5)TbI3S`<&SGJ=NDiD`D~yjy2mG*ha4VccJIK(B;)n z5A&X_C{hMBj*g|()C*sJ=j$u4``q04@xQa6Ah^=gzTLn!IxjEt*yQM$WqG-~w$HhH ztfzXrscnQ_e)_S)dqLZHs*U&UZhm?7)5E?ro1K$EPE0qRclYR)^6<;ePnC=xAG`}1 z(ceA$;|sZE;-}Bro~@|SzpQ@x?cTFL{@E?Ndj}Mp@y74&p9gt-za+nWLe;hy`wKnq z<x;A))>Lee^ydP(P%-V#kARK;{{QER`2WA&FXI2+XKi2aXSeZBuIY7;cr2Os^S49a zWA2mp`S~jPqxU@IT>hsv^_cn_yO?v=YxuM4dhV`2b-!Hb&cjRKZEE~$>>s_!-gF<b z!K#1BzDZvdzwOrpZLs=pU;OiKWVJP7+hzKdFL9yYKSne}G+c1*=XC<@vIK9nH2HRe zIf99+%}&gKD{F&8$OS>}jSUM|G?#{4=*VR`c;mR-2HV$(_4VJQgzx>i-d=mkF8zGW z^E<_{GatQndj9`^{@!0(?S9@@zE`{T@Bf?EZ`Y?jm)6^Rf5!^_$M3k${1%USI{9(6 zMfF0<iT&Y!Q*4f=$0UFMu5<4J<NJ>-?lFJ%ZH+JJJ%8grb2q#GmOpLNqwN&^;uFuA ze@qgd|Dm%qTz^+ge(%<MjM@8auhlH~t+{#RZ~mS$<_BKQ&wcb$?OxJ*sj&C5_a1Y~ ze_)(`eakoPybYgyr};mK+;d2?a=rBR`#EpdA1U;ye;%!4_n2dTVNdz>O+USC9&jzc zzHP_l8x=Qo*UZzidn}=z|NLu*|Bgd%qjlpRf5?5t7{5|I%+~M6kF@Ol4_@h}{XaTw z{x9~3zs7I&pS<<F;)t$Z#CtyL>l?rNzv}0I)gNw?{9JXKe{JmR_{TGAOZQFv^&l<z z`E8wji}!agetok7G{`C*llc7Cnf~fG`>q@-&(Hk*@FAo6j+*sHgSXbt-+AnJ`7G%< zdr$8<zUp{KXg}-v_Zz;Y-|2n!Aa&aOU+ocpi)-rEZq@&gmwxZz#II!*`y1aLXAJ+* zVSE44x$U?A2$p>mH2-mA->$V=r{C_qJ3YE);r{m9(zj|j-xYREmJYO^^O$Y@jq283 z`#^hn@r7^QyDsz3G~V-o?Y&*XM=^JKlm3XWGd8>`Jofp0#Bb5-`?LAtGk>nEZ@Iev zz`@^ZYdh8NB)&hkP2Tq2V`aMslGDvMetWk%=bu=$#h#T%qxWoBe{c0l@q4*%_8xo0 z`M0*_kY-$A+wR#nYk2QH7E3qZzT<zC^!{aajNkH8e@}k+i1(R{b-u~=ii)|F?U%35 zRzGUFp1-R&Zhgg{6<f>8ruqLfEN%%sFR^-_YMI})eTQt{6`rhOf5W!zpCtQ_7WFr^ z?N5sj+2z?C|MmXE%-XN}FW)+S_t?Mk$UTee8y=tE`q604Lz(%7-FMF?*V#OHusiop z$FJ5O9mQ)tZ$4mUUmo#1y}h(fZo{j>Bdgj!Tg{GtzP!7%?pVZM;Wht6ul<Zno;#m; zUc`Gj@%j0`3lEvbZTQbB_B}rT=TVCT#_v9y+Q)W3`R&uX#|t+9nE6lf-rYmbPJe9R zo^x-~uZK+KH#l|W>elz}57^JKefzfS)s3Zf({4Qd^Jc@j^K!5M*d$#|KDMg;ckP<_ zpD!P_vKL<SPc!Vl)aySv8_u1#{TBJY!+p;KWxL0(!nWBTl-AiV%U4*E-TnPWV(dfd zwR>%HWa{=G4gTd`agenvFZuEG9mi$m3j06bj{5zAJ?GE7Uq>x=_Fmn5#L8ZK&F9U> zt?b#?d@eq=>Nw|WxqJM#{#e=9uK8?y^ws>tulXC!ofmukN2c&-mHL~z=dRTsQ;hq_ z*uMAa_6Ho>w{QQ)T(<R{d1Q6v{=zTLZ_NKD&$-k1>!D0|#ZlXDO3#j0%lzNaJ3n|o z%laLMtn9Pbd@ep<W&b_m`E$?0bLU+P&-wp%	X`pYhtynTc18kFQGaF0FgF;oNyn z`H1(7)62K~jVNrME5Eg-$vV>J5NF><&i5OS?|Nh&U0->}qOSXB_%HPxyEmT=e`vd| zuuS|_yZ4^s?_zc5@tmoTuC`xR(VxBM^X7vP3p+~d^di1mBwtnjVK_Vfd3r}_-Lr_l z+-v?>ulc<Bu$4W(MZ#Wpo5bhGbN@;1-m!1?TmK&GIS+ok6O-R~e%GY=8$LeCeEejs z*!dUp9~rOPD1G|}uiOVY&^Wd2n%ZAx(*GU)8pYf@_v-eEKfgC0wX%0#^SSttmHqjM zuMrzx3I1Dhc71)lTg3D9#;f~}yt<z_cfRelpOr^UU(elG?;pMYcd%J~i*3yjPP@k< z@86~VRhP+#t(Nw;%bD|U%Te}U=@xs<zaD1I+gL2$-GAe_uw3D{GU@pF{?8)jU*8(> zd*|U>=QD-va~{1`d-td3?DBtt*M59di+iN}Gi=AM^=sE3*Oe=%u<t%^aZtVH(9QEv zc8Znfq@UYw?|dBk_@Um$pL^z~+i&dO{5#s_sOq^5zZtsc7aabbdGO%dw|}4RZ~puE z{(r`6wQ7fVoqrPXeWqCU{&G<6e`n0T=J%OhFW>h(Is8*H@osw3n%_PvEncq`Ic_`e zW5a9qApbiLh5O`FKK>EcnLn}CIydIeBeg!`U;00K{>E?m_O3bi4+Fo&Vc~Oy9|f}a zKbY}r?#G_y_-!9c=W}j+XKZ<`cG-bl=ii7tx4W$AXUiAyeWvoY+GCZ=&#u4T-2Jtx zW8*tx&TF;H4w=4QyYYWO^#2CsJ;&W^4yEsT5S#V4yF9W^`1iJ)n183#`q+QXFZ^Zj z%6#K}izDX_>&{D-7q2*yUbC!D``+Dy_vSy`om+D^U1`m4pT^Spnj7C4%ZAxjt*MgP z_|Dk)TJ5pg{<G`<$%XxAd2Kg2>27*fP0C*0b#jTnHy_uPEBxWnt#5HOeb0kWd#BxZ z{Jo>_>pou@>;6q2!|y!)5We<rhw>czTerk_98&!zcetWrLEX9aH!CtzzV>Xe;|KXb z7370m=f6aJmDupgF!^q}U&MFG_t$mhzkc8NYk9=?nLMxUE<c#?b=!{y_nJe(c}BPA z8^8P5HTihd9;=8t_iu%Fchvn?>nr|cy+84zsQZoTIlc0aZS_7fhVQ7^v$eeL@-gid z^SkF-N7*K?InOM#=JRG)E?jk7Znd2Hn$N`tt?d8a-}L8-{ef5W6X(viy!P|vqqeW_ zuKeTN_K)-UkB0O;k3_Ba=l(45-}29N-p%mm<vM!i($@R4Kid9{onzbi>!FbPjoM8| zwPhYi`QNa;^~3J#=MNpipyC6Z;lcT@tGKSOtGG@t;`wvQ*ME5G&VLHFbzW2T43r^C z>)w4hQ)M0Ve49P{p2O}kwnrbGdw=_nWZ6ea^B+rU|1)RnZ<pRJzhTGk5b67enf*Sr z1jpE%y>)%ZG2U<U&sE58`PhHu{PC-E-~64KG<Uw_wVyW==FZo>_R})yYVx5~$0c9? ziP?DW{0Dwr`>*{Qeu+msPwy<PE3-)23+f`pedxKJe&gSc`XhgC9DZr6d+$+e?bozy z{r6_y{@r-Q`nUFt&FN#_8~crI9<j+6cCSA4>$m)-kI}EhAAC3$cAn?;pEDc5#p9jC zx$}j?Z23Uh{ha@Ee;xU`^995HORTQ%EUgQRc%I%Lea-s8hnw5}NvebP==}~peY}$I zcWd>Y!|7Kao?o-~PVSrkJCC~mVz;PY*E|0q*Yg|I^NvQ#Jd(A0@IXK3Ps^{?A1zob zrQ%ILOY3$;JWubrYJc!meB#{srq_O2J`jF=_wloz+w9fvJY+o{@A3EFrB9~|8^Z6L ze3ShlF?;``y2lTc#lG)5ag6_?9H_ezGwoVkyY!uh54t7aub(mhm+Ow*-Fxl1*L()m z5uhUSxRrf>S8?6BuHw2~@>_qF)>TD3Pj3o6FS2@`DJ0CRWj5avJYR7zz2?|%{f&P) z?b3d}E$rB=zqO)Y_L}Iwty`zJ_Z~j_NcFGso4T33;SU(5R~!O$v|j6P|9A2J1pRt{ ziR9Y2@T9ltty|~+RkO=^^jWR$){(vYxBfKU*Kb<aRsLl5!x!2*|J~k##ypaqYp?y= zQZ4g$?%DT~uJ0)P^L@63oT=P7ZR!6#+Iy1Tuhx<K*W4@qh(rEE%lAF=`7Cy?-n&0+ z&F`Mi{buvt84F&kRXeup{FI2_;7wtr^CdUDGyc5nf9B=;ztvB>f0vYhZ8!Om@awY$ zpRZmKF5hwV<MoJ|BbM_%bUogD_Wnly%g0XI=RdmfJ4*V0!}p4#>~H>bf7RcA?w0$G zgNA(j=6$>}@0aYxYV+00Kd<jO{@y%h&2OKc()pen-WiLA*=Db)0+ndC*J___dAUF5 z*YE#nKVRAjC)`aplG%Ju=KPM(`aQw_^EdpR`9V&;AS~MEXZh^?>G%1hm;c|``FPSl z_c!|v94r2J`4;<)qr!b3dxT^5U-%_|b^fojulny^J$AkJ*x_C0RU*F66nSkIoP2fj z!Bx-YUfUTb-A$k7`~UN@`VWQI{?}FiZH@RoQ|5g{e&b^Co4?8}j>^V;VBCHGMBPKi z<?}cEWdHVdN8z{l*%tF&*gt+WJE!LR{<XEewr}b;9~G8)C?fx{C;e6Vp|5vy>-YTM z__1{U$BpldH6ay+NmudwOws3H8`akQK2v$Q{_Yq4|JOfVu2nm<>%2%s;$GFf{YSqo z53auRc*(-L9S4ld;&MK|`JeM|@3+FocXnP?pYOaw=(ycq|B55`mPh<n{#N)^Xtw@Q z-E##$){5Cbl>HiAAQEl+*MIBB(mJ+?=jm;r>il_n_t$@S68GAxulfABp|oz@?0@H$ zN7}uTPn<j7@Y>JFM=f8&eza8YdBj+LKkc{iW5M|a|AM-o&(HhW^X7Ku<40PvE!Mr* zpYT4_Y+ik6w)`Uo`GPioi{odvNB?I%{-^f4<avu7Qor46o%cMDvHrf{m-vq3)@!QD zBEDKY=&R|o$kW|_V(U-!Pg3T3c84FG+JEHK{=>>YzgX;F{<Qjt=)8^P`rW(dXFk@h z=hyzvlKy1&BV)OOGdnJ(Pt>26`t5hi)cxOYUb9n?mzOW-^0zpAcCYS#Htqjn+W!T2 z>u>)%Z`~Gi_VDdLzdtd}nG@UmbwYjL)cS7gPd8Wot<~Ajnf{~Ue8tgI_jfKkyZn!` z&BXei?(m3zvV4;2A8z>99H{y$v++~;y41IKe}Aevz})w-ML6cp`CH*x=YNJIKec}_ ztLFQS`lV0b$KHPS{^aW07CZZnPOa~nTHl-fDRaa8h`MT-hl27So3{Q>U-PH=^{qdG zKihtEM8COwe)qhs)7{tnQD5`te1+nC(e2;2|2h16v%STklgv7C2~Xpbp5A}hT2p;z z->Gl+cQ*F!zr07<ec#cq-z^OHeR^NL=BM_WpTB);ZX9{;`)5mMwfPokR<FJ``QekR z+xD?N-*Hr1ZsT{UZ2O0{eR(OLcRw-Bleu3Ze4M?v{q*%)Khp0!5M{USZa3ffF-hj3 zq<`1v&o35O#1)=<eR$`}-tCV#s`<BE_wV_><H)V|T-p1pYt-g5zTbEFQ+a;c=h7z| zZ(HoMe64(+<@Miyhs-~(?5LUW)cOJ2`@#<i-Sanmir?_*JM-*%h5HQ4?`Qrrzp;4! zZ_8_O_mcO{cVF{I{OHN&Yb^Net{=00Ebv|~>F4u^pW+ce-xoOUlP%kK`cr)2={;wk z->fP6@iXnIeB#si2aPpebL_5sdR@?1{bq@n{i^+T^`Z5(|9-KW?f2g&Cs)`i`)jTA z_w75*)E_uif6NZFczthl)PGRgH#lv7^wxi#?ElhV)$fP>-GBRA{Xx^uVGGZy|K7gq zjQxRA_J?(UKC!6T@$~v5miHex{Ud(LZ}=2n=)LdUTHW~{N~hH~o`148XV31lssCid z{;}_wbY8H$f5V@-x1;{smKA>U=sq6tQ$6BmxP{_<sreszyr1djrGGy8?ydgk?;Ae( z7kJufmdU67ylQcPcism%?f-(>|5?{RsXli1b?*Po))95;pXQn8Ejs-tdd(mAnKf!L z_P0LSKbDOv{36laUcTky)cTgG^^M=3L_c~{t@EGxoTT~g$-V09EuP*FKQ~u*U46c* zh3fo>!k8rW%{AJ09?NO}XVm^LIsHlXp}h2;>g5}2`kqwY*s*K%(Ng(U8{auIU;9(N z=BNMcADcRVmv8>a{E72Ji?PjPnd|qne{Q#U^joCtN5^vC|A&|T?-IXdUB12M7XOX! z#x4<`k4Jo-zGHc9>tz0@|8<w^Z+w|w==WPVZlid#-K_h^XYD_#`TNzq!?#X9KK9gB z|M9=QdbWvIe>a4JcDT;1j;?=O-m-PRA!tYTsk(>SzgxG;GheGa6S01~@U_=xclSSC zyrW-qec^GdL%*Y{Ywrmr*4_(zF!6hI=jY?Mes4T1`flTQi*EUipJs0SRQNvq^ZN?h zYKvcX)wSU_7T(`gI6tDkR?aA)R?g`0gc`pe4SRn_{|BvktT=6d^pySKQ~M{L+<ufh zuHfvRtIRoeH|rNf&cDtQG5>nX4(0hW$Ne|_iJJ3J*6uN<_J8KEf7)gD5`Mq6Iq~Ub zAtd6fH*CHB+*0=1b4&I&b`!5N%We29@Y+66T-<-tH^Z=hoMHb&<xXxt_ES37)>v=m z_KFo})pxIqoNrzkG2dKuhuVCR-~QYFWXU{alm7^f{_rQ&$L@WP{&(~6iT*v$duO*t z$IN%%YVqvzGC}<(#t+T5|2e<oxVGQCq>mp2&Gvoj-|$Jlz+<1P-2+hcS1;*RUmr1l zx@G^S9d`QN1%7shafO|Gmq+ary$6f_`6s@&%$DEq*IzF8r_9OE8w$Tlbie+*Me6nE zEsXLf()&*@kKCtz=K(9b|Mng8Z~iz1&IPhRpIQ8KJY64Beau%b`|;9>gTMB?@A+C8 z|G^?#ezJc@`kcqcdLQ0NPW#WN4MNQ4PJHh;Z&9`9to_96I|@Itbie+5K<M@71AP2X zE<gS<bJ~4D@rq;THtW2zyLc@8pIq2K&a&#;??c{xY)C%VJMT!{BgxnM3J>kdukhbD z=l=0o_IHnL_T6*y$Zg-6J4crL{^?P_w)xQ`-);8{(*GB>{FUES(Wo5rNO|7Jd8Ok1 z8$Uj|eC%kj?!FA0JO4JEz5Y<{yTwnzZu!E#^gWM0Wt#1?vtPHt&i>uT^RJT+D9^hp zH@_hC#_N;SyL#7OpWMIxig2}k*3Yzx{_hrvcYa8k&8s=|tETecubP|n%eLm9R6p== zv+jN#b&KQbcaom&KYXhG5c?<F2cOPvoA)8o?7v6c@7~Xc9@);X7mt`<|NeuQo$R}L z$v@>k$jKLei|MvLzwP7H{oPaRd!#@47JLvpz5lr2<F@k~KR!{u_I#u9wdWh(6|b%J zw392FpZ-(T;(+G7g5Ih1%~Su&9e-l|Nb>jj<iAg@=FhRa|LE5qi^IS6>^NxrbIXpp zeNXKl$<8bI(kf=3{B(cPQ~A1uXY1AObG&D}{a=>v#q;`!Tc@k9IX{^{V!rx%3sw2$ zTmEtPZTRduEx)HaCh2K>;?w)ue*2mq*{A*79iIa!!v6_%zyAD=<@M)xT>VdiAMe>x z!yfa{_S?Q2kJQ)v5nuD?um0Ab+@E+ie11HwzS;KI&iJ&qPi^~t?x{Xv99Q6BmtJ$= z;Pl%S>N1a>dF%X_(f-e*{a^Om$?b=g<3L4t_>G#PA5+t6<Kz=-<KiFm)p*I&uY7tv zvG#sq|JT}f_cedg*ZjGA>Y4qd_3f+YZ}_`@gY*8<$NyHZ*>87VeuLfl`5X7`f4}70 z_AP(*uHW`Ad>=nw{7*ZJHGhoP{E@yhDZl&h_Naf{>t=5MB{kds+x}~7eg`y^%AeZs z?EJ=O`Q{(*s@df}YWJ<Vdt~nV%{3-<EyCA?9}DY!?9#ro_w2R%k8R}&zD*YUzW?4c z>&^Oqj~z76d9-86|AxEsH+@W#c_2J*L-_3q<8Os~xBp|l_P+jG#Qy)5D{C{>&u4x8 z``I7y8$W*i+r;qt_i3Y=rSl?w-+d(e^G(5*%hT>lnxA^yYBpbH&xtkn`CB9Q%b(h~ zuYdJ{Uv}$cuYI>Jjky25<iM%_9aHyz?lr6T+NT#+&>8;8F8@yL<geG?udiBjKVEj@ zzV#W$e(h8GK6~x{<KLH7MBJ~RobYsh($o0l=Wn;&=Y98)GyF_+UH4h_>fXrx_dji{ z+duV@_WkEg>uct7U;7@oCE~ujVbW9k#HaPglz*<X_%9jl|1aruzT*4K$KHDD)II&4 z|KrsA`y2MfKWzB=mrMKF=U?Zx->RsJvp;mo{@AJgM?`;y-S{1O`hN%avy=PJ9-BUQ z`mC?NEyZ7dFE+gP`8nsc&(B4#eLiD)?em%DU;FRe`(ORFzV&B|^QYJkjLY>m|4I8~ zmlspN`{>u-huL0#Z?9hSyx4us^J4Ke&uz~~oUdkE+q?cR|Hg0j$JIaS7JM$=_Fwp% zgn8}GUiJAK>-O(R`depM)4KKg=WfAkpSxMFeJ)VF_PIbd?4NDeKleKm-}gM8zx~hS z@LPY_Kg}}Ji%%{5`)~81Uw_m4!{@!1+A#0^tc~;hEfa0$KiYfiSolA?uz#B8PM9A~ z-*cSv@v-$AKRywj_w(<Irmw#P|8Kpv?@47h!|Td!9`-+b%lqJ&{hf8re*Ucf!*kEt zPr6?B_>Z;Dy59kfrSiAHhnUshIMP3R$LG0b`+n_?_?v7qF~6z%;<ez1QrB<%XYJ;{ z@!R=#?E|^@A2To4-~94@|LITG7wir^mA_dbdF_wowLiLf8T$_(%=rtgW|MDO-_QMd z#^TT{`(v~AA4$IzUh)0-pSluo9sAMYx3Hgm%HO@$Bke%dto>2ex5jV&bbie@`+M8> zf8eseE$%h<zQkXh_iOt4?SGWw%1<A=`#t8^<#(4}A3OX#?%3z|ySMyq^X``x&)>4+ zp1<m~-zyJS?fsT@{`LEguT^t4#`VAZe)~t&-pYve+gV<JoszV7Ue=oH-pyaDZYJ-Q zb6a!$a8u}e<FLKW@*Dotyb@2kYJB`xWkAATn;W^0?yA*+LZaeJvswL_eYz3#^NWuC z`aNaA_HR}9)Q(i$Q#)Q&xA;I+U2^-@d3@Qn>|39Itvcc^v-jk)`6sgP?D(PB{rY{l z*PajGwPmk;=l>FM{<ZAJdDmxeoOivr{sy$hxc0e9^V;XCugmH;zubSkDlY#>Rb2i- z?%##S-s;~v|NVix&VIRei=V39&vzVPEvviv$ha@E{NrM?c{R6oRBS$4`)hi|5m~!O za^df{{OsFt)R^yn>c>yIX8)${H$N2q?;gkN%Ds%QEB8viuG}kp?Q_+=gvYDr*@f8# zuX+B}XwCDlvwZ)TU9La!>t7@1>&m^xei84x{4M?%p3Xli_^$5gx8(<v^$P7G|Fj5S zi~YBwS6yFvx_#ouu79H6?&UuIYH@_w?t$d|51i)jH~zaCom=zwuUGHa@7m1QK37$* zdH&Te;{0pfi1V+1=5PKOdY)nRJjrXHt1Pd5u6n!df8OQ#LsfC_8@}$j*JXX}^`9kA zh4){-CjXG<_>Ug%H+%d49;)8+U`lDYed^=W&wE$zJ<9)Oec{j5X8#(b_Z&aCKJrgX z@}38h*X?s3zkc5<wPwHhtz_Hv=1I2ew<p-HFF#flr(dzVcYRe`#Md($=Uvy{Fz@>9 z++XFh|FN%m{<SaS{A)}5<j?aX*LRoi&-*P5S|Ds2U?1~HYWMr?KP1!F?EV)y+ds~H zUH!R7jDMwV9@@_P*zx-QhM!`44yVifU3PT#{OupVevj0>c0av0;`#HI(z<yY&z%>2 z{fFm%;^Wox1jB5h!y~(W|JPmq-%(n3F5>xe>sx;`Uw{43B>d*jw72eE)iIAb&3F9B z&EEfLPO16+gwH3>>BsFlX!<w$&Huh$^G6*1KRV7=9MsOMzy53c{*=!z-@h!2sP{Kd zoI9U=&FA8yR`zq_w|y+BbBp-ev+-4A(%ku?*M8p2|HVK1pYgSym4~eC_m)T5*}nc- zShS?h;<#j7Ve{Yn$@@Qa%q_q9gZ1}?yf>gB(Ecy?AHMpY`-gM;kA}nc8-8>Nzwt5q z$N7H4zkeGJ|N4EH88jGeWk0w4c7>IF?3&NUVRO#&3m^UYX9IM+@Wz+>`M>6GICq}) z^`9^IlYg#VV}9T+drrO4p5vD1>^%M!w3gra?cBZl{nn4(-%_`4{Jzgurv1zN4~+63 zIOPjJyPM7byxJ_TJyzzt*!vCt;<g<BRee$U+E2^mx%1zxzxl(;-geDr<AYW8%Oc*N zht6^QUG{&+%l!#+=cljvZ2Y72w0`nlMZ3o`yX$j)2R>kY|ADc5$DavXzw?>Z9o<(V z{rmm>BZbcY<=E?!9?KT?q}wEaH_zE){O!X#|F!k~U%zi<zIOlmInczz+xy4gSJz)Y zcKzqe<hk=T!)%S$RGCFQ2hBY6&Hi_J*?-uG;dY(<jbGg?{u;k(=Z;BweqQ(9Lx%4a zhyLZ?{$cw2QohWeb83C*zxccUx1KeBENl1hMM~lO^4vWlzbg(hUwa;0z2^LKzlg69 z8(uw>zo}n0k1gV3j_`T&k7dI5a~}zp{kwFn_<(KP$Bw!8H`jdPkN(XOZgK3MyN=z1 zwo=#q`G24OJ$6w(!e&W*5C4uM>M;+Wt<TvfS6*@8qr0wL{effG?=M%6sGlE~csD(3 z&F?b}rSorshL3-E*=~IGwEn`g>$PeJcAZa&_*=Z@pYFBVwD!{ZGYgJY@&D)ve^Ynn z+u@(ir_&Dz+C7k%UZ4B+`;Fw-kMfbANtJoJ_b%8UJec%2)Z&=wypIj%EBedplmAY( z-}Z6+A6xr<pT7Tz_&$^EwcY09yUzDSe4puBc&|SF=+5&j@|%7_hweccqw3v;cgC{U zYM(9mx90nbfA!vf{~dXzzoC2X{>>FV%yS-a_TNa4JvskY#iuQWpVGzVznuT**ZbUk zpc9oA*KhbCx4hyAx8MIe$F6@Cm%R2_ocCJovZD|SIbYj>W~#bE`#<RC)Imq?A+suX z)2r6}J|k%TKjXLIW4Ybxv+Iw@o%?_Cmimrkn%~Zg%`aF``gdusy1bd6{h7MU_J`f? zJYe0v<8S)x{3EvW3O?zJowrMR{JL_g%<IajVz2EsAO2;NaA?<gnGNrZwZm-Z?BDb= zq@UxpopAEqbgwo4t}d(ZhYTAUpNo9Yx&FrQ6;B`kUH!Jb|GULe_c;$=-Cy&Uu|4Yh z@f@3<I|{#D7t4P+|M7?WxqHOaE86`l4r$vxcxS(+e&3BBRr^*PsM?p&URqZc@%%X> zX!39F{B!qj{8-iA9eQ5k^&g)N=gwzc-hc0l{DyPqK{H2(s;}*S@Wy`S{rgdMs(c%E zN7)=!Jok}NzVOJd&-*ujd{X)N&wJgvMfHud`)}7+-+9P)eEx<XjOjl*&hPlaE**b9 zd1}P@<WkVMbZMR3#&hQ-U;iojfALtjt?imBwTS2GU8Qx`X8$|8?7!UWKQbH7ooC(s zKlOLvF=oDhCqIFf)BNA|%`&f`vHAbTA3e!$FW)>i*<V`xzt5b1s`Col&+j<C`~TLT zeH90c{r;bS^mPA?AK~-n9|mD7$V8)+y??~><=@wv?R)hy`Ks|zD|_QLpEuw9l5hSO zHgUEiVXt=Cob38f)oh3BH~*=Tc?jx=sjjm>`fGl{p<Sozw|{(+`uLN*&c4O<t&9D) zeyp1Fh*7`d*tz9~_U{V6&NtgP&wS0sdFC=tzJK~&74iHz=j%T)8_xOHZ-rG;KW8S- zoe!<0tnB$Co<Hw$zxKN3&Zm?1cfTng67AbqF4{fa;*hA_Bi7|>cK>SW)t_&^?%(N0 z+<&w0#9e(RUC{sCVyD#C!<KO$x?ca^_VH^?M)TL27qB3E{l_MG?)-!QZ~R!5-Vu6U z=Jg+)4d>3^T~@#SW&Hsw`~HaM%Rlco+yDGl-HwX><eCGVdiIBJh3`0O%4dK1QTm*X z?|%Kyv8%khqb%?5$@$!zf2C^<RquHuSUx}Xvu;KId5hmU-RAQ_>GhV_>&jb#um8;1 zaPGYEwVyka=FWe({ML_E=`Eq>nO^_V*?8`J-sS!Gzt|_uoo{^Y=gYcTXX7`;@$U}b z{#6~)ar57C@T}>Y|6Rq`?$+!&t8N{>v!vPj*LI72%X-Zp@%aDfIe+8p40-+?dsm%Z ze*Se?#Qf{N5nnA5t|s3-GI#aOiZ1tvzmI+Q+&Qw^ch7O*pL{!ZOk10N%+xON|1Gia zF{jlJ+1Wi36JK-qHxGDb#qRQ>?qi4Fmgeje`EGIeWU21G2Qu3$j{Zx(`J+nC_CS@K z?P2I#BPb8<I`0FvEBolK^A_`Sf4;C2PW;-x@z?Z-?=!hx+g(n6U$sr1`QBqm`461a zuP>h$zP%>u&EpSjV)q{!|9%zU{P)P+WB*Kb=UuQrpg!lJ=<^+)bN7k8|HzkazNO;9 z%^y{D_D8Df?2rGlSpb@EgqB(jq5aBXwsW#?{@HbYN5uD;607T*uI@jy>-?37?=u;v zuPN`pD}D2qzr_LWc^m3;Prq+rzH{fsukwo2_~zFe<nH9l#9V(Q``20K{*hy+3){GB zj#<CCH|6KYhQqIK{`j@8mE-kqF|IYgeL73$zufT77&H&i5!x@gTJGJ~+`7BzHfw(S zG+nhn`YJy8Zo1T(-)G{|_A2Y;rTyOcKx+QR`r>YO^9_HJWFAR^ru!G>zkQnc_(PkR z{bl<@yAM3m{?On(r;hLIVb*sWKkpRl&r5my`nN#Rn)l8c8{Zj=UaMVpeAjuNi0?Ba z3+?>vHP1bNd9N2_Y0aA7K3$>bc~;MZ6}C6h_X^8>l$8J2wfg+#znu9=Ki?L#EIz;e zM?>s2(Yse}o&Mdscy^@C()u3pn55_2Ie)mm?>Me3S5U{DEr0*cG5b${MLDm14-biW zp59wp#~1PZIcQx&;??AXtJ>ua{?C4Ue>r&K|LggUzqUs_f6n*%&zypzRs1)0FI`)I zRI$wN_$SK;9Qqc=ax?ydtElHupepL0P51XN{sl$auiA@a9*gqd*lqgxv8|uo{bSd^ z*Di^;|2;5y?tI>BKX)F7Ov4{sbzE|_+(Gdhf2{1C*L*fU{whA<D`*N{_S(<N1MJtT zk37xIv7Zxt;}36HVL^2Faf^eTb`J%AuhHJQv{&6<T3zw=()zC5w{O;%-h0fJzI}P! zjw8xt?-M?L2{YTbZ@%i`Uv<;iUjND2c<wwb6NcG_t*L6+zU^me9mb@8$egd|x{|+} zo$0rYao=Xo`L3Yrv2|3<p~h>a(cmfnd*>cW{xz;S##{D2<?;541IqJ0v~*iX|9<n$ zY~Q}~YR7-s%@%w82R!2l@_?0n_)$yyxz;yoR=Kx;)}}m9?+gXi7O+(vrmt-i|Lbk5 z)8AC1^yaa$-$%*xit_N+^#>GRpLv(@_~h~4&DN1M3+nqW?+&z&c_3T%F7G4f?;j2B zbMD_fcKtiQXvBT{Er+e_ts#>tavRV2Ki7NWZyUR&>R818f0%WX`?cK<w6^{I_&)3B zR*M4<ZFS~76nS28&|PNZyFYnm^KA0x+`s$ioNewOrtcN)?sp#kiM#f%LA&P2y}gq9 z+dh7+^;Nz0-L$mpb7j?fi~9KTBUbk5Yd&Az+upnW`}FqE^DM9b_-s6PzUuP+n_uoH z&z-M)?dQ%16TZ&-$jJVE%O5|BBWG)K>Wl6?W>WvY^+!+gwb(nS!PV3EZ54k1h1Tyl zeD810AG!A*1?4~VoIY}E`uB|=zgEV!eyxn{5AA1MEeDx({C(@ktM|!Y{mnn-2<I0# z@6+Xbm;bZh;+XKfkCO90c9rud{QbW9kI*N({20~Q7IH84Kl;*W7FSW99shvCntxM8 zV{ObM!SxaM%b(dCIClB_Tvt#j^4cyq>2A8#n%_PUtBkMJK5HqR|4}aE=ZgLPSM862 znrz=^vdKs0cdup-_P_Ipvz>qA56R^fN4eL@rTmn=dA#)TuY<EK%H*;hTk~(M=vTIR zB=LOZR{g?O?KiePALX(hzx@3$X3hKdZrB0|NSWnWcy9mRN5A$7uK9hYsdWCw;M4wJ zc{aS7nRqw7Xw7e*Zs%*e53}1m6t;Wt?BO<h?ROhL8=O|JY&n{3vw8iw!#Dl!<h-#t z^vLpW?4Cyw)%{yO3hy~CYWF~DdjF<x@04?EYUj^y|5{ted97CMD8xc=6A`jF4b))U zb)Kakw94SftNF=y)4A6CKEr3eX8YlTi*x_7%RE+|xAAkv?E0g6@8pvIO4lUC-#s2t zz4PvkvpV~h?{DAS^Xs9|@*A~lj%Ld|VDrCGy{32ld;2dN_x(3G`pZV)@GqMM2X~#{ z67hYe;A%PHFxztx-)D-xwiC8H_bK>4$Lf06x{i5~`Teht-~1<YRqnh+)t;kwkKg_y z`R#+`_KL*#z3LIwmD@Ldcj?~kzu{x8%>xmCi^E5S*VZ;?-%0xK+4EgRI{tpRWI}Cx zDr~9|x>9Mi9Q&H8HK58o<+S_r^p>mk$6m!JLHcQrJHGP$=t$r5KsaxH&hN)hr=4%8 zo%2lW{=?1RzG_~JduR4-e%8mPe~e}Gk{+ktIBqFd*xg^zzIu7o{|A|7`}W;8KJu#$ z)^L!$_VXsFS9sJCJP~i@pZ>4^*7Nmx*M3SSUo}3sD!sL|?pnn2=MB-<b{~IuIp?qX zoCmD)H~i;0eZJD_cWd>Xhf`~L=VgMHqdkaRGyR|9x%r8YpYJ%t`fXn3M_InYc76+; zoSIts?3S;!Ygn)S1obsRb|%lA@4lw$OvKlM`MIB8|M{}v+<BJO^<7u@AG5NTUh}!Q z-+xW{k+Yj~>c#FnH16Ym^<Cz){&B^)!hYGczjt1XlQZL+pI-R>rdeIf_Z<h=V;+67 zyteN!@4LbeF~_R+^{#)vzIWrk{oAmvL=(rp675Wty3OOwzaEGFouB?&xNhRp?Z*Yr z&CCDDxO<JZ*4n*$?pypy>Yo0kf8*~~v$#&?n1`I-D~>U*+kfKM@%W_2uYb1*uX!)M zZo@fHPg4fgpk8&nDU|=ecP?x-8gixdq3^3)LCCFNpatNkb>_3W-}syKjhnxs-}ahl z{m!q`kM}-azu||=e-`ohq{rbqj+uVjf8`N#A7~`~(^OC$VR`gd-7{#v*7n*@%cQyU zyC2P&pMLafO?c|(*Z1cuUi&#S`Re8at3Z_?Xayj1T*Q09?eS^9AJ<Ghdp}uRJ>Ktc zL8I`Sn%1N4Z#J)cvO3qM@|ML<sYhS@KXzo-9N}HJ@6xl|kHz{e*67TuTiYAI@4`D} z$+GyAyn;Wb&&1AG9C>(F*X|*+-Q$*@VKs*yUcdQAyRYDT=(PC8{+jtwovZD)zQ6w3 z?C)>i|H^rp_K!cDU$g)B*Xf_DBR;EJEUfMF-*N9tzS-aJU6<=Z{+!SK=URBJJ}l<- zf40;57xv}uS*`#0B)4Aey&csHkA}XNTYb-c&EI(&zum5#`1N{SRmAU^543-`u74vQ zce9_Z{LOK>*I!MN_RbSqbN#X8>#s9v7e5W3xc#&5GvW2_;^vKe<C6D$Kg)S8FJoOn zm2h#dxXpuCX=3-2`+xdb#OUsO!2E6h%}=+3-!r7g=dS<HGu`6&yXz5qjxyeR$mw5^ zQqOPkpS$|++8u|_ZI7_~c=D0pJW2gyU$5W#lYaE(_gx$R8JES?yi9xiL3R4a%E#ZL z^&el{J`=KlG|X0eP1U!EuQD56Steio+!E^leyQ1hNEgNZoBH9a*KgLD?l`K;xBuQF zWxo$C{y%z(Uu}LQ+OEI(&!hcI>MD-0#!0R}a@YLEpM%?@>!+9UOS`{Kt=V7r@6+iW zzq>#KnCrLfcoms+b@Sm>>HVSSmBVb)*HrCVzv*MBKmY1^oS-qgs%t;a{4=Qtzg_qC zV}tvgeFq+K>wS>4)=$|VpZjy|74;)`+t=1v&MRzu-5*iYUVH5IhmOtHZ~QR)ZImCg z=bKU8tsQq`k3D|B<IARx@2qv>)XaZ8DVCFs`^cERzdz#l+nVWL^W(GZ^<!oBosoI` zvFaJi^Xul}KWge{?>}~y`3&>5pPP<b#ry3@t{0d2KlSbQ|97Xw_cFh!zw$`3ub{7g z$5HNk55FZ}t3PCG_u$ET-F=T_tk-X@3A1@HsrGD~zwL(4w$tzB$COMjd|$0^n;TPm zKh>t<@KXMW_wSXj{k~nfc<c4ww~toUACGwdp5^u5*u=g2v)6q8-te{RcJkhPep&yO z?yaxr|NDOXA3lo%PtBu#^O##4KWHAg=jgwrueTox`d1ufzw?*(>oLQ}mi7rh&r}?- z{XOf?f}>9lAIq$lw9mh@|E1BqzZaH<uc@-K&ndiWd}vjAPw07})$>HdY^y=bZobah z_^R^Is^#C6r}=+5yY0W7-6Iiwi^FfXNBm~{zT>E8@<+k`E9r-R-jCXQZpUHmzW?VQ zJ?xLFvwZAYag^I`)A_5j*Y4eu7qkDVksQx-ySzJpZlv1$Dct-@G7*%2L2WO-)$?q_ zZ2KcXHog4Ua&~=HRm4}Hf^SK;|BK8o?7cfZz#eoH!iSFT{H@=(&#gQ!x!$5;^;7Zx zwqFk$pDR3D!TN3g*<;`Dr~Q?^b~j(<-;>mu8y3G;p5C!}|ChSQf0pa6s}hU&dM6Q- zik>sBp69-%$|`^R$54Oq)$<I)Y=zfUg}ple@5-zHLATdC{{0yD_ao>04^6YDZ@h1x z3`#)<?%GHEHL`gubNzqD$FR4O`R$MMw|~4mf9pr(Z%=m={woG$jAzgFA78ZAtG%^D zR`*{0op<Keb7~IRB!cg)2$%U#+4q^->|TrVn%^NkrSr9(vfui<@(}mCjqie)uhnK9 zll@(N=%fA3y6XKIKd;<4{_o}^&Ax)R{v8Lo^9p~Tzg7<&Z?S(Yv%cc!U;W5B*55P! zeOh|Gx4k^>*yr-tTYsl|_e)Q|oO-AJ`%?8a-@h}y{<}A6@BX>pBkK3=S6=hIyyxpb zy^Z(oi(dP^`*_t}-iY<vCEr)<pHpz<*6-uh>z__elGnF5dhYbv+P3OD3IF5Q*6GT9 z><G7c`0oCS?YE5Yb$t%MQS<wIWS#79iT|&bF7KTjzq?0Yx_p0~q(A?3yX33IM^~l) zsLNho<reW(X9H%Q-GA`UoBsCA;kW8kcO20yi%<P1#lGX9@V&<qfAv`WFJFDV$-3s) z$NC7HAHJva)Ak5lU%&0A|BnOvrtMFC`}Fg%tNHQA{@&mDCGqjEa{b2#FRp=%V!w(^ zxLVvEdY*6fJoYtJavNX0{4?+D`l?+KUwb}I{OeipRqFP7uI)RHJ<Qg%d%*JkBj@}A zzgn?9N&mm=?*C!GQhr`xTllflh1)m(+-tw#pZ4#2xiU2mjPB*nsrz8GFVE)B!&IB! z%Ada|SpP4YV|(LH(%bKR+56SkeE!-{x^La=9mcn7y4)>lJI;zfmYiPkKj2*YoF&iX z*1vh*u>0z_`eVj+4}U!t`~L67r<;lL?(;WPbOq0O$ZCG$r+)VRM{@lYM}*J)zkO@E z{HCAI-%kFX?CmcdUSB22|L@JE<Gr)(_xHHh7rwlF?Cr@%RrT*T+`BJ)?f377um9pU z-n)M;{#Hd*y?ey__Y$xF#wP8(r?uvKbpQE1@oam3^Hu*pQ*l6jPM!Mu_59Ixtlu_% zjurDS^sO~|^S}S<>VuYfAG_SQrtjhG-|>&*^Jf2Z@v*z^-uU|hRPcV>czVb8>(k{n zzOqcb`nf0cyy)tA50f6RgpA%-O^f*YX2YwS$yYzG_;%m-?+3Zv^~s;*H?*I=9{HQa z+~VlL*Sc}Z|La%E%YBqvUvcE_|H$90$9u|;8O!~<_UZP<{EPQvtL|9*`+fRH=Ht8e zF~=s?@A=Xcdfqt9wz+=OH^{Kh>UpeTw&h@pDi5rBF1UK$xtq`W_Z|Os|0rai=G!&3 zt<^D)pH%DGJ(&3GP2szkx0B}|pI^`}E>qui^l$yPKSjs5KXj$rY+QeIe?)cV%^iQP zpWg93fBHPLb^rbvz5CxD32Dk-1uvivJue$(tG=d6Zo{jcNmnob^gr$XwWr`)?Y94h zc8`U2=P#(MIAA;PLqoTD)Nje>SDv?ozgauY|If-R{O2u>nm$gw@xM;`{@)#6?Ki*Q z-TT)+=GgAu$^Uot%r}4c|F_Y+fBltD>Lxw>l2{g>x#8RHqqp7*PS=0-ng7kcBQ?i% z<*$wSzVbtT?!PAw&Ei}7cO3X-v-rp_o5hE<f8T!m?sCrm{)%J9>+J77diVe4AL};{ zUucQ>7jy;hc_3vSzV#dLyN{qm^z-VP+J|?ZO}1xedV70$Ox2wof3BR~@jr9AT~18> zuT+~or<OkNoxM6L=KXuI*MDu3_U;E25R#Ar;_&L5KOhN->GjvJq`mj7zCQnZY4v~p z8^52g_*W5D|7O=3{^}h+9beCXFzeTn8|7=`OwScIhwnKq`?%NQUGAS(#yS7y)JB@; z*?l&8S95J?d++D-`+9bV@BbpVdY*Eat@xU%c^h9z#vDEt3|YbqDjjwnUX|V+dY<{- zMtjiI;J4E|)jlVS>suT<#~)~a=P}ps`Hp`-bg=JvWc$wU@~!z-=6w{K^MAwH>($fZ zPw#8JzUxcf;}^F;rS3PY=^uaWnr`>--_&oPOAnr0edgcSHGBWvGdl3A`ZN!_`KE7{ z^9s7ZugP!UEWh!q-;N{fZ~m<~8vZr?|D4y+b~n>+*11LeO<wbF>7y;{MgHf!zOQ}m zPxGTQ_KzFBsoNyJXPegl?EA)N{vUmQ^IQ+#{&O~XX7H$T>B`#K{(SirFDoB^bDRG0 z#j&)j*2h+rcYc3U-n#X^t=`S^jJwz59}EA+9e;EE>>Gb>@ylo12d%jt-1fC9YeQVW z?zPv22lYOenQ#4B6nEY>?xSG#9?5K*?`hZK3;DI@B|M#-^fX%g-0PKrGVee3xX0|j z8TX(2-p4A-$1kM5{o>3!S9i(&$gBB}r+j0L`;d2e|LrgK3D0Ml-530RgH!K$`Nn7c zA3c7vJfGJap8M<i?0?MbGWH+8w{^{b$mF#B@lV{D@(-BLZTMz?{M7!V>bKq(6z9&a zzxBmF=hylji}!xsInBRD_O*QCS^4C%_7A$Qr~atC7C+BC&;GxWT>ZhN(|dPE#~iyH z9anXC2fyyR@0T0C*3H@&w_bhC_0{Ftuh(B+-2b)8XJcHx<h9pp4_4W3jaa{2@by=t z#JzFnBG$KyzWyq3@7AZ_y~=B<#3R1yY<zVy@vqH`jdgM|8|&tsiLm#-bNj}huIh-7 zT-V=ZS43yO-+SxaeUA5qjizy(rg7Ulzt8?rC_LZ1@Ozor{%fxj*P0)+uA8^iqN@9E z&FaD~={*l@%h<B*AIY_E-~Lnf)2)1&yNSZb7au$Pv;X5~vG5-~d*!!R2;8ht<on3j zZ}i*#;V09u`J2z&pTGXb(|scMJ{H+4ulcL<q4THLoj)y4uRrAbZc(@TXurkd=jVIQ z-`G1Dy1`-h^{w;cHRTHSzPz9F>-|TU--6=TH-CgI7%oUZy?$A3$KTh{&;>He_cp%2 z8JlBsbLZ=&-=)RNclYcrH<w?ry*>0jOZNWYHGkJ_{I>bvt@F0~HpTxqRhRhoxMa3{ z(~VD;e;XgEvVFSa$=8U4y>jX`Nq4W>=Im*^UfkP!{nqR11HURaAOBTZeCx<`-#h!4 z_pblP81^4LZ6=nz*LuxYKa0nI3l603N&24qt*-E$tDVIm;l9F;ldshs(0twXE*Cs) zR;v?t+5Xr+|C<%6eFd%kJC1Y973|*r?_F)~AGvCapPAk27RNQ?3VZi%kN(Z}eq*`) zxAx~hYt~dPjhN4#5;33MB;x&ivDbf%lWOG*5^LoOj{W+6rt9nbnFbsG@I8@!_$fWd zmNl=Svw8m3iuU9?k0s?rpFcmp#-i+f{^#H38|M4V$rU!8@0tGS?)jU4&M~j~e`w!! z>1zHxJ@3u><Vz&u&ECn?NczhqUHh$nbX9t9=y|r)^QzZW^+kM*+3>3J*sAmo0kh-3 zpB8vsH}i4pU(JHwpSQ(Z-+9cZ{(i#`#^*me!rv@0`|nd56Z1Q4zeK;q!JoXkaf$g` zerD}Ce(`Wl&HU1SX?6bnJ^s?u`*-yG?%(qz@$subv2a`PqVunJz#W_OtgGk!Tbx^S z)%d`wbhTo;XA$2oi|yX;@b^Q5y3Ipoy}FCH*6%oWF8M}({HBVQ>{r6tb;_&5JBwo; zihj39+QTScIPqqU=I>28F}B}~>hA5RzLRQG`)BF(-rwpGF<+7TPo3A|zkb>HYG%?^ z<HM`cn@j&~k9hvS<8b`8zw<vZ&i}}{en;i<t^D&-ewJDs`nX#sF5&;NEByUC4r{+F zEEmqMKO*_~?2n#u|7}0Bznwj=e>Y#o=BZKLjU9EbQf+E(E$xrEuWp!JJHO?3Nj9XF zy`eaGcm2{G$4$d*rPoxw`}pqIwfZB1=lAPb{1v_Z-#D(|1JCL6GgrvJE9`TRdGP1% zwYudNUtfysUwQR)gLTc3k7sq>*<60MUgG@Gd(}CAIQidX|I*3Ump4Dxb^6Dyk00Kg zuJ|{{+xooe>Unx$w#lH$vaf44yoyY|T6|#DbGg;?)Ynw$MST4-KmF&_H~tNGzu&4$ zwKxDOv(xt+{`&hy{+!_X%yA#P9?uTm|2*2xxbH*X$0V7*t9t+cXXteAo1SLQ00tF| zv3E+pypEaq|8J7>herpy+4bf2RD5`JklWY+!F>Q0w*iUQzkXO%KX2=|dG%>~uT6b3 z_x)G9=W*u6&kFXuc2)a-|9?sO#{YBgpZ)se-8%Dmi_gq0pVwWz<MU73v&YltKH2~M zSjBGB^c{R>v-j9^SGKD^Hwdq2x87s7{A{(2?exkj{oVD|X2l)P)6La){CM*6-|t#) znc7o(4syplkTb71QXNxw>z;j9-MY#DzW)jQJkxTQ`R_x;bLQWErX5op*EW6aT>IVq zd*weAhtGTdz4ZCk=U?jH*+lHlvswQxzxeB!4OP=3Y|A5T`6Fzt*UUS}{HEsXoTRTY z3159SR6W~~WG_9Z=GeE;$D(;3o@_RgFX-ssad0#Ht?yI6bQaXey`K6=W_>|L-0b|1 zji0^t{F`%kf6aus@|&lh`~2$QyFK4yY>v!5&R=R<aqpQ~_<5n#>D^a9H(V|LWKbP% z%e-cuVc2=ru=A#2=Vex>w?=;pe*EV1w)JxP9~#o*H&p&8sH?L%EE|{QH$S8}=D~-W zFNb;WJyw3cwc@wy?)uLhyX}+n4=Tsz+xp8tJtuws=Hk1RKP0YKubHPCc3yDx^OWTG ztDnoPPVc#DeE8MQW3P4|eifPc_05L^byYDnC%>82uBkoG*IrS$p|UA>&k^N&5Bls_ z&z;ZzD|P3At)G6vXXSZyH{VGY_P#!T^ZV2{hIM;h?|pt$_|DG<J8KqM`_FswtK(|% zkynzoH|K?)w+uTk8g`y%b$aL3;&zY^Hy?f_DN<JdLG;%{F8vR3>J?u@tL=_k-h1#N z+ibn*a{t9YS=U}~2(CGzJkR#%zWj5Qf_4w&w!bm0US+E<Z@Yf}vvY@+@6NM*e!k%O z=JZ)tjSsz=ne;U#@#~$WuR0s6wt-_y{rsliSB($6l6?H)^|t@4c^{>|TO6OAf8#Ug z^p3~*xAq)Qbg{qlpdkCc`6Ed^{gm_iA18edsyT8w{j*)QzU}#WkI$9QoBVv&=ZnQ( zZOZr6?2EJwUNet1?7VK+dE2n_VymBbTrK{@wA<hId4z59nt76bR=594@mI8~-(Mtu z?;)T0j^i)4zy0}=`)#$<uNI5F8@_rKbWXR}*KyXo;%p`Byt?D(;-{3=9g&MVe_DL! z=jP{sj=r<0>U@3f^RuO9;pdrFr#D|M?!WpO5{`S%oI?&r<8PY}A1u#}XSX>ld++gs zr)Bl+%Ps!P{XV8yD`fLX=D7G$`F91q+vi+=@bmlIKVP-q{F}13XP&urxn1Sb*Qbhq zX_d?ExmDVGb@RbjmI+^NlD^K_d+c2Bd5+cTT~~`+uNHS--F)QL%|}gtWeUD9-@g82 zYuSG$_8rF!<vub^xBY!>j@`~@;+yM4-xc(J?!LX}xao7=il5Hb_1~?2?`zuYy1qQN zdS3XuJ)dLt9GrVRzvOQ6SD6h}ZTXv?UoCFCTHJZn_~@(11W;_<N&cF%v8r!JlKo`! z+uv2}H`Si~$aTEpQ}XO|izC^09?0sw41V}S=-TSTmhTEJw*UO1`^}d99QVgx>Sg~K z&EJ^TuC~?xJ}*4K__^cTT_5M{y*t<ceqz{pfz|0vAbX0NuWml@>Sn^%JINqV%51E1 zd%xv<_RaG$>GoS|*M4A2ulQy>d%DFj>pKsdpM800a{S=#H~&6N`f`{z?t$`i)r#N6 zyX!yYRo5rHZ+-r<`p(a5#ed?;?JD%7%lB2xkF*tDGtV;YJa5=}DR68ZcvX4im1Xt* zyX)r(hMgDTf0N$Yeem1Xqt-Exj_T*!dn6+Np+jAM!*A<fEEfBxeC;l57Pr{D<?Qu} zU*5a*kDJb`zi^KK=T7PK-TXU0?|uH}@H?A79j~u_t^$=q8>_-1Y_r$Qvkg1XxH|nu zpmn@$dW7xt2ymk0t8=xFd1!m@vDo^$-1Gew$0zr1?7x4brmy(dky-J_s!}bEX4^cH zomU*!%3txdaJPP<e)IFM>UVx#EdJ?PKCkA~*B6!FGiR?(Z@s$t@T;52U*~N5wy&x! z0^~vOHS?sw>7LDf|JJ|n3%mPw99O>g=iI!0yX5=fk1YH8&Eg+^*!1NvuinRw@*R8T z*w!ELKKJ^AV7`6!_vdeP|IB$k_xa7|cPn38{%^AG-}mBI!|La^=ckugR5n`vm}I+t zUhtZE+-v4>f{MB4Y^&3IXV1S?%j;L4CRgyO`u2Z8{)(~<?`QvMtgC1bzVkrT?|;Vm zeADIrD}O#+8{X|*bD%Ki^U3LN|Cv5#t@x#GUEi<$_N(@{JvATp{8?o!ZyO$8{QTwh zSpR*EFC`Pc_H3-u+fcP`W7V?=TkSRTOvBF0t$yBc)%ee{+W2F2X%;^=zs>GUw>VlI z^MEhE@Spg%-r!$bDvl`kt#{aeZr}35#e1IakvRW9>$&__o9grXkN&RslzUzJ{KfJ+ zmCtwnSZ6)I{`{Kz^9@0T-E*nc&-su-wC?`9aB#xp{<itZ#f;y#9<b^a^h?`3veo;4 zY@g}!^DBOeu8se~{H^u5#r{cW!*@K}!=wK{@A>(vYWoMz*V&w}e{nAR{LB73l^>F? z_ddVspR;bBWY~EjkS)&{SEsjMHU6=3E^=swze#VNTz_L<)}BMW^Zs0Z#?1Fo(7fWC z@$Y?;>;(JP=j;ud^H`K`ebQ%LyMnmR>;E_Xo^HSWbMm)Km2teF%IVLcxySdH*jC*8 zck0=z$RtosmD^C|SC{*Kb$Y{9<72OO9st!GGn2orDL7r1HRtc`Z&x4l%6)iaZ^mDL z_<Vo*j>Fz(Urx^I<Cck=TDRk1b<LAKV)^RJ|G)pg|M0WzW%bAIHQnENuWFv$-O9(7 zzxP`6|9?=`e|7WGSC&a%=lr>N4_x9&tWIycTHFh&IW`}9b@O54-!D5X8sBFBQvW?q zmha=E7Bl~XuIUv=r0-l@e&FZTHSx{Tdyc<6^vvDHcH&+0M^e}8x4qZCnfyomdiDA4 z{kuLNEVkVB`APn|{s>$5HS;dse_Q!=PBN(SK(FfU-~4-U<jY~vxCgd=A6}_^tLZD= zbMWE+8+)$JvFm*nzCDiF@1tOU#W(-o`z)Wk{%EMav+4Sq_-}h^zU=w8-J1XZ<6U3p z`0M?;U(feq&rQxVuOt({`fRLPx1lO;BdARgy=ET!nt79jZ~c7*N|=QuLAU=iZLjF9 zp7Yo@;XLTHr9S_(&vNb87s%%oR4D!4CwRV~(zm*<bNZa=kCf-loALc-^~=gKq4Is7 z=ltmhrF8Yu=cf;>nWq_co@w=SvDMGzK<$a*Bd;tiXWm^tuNd5(;89oK{&)SyhVqIc zu3ryj-xV}h&zW~=-u!uY_AOuN-*GuRay`rRiq6N`w`-cO&k29<a^;&pM>XI4>9E~0 zZ~F3*=j`WyuDnxOl68IV^Rt;b>p=A(8_1^Tf}r})c-F0-uPl$h+Ii^J%*3xcg~#g3 zEG)LZech10<8ZaioNMpie-L@TJn_E8QvW9RIgfu>eK{<<?&Gx2Qa1B%{d|4-=3mWf zN!xtC_vh5p3t}t%&c8U<Y`k;b#wxQ2TlO{c+}F(8Y<#;CBOqfo%xCWN%l<v91*w)= zo_v4SW%cWSc3oS4NOoP}yc>{Os<O(uzFZ7kOQn~_R?nM%7S!6@y!-PHnRV4`<}rtz z|1js<o~m;js`NHiEsL<_UNbLx%{*wUDf~@(k>2llPk6Siw~Tuz;eRgUGf#U(uk@b7 zs<m1&@#m{bEsoprt<V4b^31;H2Pzfg=AV3L|DbK}vgg~LKfU+)mCZXFi}kOM6_?qS z+u81qv~>oTdaB?W1g*Z0J+N<ms(taCxi`OUJtRHnVPwW<q2m?p)-@OA*v)-rv17qq z`Fjm@I}UQ!JlVsNe?IBEzY?^TY6aC&HHn~FO8?P0adA^nU1)s#)y{*jB9p)7Y^dUk zu<ehqO&8z%8{BYu)cAKzL1EbK>tgQ<I>pmBS9S;298jJYbLZXr7s3zzv|ZCb#vAwG zi<?>e<Cizz?vuG*QO9X*|Kxd|jsCNDHSaBVFR|v=f4b}Io_$-+UNt@rYDKQuSoIAY zV-Hh7&E>?eF-f4LFJ@uE{<om@dqscr9;@ZH_K#%z^-kNJOZ{wl?xk>cUGnRx4@K60 z>?p4|S1D@u;Of&i`&#z$%)36l{JH!2)HmlQYwwV=eZMZF`0JVtRb>&j)obQm*1q}s zm1GjAEv~n*DsMxTScI*#%-Nj()ps5#zx&u>{(Rf>#oD*OPp#;C{P{*r>*3iK_|115 zQNH)EDD%Ahhe@BUYL47qedDiXHTU{gRnIHSOv~?8ofCg&vuoFD=D58r_WzGep8o0i z{OcQ@UoGysTHJWm_~5IV$zSgzd|k7#&ek+y|2(#zd$#>omizE#*0<WppD*&iFX)oq zbLi#Iw?EfD`=V*Of92P#!cKmReKXIhU*Gim`W*2GFInIGv0Zl~yC}Ch&aNUZ?)cpP zd8=RV`fO5YyK`P7qTRoB-t+hDX!X>deUN%;#{%SfN^WERoJ-4(D$5nt6#u$=0A5e& zr$Fkd{1d+`ij+b1RPWu&=R0?FTF=)%`${qy)Vo=?vFhEIJ^%ixhn?qJo!)=7xGH#e zJUC_Q-8e7gF21cc_XCsoj^n&?ALXWtC*GfRIsM8Xv1|IfBtgBX`<I}-DDkbI)6KVk zXRdp9>hmA5cYD6gxp!$U`}U%{6>)cOJp-2p8>`-JsFDNOr5AQyVe4n45Y0YUXErPT z474Bh2-c6feFM^u(uVe<vZ4K`eJywQ?^z4&N0ou9syp}Q9xpGjt+;pZB63yrF6F)d z3sC8bT<zc4G+!#c_;z@|dBuUv-nVRGK7M{I`R+qd@vkMb9y7IT{w-+!{^LZY?!CBE z@9y8Z`R@FU=mPQU(dRG!FMZzo{LTM6l@;NLe$<n^Z~LmwZLB&LVQai*UiO-K)@$a8 zhMni*f3y7f(WSZZmv`U({-&TqeELS@j#Pd!q$8D|{aJZl+_iV0j?|fb>r?ta+Qz)M z)qnT$9Q*mFd+$^h<zIJSGfz3}{0lo!sRXV*(jsiV!Pc;^nI{`|{=vSo`T|Q>N2;_E z(vdRQR=L))R_bhi`d+p<kCf#;zN!0GTYRqj1LOA{sq1gvnm4_F-u3V0&mS_!Y@hd; zeb?uk&B!&>SDXKPbm2WI{%x?H6c?f=rF{$1lhVGq=lH|7FWaSM?2hcqKVlqn@8G=n zN2<@4{^(fF|Frg7T-Cg%?`ob~R?Yy`QT*l4PrJ`R>Hxg11ohY4*UX#jeybK#cYu=R z6Ybmou2&qew%N(`_XA(~j)S&->$A@L%ctJId_n!lOWC#IP2PKse4LxJ?_l;h?GInF z%KkmN2<=N9-|@NmdClW<i;wSmXOl0NUi?*O1E>P|9%0*GH4hw?AIrc|wr)ceC@kk} zoG;5KwrxH0JkYHqA6RzpKO8(Kes1mKDR!K4g?*1j{}!}o?|Hh1?fm-0=j-QZd|&=1 z^~;{hN!IgiZk9f^)V)*rLFBq=*m*WkOA^#w`xauI?}W84RpNX5`jbqgTIzZdq?S4d zsio?~XMf-EY!9RU`rPO5=jGiG-?06K<htzhpVN1JK3Duvr(7;S_B*6x-3)5CB*IG8 z2;1!uw%;Rcqu0z^{OnEoCz;>#o>)O^sdd@NwbcLhUtbmURm=RFe|Gu#t?w-h;ySK( z-mO2tTkShP{ru^@&#!*ITls<Mdhhc$t?z20W?eNt@@i%R$euedD(mV&1uVEegeFVZ zZ?(F=XFh&YwQarVy$3w!*X5(sQxzNU%HIRE{UG&Je!_SExTN>|Z}xt$sa<J(zp5|( z87QTnzWei$Xd0*(e->eD9$}kp>yHS|Z73C$Gqj>I-vq6w_FQ@guBh^$71cXXMb!(g zsQzg|E2_A+=f2O|e0|5~4_ntopMQP*W{hnyIGakXPGA50rDeibp7{LdtDp0%el7&+ z0&hNW(D%ji=O5+X7j}nxSJxe}oEP%u@iMddkLTEJd^Ypzse-QS6-TUXp6}t3|L}^p zEdEd&+xge#yFM>|{>k^9P37j-7eBw#H48t_1!|XXKK{zGcJ*HSh3Orj`eWx2a9y16 zHK(A&`1XIf?LRuIZ62LH`}XIH+;6q2^B(^Y1NErn;61A4@E%q6Zu|87hqq(j+nm4m z@LcrypVoIPzvx|Om)SST{{InPzI(anS3eh7{hSH8&i`ALwV}?I*J9_=xBolXZL0fg z?>`Cqa@cy#BewMgRoT^bt1WB2&c09G8x`}2x$k}M=abct-qfsHKdWOlUSG2D`P1g- zPk-O}`C@0)ertdG2UVXOH&?NNM?JW~Wu9di$fvzmK|W3R%445$fBMGxl6-l|zoQ;W z`hAoW-*Gs4&i!eiECrhBe*F8w`@`RCW#>KCeSWl}&f5C_isv`0{|VkZK6&r!-sdkC zgN6=j&dfb;pBHu>t^J?)_07gAKl{Y*tHGhk`aAN`i*MW3^Ts`3`o80!^1a8O_})Yp z|LU1_|N1Z2`6=-geV>2dtZB_YclyJpzOsLBg1^-rI3HU%um0BD>+>#Oo6A1G@NPw1 z?KR{&3f#PCK7aG)E6byxPR`7PuV)I6)|pxCocwn6wck4~{??w&Z*j!^&V!rJ-~RUc z<zf*Ry<X&bMeE_;w`;oj=Y&7{I`{3rr{Zt^%-CBpFFd~F`QGP`yx-Z_?U1v{-=9;A zG)NV{@jG~sDj77S7?b?<%*Lv)9jW%F=iVj#e)W-!zvA0|GyeUjP&!j~poSl$Gxh)c zyZbd~K~>a;Qcx9@zvJ_<;%~m?a%JlvrGawT`IFn<)PlM=JsUyA-F5J2ruLe7vT`^4 z`@47F+PCcfw)Zz53h2K}`^>VuqSJlPLD^caIrbNRneI4PJ;(0EGk2T4yTLuF`y0VM zsaQ}?>iy2o2aA8!me2ch;47r<{|ZzhVeLurzx}7MSbp6HruT(S;omnrFP`(5m+yV% z=cwXeCbR5sRPkCIb+>tRv;WQSXLH0KGQTT49k*Hj_UBI*KmSsHXY*&}>ubgTjLYqQ z?~Jrn2KR47!J}~S@lvB3us)PNybpDD@7teT({~*ESetY2@dw2(hk5zx6Tw4$@>%eq zzW7hzp}xAOprO9_-Jqd9>GFMl)?yv%vql=~dzbw?{xReGFMs~NXfNLZS~0k5+w<k_ zzvs2tDf$(^p87yYzwnR2?EDY2K6BaZ-9LB#kG*r{H@08<{E7eFp9hxz7hCuLd$Q~E zo}Zh~VvJDLZ~T0<xDPZ8XMFfo<fERyZwfvz+`i6uzM%cP#gEl@??2Q$Cx2pJq1Swt zev9KD55H`;j(M#6+_d7Kf_1&^El3~g-?MY-_2sdZe*YhyYp&mg(ub<QiK7oCm-Bnp zpWE-^A258kIHnx;;FBUKD?OQIf9O}}j>D$s<TF3ZU7utA;HmT5KX>cj{O0;5=BxMZ z{{MZ<JHOf3H(I~95mzsH{;@L#T1Rz)QUta-N_pLl^G{U1*?Q*vp7mI?@8gq1v-1TV z*LNJWj=8k_(8tAV!rP1QJpOReZ2rIg+VhQ{o%Yo2fYed`S)e*9^uf92$vg9G($&kK zUk;vuR7WKs)%K7MzUJHCQQwS@-&D?t*WPnba-Qv_XR3W4`O1GRu&lK@TUem=?X$$M zhiv*ECVm#$Q#<9Zz2(-u_8Yz*`uxS@UCnFDd7u%4%Bb>v7ISfo_gU{n9`AF%y>DAZ zyZ4@op0m+&9x=;(e8N*!?>?X5x%6xC$87oqzanO@|5%dz{ppTlpN%)g*S$OT`H>H( zA7#DSn&0{Q+UH**XMBFHiB_VX&qA%8l3%uK?>Sz5=h4;2Z+;3suP8FByLbFHWW3M* z9O`&qX&H39&k{7=SLs(iZ_eqjFDlJ9@2sm21J7#MhMj-8^KI={o{d#%;4wvWaL1Ro zZ(hRh`;W!s3;W&oR4%fWpL=}Y`Xj|M_9vfd%h=7U+i_4;?jzrH{|(RiD^6C*+C6$( z`SxE-wcUKsfZtEhfZzTG>wdi#zZ&@0KR$2&@z>Wsf6t$o7k*w7Jg>pBI=$~IXoU9I zudi1U{#Hp9d`f`~_bL9~=jmv_=dfnp2d?G*8=r5Vb$R)fKb_ZBA62z`@Waz=e$0(` z@x^fs%l)^0{=Oq={Ylq1l|LU;RtA>aRjt!|cJ6Zb?s+kX*34rB)gI58RzH^lS+)5P zr~~|FBd7y>cqgPERWS>>AN8*UKHfLqXTSHY?<Ey|+vkWs{%QQ?&*FLM?|&|>koVVn zTlLs-R}Uz$PcMD``SeWCNZ8E8uQADA?<691O;6wc3+|d09ITsWQMK%CcC+}71Gae| zI==6y5d#gOFMihgwL1wk+*jWP9q!v+_U{cBw1SGi2&$m8cYc0wYMu1?)vIsBfI1ke zVdrJT&NG8MYXza!@wUq&Y{kLjw3h2`oM%%%zpeIaMXR(;W#3tLnMcZbAKqkrt5vO4 zlCi&9wbbIcwv64`XVEne_6V(?pZ#55ysYj_oYnc&t9O6CS6s+jE*F3K>x0T_-Pxe2 zn$1UFRUUX{xpUjz^%x<k`+H^KHydal>iKP(J$&}|^B(f)n=bcXWvN&2qvY2^w)Y<= zevaBx+jw{X&UJU^Z``g>xc95g-g(yfHtE;PpFiY{c@OSjfSUf&w|>K#{@~HscN;*X z-PSf6=F5reZ>jaoPr1MOuwvX4l%YOj=un?=Zrzc~;x}uR*A><#|K2yr_LzC$-tT)N zz!^8VeBPZi&<bkTPTTdMVKD7A=mV!aTJOs5nNhdn5ci(roN;@P%sXG$XKqom@@)3m zmzOjC>AW_5AR_;<BYpdp_p^)RTKIPy*R4LAmwNuR`}x<=yFVM0-mN;9|M*<`cGK1A zEuhZL&O@(u9z_~6%}f6d88f|jI_E$4okync3j56Uw?Eg8c_ejw{f5f^!@7S9nh)Q; z{k^xM?R5I>&)$2U>=BXw_=RuJ`Fq~yH(T%eJoot%zjrlXV%FaI`RQC5csvEE)eoxY zW8c`p>UoQsHz6IUO4Yy64pe;VdH?^{A)|cHBOs%E&u>9T`BuOEbM*hspMupHw)*d1 zo#UVPdH2rGFW#-QK0o`+GtgX3&W5UY8>{3tR`o^LF0Q_TG0Iob3mfITa~V9!w>}j< z%2x>=<*T1NcmKZWcjs@Ke)03Od+%zB<gZVC{$<}gn;r9CBTu13;~M3Q%l|#=&V57; zwF%mP`U&Yj{bPdmpYA6-e=nB+?msnx`cLdTLH(zMayk1WrTtfn54@7JyD~5QykyvU z)v)s-tJ51nQ(nc8vFAr!e|?I6&wJ7f?LXa1LGC~8zW^EK^PcT5pLW0a!5)G2_jA6> z7aWee+<yCW(fi7;9OZI0mr5U7R!^~>Z+C0WJjJl{ETDb`Xl|nsG~>4OIC!2Y>1&T% z^8M?Z=3Ab-m-IX8A@8{lZ`PXW7xt9zILv<b<>k!2=H9#V`#RvGeD~A7`^#lRdrxyf zy(jtipx%@4ou7|7k$X>T=JA4Ni9lnrZ#>KPSGh&l2HR|yFRGUX?L6gghjyN9ZoUI| zo{mC0PpY8Kll2?WC|}%%&mW7;=ZX6lKCeE1Gx%=h4--)BbUAk&XwHjkbvnGcKR*$* za<Yb3PP@UC(<h&AAM<9PFZ7!q@p*dGdY<JKz3zJs7oS`GfpPu3)bFZqQa|ju2ktxF zd}mYH2kJZRMCm)t+q)hk6fagIM)}@veZKfPWR&mNGi{lDJ+P`te>=Qt;(qgQ&fZr2 zlD(g8v_Vx<{Y2~jxHrEVy3Id7pKnpcUH|W=P<0$qaS9%lm3n<Wc=7X}pRY5jzu){< z{$oSB{?_-i_soaQMcF4n=c2UNfajt<o_jWV`pv)D=eR$7X)gOG7yibya;L4nyp4YR z!*lNEub!K`e0_;+<y53$K2y-V7ii+`*sGh#UuC}7*d7kQ{XO+fdRsI9&3)4<+Jg5S zXMOiU?74gzC`Wa>+dTR)^-Fbct$+LTiqn;b^XiYBv;X)1{O#(W*<bhleSh+GOumi# z`ts*<pD!wU{@Hv6j{3<QH1Ux1_p{wWP#-EIA9+H`{uE?FYW14<FKXZ#YAdvcvQK+{ z{$9>|_CI%~KK~hgr}C|3?P_cOd(U=#+_NedV?wH8H+({>bKdjs>3!PYHXl5BJU8An z?jf7MUE*iP_8%S6Hr4I5@t*TJj<4Ssr+MxpSNr;{KgHxN)*pH8f9vn*@>@R*t6$oz zzxVW9_4%W_?^gbiyWaf#ZFTOtd8*)Pc*fPwm!S8ezS`JogU9*iZ>s%T(adi1d&}9w z-%$tp)-RUN`yeN8x&G+wYwzywxex9|F@kzg{JTKCD8+KQx*K2NlPEts_JV5<<jK9I z&tF0&q<-|g&EB;g+>Nr!M(#%KzX$0?g_+quding#KEdld{;jBuKPmUPf9JjGxSBh2 z`RASX-|_j$WY~n%@;6u~q-_1qKe$|0|Dh5#()X1WGSc^<8@gmddJS~R#8%{yzVtVh zKVMY-jVza|J6HPJ^8X&|`Lzevfaj-IKj#9?6Qy^8rrbbP{m(^r*Uw|Twx9o~#ji<k z>l>r@9J4+5@fmbBYJbjWx%T`e@_7Y6RKc@RKh@x~QF0aY_fDUe9$)s{`uxdo&`80r zRo3x!XTiNSwArYiyO3t1;x|HOqdtZHg3d<Oot-zo?l7dDGLMGTQ`>Jq>#6H+{~R@c z3z?0YYi(a~ZvWGBi}QECv&r9=j6Bc>Y5JGHtpQbtF^ON#d;tw;g6E@tw83hsn)BeA z>V66+UxDYN*1~J5_iz4u{r(oRYQnzY`Q&@0Pc8p0wC1mSvg;UVL4nK$P-S?oF6a45 zlp6n4W!1JF3HIzVwWq(C{_MQF{{Z8=!XEcM2cB-fx&7Iflcx2vzpgH5&z^JL?0w<c zO6It_<LB=Gy|Qopg}C}>yS~}{-wA41He2`CKioC_=l<`!5w?fpZ+(7cdHB`NBcN3- zI^g-kcN?p=fd(@{{iyJ7wkOMT<BRtkGMra){+T4-M=A4)cJE&y7InKJV}1J$Kf4@% z`@4*V{*l-3Z~tY#v*G#|^KX0ZRLA|jHkW;W@!g7ZeeY_1Rd24VN1yYD&F!st{!;Sq zLCBoc-viJ&seF{Nz8{P4%I}*DudV7czWe`6fz(!iH-l=c|BpbmRs7wbNhZkSQ%G}C z_28ktU*8u()=tRZg!H9;Y=rft-b4CQ?>E&M=6zsV{(jp}DgXK;aA&Ii#!p52^2e1Q z{mb`#pY!+h-1h%vcdO$5AAbg#v01aB>Kb^;h&k+hLD9$m|Gu-XfDZSqdj9io<bwyx zzZ@35_t-Y?<E!*<e>#e7EE{Y6e-uNjt?v=2)s|ldq}sBZXl-Am=l|y1<jJ7Psei|x zf#$#7Yyi!FEmz<A9kplr#l}`zhIiY4+q{p0-z$#Hj=%9aFaf-VqCWGpDBpc=`#TSW z`YYN`ADd_YK=pa)kB;r?n|~L76PtJE>$%TwMBeTBI%oc+x$eu0Y7came|SEB$MJ(J zL49oaf;7nJ>6&<d-fQcZGpg_31g^7|Z+t)d&n#G-Ri6y4vwlPCtlznIGjBoatnH9G z%W^Ha&hmc_s<U3+t*m0ZKG}E{#y}O)f|Lri-}`$0o+<cXefzr5`GT(RI}XU+d-Ml9 zOd-}^apWWWi}JMJ^Z3qxoc1}%=KtQg^;bT>vE{Fn_doZj>anHOYEZIIFMj@c^K2aT zRWWFgC+Vw?NxHrF+5ZX1)z$wKkm`zkZG4FzsJi+;0a{)CPkDa+Tq?A>Is@uZ74QE1 zzy{Qz`g{wsLuH5Ap{j>=sD5^zg?6a^Ksr?QHy|CVx+BmI)&H#T`uYjakH1;^dC#r| z*6(e_CtCOGKdJh#XYYoy;Pq5VUu_b;&WSm4FZ?_MXysjTBWPg*XwLNEw7*v@q;unK z?>%5V|1a(Hhedz>RJ2*|xiZIY<+B|J9)ddC&=J49@A-OZ-_zgd?%45q?emwacPbxS z&ffj`!z82;zoj@w{MJAJpZI%L+^v1-?aq6CEI%te=aH)1N4D}Wng#y?Utb3uFZ;`I zw*1F0@n!crvSZ?pfhVO>W8d5A>pcf$-=}vgg?8H|eFd$h3I|P#!bhr-K>c5vgs*Ql zRI!C``Q2Q9nLoUu@w>&r)&FmNwmkQdt$nibpYQhK*JoW`e(}%aYuN`h?H&o9Uzc*< z{P`XZ`GWrFZ?j@=f+wcJ3!gs>jom))^Xi?SZyv%?TkYHhs;$D#N7ywY>Z+D+HLcz; zkAJwBy)XR1`RgHD`}Ga)r9bjapTFh#^oo;}ns$#~npT1<tLsIe%4+M~pD%Xq>a&iI zyN<20@<i%WS#Eq=-^;$|@Z`xC_}>?_OW%3?rT)#&mtWt27x?|10$JeqZwqvR-@Gg5 z;$!Zg>%Zary0VhFyzaxC`xoZAn-|@!ym#jYW~XX4W~YiH2fDy7A@h5AMc3!apm|>P zgUaU$?_A<9-*GJZT=s`QPs;xNT2@wnD$ecv?Abd%pFA5IKJRk$j?WLKt;<I42!xf* z19t@0%+m(1X;2M2A6ERW_`)BNZR=02efy7<ub@BK<`Ju&|H*x(%l+5=OkWe<Y8~_V z&t_;%HSg59`%AyovQ~HJJ>K=rX8&4Hmfc?b{Nr2LoRlo6>4I~-ukV}f$(7K0YR)m_ zdg|XCNIg||@7ZPRn~-{HC1kuW99&J^y*Zb?yzp+txqsK^`u{(Vw@>vh3(}|Z%ZB!; zN>KY$yKnw|DE^{ddd~s(bKW1meJT6@<lVP_2kvp7zkFtHzU}ev1<#wGf13BMrci$~ zXgI7Gy#5V&ey=QlcRY9?TJFYquk-U^EBqvLp)35pZv?OK`@aaX!td{-v)3!C)W9qJ z?q7$l@cRu~;g|FF+~ME5^K944ClrH6{*emQ9}cK1{LcBnR`@xA=c8;oYUdn%Ry^mj z`n5j^dyVEiGL`$tciwXSA@)608}3fuF#X`?UyASkyx3W@!rH&+`r_wz59h1{&rE}7 z`F|BuLuUEGJz!qYlA(0v`B%T~Kdx&B+AUXXR&7_+QXAj-+`?}6*>H<LMt=*My=@-J z>g`WD4_i6mehaj6LjGyh^U7}-pp_HykIyacea$R)|MdL&N1GnLueZB~Ww`Hn`psJ2 zdwFM>{mv!+wtvKUUM~H<xuyEw4}8ma9MqmO@ASO;S$^|_KCh2jFE;&0!{hZgYd%%% zu0LDJIPV-}>BJ`%@X`spi=d?w>N`JwIk`@~6?dO%Lsgsq#`mXhoabSGzO7dGBhT`R ze(pODb<dqY3>roU*H719eh-1yPxk8}^;5mn`^P6Q$L8C(pD%bm_xYol?`r<(Y{r=D z2Z!jct-ryw{ez~zI)x>6x39D57c^Gic_8?{u(IykTFY9uv-=bOE=u35Z*%;odG5YL zrq5F=DjBWozsW!s_|1O}THyEjPUVLh&;mbh%mscG3*r4K&~nLe&;md1TaX2QF0fS- zm-ek+;@|T49JG2m4XvK^Q@-oRr$DNws*RxPNx$&<NAFnvdE50j??hUWHvRT*@QO5y zH5207AbqLb9cK@Re?VC@aeo=SFV%hP@9ept@xBLB*KMD-e0>pU(ZtnvHZ{Gk5zD5d zs^ekHroju`Wx*4O?d;z!KYo<7ZT-vFZ>q~Ij&yh5wmGO7_ee0mz<oZ`^ZOg?c=JBU zEx*6@r=Y)n;`iPi$G*OP``hcAMEJVz^ThMP8MpVHP37d*%3<dNi~s#KtJ;if$giUN zuKXF$K*fRTIS;O?zWpilyrTKA_f5#^zWbZwm?5kC!jM<@<$_oDt-D+KqwM<H=YM41 z)qL~YT;&$A-#!?rSQT6SoN@K@C$VMw!IPvivA4eMKcE};Snhd6v1IkWL#pcvYa(ZV zxA<cR8uKgPEN^r8DgT?Fs&PeeUHuim<nE=vU;6xJ_1&N6iZAT|%}Lpn@2jzYW?zrK z9&PpWC(LE@VXIH(#GL!K{}}VVhbN!DgicCH-Tr);|JHYtFPw$9pj|0>*pQ#-n?Fa} z-$1%j@@1ffeeQQEx0K(lyjOGO*{{Em37~d4V$84qCdQcGjz#dE)E#h7Dm)3^lX8dm zr1o!`yZ>Jcbj)w^yP8j$pfSJ8?`$$+uSeKQgV)?Chn>Hf{>J8O&j!$%yKwNhruUk8 zx_URxGrEUwto60a{;prpP%ZOVP`{uu6qK8a&erGr)w=Ug>bd>K%Kq$g(jPu$mHm5H z46dN;-h;YQO`r-YzU2AG>97f@gZRe$_T}84tdj%jN5$Mlsh?It>ZgBao?Tvl15!U_ z-+=U^R)Z_3+Ou=n<IC?>oZI*C-17S-khy74j}5fy4lN|lL3&ZKmm$5Vn_F|@9!b0} zXy>1GdHH1-_WPTDAD(}i-@Kx+f6nv=Z@0evcXIpNzm0pv=Pkcq_&oaj$MU;BAME@y z&pN*5K6w40%xcicBJx`PzX#rhgV&$1f7^WMXlYJ-^q#}2aWU7Q>H2+S?60_V`>$** z(;R;2n4h>={NqeWA4>ifv=5~W?nB*}+iqV7>O)<@=tF%-2d$xjuacCB>95;ysCv(F z-MHBM^FSA=+NaB#Z}=(m{7a|l|D~u4CbBmC7W!sX5%>SlTzT8+`%9jmTp!avuYCWu zo#0V_@EZ4*`ERhyN9AR}=c9H`w3YvR4Ll!p|Hd<InSWidLBIXm;Ddhe-+%`F+^<`o z-+X`f=WE6P*vsYqUi`{<UH;K|`;VUv|M~fRKB&!ttN&!a5!`>O-}ZjC&dc_4i=(`8 z55Fw?_9v|P*OXcRk5z5mafDsw|Fvh6_uqi@pVA=xr=P3A{U>+OeAK^Z=PvKxJ@3z9 z@O)In=L^5|OzgWWdok8Z+Q0p6^v(G2!LzyX-ZqCd?>%NJuju|9y#cni?~nDbzo+4A z`|>j(Yy1A6{ax`%9<;XaiO~PQ)snL3%jb0q@A&+a6SBh3Wcl-`V$jMv#8hABn>z4R z-;M-(Z<)KNzHL1S-zs%)R&BQ5{J_tPzjphb+4n)_`Tp%cxvtNd{xCJ``FX!2Xh-V* zj?cG>tAfhqSU?@A$v43rsin`p+{yHVO-&VH_N2CNgiKBCTnp<-i9mW%{|`c{s(%-u zRaJiacYXg%NL6Ju6;xF%FMIwmGlqZO_F%}=)YUoj*w4PSv|Nj0ZmO&rIyYr~!^TDy zb#6)>J~y>@@!kEFd%<-T52&t6F9Fq6KJRR7Hbd*G6_&Q@3-_+Cm!Fjk+B=XIVT-nY z!usaEW$7Ei1Ak#Cvs3feLKdRO=hPj!Y<(L%I~DdDG&_}Ey!ZPai`~}pHq)OMJU?j} zD-W4fDZcsn*Z13&Vdwv|FYJlsSdOyW?nq4O`i~2BCv3am$GznsJHMRGj|T|KuHplT zXIJr|(TQ`*fsh3&{d?P_V!Qv=+~0a`=l?rjBdsmf_y6T7`hBnZGk09wx7Ytm{~7=P zRj*ypoV{mX!&zyY{R@6JEbpJm9#j4-A@Mo;cf057&(D7>rvFiHxyAl|+xSO9`2`j6 zv)`NiKN?wITetjY{Vex64~6G_c;xi0))2J*y?@942HWtM8~@6GKB=q~ESHPBQu==9 zo*CBhF?aU--tt!e(CYIujL$k3ulu?4Y_v_VJvgH?hn>Hv3QCY0s?;oY&3{|pS-t0& zd(5M=f8YKTyI#>gnf=C}j>DaGI}Rrv`Tme~U47>H^&h%E8`T{8?ET5EdcCc_9%y^p z%cXaJKH0gq$2vat8hCootGFu9bUA1ZeE-$rL$54%&$+uCw4sh=b$a9FL*Mov1I>*# zemTr5SJ2*XQ9Z%de*d0YfA{$U>Hkyr2E{xU_4{}Gne?7#d$`Wa=RDU>wzf~=-~0Tk z=$)Sjiz{8r<zi2MeNb7P3fVJrdCt7*vo9+Ty|PUF+Osa{IjD0F>eeTGT~m0lPD;k+ z$hXo1+%^wv`POB9=db8H-M%S*&PDa3!g__D?7tjlzV}e~d8tL+jJf;w&AK~(<MflC z)e4_)UcEcdHvM|R^Mj_b@4-uw+|RzOyfkNCcQ#}J$C(YFZ7ka%orZOws*-<u?b&s? z-}MU{`YjF$$33b#3+l?P{P{m>zZic-`|1Cie+s_0$Um~${l?F;rEh*~eG`kl{`Fk( zo|5Oy&tFx&v)Q>1)N~SDonFCQUFUwDHSS_@D`<mYBzSM#on-Lhs~xHKo274kU(&y& z_Nc{i&Uv<{p0V<Mlq%nG(6lyc&Yx3NygQD#%ba8S{XX4%6J&*9|F+-!JCfJm?0sAL z^M&R9PHX<4>vNw!TK2A{&UiCuqfzkLmpd=b0qrybEuICBI&!Xl-g4D=*UsAb^L0rv zHpjkAeax%(;n7C3^@UB+HV>MgeUUUdeo*(#zYjiN4$H<p5`Mn4;<v@_`ZDk8`jq!m zpZ{D7S_xm24Jw4g-_=w~Zw56kywASed2tSCm9A_UXdfi!>gSKPLFUMeZ8pu9319j) zyIVTu@zck-_a5`ee`rbHzWF!%FP0sDcwbL{z^7mMZMIqb<DbHB_6fZ&sHwKLfAapF zjsE)w=f2PDw%+ymgWtO9^RGd3rb%COOqW0RD+Y}d_eIzqw!Zxnw3ZCAHDXP{2bW(D z#om9E`)*M`$=3d%(D`?V?B?ZsmYDv<)8xPI>(B>0>p!+g%M{0T^IPm+eRn!&%Jf&> zyFX8M?%Qqc|Nddsr#p8pKYMjE>8p&%^5=oYRp*K!JvvSBW=md3gL?7u8~?uVIIdoE zMEIV~)p`BzQtyX9mQa_T{oKOF7__d{<{{hl^o`H?D~?t&+dX`{_wBz)dt1MQmc-q; zKKJ{))4z9qe(`S||BfF`f6ueC$<$nwu{kjBI;cGS`+Xr~?z9uMh@-guYxS!Qb^gkJ z^V5FYKNQsG`n~Vw<(KW!a~|?Nx2SHj-G6nC-PUL6TjOlkePmQ`Je$tH1-u&mr}5iA zws|+Re<^^wbhbC<`p>)FzT@+oh3nG!jlq-V2f+=gW6<ez&?0hhfqA(7bM2e-#^&^! z`@$+3jrSZEmHQwz{e0Sei^cx^>NXFbY=60Z+k44^p6zp{Klou;_RsVD=I@^b_w=Xt zm+bv0V|!{Y|Gd@iyFdRh1kas{fy;Jj@cvlF)u6f4KO4*TgVScr#`&UsTA&_XVQc@6 z!@~C-|JwN`y7-sRtp9yqyXB7WE9g0We`{r5`JC_vS3ke`<C^)N{m<RC&wqRbEqtzS zvgV)nV%O(6_9wxkK5M}HS@^-rcn?m7PEmk2NKD(2YA-#<?*6x}hb`ZIc*ANYU)bTl z<8b%#8{e;f=`1KRdp-4`&~po$-DkJ|I8bRCxBtSsc-yPz{BN9oV0nMX=f%%oWxlhi z-1Yk6=Wmi`;h;^4{h-~p#ov4&`-wThoqbc#rm^(y>TjD5AMMVGci(eRId0F5XAd|3 z`2CTIzhbFnt<l-S*2DJ~%fI^|H{W9Y@!M<f?yofaeKl_W<Eqz{ap0-+m~uJ0^Izkh z?dSg*VXMAo-sb0^USslCAMhRwNW)$?>^x)to97*?r{CE3On<}s%z}>T`dcfzjQ1Q8 zzW1QfPE@b{{;$#<hpXqD&8<81dHT&-;d>A7a=-nZ^=-zU6JPIr{&W<y;35BR<u9S@ z>TBw2{UU4^PrvmUymMhAXs-ukfQuP4*`5BuXt#ZZ<==wt=@tFKbM_yar(f7<Zc(%J zY&oc1{fB?e^$z2jL&9-;?(EZlwueLhgW&gDpS9mq{(MsT*Q;D^->K5~JJ;O(`RHmI zs2h?N0a_s(4cj8fxcd1UQ%HYKSZ433Z~Kqf#ywWn`?ze|^XQnzvVL*7pOyLkPpI2* z_+j?j-)egfe6@V@Q#7tHuFb#VpVhte_gkMIeGS^>R@-bn-)4J$$@A66z||CZ1`bh6 zRZm8$rLN!jcfH~W@4UU!>UJDte)mBzef{R=&-1svfB8cA(VIEfw2zv`J$w>lcK?Ct z^U#WaRo3-C#h}$x^xdBai+`Gx%k91U^+DxlK1eln_!VgB0i>3SvW~Y+25(<wTAkj@ z{q6FD2fW+XGsit(d|&v%Z+HEH;+TCCYajR6Y0fL?oNT{HUhV^5zUBJEg~`v?-%tIX z{wDR)p33dk^Y<PveQjC2-kSg3^Qv~x?orF6uQrKaWA@yK>?dvmwR1shVUoYTDLh)o zXHhlzZT7a!zo%OqaG&#lQ@`+U8mK$xb@u;_Ut22<SjV)P**}tf-dpii%zFRNhT8a} z_ZIQ*x>wm}|KuF|`K#@BD?bTB_e6YH{A~|-J12M@KV($18r-Ph-2Nv0o8j+yU)FA0 zFY(;s;O6Z&_Z(1+d(3CPW0`;V<l^Y{jMIN~9R7Tx<{osoaXV;QY~%Gek3l{BIrWF; zvd=HMTd8OF^xX6LX5jAJQP8e7P<Jj7G{XIEW7WJ3RiFfU{>JyOZ`6++wa$sRy!U`f zKWg@Mi-Wv!9~_H+@yvSsU~^f0Mcl84eEJ_dKL_opU4Ga8_U+%w)$47}+dn_Iect5r zpjD@TS<CnRSp;5n3fT=N3tHgyyyNQUmaD}dRUyNTl5#iw`&O6V+_!DVLDRT>x1ZUb z`@ra5@hx?C{R01n)1M>vvy@kKZvK3`ruX^#jlaG29R3*o=Ff!vyFc%FbNA=H;*X)_ za&>1*-&g)Eg*2j%f;Rnwb}T1-<=GEf!@&fa5l(Nry7|z->X+@&paq7H|I~i_)8cLO zTkUtn{<Fz3m((x*VY;^ZfMncbPIHTAd&J}m{^{=4Pt{-i{Ok6+KTj6d7Ce7h9^*f6 z_WN5gw$ZTO{m=O~ARD#7J6Q4{%e!RWf|hVR7PfoDnQxbH-u?dO_p1wgCiCC2IsT9p zysDMcd`H}k&+L01?O~k%;oI-B`jht#*_Ym}i2HK@R5=}->uz6Cd!T#$r}Oq7<=E{( z`^)=4`@TW@%acI6wC*JSooBV?{^gF#{5SvkR~+fK-~4`d?Toq|2PNMX^lk3H@j3eJ z%i!!f#n)FKOUV}$CC)zo?+$ov;d6P=+QQWJFZ<tu))syhF1M@9tABNF^WxVx<7a@T z!XUdMVv?b&xy!-Z>fG1Ndl-M~yC|q)>PM-VWPcx%tgW(n$f+*A@P7Qw?>rXAqR)93 z{3*2lzvTJN>Yvy5^rzbw@BJwA7qli|_5Q-=A2UEJhd^tSz@2+gRS%hU{(lX!mAFg$ z+vY<T)pO#d_Z$(md&K8o(f`_iQ~ueP#+h}2uf_k${yt==r=Rv&=Do%G1FQXS{_Nhr z{X6r&ch`#l@Plfm-|ppde<r^^SN!k18TiBjusxua0101t{6HQ2jnHk7-gh?5=k>GC z{eA19OnJpO$=UrDN7U~;Jh~rRGZnrD*Gzj_pfywdwRiD<SI?clVfw-1fBB$c=wIdK z^ZvAhswQ!is%ieWeV|b?;WhKT*MPQ9f!1_<f0Mra`S)$>mG3<e)VE9jT=3}qac-Lj zQ=fe~X>weYPx;qFzV{zHKUeMfyXWrypG)t?Z`l4|e@wpZ`Tw^;yE*?CK7aUs*F8vM zHNrOifBJiHgZv<9AzKW159GE8TXvgG^Oe`_OZYwO|EYb;4=TphU3{i_?gN*9MKk-a zkR5xLe0>dCaJ_rVS^tWEs=M<KS?`&BbpOBo?(v(CKk-@jectr_pd~$D^LKszvOQ)# zq%bhPjiYud5Zi74!w6P8)gOh_PH%GeT?XyvoW3ni`P>Ii|BAw}-}`2KR;oGRerNM_ zr47%YPJaG1fA{AP<uTvqoxWfCynFLZ@TSc~&~!oGM(CV2`<i*1H-na&CVcfN_!4^i zztH!J-u@j&X0N~bS$Ew>KK1zRKLy*TFO+{*@T2-$t#I6fUz@)D;aYE@e^}k-e=VeX zx--{&-eu5Ihv(n;@BVxxlLp!y7zQ4S`wpHt7Y;jr)AFqys2{8rVaq+I?l80$H5=B8 z`aR9o-hMiy7d3rzoaVZZT<X)e|72Tlx&F}S{M$cI+i&`;{Ov+@+~1AX_7!^0<#Kax zgL+YNnAOv{Y~)UqX}W#yGxpop8TCIje7CTef7kwjrQZI-`wHFWi}>$2;^|{=^Fa1q zQC$1u_qTq&{(SqdWc5s&e7y(f(#1>eR-F@jXR~9%YveMuFwxo{G=(DxT730f46>L_ z^ta`M7ydc%o4arP^_F=6Iz)B)j(>8XwYp26g>R_SmHWUqJ$wta3-$i?&$F&?!Cj~` zU(XfS6qegntkZjVZt@A}a??FaY}bPhHh?TQMXBg@f6t6PwNL$T@a^!P;yDj)^5?`o z5LjQ>E**1u`O%Y8*IaM#t~v5>YOdY>Ew$j~?{aVcF~7T!T_#x_XIFJj|Mj`%(>veU zh)*wn{`m%YHcSLmbc4nL!6!H%s`+&Z_pfiBFClKfrS@q>Gxwe!6VGPPdBDaGTK&#; zwy?l;xBVWjzXk2ne;ldQy!UVBUHjdW_S$dye(Lj|Q=sMVJG-pqZLf!yK0m#BCTL-6 zB(zus)q#Bxw*C>e;+>G??>zl))Q?}Ce;ZOg{ks9Jp2|ug)zj)INcFV(W=-#Ndr0+U z3ay^@EVI5}t+(#!xx?AJ-`TET4_e9tUK@-kQ%m30fOp6wf>Y%ue|Ytjao&H&k<F^V ze{jz}zGI31qROPbTyq|p&MRn6K9~KG@%i=5zk|LRRmH{MpF7{?x_QC#m%Oq5^JYum zM6R8BA&cLaMc9UeS5Sbq$MpTX^lkrfTf2wK^FBOV|K{flwQseieTAQ{o1HJLDE;-2 z?|Q`{-gWB}&&z-4`pi~y==PO&`ZuF1g0J^JKYI2~<@=p`c3Su6J^a<sp8xT@z3G3m z|L^VdGtYw#-GOd0I|AAVw)5~W+tP^r%LSL)Z~i;~Lx=eGP48#_odc_(_HP8Qe!uo- z*0t5gP3;~$i87mi_ZFmvT0D3EaouX)aPVOlyLv$l%k8DlZ@!H=pO+tYo?-eIAMp6> zx{c7u9c%CwdgZY5ANao2vi^>I@E|`oUVG00$$O8v_$#_k?@xwzoo;>Et^E6t;k}}` zrpNoYfA+7Mw|9E^qgtEOptXhNWuValy>~YIw4cS#=LF3iJ_oPf1)bagIZfvNS>zhZ z{>DDDicamCBeL^8$X$o5)>!hhbWMCo`M2ien7HfDEbkS@HHQCBd9MF15!!c}2dbe? z->odrzdrZ*pL=H4_uFxUo8xS&LDMS@SB-b{&jqi@^9E1c3vGXs-h8=!TkYF|K5HB6 zn>9xi?H=;!zstTKep&s{$xBtKJC0cLtxpG^cF}wNf718$@6w;Eze)Wt=l=b<^0wjp z1<ySnR(;u1yWs5A&EUnDpw$|-Cm^deAm_>Sg4c08n)mlj!N>K`3hLk4XAhfyqV%0! zU*<2b==Yy<{qfuVxBt#=zwwu~np^Hw)$7WC2IcoE_2k~2JG>P%#3%<E<0$^dQ4Ma4 zqXp%IiGO7Zzshf0|5N#GwUo@Gr}{bb9`UUI&|+S(z`ymhbi{tX@{0b=(zpJ!JpZ5h z-M6B>ynoaC)i;wrWn8a5fBHCR?&xns`8>OGUm;^DT5l^srS~)N?heR|HX~@$s&U1h zyYfC?4(rA}vh^$c=Uu(;i0ZuuANJ=!YA5qe(Aw!E3sUX$sPo&NnooP`CRy{(f3xd* zjNQ?>`t!2FK=TgBZT^i_avQ47nZf!_f43t>`2OF3)K34iKP&U)``F)k_#qQgJE_5I zr+TaRo4?=s{7CZMo{w|vE`tUK(szEoy7??<OZghuTDWh}KIn~FP~Q;L2etTXfBU}_ z=rFGMi~Qyl2W0IY<&^3D{_nqW6S(`NvlUW3nQw(wPsTTYo_>Dor(pF9+x7FFpW~l* z`uXn9FId;HpPwy$D+Y8}j4b%vC*iR3oU7BnC}DP=%yZ(|_x7{z?Qa(U{QaS&Uj1Zv z_i6S;e*TK~?Q^a_{IUJbpRd>6oxgGVN#$pua=U8K=C*G}<#K!OeB}de7A-#Vs`AjQ zofWNfmxC5*@~j4JlIaE~$j4oOWeQ5tZeM>hwd}tT`;QJ`n}@3F&L4t|@ts{8-_5?~ z@KfEKx+B)-q(8n|Q&#s`>-WB{y{=nd&wc*m>bsf`F?)~ARbO9PdjxdC3Qv6cdC*qT z=Uku@RyKooEbKfET7*2O;B;Nu`t9#MnW6Pl{%z#$Q*;!h`xJe%<{#VddCbpSEB;!S z{bwwHV_Ll!bU@ehUTgk)Pj-Ed*>iL5`TI%W^Ozv#N;O{vo#B!QK94E!>zoZ$Zuwi^ zzrN|;(|vy{q=K@TjZ#5<Fxzdv#}r;c&Aa<<{@%Oy<Zo6#t^CJVzVGXtEubNS{g>zJ z-%kav<cE$%A@@XYLuSL;+`mnJ{OWs7eDs|MQu_7xp%v6Oxqo%PSa!rfyHB@o)bu_t z-o5^awak9dVvXI_=TCnJE!KGS_npn1o$qYd+b0xbH2K+4swayd%%H)&uQu-r|FuK9 zPXfO!+M(U2ZD`%6L}>TP{m##m#eW0K=hdD0`ef(JoOPg++Mpv*kH3MBV}i^wOM|yA z^SM9Y^7nmVzyFU8^*xr;ZRKkZ?OT7$(C^=gXO?{V?)G;cO8M_N`1S4EpUiQE_Zs{w zetYiTpOXJ@d5rly@%d%X_dfq*{Lbdrn%B(t>iX;dbv}Oo`Mmvi$SDJmvrix=KUuH2 zAKd=6TB`8Z@ooQw<vu<t{#Ltr0<3;2z76d`u|s=M?z7L^<$qsqsej<}=NmskXX1R} z23JtO7J(|L_@d`Gr^lY(mlOtC0*73vCV`iafftsw?X8VJSSMy-vHop#tGLDC>X?U& z`Gu8s-+GOIeW^Gk?Dx)P|N2|79#l;ov<GE+@A&5RyYE%>?Ry9sDlorW`9~MLga@)o znHRiTya9Zo+P~a=?e+hplD_&B9<2+r*wyehyLtMKL+*DTa=tIDb^Ny0vR3V^@oRC} zA9ga2Kb<o>|FQ2g*Pf^wKfB-G_{~^f{@U{2I_vwD=l&g;%YMK3ZsocC`Ndy#Hh|jW z(%^G_n8VIL@Q01<{e2DUkUpCBH>aRV@%DAT=Q|E>=DrQBpz0DJ6;$k+@HX!`k3Xz4 zyZ?y!`PGVA!QJ(yH-2(fOWHiQFL~~M{%Zf7%1`O*+|S>(-@X%MQ#IJ8&GNT@gVt-H zRQ7i^&zIHH%ld8gh*$38qa-u_`a}2o#VZbIpM5zwvrk**UT@uw!__s9_Q>Vy=Y7ww z%la<<M%QB3y{dWtTS2qt^)JsI-e0sAQW|WiI`{9^y>QS@>?Y7|)t%rK&^a5c_$pHD z-D6@8eKY+(v(~@ac~1{Y1+_aGQbASU_|x)y`j+2ndk*iOfBXB@H-<l@K@}8e_0H@4 zrO#j9-)RRa4C?dGgL`VnK|M8;aZ>vme-AEw(H_0$NcEgYe=dEi>Ga-n@L?*nhWfq* zRzvl}C!^BWAGN>v`P0eIzvkbqtSGu$t@r=vT>XDn!K(?8i`C?>pnCrA?7Qnh+uT^( zr*HkMU)X>BM~C;GopWsOKazT1P*gcvzHr86{&I^y<-hlF>KD}NSJ$<M&zb&Ec;0_@ zNDU<ps-gZZ2PN{!*71KYVH@82t-sqJH0lPb=$C_56N0voi@s==wt2uOUs&c^UH9+) zH(S|Sr#W|TS1sLfOnc6q<IqW{^(oKw{~rgfCVXG{b^p6R4|e{sFPHm!>g$6_<K2hW z|KGU|I(c5bW}f<*dBS1mU-*>m11(i5UIRL%NlN_9@`DGb=Ek${Ilz4H@dNWW{}|5~ zR*HV>HU71v;*hG}J8yU=YW1z3ukUa9ZT!us^4_0m*7N7yEPZWh-EGZ(?iI=y9}8qj zp)q(EQ8x@c;H>?v_`t=hIq{qCZ$pgn&E1fH_GNHZo&D?Uj~L$<RMyXy|Je80ZO^V< zbMOD@1CR0DFWviDX8u{wnEdA5pPzh>fy{~?zQ6rB=-eF~(@;BW{i}GPm6T~Nawm#; z4Y(7PnE3gpJZ#Cv&A;q-HeP?j51xdwZ@1<@_j1?gm^r8Cp8tOWZ!hZKjeYCW?G5$T z<^DePkxjg!P!?s7PhrdN!|a#%%PTtl=S+X}w)E}4n>*kBowzrA-t*_6B^xIzL5oc7 z=3B?lyANrrf|hK6PKRp;9g+#E?{_pH_o6O++j^9D-N#Q=X73Bz_$v-=<}R|YWs0#o z@k@2bVedJ%H=bqN?B91c{E=**cv;<v`*$nj;!ezcZ!0cd_WYr1%=dZM#kazibRk;( zNoD(xhD&AcG}P@lTD|AE?YVqNC#rjMzw}L;W2&`6XXVj)QPVd-dQtA+38*F3{`ya= zKHZ7A1(|??j7sSzzsDFTEhtI8{a^0;kCy(5L$hb!_<S+-?e8p^$0}PZ`wq8**RTrb z75p`*{?`yb$NYity|{DWPE_Uf-si4w&Q+g(Dtf1~#PvG+oPQnl|2o}eVopMi6U937 zl%EBjd0Llq-+Z^^--2f2I}c^j&9_54P!F$xJ5VXPpKpe~0iPCF$8BBTAN}^{DscU@ zYZfT$c3Pib_pYjp7ks`2%AgeZoIOGCDQA0@LF%U+OHt}4ZBSkU*H3be`?KLAd^OpS z`l&Ma-to<^cigM!TlX4NKV6!8{JQb#^d{6I6+DXg{Q7ptV!}sVf7cXj*;JeRK`8u3 zhqcW^+jaSeL5tm&{8U~WU&8#YH95xa6tw%aed}j&^DU48z8YoFxo~%H%w;z(yjyt= zv}~dg+=xs7m3A^4s@TjyCosWBOCgn$HMDZN4Xd2;(jb-7;<b><$q-gKvG1-gEw;7? zog?{23^cyCYpJz=-qWftd-iTc?mn#rE#uh$T1Pt_Jii87)%EV?c|P&-Ew!>A+4?IA z)n~VFe~2=^C+}^4=b^1#VO;<AnRoZ^TnirGD_ggH-t+CCU2Tu}-r4L~jOaa;spIZF zm8RdmZnGIY^HjbOdFDwz{jb%XhqmXuX3zidXI<I-mhy`7^xykt*{YR;HV^Mv4I1_+ zFMj^=ZY*?YulfeAp*{cI_B*6uLwon{LWcJCv_mJJK3>Z{B5C)KQ@;qg^Arc}Jnh?V z&Hw)KuJ1ATuFutfmyV<J^e!K=dHCQ(+uZ-&cOIMCJrc?<s@`|h^xnfKac1_9K5%|H zEXyaKaNqnP>$>?F@C6c0-)~mGt^DXvey_q${>eG@^KYB){(Pl~b!5*OX=Lx-ZRp6J z9c*N8_j+6V`WcXsJ^xLRu9N>ZXxHiY&7Y^+Z$r9H*FZH>O^bEE+{>yDdn%`##c1&N zZ~F}1;gJk(FYQRM=jD5s|J&*TtK0`U_Wq5(*=>$LWxip4?#1LsoYO5Tx&D4=D6cqO zDci?wUH>;?w|%ny<mYD}-}$))G_oi6{#>*Aj(gxK8R&47|CY~JKnDUN50S=foX^}R zm+^a+?a6oXj~L_&o7DFl`PzJAJLrhE`c+@EKQ@%_xO3xANBJD{M^~HQ{&{xtTdiny z_q<0{&n@d#S<BmmOP9~HISU=xtJ#HbWY6~SKK0s;x7x=n{XV=|Xr>Q3*y1qnx|f$9 zJ;}V5eL&Uj(TA62^X)J110NA+f99S2gTB3K=Qllny7>8@o9}EY`d*(a4&3?qXYpC^ z0RqTVCg7u&)FIV-<+obf-<^dY3vP$^neRBzy%n|NRI}T@h7I0vvODx{{@w%7j*}Cp z<Fx0*-2Qp5#dm*xdJRXNRDm+Gr<@DvILW7emP`N9pl(yW@~-^_^#f0h*Ty$l?>TaF zbI!hFpWScQs@{9}BNbXJ?Yjf2mDU$Lf2bY%ectu;n=opn4ck5=)$b1<7=Agdd+)LB zyTX4F)pdtd=k1+UYjf_Iq29~M8UJ!nmqpa`{szxGWrOCO{#upK+jsBllbw~!#s7Xr zbb~f|gXXsC7eh7=qYRJ+X8ykaSZ@9YLH~-+71efGZ$OpO6Hc@9g+F9qBYWELN@?Fo zP^I)E4K%VB4I0_2S#8~a@7b@0boYNh)$<BIlvT%pRx?8m<AqM(UE6P860v`I;Aiz) z|NLh?K5G5ucbfkq`MiQQ>p73#oCL2SyfVxFdezq*$JpoCoPW0Y8e~+@f8%G+5p6H6 zA@fdppn0eJZ_jQ1zGL3r>)<tnklo}_@8{pI<6nC3xGwle2xZV}mh>NXzxOr$)hYN8 za{D@$enH#!9fz3jJt_i^l*{>796I^=<@dVZ^B9j;oUY{To1gZ4{ui5S{qjBi>GB18 zKg!fz0JXpPcYgkH7<o;^-t~1=^8ApRNq!?z&Ge-NWl@CW8}Oot{Re)z%BRL#9DB;0 zJMXdVbJ2>gKGyXg4Xf=Ryw9^eJ{Qz+vU_)~*?-47o9FYl>_p7uH{T1l-`n5p4_#{v z+3;9+y3VZXU*xv+vgZoh)6Z}F+2LGsNH(tK*1q-2{97iAN3Q3YZgKo!ckaHU?B`a0 z{AF48|CMoB9q34|pXqmhUM${P{`}<gnErX&=cDwS^grzTmH78L^rUE{k-fm1`}!)H z-S<?iJ9}9F)Az@$av!{kf2GWN%+($ZpL(jDcX$8KN#LsKG^lEdF9dD4E`R<oJof#@ zD(HaJdeHHd$$!5u1g$y)?e*Gx5WHe1<y-NQtDke@Kd-<2-NfR^Y4IC2M<wGPa^`<< zn9ns`e`B5OyAN{d`dfc;o{!G}5A5CF03O)$1@)WqcYeND{5Q9Jo*Zo2={>Hx=|k*p z`wBr=-L(G(q;9%%t7bXq@SFIwy>5^-eEx5KCxH$JlrKCV*Zm&SZTkJr=1=hTz0W_f zzpF8vfi&&()$V^?^y^yCnc4|o=ls3!4!oVMY4P+M|EBLao?UZ9_1>N<^YROO%PlHr zp6$1&vHV-mepvqIci)P}*WoulYwvlqhiCqWKj$mv@4Y@xy#C!e>GLo9LF@Pac3Q{( zy@J$pihI9${=MU%nWu~If}tJI3xA$&`@i+sj)R-SFF=R(-c0@W=a2rIYO7x@7S&6? zdKLDDTU1U3@52(ExBt|+_<u`5$1mOc{AxXD{oc>(?`(d}e0}iqv*)mL28#QyeqQqZ zs{i}PFY@2iAdQmh-SBU2z6D#p=LT6AF$+GjH><AVfc2iId-#s8-}w1@efD?o!ib;N z<#xZTudjXnM*dFahyHct;A3`_!_Etboj+=Sv+~#Z^Bk}3?J+w}*}3tX>ybK6f33c) z1)a_opZhn;=7FqUeDY`IdxiHp!q;#AJbN;@<5XX`_p{9Z)u1}+|Fd(G>&u~|d;bs5 z3qQ{aUJS+qI)=Kq`zq*wi{q~>A5QywrY`aR<b4Ofi9VK5ulVLRTO4%S`a`zsUos0n zMZGqCAS7S-!)o^V|Cb?^lsRN{Z#HNzx#j<**8KlYmp<S5Bfs44zdU659(enM^xpIP z_lH%<mxIqD^al^hUi^RSJ9vG>Z0P!kdpVz_)OQ?Yw#)FF?^rDJXZ~IJee>#e9QLkx zv_~ZWe$w~zb*b<B-|YQlGe7p@_5c56GxmL*Gv_3zvbtaJ{4{8BeHUmHe&@kgJ1_r! zwfy@>=rW0D=qkRMf1m8AS`A&rcRL5ViZ6W|coknw^H=YJ_URVYi_c!K_*VuV=bL}@ z9RE+yIN#=7pZ7jjDtP`dfA>4v_4T05yx>!>K}*iQ?)&w!R_5QjxyW;R?C%%I=YdWM z?Eidi-u!~j^z>x;_ggA^ynm_8GDjWet1E*J^S$5sdGhmL-|tphmD^V4{X2l%h5A3? z`&Ite_R!rVuyMZko8aSomD_FQ=N<-+^W97SEYqI9Nd8?xulk(_zs`R9!?@le|A6`) z+vRuTH*NnAvrhVaw|&v`z0W`O->nqcW%uA+`k&AKbN=3unR6T3i@H`X?**P6GLNt= zubN+f@7Bv&n@3;vLI(P5zSVC2ev#kY;;`(w!f$opg95Y8-cQ<F74w+c?_SpDo0X7* z0{3l(R9Qd4$1s)FfvPP12j@0(@0zE324ie$4QTPDJGf417vJ<=`^I@DcKHppYd<ih zSM+P!JkZs%KLMKA1=m-%Uz8`p>nr;dNPVS$=yyd~AZX3|QP71GyLMRj>nGw^E1|qQ z{(qf((%;{;kku0HxyY*}`auH|#+m=hUW><0s(mOi{rv{$GCudWe~vDF^S5FD?yoZO zx8}aLNuOT${9tNq`Ml4kZ^qc7Petv)oQmqdxv$LPxa_=A*fPEwkP7P>WG3o<CUhoB ze*5q6@C~1ZzZq4WTmRx*|Gd@OJ3l`;1sdoB9r^@0^B=TKIutZZ3GYi)&9AT5{c4w2 zc)qS|$B&k`uRF{uj&%Fqve_e5Zy)!7alOfM|7Diz3V!Ip>#V<1?(X+|^RH<yYk2wI zuQvPJKtp}kOP)X63Ob7kT4!a0h7*w&@_}QDA3W4od+VF&{=Qn!P~V@Pv%zy7iq8AM z#{Xqy!Oy(c*B|n|FZ?D4>Q8Nk^rvFM{V8uymGv4_W!2V}&%1X3Iv2HTq3wFmdTbfc z;X9z|wuG-XFKWMD{%x^i;$8VSFJVJ{#or)9eb1pwCEjm^4)ql#BloA&!2Kz|yOkB- zDl6?>O<^%;XBOzpEKBfdfl{DddZ61?%D8vO|NkkO0IK>I>m%x{nv0M+>*pj;oh1*d zv!ZW->#Xm$;dNHnp7Zyz&v!@f{(P)>SJCsy&%c?yt0|4%3_4m-8@#U;x&m$W^EYK> z^FiZp!fWQS`t8s89sgL+yz0O9m&?Dw(@|@-KYzac`TOPm9h>JuYOJ3P&>E}$>bdxP z2ha82aDHD|>Rw*=A?Du6x$fW!>l|{0)dE`CE_wIpxo}X`*asR&h<x1i*Tmw-thcWl z#48R|%iOv8PX2?;^!Rkp)NYr0%%dmG@b%{Z+UD-xHyg6v{MoyAHD&yu8f)G=n-j61 z_2#oa+sjLVm$~V^ONAVBaTs)z!kt&O|2}WJ_It;1@Pdii+t%OQ`}UtI-v>eUibLKp z_1&N?Btf6~BOz<f`ENtJRombGd1eRhR=s;&_1tpbd{CA3z5Mw}X2@9I|6e@Z)p4NH z%)%o;(FQr>aWA+@sD5YD{F}^gt1mS}`&HH`{VHW>ziP4BeESoSDy#nbJNpNI)wLVI z6H@$z&ks7sme1>M-}(9HVZ;BQZwsu3UUh;zCG`@V0Hbby2iI8hZ|p1DbC5Nz=KM2L zzk+6V(An$F&nzlh?#kEoz-z4i`QOjWWkPDK`YG1;tNi5No^wC{HTllZPaD%9L;skI zCi*x3{yKlY;I;a?w2Bma%XR;*eA{~U^NwSu<!|gcE@}6G^Sl>i-NZS_kYDYcXW2IL z;6>+uDxizb=YtoWtMB^!!E;^p`Pb^VV?YN(qjU#EtmBaal&{Xi{>~%YdynOw+i!ZV z9`opC@Xh1%p+kQ5iKq)Fq@nfIW$?6AN%=gx!=T>Pva_JmVk{xk{Pw5sf$y|{OztiI z{`32FulJAn-0SlY{j20^yQ*!q@x94&{v3H`$!G5go0(ev20k+-1Dcsie7fu7o{DwW z?`@}_FMfXUbFBP_!bkJ#ADz|z`Fy_qhUdrIXFlIiwWG4L{qv0An0eP@9(`H9Z9gw) z+jF1U`+{coJ<0Xzdwy+sTl~@Kx7}X(#J%$$Ha#zvsX2D<e%1Ty_kP>PefY!p{^J|h zcYhhL{pFOasOvm^{?^~@_kS-{*B{Z1?GnFTTePF}Zna+gqjTc=rmM?au38_yRo=Jt zz3w%;>@~IDe)QBnH2(J0Xm|W?jt$=?W}JJUxzcj~a?@+?Hy-(A%UAK}{kph!|Mo_& z*<ap&`1q~&TaU}m+fa9ZbNG$QU$w_~JpMlG!5jTI-(wz_#y$QSe{0Xd=sJGgzVF|D zOYHgHfA9C_@LS)P*vLN;Tpsa#{f2k<AKZNYW*?XNj?ee*SHGWMaQw6IIlKJluIo3w zzx>zm+F#ytH$N}h20EPg?CQIff26K6Uwi+%<7)N4sOq@id>g;bO?q40y7fG-U2^=7 zp4!B>$^BdVg|EHOJoL-fb^Ye|m){#6`(>N=v2TC&&#LOU`uwi1-=|sB_x#;wUUBSw zb^BLa*=yf>EFOKYI%FHSr}1}Ti+O$O{`WlVf7(8&_{aLYHfQhm?Dv1=+->R)*v{K~ zaj*S>UG^8}JfA+R{^Gm%>~r6z&-(mVcU@&&`(*u_zun*ey;yCZ{bHqU^}2gc&P_Jo zEoYm5|Iq!@^>NoD_ExW{mEZ8qwtDs6^|fpfzkNOg{yrrAmOEQs^_pGOn){deUVkq+ z^2@d_V*l|kx!-D8@7pH+-It!fedoV>65IQ?-7jwnu6xgV?faUI`<5TvTJ`wJ`nTV$ zABfsL5}vpJ@V@k;?-h@z&&fLXS^MlqHum^!_k&wO9r^crzx!95|15lNf6{YT-TTLD z4X=HFzT?SHruMyi<E!7B-~0W!{MJu)t6W?C`%lmL&zoJo`|}suw6AfAU+*Qn-F@g* zdHdG$w%6?Zq3I%Scl_^;jo&6F|DDHWd%oU&<;J>x-fQm*zu8&WAKYubX8-oXzpCA9 zs;2zi=U;L9eeK6OYybPgiGTMQ%ltW0ciuAZquBex-p})If41%WX)E)A@qAg?{(Jlz z?)iT>@!313_G(=`II-?O%6tC(hfmqx{#~rD|8kGtKH>iKkAIl_D_Xz)mAv--`;Mgd zFWKMPRDQZscVce3e3|X<j>x^tYwmGg+c!PpcU<z@%|~vfcb~r@ZkHS%@mpf!H^I6q z`~3GWXTA15v-NBBnGJRQbMHNWuV?q{-`?ys`?nwD-2YyTzhc5qdw!XX`_k{-{HePC zx<Gq<^8WiG$17U-E1I9jZ@(YhS`JI8=RXVU?Mr;_D!(0)QZ4U&sA&|xzXg<Dj#d9} z5S}Ohp`qD-$M^Fa-`#(pT0OV+(!A;A^FI6U{QPryY<a}~_qJj0g|F>XUsLNof8*yZ z=Owb|Tf&m@;)A!2J3jkvlJIw4)A?I}f7zbfSl6Gu=jm?oYEUX}`T9N0V%LJdzsvio z_Z<CoJ-YX+t*zY8y>bPnx87HOv)sM^AY<IWwP%HE9(~_%<aYke_t|gv##g=HzT@dn zS-<_c@8$P?cYpu)!{=|cmgn_9yh(V!e#1Wd!@vG<wcG4JDtoW`{r7vnPl~_!*O@o> z`J?W4fBrLG*m_d!gbny^JcbI!*t?}$zC=v@{?WihKuX&tBDi9Mnuqg;MH4yYY$_fe zZ02Fnw|OBVx95XF1S2!cddFt*d2ZaG4Sl=sJ^y~UeC?9|l|Qc7{hl*<Z~4`|wfW_b zYd>+F`~N@t$BKVBYUk{hUgtlY*|+xe$5-o%T7T>BIQ}Sl&%Z@A2Snc$d{I5R*FXEe z>b&Ii^%Xs~a~?>E@339}Zu7C9KcC2c)7LQHaj<UjXXSTSldA7AZ+kws*6nq!J^$@G z!EL{f+<3S7(8=@XE7!!a$`$mc?+>VH-@fB`^}PB6H>Zc{|KGf8{!{iFpQi6fsO|c@ zUSt29C;4hWtM??-cJtr(d*{a=$!(v-D-vp3POpFUr)JXrSx>&F{hCx8_dNIGAMWJ$ z>T$J>-;BMDJ(L`5TwCy1Z-cJ=JYhc^j@4_xHbQ?SHlP`p>X_$!%3S8_ou|<jyO~ z`Ml$hSwH)mf_J}8KYp&e(Kf$1cfaknzjM}W+<*5tX}5oe^s~~&%kf$BjQ1R}ocG`B zBUk>+cHO@H%YIt;eA?}~?|bN7{nWoQyW_*_dfxtFx&5<s=GW{!hj)9{CBNSJzajdX zb^PVpPx&wYFx>vBxb3s{oGAHy=RQ9ud-O>9amA0b8~JZM+kN<2{`#v`tG~-c?@iA6 z>a*eM<^#p6#qCy!Z>uu7@yak_&iVZ3B6p8J(>!3-uehyJr|@jC>;0g8w)s78E5mPm z)86nlJbCte*=@hSSZt}gUG=6u`uTT@1Fz$=_f1cGrg-$AxvBh9mHOn@C+|P*_^SJE z!|%Bte;VgJ*WYmY)gF%g0=tv(zMr=5NUQCg9K0po{N#J}pQqoysw;j~HlyP2-ol!X ze>eW_Jl*{0+I!w@b?-mUs@i_z)!Tm#Kf}&TZmZJ!5V-e4;NA^alUvuyMdy4DNuIr& z@3zgi8_x{?Eqph<F#oyx<L9LtZSy;F_p@&MYn1c-<i@+p4~c%>cJyMt$$s`dM`X+D zR`2+p{O+{PnU8OxXZ1@&*EQ+xKVbLz`i_IUJ?o55%71A5dd|OO{?+ZJbq#O-2;Bau zsTVo_;Rowe_kBLC_T2Y9c<%K}+lwB(NPqmppzvz%<B#W4XTN8O-p@TJ@V)7_s`neN zZax@xo>BhlYjLahE8=dv5=>g{-jzGgDChH*gJ%7r+bT=ySAMQ}7nodqPj=h$w}l@R zYVGYG*nX>b{rjUzC}H<`q3Sc)UD+{@Z0|i-bG_z$;TfAPJC46wU%Kz<`3+C?H~fx0 z8{DEj$Ny9K<UhLiHtsui`hDj9yh-={KRw@n@o&+!-#32m`y`z6Jw9pof4TK5tN%p5 zO)vYkal5d7y?Nj6{nOXpH_rJRm$16LW$iu7ZB^?wTrKVfC)d`sa<<#5&ir5S`)1AW z8_zTkn)Q3<eBN=GeV+C2$3H5IbIwO6R`1W*xHq2f#=FajyZgVZzl}FoU;O63-)HH^ ztbQLD#Vr!#u2uAxPyUlO$^Jp#*K_lCo_G8#nqX~zq^z#Xe9ifszx-478y4TsnsonB z%U5=r2RGwO<gM=?E~{%)e)WnkDu122-+!yV>U!zw_S}8aIe+CgT)q8s_oub@7`Iim z?O0%^X}3x|E@JP7tBVhX^^4uMk==0i@*DYG{bv`q=gJkwe3JQRzxCcc^8?@Zc<20H zdgGh+#=GgwZ|$mWF8mjpzW;j7?*Es5M%x_zC@&KK;3mJR|HQS&ch3BCRi(aL`r37i zzZ1W`R{Qx^rT$<3-Tb3f^5^T<{9(EMclwQY`;RHU_P+BdZPNbvPxR+#%l+Ot+4*jn zaQbqo<In#aeye-*=So-f{_q>W_8tv;FO|PCzJ2XH+ig|n`Y-=;|9Z&%>!GlI)ooR4 z&eqrmB+XvVcH8FN#<R%<Qgh{t*E4T>{&s`y`tqo?+y6eh@vVE~+482hcC3CgubY<r zU-9$qL(9AmeEd7o<>p*|Y4>`5#y+N;pNiZ5h=i{>U+^XPX};Ret1A1CdS8>@@Y(q3 z`Ix)M&wbx8S3a@-tKqtjS(D;@KCwsp$A7x~dXLoa<NbI2V&){u@SoF&-tV0A*Kgxh z>%(F1dAC)C-FS8L(c-Fyi>u~(K99Dw$@$u`;cReE?!2%Y&o&+~>z6IF+-~#vN2U3V zdFB1N`^9e8y^EZZ|9xiS?(>Hpoyku5XrH;Sc+W9ynLm*qb?+JTo1U{e8xGFhpJ%=c z-*E5vzw=Y)Cs@~azWrkww_)EA_B%eGzE7@szQ(FPVQ=^8_!pp@#+~y#ztHV&^X5$Z z&xwz|-aP*CsO7PD8_t&Zuf6}dIBW0K+sDJ+vqalYzwv74F<7wLZmY6*e(9%~zuvY= zu8n6OGu^hy`_T8i>-^fkZz_dz&PONQ&hO3LFT3rx$&Gi$4>~GKZRTEo$Y5Q6(Wbqk zIe1U1oRQ4`pwAm;J_(=vM{M~T`-Jb|r{>Rf|Gx3FZO(J|igUjt-hTjxWA>d*b?u+y zU;n9_WbgCo^5<7|cBOCB^v;|2xj&b$o>5WEcy#T3(QS3xZ@j8K5cd8i=c(;gRX1Kq zJ_Ltr;%fKCwQ^gJ{`{AcURYOuGkNxL#@jY(;#d9_y?cA^_<8HY-}Z3l{C2(Z?exa8 z-??tr<QJaZbIn51<h<;;g8uaS(3(2MyZL8repvkIeWrYH+WsTc_8${`?S1DVI8j=Q zU;BA3zjR)=xy6yPe@wT3O0J8X|9Hvux~nSn)+gu3xBR=X{p^vCuEz_XCne{r`|0`3 zxqn`N<Jan5aHVB_<JHdNVgApBZv9^o_XAXHZ9EXx&vV=6>5XSA51I8x=X|#KTv~Tv zZ`qA|=Xtk1m)-F8c}&pHZSf6n?G(5DUUDNn&iM3k>6!zgaesY3-+k0mY4PCZdlUK1 z-WT;h+2;Hd{1z$ym~Fk<&!Z~)w^_U{7yG~W+<V<~Kbw#4++Ux0cYR82!(n@k`p&mM zgLA&;>&~}RnVx9>Ca&yF)uS&*3Tw(NZ~l6l_!?AX8E&gu5301n&P&O!iK|EjWi7^N z+dmH9H&=3PID1*>w#~8|&o&;EJwG?T?#~|Wob$VnZj0~D-OqQswkqfQ%=pG%QTq#j zl%LISO5StCHZFQjrG{>wbob`E{K7XefzNl>U$NP_<B;OE&*C@Eo&V7H)iUm%=kL(T zf8MJ6Zz#T2e&hG%PkXgr)|LH}x&1S{JNWud&o#$)cW&11fBboM&)tOC?`^l$Su4I? zxxTwvCTaC^rf6H^nh^b(5dEC5H<DI^BE59my!ZZlR==t<>n{ei38d#~@0fM%_UE=6 z^URNKi*L)_&%5n+$c<;akG``vInN&R@aEOhe|*&yKmFJC7aXuTQqdni`A^cM_{V); ztz-VUe!lAesois5J@4K8!@n5x*`Dfeyla27?7xuun)?qJ->d(8t75-v*}3wS(Z+>! zYYXq}|90c;k=3n_uDxf9-k+WG^<LuY^8U5+n738^x_%K}T!}>6dV#Af|LUC2H<D%_ z|By0w{terWw)y>UE1zG#T6O#U@on)<Z|%HuzDLfUd0nXb|D``>76+>L96$H9<lme1 zv(&}&3p;e{8+Gju^S&;g^UoI+is5VCfB5tM)c1Akf8YFBob&v+MM`Z`bd1lZ>yvAq zv)!ssubpY}<9y*CgO65H#}7-Ny7r!RTV48%S96nBm$$B+7oGFf<^ecQ-Sv1LZTsxT zE5X@6f1hT#Z6kW)Sz&LkT(!;PJ7;^3gQ}~0V$b=nynoJr<MH>;n`dj>e|GzD*?f-j zGub`e_1XKvL7PVk&XvCTE@k>|X1i?Me`i==2H#zuRNJt)UJF!YiQN9F8n>ygd2YRC zz5U62^`Gy~mh3zJOLE;urrSRSw|&l@6a4+dvs>ry_{JQ2Tv5`!u{!6oZNlsA_1<f@ zSFzuCwf9Kad68&aZ&*6W*m(8u-l^xdSI$bDy}Wg1WzUAQ$*t1!w0Ca(w)uH%QuRI4 zZQs2+-~PRnu=~8+?b<oFGyb<!mVRt-wy~c2tg>;t#o_8_ipOv6H;o79Zu<vKUuD0| zy)0k-zhe8<zi<9nZu^|PC!w~9f5(g`>(zf++ici(@af8ue+DPZ^Opa<@l%p-MYjD% zwTo5V$G6Mh-g)?j=(f82jbDE^ti30@t*W~GN?q7_%WYN1e!$9tV`2TW+bXj*oW0zT zD>v8rrJY&7_nt@hHd|}woqwHJeecAdfZDp_75@w>Ti@ETZu@;@M`B#L#b5rj#r@$G z2R;XDzq{}H{k9Q5W8Z)0&l`_So1b)Azwp#A-ZKBSfBvfMpZjEY`PHAr*Uo?7)88;x zUUu#Ezr}Yp)wO<pT=K7MQoR4Cze~@(e-Uk3ShT(H&fecQz8*PUt&#WFZ{ye9N5IwB z`;AvO9}V;WJ`Y}e2_~*~&nw(#9~n7k|Nc9VL_bF)&vt(wXJ!8+j(6MhyUEpY&+kRu zw{iLW=FybD&N-j=9x%Hv$v5-*ookP|zE^Z#Kb~pF=YGwm#KNNY&0Y8JoBvpD`y=7L z#=oGw+w))5r2U7_WtPS@n^(+uV!iTR@^khbX|)}bFK6x-x&3#u=Rd~$7pFh%yLI1Y z_x&5YJ2(ICe|(QQ<@I-&=>5rip8cJku)4f)?Y!)quXkW|L;GikyT@M%Cd^(gaNB0- zjc103&HAhFe7>`qy?6fh1K;+T&NIAkz2~Uyw%<HA-aWRD_#J*GyJ7L=jJoL+oxyMB zgnblBKXaJve9YP7b(8M<eY)%O{P&vq57|JWnP_c)oc-GR53jyh)mxujul`e3FY^B5 z4;4?(%P;zU^QYam&)#za!rw29%Fk0fCvVpGn_s@VKX+er&fl{C-mll*GtT)Mm#{j$ zW$ipmyH${m!j&7ZE+)=iE_d7Jto*{?H*J1_8VRE3MeTxKzpWI%G0*(4=d$zfW%zd- zDx0sn?YGQ^<9o_>9E)4``Flm*=F6G;l4XqfIo}l;o{abZRDQ#@`Ps^YqH=0K&n;fF z-^%`g^|khjO1HPulXlmeoxJ~G&R5ZI=a&2_n^ZsJ$?^VW^RMLEe|%;5xZ=Z&jr_vm z{lRyB?LBtwz3jFs^Bb>h6IL((T=6#jD-W!vpnN#2pLbj3svO(<^S9i1_OT&XPTc=O z?X<G|Ie%S0TR)K8zyE7^RD8U{M+SBE6W%%BJvW{$@8o_~+FTp{dGm4ZJCB&l=CAn7 z{4BBUdqtzJ{h?{|ANPILj+qnrdF$LK*C+qs%a6E!``2cT`hO~S&!79gaqj#FEnmHD z9(+~VKj+DA|7-tvYc<vQ<{R~u3s3i#JudD)dF_3{ZFSFYyxMyx?7i-`s%tl1-2^3r z)~l~yTHSy3FnP6mQ|`QJH=bqo=E`~Je6~pFFJA5R{O0e<?zewy4$NlHo@c%1!ROo6 zZJ*xS73X~K{CHrG+76q&&vqY(-gE5Z$FBDua+XzQ<=D!dd18J3O0ACl0p8cnbLNHq zUV7u)d9|O?dy;BfpQi`cS)a7``ILVCQmxaq>K#V~w|!2|`F{W5)T@7Q-a3EBe^2E( z3pxApH;mEy=l)kaS1+%0And(B{>u2SweuvmRpr3~_;JHpxy@VOC4YXBID0wAZJT2^ zo)va~w|V}l^49rz=10x$tKPG9_`LU^ciq1o$IIr6-LAd!Jp2EY%DIW(d1l>bo%fjI zeL-LKv&7cx>DOy=)qj3f*?(B{b+yb~=bxX&U(}WD>wo))ML%-?k#m+)_s@GWeexf+ z>NWQtOIhp7%zvL`ZGT*`R90<W+>)AZb$z+pwI^>x=lor_@$2rxVejRl_dZ|xZFAMH z8?R<UDvZR{?wxDpc(+yFN}jF$e5uv_XBUr}^=s<w*#6yU?e^z$ldJDZ>^@W8q#cv6 z`@Gcc+A^{9IO*>BfuC(3n3lz@`^}ObWOJbUnc|U~J5Ay>xBbbPwErOMYwb7lqkq0t z`EPJ?{$8i=ksIzEW|v8{wm(+(UsNt4{=ucoKfkKfo1f&5Tlu;A*S5J8KUWpje0#OA zTzI+k(Y5y_x7FouxVrmL*n6>PTmBobEUSCJ*+VnhksGfz9y0T1-B!73<Jrf2w{6bF zpZ@tx=El73N5AcP_j-%nzWsLl({~)_&iUTC;ja2|!Oy!6$=*wPerDElsr-WW?Pr|( zAAioUd$#`iU!D8Mr_Fyb<*WCbdEq}_d)8T=%>OXwYxSPP=cJE4-+kj8f8pt0tmn=z z|Ge3=&hF&<0@wIU=C5ove_yt7cIW3Tnfd!8x7Gc=@oR3v>hD6)d#~Sk6`8a;y&2qb z{Av$Mw@ItrD@3mE(d~Ow)Rrq(ob&m{qsHfY_l)Z9nQnW2_o3qTf4q9~s{A*e-L72y zZSzmQg0p+tEG)a9Sss-<_aSH554QC)+qu}EU$5CR`OjgM`fp};)syzNTSG$fAD6ZG zg-X_Y52_~ZpZ(<fj<mlGlZCg;|6g|Z{5k#&bN3(geq~eNer@)5;q}`dSA00PF*@gS z?SX6WZ|>f5@73ExP-l0Z;kK%EuvDAe4(jYyN^Lkh`G4Ns^Un+qn1M#yc8dL9{q@@( z!EMjaer);~ce85G(X#ncw`;B57_Ya@`|!thPJitltJTk}4@!RCaAY%gmff|<e~KpE zPniF;`cA_0y^lY~<~(l~OaFW5QSsdQk#l}O7kmCsR4;P>(TA;5>SsJDpZw=Z?XLOh zrSo>IIR5d&-{f-P_4WrofBL*pD0;ti&ewa%tG9o4d>U;VoAZ_D<H1+0;bHnYUr%hj zy7-Wpe{|005>Qvn&Y=Hdd&&MO)u6Eax#7{bJ&byCE6=|c`2A*j(r*8@w|3GoQTq!k z%+BU_C)XUXomcDfy>liz|96W`7O#`LAB&dhuc_23XnpPZFK^QQ$Fi^4_w4ET_Syc_ zUkm*MW&c&rMb1xpzvz^{`p?;(|8kc9{r)A>_T!`f$3E6QI(z)s#}|(`eYHMz?Y-!> zD)AeyVv|=t=Zm(j1~+Zi`@g7J?cT5!RBTPTRaN&dHfi>8-ffj7b}RorpR@buw>_rY zp1=L@PjJ?Ix%4x?{{-JU|L$?}?Ds6Y)34j;7q(unX!qV@(|*m|B0=t3MgLs?*EOfh zH$H9Ok^GlQT>NrP>f}E)lj0xpzTRF@Sol<4?dM&U``IP?TfP?CJdn8kS2*W;eu3lN z#pg5ZKN}Q&y;*4U^UjTP&r@G-?_PUfaa)!C22gkRyiByMHrToPIbUCFxO(_^)4TM~ zCdbYE4YyVLY&e^o`_rcUm+RZ<e}g{Het2{J6W)7OMXirNpIH0!^Y4YtPd|Un|EBuw z`FBUTc^~g@?%i<J`dHX|(Q`AuGgUvo^5?q60qZ@-ZQp%p=q}d0fB$veJynzSjO#uM z@mm~Sdj7foy$$!-^v|vRJ6S*bKWnL_&z!ncnfGdO^`$cLHMwu<9({V<6>V>R<JZpP z*UpPY+uzNf;BTLPqwfFa4HkcUbo}g#bN<F8zJ4w?{mSxV3zt`wS{$=|_wmo{UGuBu zezj}Qc`)mrPGOPf*X&;Jm`5Liy38w%*H!PaS$|GD>Q!>7ZR(q%r1#N}f8;%SbNlg+ zKi0|SY4_$==lm_%xBBZffAMI0=bZiLqZ3}IrxpI189k>i?8dL1N3We{UboV}ee&m( zareGg$k`m<{8?KrSzdj|K~}#P=cV{7+7EkQw!ia;QU1pHpZnfz-qmn!{l~UldVF28 zXLl}^?|J<9$ZYw(#~=HT$!*wcKUZEm?=R1Wuf|8NofnC=H$MIRb6IlXugJ(bb=z+I zsyuM*{7wIo`O|(?SlBy$?fM{f++xRqN7^zEnaXz@WBz;d;U}Y4@%_;@5B_ZG`fY#p z@0^|IxIeI!SLB!en|W<^d*|monfd=~-uykXI=<)eKmF9#=?!b=d*}T1+4yzymKwW! z^J)I}-^G^CUwicB^55EX^Z(9CeEpn>|BCvdr>!CTW%56A9TyL)=?;!b{;t$jzGI2K zEuVkL{%8BI{VjTv{JyA5z2aP*_nyP|@~6E2aA$Vs-}`-!uO2D1@3Y=7U2R?Xsro3` zwwUDC>78rm_ddORe(%%E{?GZ}_}j<k+~;q-@oVRSYv*~Y#h2FaI3{}UvF<s&WnbB2 z9`OAZ55Mz!@jLNL^DXlVd-gW`dnhGdaj-7C=D@}ITk0KRzX_|?%N@Vn8D1}WygBjB z;Uh=mMYq?j+xWG(eeHbSZFPLp{O#xN&$R#jOY%WaU7OhRzcZ6wr?;)0FD(=2^mTnf zcl4dd%yGY??0@fm7o5oNK0U<dknZ2k!n(?@`K{S851w#!U9UJ<XZ<F|>-Y2j7k+xb zdh)0EO;XbL_{TrW9zETE{Nv2xrOE&2^}mfze*K&;+P*sHugr$8m+hy1+q`~0<F-0I zT|fKa?#t(epvjl>Yv#v>yZ<lz-0XeXe$P?vI}bRPPhWV?V!6Hco7lj=w;r)vuQ(tZ zw=ur|`Sh#*uC6TIZ(7RTC%t=~h0R%ux)~L<w+d?tcWt!J`TJ($*W!0sd%s>je(gL< zwEgrOzjki3_^YGqXD^-e_fGQb<;7KV=LgG+SF5jzQ{)5P$Jk~)=kcSWE7H~Bi|ThA zW4C$0H(fn*Z~2~g+Y|R&#<ASmf7JTbE}Q0Svv+r1Htu`8*|>M_Yzynp7VqY|ZmT=D z@vHGMuua^cw3+*}=I@=zIdx`Y%jYw1tFtn`d;FK=gGs+yEcWbqHT981`i|q$Z{iyN zJ>=THW0^hsoS4YJv*w0=*WB2Cc(sPyL)qU)D=J;*KA-3N)3!9;|IOT58GZG*Imt5b z)$h$ImgzsI8f~8p&eQ3QYv*r$ding^r<c#)d-SDvgT>!3=huE-3l7{u-`b!#F`i$w z3fs&p$|gOke)Cw&{KnUkI;Z-yiUZs-ZD07eTO4Qq7X9$*)Y7^NxwrPuca{sgKbJi| zyL0pB-p8Lm_wSu=vA^NbwezCe>hd;z-F)oY`E#FMrsx0sQe4OM`?A0M?eE(;x7F!w z{94=_{VMp;AFWm4&DSgXn*$1;H(A*q(tB6X!TxLWfv2HY_w#JGIR5jh$odV%p>ww% zm7a61w9Y5?SLbK$-p7ZJ%;xTY{L%GTnVwZy>)QFzIe%>uUZ<!3{PXA9?irx8Qk)ZS zpWJ=<{E<g{?74IP#(<I&|CQwjpI!~w&zQflxNzS4S6%EDM-|J~FRbYD{x$jGhgYwz zvpwH&=$@%a{>M3g`6}8^d%v*Ler5Lk_&T+3`j&m~)#GBbWcc-L%evRjXV3XNC)Vxt z+WB(ZzNfdWoo~3UZk>*wJ$Luz^HS0F<u`uaOnyJ>3;*>S``5hNew^{#x}{&eV;+e7 zz8<#ch~nRy55(SYEcgA@p8aOtiqGP2%n!5Qd7xX`tM6`GXk94O^Z4A;<M;gb>^Wrd zE-r9e-MI~4iyPO@7u;6&Y})zfZ%=*tx#w=woVsgb%je5(tNV82m*xIR@5J>&>gBgv z9JGyl^tE(LU9Wb`qZcVhrSDvtZ+mY2(tnofFaJpGw%EDtkz(AY`%Ko?ul{4U3;2F@ z>el%;_nx|O^oXNHpS)UJe5nlodbMbK@f*KpCcaMZTRVU1)63`AKD~Ut`RL2^^uk{+ zW9R<9aXmWcZ%?69t&q(Frt|CE|9=pBU(hT)CvJY#<2nB<u3xC#)A~#F0ZV(u0nNCL z@omq|FZ}ywy7hj~^Lu_WaoIBK)#Ku-W#;Q1yXQM6&b<&)g5>;Nv*GLJtu?<YH`M$x zwx0R-<?{BozZY`c{te0~qUTonH}3r(vNucSf$Y7<a^)2VTwV6AU;VrC`oj7h$GmMG zh)h?{uI)5`v;APVbk_eTRk!LLWBG)aUzb0=yYsra#BuTemSy&ZlA3vc?<Bl_E*EVd zo%6RO-^1?joW$S1c7iLubH9y`Ly~TxXKj|uJ&&)i3VN#VJpS2RV*mZv7yfXIgQkBY zA3fRi>blhQ9Y-F170Lh5_xD>xrSRPFJ2QSo#O527S#B)9Tv%gXShl&artb4b>CJ!t z2}j${jd;CwzTURFwj2B8qj1!GvscC4(*@Ujvo+CbzSpptZ|Bl?n|JMm)O>kMckG;L zvFoeFJ^wvB&sxacFMGpy`}gIYb01wh&j6}qLDiA*<{G<vbFt;~ryhNo4o$bJyZpUt zZRQ+b72a~);@Cl5k@$xk;yVtT%Dp(xH{Cqof7P8wjL-kC+)-bB_x#SKu$pgQGq~on z?tA>%x_9sViqhwWclLMZfNI&|&b9M3x7AGpCC+1?UOvC~=*#A<7Jp0Lull@pzH!dq zm<Nr&Tz2f4`fB=P-MEK8u65-Xc4fc0=k}3r`j_R$6PMJToxUr+ulCK|fR8NaZ{~NF z-`G9-lkB`|<Bj#-3-`>j*mvCG-JRk$Z;zbZE3zF@c&weTx~<L(RLz2_r0UJ~e@iyj z+KVK;e$EtaZ~W%&w0{q!!Ylf>TkM{DO}?Pf`i**5_4kW^oqib~*X`R_uKcS#JLUoF z@3kKp-B*6&Uvawb(UX0TE37}hs(V~f@E_FY=MT8^Yv-|R=ViCmnceth8DaOgL~h03 zH?Pwh`s@B@7TyE-IPJ!-od+Mj4gJrxeaBH-y9Zxox72-8xV!w2T;IDD6)n-fgdhJo z_Ub;@^&JOJ`iiXoIO%WMjh&OfotIzpdHR);Cy(i=mB}0R<*UcpmB`Gu&3OYWI$l3- zSUdmU;jQy)!NCi%ZROFIpvoRp89i?KwWZ>~yZV=ZZq9uvfA0}%UO~&{-2wS?F3%VJ zmba=dx3DSO<^kXO8|#mM-ktUTmEf-Xvv#re%j}+Kapw5P!$)4b_df1+@4uV)I=z4G z{LjZT@YH&rBOtY&_f_p9jByWa&lR*6$K2_yN`9aAh5!1G2KGA-KRt_jZ|nE{_J;d1 z`tR2Ljo!0(-Ra}mdw0&Zs6Aw17u~yewng<Li+8r31ZzG2Yqe|UGwQAM?>Jn&B2Kff zu&sK}!FRSV<<}K-Xa6cb;JK#m?7T(4r`$OFP*<e?L*L(|J$t5~E1tjV&%9mh)xXU% z>zgjDKhLi3yKsJ2p-oj=&flJmUyEDU&S%|LcWs)#efczh`|9q?=MO&ma=Culx$Sjq zH-7CrV*1syuqglP>LZf(9^9-Ixt}b*eaFFfo8h(Iy-EKbiaq~vpiX;_S83gk9B8d~ zUJ_L6J@0$``M+GXec`^aJHK`wx^`Z7TivxAzivj_{e7c5zwX@p<Nv-~KK|`*ZWE-* z_ITQ_DHi+Jzq<Os^xoqKbzRoyum2P*D{ObRxiFtqFMsL3)E!4H&*iVJXr24}^&Z`O z55BNk*)P-kd*mng@sEj*&UPRB_~U4@wZ7zTh+T3UzHZ)J^ZRf5%D-=3KbN_E{rrtb zUyL_eyg$zrZGZj7ubqdPzh)NvWVo7tAo|UoDbK4HJYw`K?6}LWIq%V=e<>DwdVg&_ zXt{1fdGyg}oAmw6_a1&q-F3ce*V%ZxGSiL!y9#UUKfap!xZ=aAjgU(A`J1az`G3D` z*lVv03EtGgUy%`WK%U-t_}Y27YW`*QJC6Nx`+oZ|L;B2X`h|_bZ|q$@3blVpZ;sw` z{NCFa^6NJKmYTaiVZWr^1GfB?*?*#5b>8lre7*Pax!0gtuja7DyM08}di9gviQ9#I z{a)BDeCM%hoW0NM>pKpq_Q|cQXpa81`FQ1`f0k3vt>4%^`L?#)Bh}x!8$QS1sz1Q~ zYG2jnZ^pa-8<!atewYTD0r<7{am5d_n~-L6G^qQtMrVFqp6>iQIUPTH>+Z|`@88}z zzVBYr>+~MsSCbzwidTH&_|?9>qFwsVgD(@WNI(B_yt4h><GYT3xeA(`Z61iMzoCEl zbIkAG(QnNk@7ylj{$A$z>(1%#rH-e+m#a1}{I$O;+TJ(^R28MSuAL9AU!Pu{f3y0= z{JN?e`{cVe>;*U29?tnCa-(|6tI)>`??1?W-*K2(PtJGm{nfuK%a_2az4A-Hgz|6X zH;eaQ{CD#Amj6w=WYqj#7w(x|@%LY0&BsR2QU`u5lxol31y8kS7Y3{L+Dq-f7b2>? zbI@up7FzB7te6{K)AB1K*50bja^rUC<K@EX|IPc(+nbdoZ@XWg^m_T{!nND$cHQ_T zne@9ZqjBy0nMYry=N0~n1obVW?fG{svy=6kx1g$W{)5U7GUvm(j^8-WnOD##{A)Al zGKLT9zVL6aIKcj`*ly+THS<<{&fc?dUAMnxUY=T?oh>Mr&nmR}cjgB3w(rY7M;-;Y zVcTx}0(D8!e%9Q})A6&{?!FA_;PT)2W%+x~yURiH{LlS^_A2c;X2>^h%?~d39S3CB zeRQZV+Yz&>-Zt-J!{^<a@9N{e7tdStnQ6~K*?ZA*_b>SREb-Bw?#Dm=c!O5syggp{ z|8!BI-rw&6OmF5#$0fJhA6}nPd-AhRK}ET3e4Fr`$Bf|>W&CUJKhm{(VEeA1%zy3o zeXBlSujp&8)|7iJp}yld>%6<sudi2hmv56Zuc(z@D__t$cl}Gdz*Q9u(=Cpis}=R% z*lry2nD^deuJrH0b=~aGo;I9bo;5Em{(9}U8;3t$o>4#Nk;HEQ^nV{^#4FmfV+!WW zetW<E_t%O>_L>9#%uDt&vhS#A_%ywuJ6Og(;G@v;isrlQn){CHe$Oq8d-3y5zL9-J zTXVX`z5{}N1?|OeY$jJd<h}Pu>bgbcv}@%Shi&KCMt`ha{rl&Q<Gg+!81+BCvOE?4 zSZKOM&ALateIMn%->`IjzWe{$uX^hWI?5{=r)RG{U(i?l#@7EM<N1pC=l3sFf&%UK z<R3?7zwdecvHW=1KJ#y7kDh)%{_)e6<Nr#1(|_JAmj5l!+GnSKe7W>-@e0>FSO4qY z3H;}<M{VEiBinbV&&%%V|MXL$@Q?nBKMyMA?*BL2=D7DG*?V>FAH}90xt<>I@7}e~ ze?B)~`(E)e+0t_HHTeqLL+`{z%lTH_pI0OP{mJ=rOa3(0u<M`r9<l#d<G0z5&-y=U z`)VAwzR<7k!0UtjTkVc~^nZGFX6e53uO;tIlK=Rt@sEVHee(Xz8ubTX+r2vx|LNB+ z_o(@gbbs2u7LK!j@N@c>eRDGZvm8&Kdi{p&>^l!+%f6jDe|}v>M|1HB$#;dVvU_>w zGM8=beOw#)fAK*>J)5`T4?fv^;tPL1l|5#@Ki|GXf6wVJx7g9J=b-Go+R)eL>Kbwn zn|A41AN98Ry>m`nz-!|;{|{Y%x~{Lz&;DC;?&miCb&>ZUJiWf9u2gZYeqlvoWk36y zy4cs-#RF>^Pj{cPjEnWT_vp)`qIUD?6Q19{P&ZXyy`s{yvUjid$(!a|@9o@Db3pc6 z)Y0$L18t6->?~?5pFX*~Li7Z4TudCF-@`rHCp7a4eyShM-t%MYoR{(a<wb8^KR)bP zzq+D)(zn|WUTGC|UtWD`bKL9a*QY4od3ZM6WQT5?V0^sy>uj0W=yw}8PxyO3^S_jS zVVUev?>F;x-hX7=y+6FJGWMvr#lCrS9{*zdBz8VuqkKoAAHV#S&m|SRC*PG{(Qx|z z<XW5GGh`mh`W1dFJj!kJza{3OZQh4hle@|-eoT4uFW~uldzIf69}_JP+4_BarrafN z@oz;;V*B&eAE!OP_s}-4p#OWtG23XF2h4qi?Z$T=o63C<v$ntV`Q66uYMaBxpKN3v zSoUq~H<o!|T9$XD=E1z5GyXe%7TsL;Tkfj<;nUwwW!qHG-*eP@&+*8$75&fOPfxdh zR{2q;ea+{>CgwSh1*TUtX3IPjJ6>_X^!)peRjtDF>~|Y}{W<5|?jzZc?)i%37qri{ z|MYL)%14Ul^3>9A?B5ylklF6Rl<HjhWuJ@ZJpW`CR(I&N^qjd-A5GVbub*hXqssPU zv_}2W*V=pR=RUfqD|)})lW*heQ|Hzm`#A4M(~oJj<?$DO-8y&jiR`w&+22-I>^)@p zd+VNi_5nX-xBW`leyvt2F8-13&wIHd??1?$_doynkIQEXkxkv%Hixa>JY+lnQSSGS zql|VB1j;KKt7RTC9=}o9_HKHDxc*0;>D#Y<{&r>Y0nYQgcg%g1ZS#Qbz0lF{>bcvG zp8HsIuJYjYr%cuSQ@!_8>%7ii|2HVkzwkueXKRgl55>ZF>{|S&_}RuIM<uuX|K#_$ zqNn`G_Of@%TlXD1$o)iiU177hen?Hf^qogPT)X58x~=!rY<vV7mfSpD<K3T-&*ll@ z>%ESq->6;r=Ar4l<oBsvzbl%V-|V0B$9i>~^t*?Tp4{njU!yOls$TJ(t@8Y@3vtJH z3yYqAzvwUfo*k?Aq|`S2Zuq)qo}FXdL(BQ$tYz|xen_?RPxrpFKkD9thTnX1rhlqx z|9g6K-OAr<*K4SkPoArNa`W!fig^WX;ok%H9C~Q`l=<Dp@=4!Tzgt?-GyVHC@$#TO z+kSukm-S)R^YiZySMHhj=!4!V`wzRWuAYD6=geohhku)TY`s6PwD`^4(BGvy3cs3X z9j@Ja&vNgZd+~f9-!K-nMaMi;wcj2Y@AT8~+c~B7^VjbOMBO=VSlIvj{qv~z$<g-J zjh|xU$_pIp+I9CIjec}nTx<W)*WxG7`8-e6pXMyH-{stgO;g_58LsR2tsnZQ;qLU4 z_ae9cZ>rucKK=2@&$)6dezASC>N}|~w`9lD=Q|YUMa7<5{kJ&gA(Oj!z@8)9;=d)l zo&VvN(EA(pr(g5^e!JoA{FGDoKUB4_f4c2iXMR%t!?QoT=HJeo_jX@*d&d8^QbxXW z%f8-o7WMxqmtXML;Ar%o+8sH6?>xA9bLIJZ&AhLg1uiz#EswP4*aWTnIOlhCUBlZw z7T14CuGcT{-}hhR=-=WOcAwo(PqRPl{pjM8Jt;-=eoH)U-(xo+e$xEUzy2JuT0i4S zxW)bnk9fB|&;B^cp8wCzXXpFuxBt0WJm1!C#!mmw5qHZEygP5o|Lu?6IlV={TXv-H zXPx))`Mbhf`Uj$I?3aHMj@w@8z0o$l&pH0}>f_n_JFa^h|6W^p_gSU!&HQfuH{0u) zzD0lhb?QvrS(W`q!}@EM{+V?>*!bs1VNv@BFFZHZ#<ktBo<1-CU&_(sH+HUi1^wka zYF9mCzGv&pcW&+9SIbX_o#$G9#Ju&<H=FD|iue5I-T!&CLar-a<NtwOGTWb2ui5_j zuV>vipLfS!d)s`T(VyP?Ui@K4#qsBVpBY8n-y1Xkzi!-y{G!yO$!}s@;~ptaF`g6W z8~5;!)Te)<x9lH$m7NzG_Hoknw|ls5SDJ47ES2*-bp4fotm~&+B>jIA+O@vmOV-Xq zpL=T#yvt7c?s9kiaYnm6VINuE$E&aZ@a=0+@Ado%)pPa+e$!w6m;cV~dHaul`_rAi zdVX~r|A$F6?=8Eg->B4kUD$rN;q$-fk6m@|J~mZs4zpRd-}GE({=DTs#MIAEx3;Nn zwAsJsTy{ZIxPC}Y?_u$iyk+$(zjt3fd^n6>{<e*Ue%L>U+Ny8G>lS>Dexw*TA^)@R z<C|Bv*8LZHEB@gZU;2~uJ$avFr$^2IP}Qn!{eNlK`@-)=m4&+R+SwnqPuI2+xc~U4 z=+=Fet&arb_6Eg0{195yTYcwoQ*~6Wch1+f@oWFwnaBP+{L;^pvrYDYJXzE-Is3HZ z(jQ#cxBV{_&ENR6yyEbD+xmAujB7T3vrdo?fAjuN|D$S~>h3vrLY`aRh&>@&mUpc7 zL(`9{!=GozE9akCKUI3pe!sGHi~mkrKiyeouj9FbPi{Mp+>1`tf3z=ua`Kyfv5=^4 zf5dz*_vwSSTkETq&w2E9_Y>Z-`la7zUp;(S^y|mMn&P+Oj~Lx6gtg~Acp+BQRXykN zr)gc{@1OnqVt)LkuSonq{qIN5Mqj=EZDrT>idyZRRkI&$Hb1S}m#4n|{<?}nY0K*N zIrqZ)wilIVTUIQWd31AkO8+0Z?N8p{U;D$M=J)<jMi=XDe?MXSz4PAU=dV|^e~+s? z_xVj>)9LS;a`vvjMRzFfTek1y?{oDziJjg3C!}NQgVufcBmGJ2`2DHbdwy-)bNF`r zDc*1Y6yFz?yyBLz-hcGx$4`v%=d{Z!$`W@T@Af{$eD00-+Wpt|Y~wx}`^N1P&+`6B zwlV9TSmw>s`(077PA1|1(V~vY;itG`_QdrS{1vl2c6av^mTLJ4(=C44TOO@cPT%os z(wxWNq(5=(zCW2;=ATR4<1gVwou}<jNZ<M6yY555D&fAb+1-CHpEmVN`@|>ye42F3 ze1-Rg<%T<t-b;PT+_z4p++zQ(JC9$bR33QCoBG`R)&6Skn@1Cs&KFdL-#q+u?^DY> zIgQ^H6+2`S{%<Vmn5=%9JH{rsui&qZ<*`^z^&5}nSt1_2@a<Y|QNMf6!&kONeYg2f zYQL#-(ku93S=oDe{Rve)|B2>1EKi8a)v4ug{2#sZ*va`%W%d4Pn(wfC*L3_@djD?Y z6T08d>B$$A#O*x%v-OEw_&%El--<SUj{a3Idh_VRtxqKV*6UaA*wblq{OsBkdH(VV z%x~_;@)Z`vS2lM0^cDQ|usnA6@l%#+|H;!Ws`}<U`qNs}wtD($%e;8)^&5WM7d0L( zKb1Y_T2bfe{!`L-YP{Bc_`q7({dfIoQ@?%6?+ZRE?>zWb|B3CpI`!>0ey^@MFwxlF zOkeh#zxwwbR-0`ee4DfB^5y^BC-nIAwayn*W!^meRP>2u-a4({6%i*GzbRWDIeGRe zt6rYA`;L8^WgfqqSJbqZ{e-uSt&d;fzYNR6SB0O-Y;WCj=v|av!4ILz-pk=9RrT&G z<QIGn+j;2l?x(un>@}`i{G74p@X_=qY}czR`*-J`(*3qiU%uc=@Xo_O*FTjDub;wx z=U;4Do%?_PTE*x8|37{7p`x<w^5#==dbX8)v$;>%$?55_->?tlD=eC{=fF|ZCmh$W zPffma*TZi^xp!sf;@hV+&rL6CTmAclWuCms`VGH1b{=^6_=&0Ce5L6-YNqWuc=q#C zE`I%~+i%qO#ynm!seDI8`<(~B_I{Epm!B5>rZ&j#!HdsD?Vs15vR$`Nm4C<I#y5ZF z{rewNR(RvI@tl9I-wJ-}SN6@m9P{JGpC1(#i)9l2%M^7?{(O=<CMKe<pw`p!SgZml z!EA|s^x{_6a*O?qa~{50R@8Sp`n2|&d!Bj)75tUGmw%sB)st7sFPMB<RBoO^{>J|$ zJCB_#ek!XMr((XNu657xyRV;!Rp(FSx2T$a=i#5fMg6Pi+C2DndDCb1U;kBa9(`#3 zMAC1+cJ+=w8*PrC)lZe@-#?xC&3|9M!lG5(GP9?%>cv<d`WpE}qWt+J;Wu*?^b1Q2 zEsy?8eZmtSKBf3hoNrv>_mrIn9`Zgl^-JD)<muZdym{}G!Yg)6-*faI^HV8x{^{jA zDthib_~rRYuKfIj=r^|hb`Mrev7WO(>RsVix5@)=Z>K!(|MK5<Q}<#1lg4xEV!jpp zjI8XNt$*51F3R%2KS#d8PsWvv-S<xjuKTZYe8=zhISKD~bj!`2uiAG`VY!9<>^To# z*%bBN-nr+{I(>1AJ#+RPdpY%~(Ddt5%y;aZ7xVDpu}@;Zw@=ERbI0-C!$)~V&96i5 zJ$`qvsC%#bDRvp#xOWBrLUta%y7?*NcKr!+?JxeHep-(&Ui*B(ue6(opN7qQ{H%6U ze|!H4#(O)(?XRsD_?|vdyrM48^3X@lqMmT^$-;Z~_Ut**u6;^#UTo~S506qTkKg2d zDthloQRDaRlds>{xjN?2gL|LY^yM|eEB+Q#c9-{0%)VpqdGFy*<EL!L&rkkd5qe7Z z-o7Zi2ZyDf@}0k@_r2nyT;+kA?J3XCzxw~|@uu$Y^QWfY_}TU5;RBJ%zUk~YkH4OO zlJVYOH@?FE>XnVp|4&htkuUE|{w#F;_`ia%Z^b%qK72mh@^v=*>*xCKes8sV_O<b= z<ZI)&{Jf=KwXNU3`y{pd`y}%l-|jzpw6N>FMgGax(KQeDv|WFF{?*?LkKU{<YXAHG z1hd_rh_e5S{!Q6G%~s}r<hPB#^DU3;z8w1|LQ!eX`6n#w&nE`oiS;=5@mWw&<7Dkq zs`Fx<*A*1o?mV`7_9<SzeC_Q!_IKZT_{#26!}OVN_^RsO2X1Qr?mwkA#wM8W<0ICh zmiFnVIq&U@^DF#iW_kSc_fxES_Da(${$}nxbTMw-#^SC!4}P&1b#IP8A^YvW0{@Q> z<v0Io|F!pf-~Wes*85qOo`q-5A8+Q@vQy6QD?bt~^WpQ?ukBmvnx8+p`B_aq?9St_ z9Or*jRv&o%?)TTvuLRnyD;qESpBB7VAKbU`bFJmUgZ@ud<NihY6@K=&JbbvY%Kq66 z`^9?>z5aZf^<Jz?+~a>iMV-&XCz$WBh+J1t>s;Br_xK6ZzIiI|3;yx!Ja{$g-s5ko zMcsG9PqWMH3w&4b%WUWIr~gke=h<mk*I)j_BR@IzO?}wBhfhv#YVQu4_xRWEP5ry) zpJM!0r`&GwYx150Kkc7zgfG8#-a7e?oWA-E+u3`LJgj^ovb@;x@Ke<%GUn>1v~A|7 zh~L=1Y|pWiU!Mqxn@=;}v2SV2!*}04iB*?R&X!sFiSIf8H0c;yr+bgT6&7{hU4DvP z#xD9@!7rwr$Df{l!f3vJ;@th0|9h2f+&?wlqH_M5hmVv$$y}d5rS?v39N&iTrj|!8 zZhy*pu3p1^$FH3-kGD-1e#7@ksQv%6;5qZc?EjxBs8q8&e%A7dsN6Yq@f-U)_8dF8 z^ofx8^y%h1_?~i=U!S)9#&7?f$1a|IB6}`h*?h;Y$$O5!wS6MC`}=f$i<%X89)1Zd z>OVcz=E1X<n?CdZ`X6)i=)dl#l74ZT)jKNo+8qBHog!cUeiHMWS_i(uFZG|8p7+W; zeC1u#b36Y8XW4#r{lXu@mPcQ%f5J1penRn`KfZAfABKERjz7WmW`11U;}o^&J1n;E zIrx>csC9Su$>=w+Ve1M@R4dy*uRhJY&TrcH9eWqvN%}7KiLt%&&ciS3iuzAipJvXJ z)3E-(<UjxP*f;i|2s*i`y*vF>ag4qHx5DsKjNi<PIu@Is<esxXwy)r;oaM2%?N3;C z&z~^e;?JBpkG^OZwVe)rB4f^8+1ft;B<r_&?e&G9(sv#?YX6jXUH|3t*4lUGI^Iiq z|E8#S@#j<4bK*Vn3Z9;>z7yw{x3OCEXT#y_ld^v6^}mBE=m*cjHhtFrRnLC&=)b#9 zCH>xMSMNCS#B!ay=I<TVdt?&cXBKrVetv>`&i;VDg0DrE$KGZ?W!e2-S-<dypXJd^ z-Si!QR?K<)Ecg=_fBXdQH}$b`kDpi<b#}|2l#coDw65U0b!GS7{ijU(Wd80cZvTAw zB=5R&I`0ds{dOLG$NGesPhYFtV(*qak3VsJYM8zH)VcjH|2vg!ls{=a=T6MGg2L#^ zzSq{L?cTl9WWQ0}AoJ)&;wPr({nM0VYy<lWqfc;_UH>H1u0Jt&&OWbm1wYv=kDrZx zDk^tRSNz8R1$&O2JpM#TeEvl99rsR)zT2mnzwvwR&SMw-pUR%A*EQeqYw@1rZ|^@9 z+YQPA{1!HG?+U8Ib{=1<&c9>lf;SfGmjC~+fB0xq_g~?sl74=ZY-3`a=RN+lYg7Mj z>647#@-*8mcJ1AB;Ac=@L8-juvA4}nSa$bMm~K%6iXzRTw$te+E%WB-umA8NXy<`{ z*-uRUw00gjYW;+F-F@xw9ltm1IeJh1sg(Qt>E#yxSKWE=D*BV$cKZp@Z~n#DJ=iea zdd@%BcZEOoD-WE_{PJ1hdB~UlJ)64!7N0PlGspj1L1AKL-)rwvcJG)h55#G-TkP7l z=fF?iCmiACQ<Lx9i}Kr0tzOxAxcY>q-+T4+9W@<u9;;0cuc&B>N%;Trla%`TsopVr zJnlXCC0f+F`})b~H+x;z6@JmHZ2$cIH1E2yPjczUUq83jy*DS+?!jL5@*6Wx+w$ot z@>f(Ydh@6K*M2+CvXAeiKJk3NKGoJ{|3;hRA9YjZ*XQZ8SL~kRT(hHp&w<VCrv>9| zocapCSy&#Nz5Imtp89EXlAf~`wKY#aX&JZAE$(5ds(8hBzRKR;-%qK|t9QBg;K$^m z*6{h$w^#fQ-Fd7%|D?6e?}c|B96kTk_FUD@qqFy)VBhn9`kln*TYmlg@kaT$-96d) z^M6}Z96kR$D<=8-m09fn*FLwL_Turk;G&k*=TB*#+pi&R@vAE)@x63W^X}j$eAn+! zz5BSN?j!Tf<0t)}aPYsM5PWA(v|hnayPbz#vOi^Om!E3=rY=UVAivb6_nq<Md3t;w zc#hvVEIIG7>9>z!>=pgacOJ9OD_qaPZgI@eub^#uMQ`w)qmuU?Uv)Rt-+Of9d3%4^ zx=EG4cD{Q0cv40GWAnN1ZyaL2C%oQn>7Qq3yQbgRyZ2jkU6oA#$EF?EHTK=tx_{L2 z<6o71hoc`IO`jsaH~OdLyAFLj>pu31I`(JG@9!=C!>4{^`~2X2N6jmIZT{|gr1*c~ zY8LY&&*LSIr{CDQ_+0+SZ_HNvH-0^GJw9aL(Qpf8xmwS{|A$ZU7rNfL+5gz~BlE{u zKb|c<wSGgn`d$6sn?C98J7QS)U%RVXK6qa{_oH^tn*Q=5r`K!M|K)rtUZEgYpDPpp z;K8|F^M98fz3Z=CuP{&OzVD;xJ&N<{oqui)`>C1N$$nqv`15DGU;H^&llyeLh0?yv zlj{49X+Jut*(H8Gu&yQh(dPW#$9(TP&2Q|S_bL0${m}2xwb4Jt&VQIx@k93LXK9W5 z$5lVp3E4le{rGD4srSlHr{9Qu_2=pLV;?2-JF4%8+w9%=DRz$Veg8++dsO3Orqu6P z`KkDhVEms18U7D{@^;;C*d49C|1jsrxBOG{Kk~*2``0h|@u}>Te}Qw&zsH4t{xkm4 zTX-eQ5x$@KPU)35X+OVyJlM=XZ<-jJ7K{86Rb4TgiVJM~a!XP*ScAHF<Z3=VIM^)0 z#&1`lA-0BvKR_WsrDB5op4V^x#ig(1`)~8Q_-*!m<Ii(`pQ&V-G2ifVy<EYCNO|i! zcOP#`-+9pP=d<Zi+iQBmpFCVY#r=EO{o|rP-&{{W@BV4t9<}^;tLs|tvcLMdmhG#q ze}T)pziyQU^<QJ>O#WV7*<JqRX#Ump@+<24)IYsAv-vq=oSnz{^FQZ)dg^>-{)ymE zcjNXQRjvG1{dIN7Uy<@Br|sw1#y|Mk{PhQOoQ>08zV;_i<*#n9X}<pC;|iOqIlmOw zoqS$zRc&`zxw3h-&tIu({)?ZZ|3}uI4!2PJ{(q5;g=;Op_>;$R_a0B%^K135uamFn zZ}=QwTlZ=E=I4TZC+_aoj$e1Ryuj_=yQkKBRL}okSohWBtFg@l_RBXvtHv#<s+;_C z<|}>+wfp~q|FTwgnm>8De~S3|F#BV?KOfysKmWYqn}Kaz`}6am`$2(X|0;UlG3`$u z{!e*+J{%k<`=Nnye^1C?uHF3CKS%%duRSd+GqHMe@tmy>_BhpwKY#K!?%pHW%0j-c z*IR#C&O5QVc%Ci)hfji4b?0_Y@n0WSujGHSy!xlu^d~oWUX9=D2M(9d;BZm?`h!{S z-h#Sz=TD#Zyo%m;F#FSwnp2a_SIR${Rr79+?fi!m_Z++%eP#W|@;&qJ|N8SPdfy?= zo%M`QFW$cTy&&=LXPMG#^Yj01RzCO3Vp95<>N}hEC))kq_iZ)zt3Pup8|Mmt`Q!C0 zarNIPPPg=DxLYLDpSG!A_w6os_Wq=I4|@K}e*UC&EB|EnGwVI6`%~lQm;H!bZ@$0d zT>gyoiiG{?`+iP3$FH~QZ{JTLeYvIAt&QSqB7O`1JWzAM@b~7=uRhP8x9acPnCJd) zrdJ&PH|Ou$=q>Z(e17wNmN0*#Kf{0h#ea_;-724X{$tM4=l2uj^>1p|tv`SLnb521 zGuJDQ)*aYm@BI6r>elzh-wTh{9l7&+;<xnam+DT`r0h?(Pu%xw+qdj*$<IW~<kw!` zoD?_b_leE__JmKr_EYmSi~F1PGr#}8_Wbnjo^Qs_^v>P9Z}9!!qQCcwp6TA(7;hB+ zH|%%o>d)NIEa%<e=L_0r6SzM8kmfzPHG7VHO?}Guo^Rsw{)gwk2Us3?`}B$FIeq=> z77-_u=WQsTbZ&NRU}e+X!%vvb^G}a{Bd2KHA6j3yL?+?AS5e#ad3%mp+xcH)=eK)( ze)G|f?oZ{^=TCB<Q|C8tu7CaguwI+vH?KcojjQ*Ld-SKesKMNRl5)%+AHRa1+Lf)- z(@$l;>HoyWUO(Ae=AZYyM=$C>@g0AD?RRDO<j<#V{q&XkD@r*lpS}2+zRu?OYv-q| z^Wp>JlD>NtHHe2#R(=!f?f2nRMrG^Y*Qc{>_D|k(@N4%IA^-Q&wr{K7wP*8R`BS?0 zz83Y*jz6WGSFe5k-1_VH{|3%`{BQjyCjS3ZrdRw~FZ1AKO#7VD|4MVLi<->Er-kp> zv18A%r>ReP*YQpC-m|x9&(W_FKk>a^r?q`Y<SE;{{z>k4WVO%h9oTPfKJ9r$X>n!a z=IGPjd-gTUJouwm)MCDI&VxsGpSY&;Pq@CLYQ>&|x7|;vu9MfzFZ^Lq*}gdViP-V% zoySiaKNVblerme-llT9-pIDxYQ`7(OEA*4l^Yzo2_f&3{dAMkj@SQtP1O8SwFJ?cH z{l+HZ-h)3bmEDs)-}hU;zWrO)?n7Pr?{9VO{Cn=lc3jVXU;g6&SP&%ekeLK-Y{dHi z1hw}0U;6FY`Sx#q_uIeu>9zO%N8Nt@cm45i|ICxB|IdzfS%3fD|7SPu{TI7&@Bg$L z_x>~GyssDB_I*Fg?cevgZvVb7b^G^yw%fnsVMesS{k#6?w}01@tN%-H-24Bm-m2^Q z@9T@_eEPTjz_)+fk9_+lo>2Y2d&A!UsyFWa54&;i|Fs+U{+r#n_unh$eSLJ!`+Dn~ z_w~BlzVDa2{ri5$+rRl{*I#e{zTYzLBX$$eLT5+K{C)Q_H`eaGo?Klox$*Dcxv@U$ zum9V3cH`fgyvDcB3%zsf?YTGn-J^5p+voKxx6f}5yHRJi_1L%1OL(`<m!Ep0?%w93 z-#*Wk-S)j%?DqNesvP@%$&G(&Qp*bW*8Q$LDRcY0z1WSqxQ$1?eePtqeO_28$KKwf z;4|Oe`n4PO#;+~h9lGz}L-vaOhj;UzjDB<d6JNf)+V&g2m)v>$>GG$JyXQ}B_I~j- z-%kJh#?PvijnDVY*E>7+6T|J_ku7ikDjodxy|DFd-TJlX_di$N_IvNqvi;>Z-j#R1 zt^0oC-S>=rhU>pis@nV0w_<NaS#g~Hxjaq%j}oUC{qnWlckJIgC*k++Er$-rPF-JE zG-uDjZ@+tlZok*xcBAh8hQr@}w~F0<&s~*c|6hLNUz_?e&AoNMznApAeSe<m_TQMK z-=BFm{Qc>6<6n*N?dRu<TebJCKT~k<dTh4wd+(iJe-?AEU-Lda_?eyDo8Na=YsK66 z#sBBEIQI1Fl>CC4(wzrCXP?-7eD(bV`EZ+cwYHZNeph84`1W;rab|7Z+t=3ox370A zZhOByIOl)dlN<m3uH5kV_qBxI--`}>d%wO|(stk9-|rHCS1BI(_SK{7?d#bx*K78M zJm6iw`ABrkL$Umi9oaU|E8l$(GPgLS8~2bezo376#Q|Npj~(hZ&mW(;`aHc;R_3N$ z+{3e`JMAla!|(JjoqPQId%<n%r-$Fz_wQc9@2a})x1azxAMKVCuYZRB(r5b*U)i^< zPglx`*I&D#_V3n%-@e`zlmAgAc>DT%<!$TppR*V5|5166X}66(|L=;<<TsCb*moRI zTvym)E%TUD{l?SEWjBs%%RJ^uuju8T^H?gspq<<1;RlVo{L^>#Yrk_pduQMMmmBW( zbKd@2puhV3`n&w{xBqr*yxY%n`>)1^yZutP|Gt1q^Iv>?`|pR1cl#x7|2?tcZa>rQ z@4=#am2;!_95#LTk?(v(9B<qMG4>sYIp2Mh;@@#Z`QAg$?-gY$;{NySY!<KRV}A39 zMgN1;`E~1m2R`~3vGaKy%bVNZ_j7K0zx?`*eewDmYya;*{OxnA-0kz)Y11#i-Z;Nr z=R@QB@C~*9Cnx;=m(~0B_g10X-|MYw9{l#sxxfCL-umO)-?xk3*tb6}ssH)g#{!@g zz~>5Ev}GQ%saG7(EPGR$z@Kh$Bzw*yndcSF+A$B<rdJ#gE&CC{zKdUO-G<p8zj74s zmS^7f`=QR4pId)FOx!K6xb64Eq}}qC+kOWo?w04>_S-OFxBNNLFF(rcZF0UVA1SjB z%K5H*yv$xJ=lkMg(Q)6;72S!D-(GR<^P3Ms-z^R?$2}Cx|Io6%qRe&gJu&NwBgHn$ zpFdKKd%#g$(K@}NIehz-zc(JSxZmmTsNF5Eo%21p`E6a!#=HGuxBp6PyxadXv1)Ib z{j?kJ+*{t(t=Vw5pLg5ujYrGuqjJ6{A1Skc*8ckA+d7?%cl#NBf7?~iqde!Kgt)~) zQ@_IY@QOo{WtH=?-#nH%UeRnE^MGmkj$@+lK8X46IHo7R_x8)WA7;lbzb{VC`<}eX zw$A>k+(Nw3-G2o=y8o`&c(?!9uRZU?zVA3}JTDw{j|!t4=m_y+o3&qlopR%#waszc zvX5uv#Pv6{Gw(UV`R$_=`;H@j=a$%)?JzC27y9=0_ifW{@AJKL?%#j+rlv0bcJl9k zWzSxIz5RVVch3F$Y&Z7p_uWuizx&v?zqy@nf8Unf_CCKl=l=b3>((6K{yrZR*Ss5Q z>!lw~xGwluMBU=J=DH7D<`##U<C5E-&rIgeFX-T&^GN9VjzgmN9<g1oIAHzehMc^u zo!If0buAXhg})~!<b6N9v&(KjG(Nw5?iacJ_r%7#{am;IW^B0I&v^T9!Mat)w_{HY z7avYL@7(Wh^T6_a@eyyEhaBhE9ed7iUD5o!qC57^BUZVM?a#mMsOYSfdC0K7pu^na zQ1s{868osvkDs3W^{eFQzPtUsbI;e;Cw0HA+j8UG@s783XV$Mf-+#AXb=z;jM64;o z6qNX&DMH2KS?Lms!`d+q&iwketFXP;<{@v{+tNh&=@#3rHyZCb%DS$ww|vK8-gyPx zv9}*DKJWg#ahJT|JUNBscltYb{{44-?=rjn-+rgc-+sS3IcNX>a~uBF?LF}A_b;j2 z@2^|s>|Z~7!{2}BV_$uI`@P$4+x_rkH|pePCI0@K)%f=NR?}_w*~@R#?YD_I_dM~4 z@x1FT;uU4Ow)+{ZEe;m%Ii{!gk?H-0_Ub)HjODH$=azZE&~9;1Fz&I$bc=)5d%R2K ztM(jK{hoYw-`#$p+kbB)?v}UR_S<mxHoN#Td$Aku+#BB3soZ#XT<Z2;jg5EvWw-sl zn7mtl^XnI&6u;qaKf~?69vklVi~7ASeJr!Su(kNk175j;M%ilF8;3>tK8h{ham@1G zLoV|j2X*BN+GXv&)qKh6?^l%HEL^ewfabd$^5;sw;?3oORW-Zi<+lA6Oxi84x$XDF z<lXY3+kRh602dL44=0{K|0ctBzUBGL2fb~M3zmK4(*M9#UC}*#$5A`E!iMKI>jm@+ zTa;xUi~4<FTVBx}zT@cW$tCt~dk%b7fAPAX_jj57wj1x<yWiIJ+<15VPt~uQTYn>x zcFPNH`@Qi{nZ4PKcgMwU|IOHVw_ot~-x<F_j`7a<u6(G>J`5Bp>3i16h*vac$2{Ph zUU5jYta4uTo5xbeE830kJYd?s<CyHbf_`<Igz5dt^0l`gC!aa~Fy(GPPr1dDx*f+~ ze9qoq|8e8rf2zm6{hrQq`+YoD&i?w98~^@WdidM#>72LU$KOf*`s3SgarxWt<CAjs z*Pq<*_utY3-+oV*y8S-hDrbNF%ng749o@N4uKw8PS&v!E3LC^N4$8$nR@K|sUv6>0 zmhWTFbJ2&aeT5Cea~_DS|Hw7n;;{9eW43zp7yUL&-ghwjo%_i<?~e1`{`+F%-Ts@r zUw&@+z41VqJyXti<%4DR*KWLX?|fVLX2acnx!Zqd+zsf@|DN3VwvOe-JNKryb!#@> z?dLsLIhS=^LFVrrhnVjrwLgzc=6_$%AwB1j*z+BSMc)-Rv)eonw62-+<Hg6qGUeKR zPPY$h_RU}Ud*Wk(>v#HZe*W?O_#dyE)~)x?Kd^j$%|bC={8stN@Er=@XXanC(m!_i z{+IjxejgA0s^NL_cy&o#)ASur|1etnU-@}Cy5isaY3z6QI={QWs-|lFoky=ic2>^* z^o+Tvck}m?x3_ES+bK=A_%k6U<kaQ<)0SoSTI=sGyz_s{o<oP#pM05nYVP@yhU?0U zzUV!@EB=(>`TXgB%eB}0O}3RW|MV#2>CNj;Ex+y4vVI>ZSLZ$N#Pa+T$~&uf-Ff`% zcG35^ukG(o^XlzWf4}Y2Yx&dBbN&UaySLot|IC<#|I0r;`+Mqf{Hg3Wf1J+QEx7Z$ zcg~}C;ctrj-|Vj!uMhYw`B~t3dRG1B?e_l#&iDW1@qaVD`ZsUo!9B-bzAyP#mS6uj zac;a}{KLM#ckk`{FZlNQ8C#iuanH9`|5mO%yl1=J@4xrzLSO&st8CnB|Kd+!zWvV? z-`JlC>fI=}D|)&4U%9~P`qlI6ocszuR_;9VQ2gn+Z~Jx5|6g49vDEU&+43iXzn7o> z=kxz>;``&DxOUr5t)BDWr|kT~+ULntwr7_dFSge_|4*~p`sVR>;ZH=%{HAN$d@bs4 zH-7pe-fI2t-E$s4lX-6Q`t#PqySYzJemZ?(yT|$M$6gnooVxmsb(~&-?>w0$dtx;E zKX|;8TT@fhRK#BWd|I@OZQ#9!EjIht{JO2KdA`8$-JOX4bw|&Ky-QM`-t2s3{tka{ znfc*AC;fdR_U7?}r$sI9HW%X!<O_-u-(GM3RF$t6UQsGoS!((8uIv*Q{pXv?E1&+9 zO%-4M<mJ83r@zgMKVNrd&r#LCMGHR%&%4idUVqtf?)3|7j(pZW^)Ywq`GTUtod=(4 zKamoD^7`<V{<xJjt<gpQ3!Z*`JEgi}M~h98{iNzWJC?-AF03o=iFq)?#xCe5n|MuJ z);ss!zq41|fAduK-bDV2v&Sd)tICUqUwZttqEA|`Uhc)dTaWcO+SYBD?tVkg{-E&l z<u{%tAAfvw$HCR!FTdv6*zW&v>yy;(>C^sdYxjS6qxGpm_Nj8EU);p^A7&ljdVTxB z)zT~W?^<;(+R*=lOMUj|*V+4zKJ<MmCtf4-BjWSL-LuZU-_SjMdSLy5&x@b(Eq`+L z>ecxB0hNv3pPopaGB*#Bd)Rkp@3K$13+@~&4xd*MCp^FZrtgnmv#(#@WWQ<qVa2~W zCqExPoqf_&?nB4vZ0+xSmmVL#K2g|a|GJn5Y}+eprxZO;`1*J8Q-*$v!&kpf$uE3# znt9&ms<->9j~Cb#i|gyHKK}X5=VIN;&d-Z0>~=gjZ+zhD*D3857H)kX4*c!fczAw# zlkLAhK{@BkTOZGUxrgWZ_9@l#_MhH!)NsAJec1Z)=Ebj19}N1-VE*JB@09I({&m)r zb$lz9JO1u6o3(yW+=H*LpU6I6J2QXd`O25PUn{it?3ftyknej%aq`o53$6A)iuql0 zwDHrV$`0jk>E?m+9!tId@M_s9^*48Y;^O@JuRj(EHxIvO({#Px`_mJ#Q=8qj`U~9O z-3zKbe%+dHUVPkd-E;mE$}LpR=P#}MlJQhBZZiAAPft#sn!ZDA{@tjZ|23W}|Mb|W zynTK9wj0qODz*pS-xudy=(KOo+M?f8xsBYP82fGN+M_>xDtpE5f8lZNhGJIBeS1IM z>@4bF{`6CJO8&`o3+4O|e^kC^-&T*mANW`HCl~*dx+OJVBfi@1*|ErGmc06R3$^v{ zmehTcc*=Tjf_iz_zB5zYcPN&>U$p0G4f}b%%XQt?`=^)RP(S~ERo(fYl5rE+%Y*k> zM*dY@cS1cUKA(Sw`u%&ce?N(Q^?oC#xBR%~_Vve;jejbI*;P;a_S{1KeZdc(r@Z$j z=D%CG@3+X)Y@3Pg-$UlDo%*|?vc+ci^rH7GKY7fXbo~kM^Y9%i@pq&D_SGe+eSf#u zrheAB@{fN!b{_wC`Keg@lcTj)<xdpvQR{!Vd{0H&FUEBz=e9gAU-PN6MrHlUz1o`j z74foh`usa8m}_mOZmJHh-5a<!@LS;@HOnJkXFnBLo<Fhp&Aw2*f^TL!kKMcdlr6tf zPB*WxJ-z15&m9jQA2{C8uPh&4Gv`Odr^4F$+J9x++N15_-<|#P>+R>K$-irk+=$C> zd;9fC#j)eO^5Qi*KO{bEe3G2>nf=AjwI7A7=h?+uKRes~RBm@gS+VW^lEpQR+5h&& zKkAy-FD>(cvHixw$8Q>|>g41q>ln|kS9_no?D+Zuw|!L;ehL0%$=6Mf+vo6?ciZo% zM-zVUI%+7NZI@Q_MQ+XU)f>9m<<=knTl}>`H!dbpPtIxnetyfolZ)FyqmgZU9v8m- z>T~0to#plm^QRxauP*-nM)U(Y>y>i(=V~5(l#<JL-&Z+r-hZ+4>y*;@gYUn~@2dUu z^ziw4`X9P%9u&U)s&eDszV!u%@BcUb_G89p!zYgq{FHoGaP;xi{tKTu=dFLf|DbK< zACITszUv=(7rNEn{@<IQJnm0K`?J?C-}wCC@5>FJy`KA496o+i_v`cRNBQy!4?phR zam=#+{U-ZQzr-x+7Ru$<HqHA#=O@?u7uEeib`MpnzwQ4nchdHmt>3+spPTpo&06}o z<{4|=&HiuSCc9_br^r2g5Nl<B^LDxamAW%`4n}_qKl8Re{_dpZXUcEb&iils<6hlA ziMjI)&ljBgmzHmD;rZM0v+BGX`rp5)e`@;Ka9Q|E&9*ad>)-FMU2|^zjOjQ2Z~9hV z{rlzPE%C<vg-7dlZ{J_B=bQBG<nJbP@86#D|9@lOp5%KE=KL+pub=$#PvcK1|2OCJ zmj2FPZ*CL$Tllw~-~L6<jql%{Z|nG*@AJgJeSeSMt2?{z^qxZx!%P27`uU0Z*WdfE zMCQIX^#AZf@9DvN`@Xxp{e32O&YtMs7w^^i%NgYtp03-pJ>JIi`SCNwGILgaeypyY zZ?DsC@oU1In~x_vxA@ml^k4JU@-wz`F3<n)Cis^8hhM@)p65U5p1b+}npOSbJqJzy zK3aKddi;e-%X@Wxc`qXGJ)HITP3EiXXM$}m%%9PJ|3c+Q`5%9|J`3pYTeIi?;!kI< zKNUOgH~H`K*B{dszT4Oy{^XtUl-rLjcPQn5|0MhF<mdEN^0mSJ(-XgIZ#w;W+S)gX zxB2HS-FLXAQ~B4yIQv_#{om-%<hNKJZy)_!{QlL);Wz&F&AGJM=5Y7rsp30-8Ge>= z|MK{`Mt<FrzXI*{Q_R2U=ofxTe)_NYYP|9HGhftg{yP0;K3AuA{fkcihhIET?|v?+ zJG$p!^sl3zr&gzD*&n}}|K#30@t+;rEvg%T>3$aZ{^hX!%erUvS1ax2{p*Nd@h_#n z`EuaS-%3xv-7haF@B~c++?n`X`s?BP7khZl|5rJmzjnX)(fdzXxBY!^^ws$p`h_0% z@4s%Z{@YpG|Fhxxm)Fx@{5iY->krX+|GoWQMD9EEu6~NReyDxYyvGyj{un*oUHs}l zzy6VfmQUu)d&<gpe(9b1oxdcD&->ILdi-;%{e!8>`7a~)9lpsrrF{K`pQ@kP!oO5M zyX{?cZ+_`~sb{M1^w-?^zxvY)p-t`O;uBBr*PLH?kInzdQSGlaZ0F8@{Iuv*dTUX& zou=Q(>Fcek_Z?9E+3<Y&wMyA{C(^fHto6}bTGN-izh>(>`N}$JoB7%IZ@>Os{r89I ztKDauWiFM+uePy^cy76)_-V(yr=0I@&cDGwgTJC~!mqEdOX})acOE+DbnZpuyvIL9 zr;4u+x8G_jbD{tKf<521$S3{x0;e3lb!+bEU%hYe{X^HkmiFwd`U5XbpR~<;DjTOe zwLJgHPs98I&-$b|+0}nvz2CLo_A^uY^}u~|rnDbF!5tGHu6J+A@!5C7zH1%77kFy3 zx%T$spem>4^v%z#dMDW5+;Mnbx<j?R^3IQ6r)+b6KaDQkcep0mF6uWp=^W1wu0LsW zgt7Mf+f$1jo?GtNI}x08WPc0)HvG(JZ63CNUCF;+VMVR!+rcSkqp+6#J;(W#eYL-$ zpMCpZFSR%Lx9Dev=i4t=?%rGbJoeW5nf`D5-)yh`ZT(I9S@fKHanHY3|Gv4qwC=c# z>1KPQ?f?HDdb;wK{0#kqGV{6iyXU@J`Ii5Q@b9@_Z_a=JcKZ8^KlwiM_Pt;CS-kr9 z$Lg)`jra=>|0~L`-*<K2@tU^3k4x${Y`-6IZqG5%-xu%w`&DaYpR(^z-kQ&o_tyUZ zd#itDwMBaU(LFU&ekoU;+H>4<Rn6Y*@wE=m)6a0<*|h)WZGXEJf9F)TG`GL}Q<Q)I z?}TsM&-m_by8qBFc>lqZkDt!E*C?}GeR6%o^YAm;F&EC;Evngft**=5e_D0bwEi6l z_Uo?Z&urhZ@O@oK<%gWP&mY`1{`j`^zHWKp;eSW|9r@Wjv+l3q-2GJx&#nIOqo(p? z<uvw+r2Rj3{NjFQ`7Lfi-5<`UPftG;(2sNTJO4?fdVRpV`k=oTo>?rjfB0?dE%|~k zQkA~vKk@d(FW>W9Wc~cg=Hg#Rmrp%^Z_!_w_4if6zv!$lJXg0Zcz?pYN3-_*mwbA< z{G|<l{(Z&mUv%CVp56C5@@x4SS(^**3qIA&z5bw1+y9GB`MU+bO*X{W`#tA>6MCa| z{;!+Qr{>#fEw6|>&3$fjP3PTy&Hnuh{>`cEaQ^j9yt3B%t+mCEEi#vGPdr|BE`5ss zo8M>H=UiOBf63?L`kDI=t=2!KT{Ufe;WZ6?sjtG%EZ4m_9v`;vN82~&n7Up&+tb=H z8{f}gR(I~sVcEZ&?#o~AVcdRyV)+-H{KDgP-$m!{PraA$e7DX1^;+@&BhGz%<8C8o zds_X?AD7B+reCd}X?}b8JO25{^B4BDPv1Y`dHI#vJCpP`{P%wP&iu-J!}0>J{fGa~ zyp><@Df;H}^vaIQ_chl?&wX#WzQED`_p(nv<G0LzbawuemU&OLV>Zawd;jgI?2!KT zZu?aB|CcKH?)et^o&UsS{>J}J`Wbeci|h9<{v7^%$DPRkw$Hix|6l$YT{Z3fha+_# zW50Sov;3B3rEfR)&P3-u7W401)IQ1nW^Vk>|F&PHXFs0tujO`kR{epCu1_MD{7(KU zbG%q?|K*>`&&@5C$JfOFd}DO${KsEGn~qmb`)!eK|GV**-e-~PU;fH##mDu1{_st} za#4C^Q}(yJ@+;*H%0D>lKYUWqYW=~#iA8SbKgsaFxo!`dVdL7}e))grr?Xd|h@4-q zHT{du{iN@&PCcG~DP8W-gnhTQ@5j3T=HpwZYyL&&{hK+jwdUVgKa<~Lar}Ru=l#dC z_8(fE{AA+1r<<)`+NE9(kNXqzcivu^@Gp<!HRA7t{8rRszWLPVv@IyM7VbNI2OL}5 zuT?VV<*TxP(djQZUiaO5?*5c}3F;yHe@y*Us`9*|%-wQndS%;OYt8d@YwOO~9JQ;h z57=kx_ggORUhKUKoA(^4TXW|x@A>m`;>*8S-?<<Do7eB1^7Su#Pb~Jz|I9C~JG$o( z>)$s%Pd~S3*dLwEe$v+Vw0q13`Tu@@r-9??{Z#hjuWi`h%Qe1V^E+c_xAZslZ|djd z&wP1p|KiV8PpkEZ9(R74^jU`=6iM!1#m^MKv32`f5fV2~slDPOOHtLd<u|Gq75(>r z)oym&@Gs|f|I7ax7B4@QT{SJg;7`fZyYnmmo{^q&S^wXnnr|_0pI6L1Eoyr@d(P(h ze?$K^Rd!|n`gebde*BuxHhXF(-nq1S&vDb=@9tl{ZwQVf&xN0*?(BD(_agG$9oO%f z3H$%GpOe3_dc$w8ubVx8KI1LwdcJ<T_?+~gLc5oK`Z532`I+q&OWyzMzW&|pt+hqP zYG{_aJr$Ov{;&OfJa}vT!>hZWirSvOZc*L;>5=^_^)tmW7yJMF|9s?pi@)$E<F79| z>kE$V`yc<c_?g_h7ycH%TFyxq{5Gs~KmSRr{7pG1-9F<jvpe^B_T1NN)^lH<Ke^cE zw@$zN`A?kI^TX%Ob^hB|*;V`NpXJni|I7Q@r^iowUVgQ9OHJe7^%~{pm;US7*&O^! z_TB!Kf7#U6zPY^F=E%$KQ?5V1_EYXNm-v^>pEc&!$N$#6SK|+^nOT2-ti0Mk15^gi z^RK)Bimp1P=U;U63l9G~Qg^K8fbid27k{pff4zsRfB%&2Uv%z2?EL%a&#UQYir@V8 z`ul_FN&N)pJwNtBvee(eo%N2eEVVzg{=mifr#J7G)a%TD{NugNzt)m}Cw}stdnqm+ zX7}6v?emJi`8OZioUWa-d48-*?R0RAxlYwTU;A_Ozj>7v)qb#yweuHaF+2Yk>p3w2 z&rNsO@1JvVv(0hl-(QcG)ScRM=pE~e_?Vy19u;*x7oY51H7$Q*HRschsxOTB3q9`d zUGnL!<E`?72d8*#Pg`3*Pk1W)+w?PI`j^+<FaH!S{L8goPrv_*&iuQv-!*qPeR_21 z)%Q0~cPx^Rcl&wha$U!1<<#k)b-ouI-S>XgdxP%<?)8T*nwH*cxV`!`qwQ(sI~(uI zF8MtDjP#or=f95<p1xlEROWo&q~NM)>7b=AZ#KO;ekS<M<?sA~`}QvUX1ixk<DP}- zm7Ra3HOu**{=E74(UiLO-?Ov#A3S;X3AnP_uCRa3x%D%`cPyA68~5{1*)9K%&&-Pa z&wmmWe{-DsYxFb4ycfH}1LW?wKDVqWSFf4#Zt+vSyc_dx%(tjFxV(P-iqGNSuT+Z4 zCBrH!3!lHNpJl4Q2iV)iR94no9_enGGw-S9H@O9O?46)>mD$yQ4U5~M`O4tw-HChZ zo`Iq%JjgCO)BgBt;U``5o|>M!dEVwL_cP6PFMo%J?W@^y?(>Il8;iWpf0DcYW_NgC z{qZ{ong8;s`(LZ%eK%hNR8=M2UEcWj*D9;}g!PBsO}=t|-Ljg`K9)y6r=NgSRq2}j z>(@c6D$|PE^Vjz^H$OkAxL39^=lLFopGWqcuQ?$3_tz||`kIyBwD(kX?pc)nQz~6Q zI8NuP|BUCLSn~e)$P|>Xw4nK_J{Oj+swSV4E{Hy5T{Ug{j^zEj`_A1r%HQzWZQlLT zsq616KCcM-Sn)+?{)W$fPj3dkDhJ0>|Fe(VZ+-vpY44{$a=ZGYKe2whzx>YLdB2RG z@%g<h-|@fWoAjKTh;J_=<sNMbi~DVLKRNDUQ(allr=QEW&VO`P{VBAjl3!hS#O4TZ z?e|}&n)P4Vu)nv{N6k{d*sSWmn$8V>_)+`Qw0TdhZ8pZ=3HUpyvTyJDRr2>c{#X}v zh~J-PU8VZ7Vefmb@A+%$l8a?7&oB7x{FdM1SEJ4D<?s5R7R&taIL;5tQs#knzgxc* z@A)_LP2s$!cIR%M&s(+cw9Qe|+W%>%rtg1hvq$y`s6o5#W_kX)x&x57s=rjp`|iI+ z`WKz^9}m>ka?hP_w0?v2rTt4komE+0@sEG!lJuWqyZ?vC-S4vdEYSYtZotoX^|#6k zKE&@_m|mIw{ES$7t-a~Scw_kjxBdIJo|8Yn`Y+e|bIRZh<^5gLdj6+JX3z|EKkVlt zrCa=km5Q*M>b_rX`_6XjU&4KQOFy&SiF4w65h?eu@9(>BR`n<M96YCbRsY?Bn%a&z zk8h?voigvKWEo%Ryt{#aXMoDTx+OK$Ge9|N5hO<)p1QmI5iCco&e(tO<WxxWFI;v1 zW@wJO>-zK0ty}&d(=@+-(UC7aSXUDc%~9pSax#lQ%k0@Lw{JUYj(WfDb9m#q)gLO9 z;W^3=oTJRc?E9up-#*EC&kj{f+ta~sT2KA0ULk)==J*%&JNF!aGxz1`uYVc2@8Cz- zspa3Fd^OH5@Y}y*`lp+Bx6Xh3HTEeqOTAlLcMu#)cBiI)zgQ`E@1A$w%gB3=XVre+ z_v-x&>xu>UA3kveH7A&#bk2KvuC`=8+qc)hU;b&_%e9+7#NK;KzMSInihl)_2P>!P zZ~X1?^yA;F^T9=5ZNsO#ouJstuw0T}ne*Jn4W6YMt?F$ee~ZSYe(IX{G<%M%W93H$ zX!DOhY+uEyZ_Y7xt<dJ5z02P@kZ3BJYyRL{<fp!QPj%njcrUl=^Y$~+Z)|2ipRN_Z z-{aiJH?5G?U(S?t{^0$|^OEN8`(GgUUlf$3o__kX50a(gSKg^@fn}-sx!<Jc><I&B zsV`qoy>@?9(_h)j{p;q(Q_u6S)^(ZZPZO@1w!YwCT}{(3!OsHbU+%hV^50wgS!Pf5 z@;R3`?>WHxTllx+XGZ@oucw{^^$bEQYUb}*n*Ni||BZNm*#4Ax$?yNZI<7vc-iPnw zqs2w;>s918d@p?ZFZoJ-PG9mb;XM1re;M7aE6eQf%AbC2@o&wicPex3i|Rm4_2jAN z_pSLWvwpuy^_=vb{l(ulA7A<2$iL9{e*K<Lsi!L|ewV^(D4)L#JDb^m$@=YE{#oiy zeK@#_*!cHX3OGwuTm4nnxc|q+@8h52;4WfW{VE%~R!E*w*Sh~V=r<qVxe3x$)5^=v zt)Jrm<~q2MK7YyQ<M%<0bmOO>rk~4q&EI=JJvs@^Q1-4rAFT(Ked(|awf<`PjOrbW z?H|6lZ<T-Gt^L!6c~1r3-FQE56}XD}<Nfzh=Bew?_Z)ij|G)b2&BZ4)ZBHA&nd4pg zt>kMmxUqgdV4v;&Z?=0%p77e9_I?xZu=BUiy!p0zpyF>nsG4$xWGZpx`f1QiWgGqT z4k)Ik!}?U`pnWPk_sW7wP@ihykAJ)Rr|sU6Sf5n?=+8l){dMck#mlbztYssor*C=w z6NCR7@$<p;2W^hu6MS|2e0W@3EZ@gRSx>&`_-`!defp343V$v*s@AXm%jkZ6;`J{& z_aFSwczTx`+Ww1MQd7qK_IX9^nwX25_Z+kQebWuv{_CEqpTF$0&7QrTu=bz#6=?hK zWNt}Z^X~vq`_IM!)c&hm32y&YXMf#1UGx4OPrr{glOXNC@-VsmF@G(=C1Ci6UrBGR zEiC-_UcNn%Z2esBl=`>YXUykbTwj0X=i|Ry;vZhEg|z>?r---y;`|J1q=#$9+khJB z@;>wDJr!Md<9qyCSeHuu#U94({Zn9FDk(^ps$$;#?5XSJwVzj%2|=2E{lWA0`azq1 zuPgtkXWc)1I{3*?=2H3XPq^RMd+z+r_BH*C?3_#Q3;vh9HMgjo1!?;kPr1JI+;?z; zVsGHjKb4>cg=LY``A?#HH~Q}{fmT#!Pc=_}VZ;9346RFbf6?dg^_MC|<>EELLo&j@ zk49hBpZWZT_LctoZgHm+<MueddolG1^S65|?$q!8)qA$kr~c5}+gt4)yvY6Z#&MVZ zwDW~AAAd|eCvUm`pyl63vZvDDU#;uAzWkKj^T>CP=lp#$_tow*!7-P=-(OnuGx6Nv z+x({lZBGlwY<|Bl8kVQ*R)X?W3@lHDfb-OE&R_2X_x;>6=h4sSPbSTK8vUluvGPyc zFYqAF_EY8Y7xy(cUj~i4%$@r=6yECl{_@Yq=v(VQyqf*V<NT+a&871<<!kHTTVHoR zu21{dNA@ZDbqoFqOrQIvXx>w~bvM4-uYh%@>@Qa`=hbV$x>Jha?$q+|{XaH*`l|4} z;#)SPJ9S^<yxk&5cWQswzQ2pV+3xw%45_06cm589<);6;ze&&e<Gb#q?1{o({Ga+? z{^<f2e8;Dr|G)aLNd7-%XdU&36Iw^j50RVmyeqx-|I-I|cYbg#kw+P((44z}*91^K z#R#jXery2`Q|PUz+Y=lY=fwB%(IZHf@_hO)9hRl|ul;LS-2BAU_O!XhzBQlTZH6}e z<_FvDUi7VaPuvM;m#Q`nnx(|2=&xS`%2JbHSxOw%r8*h(+;qqOHk*r^?^LdMclkiw zU)8zx8^RO6&w7>K`|0f0CnD$jr$MsRzE3|+L%US-j=kPJ@tds7od9T-ItI^D@fUwS z)&*s$vyd#cd+Db~tD#-0{mW_!tKYWo*rQupH7$Hc(*6Itepwdtmw!>0xf}YNcgNn% zdlsixc3Xejo&VyG?q@dZ@`pdSp1S^hQnAf$bx5B29yYHw7@DWHSNvhmxPSQc@6(?{ zz88A$JMfQr>hAkt^~dfU)cni$o&R!O^W+dnJ@xR^>-R69^;G%P^YUx|isZ|ws(;am zf7tZ*N6A;^XP`#<{b0EitMdnUA3tendz$;s2K$Zk4dp+$?0;AC@0BK~?>qU4uI=e^ z(0J0mU#g#Fs{O<4|1tjD{6s*1o@V(MuTPBC=fmFp5BPfwGM>Z=8c(XJ{AK{Ir|J~@ z|0~1lss5?w<JSF^Ss$+jtEc9#cn_|p{;&M>G~1FtKVH}Ui;jQ6*?qTH!-gnkm&P^! zHa{h4d%D<W189_C`5Emu`#S%fpPGM9b9u!-K1k#5eURM$CP+nPJmvkp<+beW(U8X9 zp7@_f>dt~&=+mw0*MNGy?%*Mc_Sbf)@}Y5mykJ8V+VO9;$UpiNyy<l1wCWv6_vNp^ z>M3tfJth7GT2Iw22G>(Hfqx%uKXpBRda=##S>WNzbLLah(^ttG`)~BW|9|eMx8+;k zKYUsasiWqvyYtr;Hc0W`<2SEgod$T2;;-RP`4Z?LMgOVi>7YT1`KO_S6#cKZpK-o( zq5S_68;juQmKEQn|8U%OKOGB-C;P&G3CrUDM?PQwZ_Q^dn?Cp$g*bSO;;-l5AL~z@ zu0N$1=L61A-}RsVyAR7y>FsmB{=L|+`2WiN%hG?c9e;kI?tA&%{Ym#8%&Ggx|J2z1 zw`JV?@O3XD;~vlXn-c^b`tyH!y8D$4f4;sBZ0Jw_D|G0uD0A-h2mdC0ayei0eBv&D z=HFlUm;O5t*Lv4`<@~?yKc59y9{ue6g!Oskz9a9RPC5R6IkZDnKlMEys6%!5$%J`N zH_k0?`P5lE|7U~oudn}0{#As&4X-E_t#m#A$@JX1WqW4K{k^7oy21HES9`nmPe)5Z z(G~UOWn|pLuG;@|UOhi!d*|Z(f|51oqzhi3hIXl}r-Qpx#XRTV-#K;Nd}6T;cZE&W zH2)hrSAKe91MO0sKmE8J)cy<m^rzzPaowLxzqg0Io9F*mvX~t_s1yhqR8si;MTfso zN^`v|bnwr5|EHgYpqeTVR#WLOfYww^r<#9*x>WCU(YjPlR`p*KKwYXvSeGg$0^Fry zUnw8o@@HaEhj{-4NSEsI)ZgNv`!`zlz4YHv+w+ZGX0FD0zYBji*7QA|T=8cotgcEr zmA*W~{`l+3sr{dIz89XWD=YjO3-13;57<{D_59)6sJ<7G^B&Bq{XXdxJ9MB{1{7O2 zVIzOjFa6Z~%(dI{uebL7oF^jZ<5eI7e@9O}K79c^@b_Bl{$5b)FHR9M@aF{2R0?zL z_r?7djoa%d_cGG1ddj=tZ){JG9)!03;=_JE$_2Il#9*yI`9-jrYWb@@jN9K&Vy>Fz zz9V_Rb;xu5ndUbtxBoi&bjo^rjpr5L7$H>^zvg+p^^mHneqqh;!nf5GKMgCL&wmp7 zJwM=GT;ucf{%+7%lKtwxZ0hqTW>>Kn&8h4A(`B_k3Ec6W@#$ygE&q?pCPGKk?P8!K ze}bo)^FficuQ438<!2Z4e7zMYl1gB;)Q(BuT58|&x;L8o^;+!}ABDjKf3K(h4iB^6 z0*<4<Q@^px{0)k`yt$_NalH2Xb%$Q3gYr{h=+n*ipcuM;5?V{e`a)}|t5fy=gBpH+ zyJ5AIDZJrV4{G?;pA@z|J=guU9q*g#*RT9!t`;r(zqW2$$Ue*K57TR9=REpp`4p0= zc1}HhJ*fVi%@M`g@3&5si(lB+-2C~pqV4Hq>*r1Is_Od1pO24%s;Zc{i<@hDH+O66 zuUlPr$mY1{-ya%JyPH8d$_SRDGQL6w|Dr&xzv+{qt-o?xNbB#@EAf4wzD|82bG~m1 zJ19qeoRWTg#eG9i@n;|Q^P3bXN4@&=$K|g3Y0%!>qVK<6ou6TCvGo4Ke;RMiEq1Ph zwEZrgdMypBsCcKI&tLIZB!8VgtfF#z<qj?a^Vity*m<t_!@Ff@jX&nO`**DcH7T+y zz0ZG=op(b&Z&BSzo8$L#uk`18)ume=>9#&8yLVE}fqSu2ewznFbJX7UZ|pKhKfN&o zH~wn;pWZ!N3LW>`9$>e7|F_~j`{u(3DON%UDZ)-o*L?o)tut(p!uc!nGd{T&<rbAw z&Se+W?|}{Ztt|x)`Eh>9UtIIq!t&_n<EK<@PjA0byXVu7ZC}7+wD<gezVih2dtD$E zl}#i(PkpnhuW17fCGE1gw7I5i(!1bqK2MLzUU`4>bfK&LzQv!8e%>no=+jPEP1O&t zsg{Cjs%}`1>K$azZ;#90N9RsmUq7wbrg|!*rplg@ULI(l2I~CQhd;e-4r-?dfk)H- z&7QKmJh(m=lBcvmZS>tSm#rr}{v!LN{Q6H(@bK*8Dd)F?3O-xdnBT@<y5MeawFbZ4 zqR%pWehbvCK^vi1`8j+(sEux~2<uIK4+8h5)UWo>xW4>hHE3f0!JFb!llRs>x4YFp z)Bg?soAuwmmA_ejX8X1Od(Oqbf1CdO>QBkfBIa-MXRP11_TQ(vTjyu$fB3Ze)aku{ z8}}sKdpPOu+k160U;OF%$##DKvd`t!zd!!n8gI=1an|2yJHIP`ea?TO?r=?8`+JS| z-@i$J>Z|O1{64Gx&h2>nfam;Y!gnOrf3vt7UTycUd5ir`%NzEw&(Gg{fBwP86SA`( ze>#3j+$?>6)rNEBGsP?Z3BT3<{%vx5#{Fcuho-*|-rM&(;O*}-vNoIK4fX5RAJ0DN z{LEVBzgOj^?emvg*{98W*!K78y}AzuZ<n8$e&hdEkazr_NPcDvf0IAMy*|ADcfs8G z#`+)s^n*O}MevsXjN=t&LF?!~db|xk^SmOZe*5<O6R)3<p3_rhr(68I{?oIHx%Um? zXa8Tg=l*Se{|j};?;QWP1hh!uo5oxIGw$ECzoqwI|GWKp@Mims@rmE(Th*WY_pZi$ z&ey+RvzC6Aea0Dgev1CLZ+qRd@29?dH1Y4#dv*Tr4D$<%_~y#Lc`L5|s%Cy=U-UQS zXE$U1zA(JSKa>52{r*oW`S&e7e;a=8{M+^S>b-w`f2aHu+bw?K=j*+H-)yw9PoDQ^ z&R^coPaR+B%P;>s=O<IYcyQdixBO37Kl7=JU;kH@zrSYBx%D&h3r_#b%eOzbCEl37 zuz+*!eIxmG3g`W=fud)1^VIL4wS>p_9DA3#)&AYv_45~eW`CwVXS4n3lK*di{#c$- zpLE}NvwiyhJzV|n?+o4-7R~r{^j_Upm$~na^b1b^E6TU8ob=81nIIqE^`D@)Ndd*p zo>coJxp?=#(`-`q9e#IpihA|$pTQ+{XKW5z{$9QJZ^GKYQ+^8hzghqNoBF4op9z0g zzy6ss<9xnC{`^&+i=V0HtzW(8&Taqs%l<Z1_B1cH$j`6;Sn@X9?0EFIa<k_@OP-j1 zX4CJx_H*soe7*d63qMOg%bs&TpmP6qdAs1>vc>ZLZ~Ci$Z%)swPq5oG|DMP5^M2R< z=2zI6{ucf%`tHX3&HMN5{k1y%bxnI^Q**Rd{F}GcJHN#~)4R86|DD_KPuf1yjl1LZ zoW1(@(c+SQ=WUKle^Y+;@9x$9d8_|UsBBnnt>ORe+uY}w_Gxw-=idtic}VuR=4ah^ zH$WNZ{B=;qX`guRzJdIEZT<KKps303hewTm(%by+-%g9a0%e{28~5M7J+6PL?ySv` z&DAgdOso7FP`U3SC~7XdRPNt?e?s}0Y~$aL@BRCA)T%yV-=T+pOaHy$-1Yxk&7bL@ zs5xx<yZGDWslSwW9^P}jyInK>&D(JOt3UNVGv(iifA>~h|MI@$HitI1zy6buf4_F; zx7ufXaU10g%l*UltMbo$U+_KS>B)O_|G4JL8=o(zSoAHt`u9QYt@DlIAI<vv_1-_1 zd4}>EzDvyApLowM^q!cNeR|xZv%*uRSO0#wdP}@P|Hn5X=WgGQ-xKv)^s{B$#{IW% zzdw=vjQ8Em{F%?sKmEGL?Ya4x>lQV;KGo&h*R1_!{mgFNP5JNN($`=9cc3Qaes$Zq z`EwWj&Og6Atv+f0A=cl;-<qed+F!NzT=@+3if=VfyZ6?<=e<=vb9cqje?|HG)xXc! zz9ZfK#%+DCx$lk77k*)~o!{~Ei_{;tx9w$o>*AN$+`L_WZ^_>q&)!t)U;lUN*_{2! z_Nn`hvi=6;nX{lglk{BFsy_Mo9@n^iuD>lmv&QZ9tK7NWeuDTJ>pPqG-@UDWf7NI0 zXVNlz<DS3&_U-ZZ%>8L{k7R%Ae*O~j^?CkvP|WPzt_dsCxW66W`?qu{D9gOr6Z`!9 zycNIm&mXUE|EB)UcFsoo^!ks9U)_t^EBk+c*8oM$`zxTRaesdmoNuOs^3A=C@^9Ym zet&J>`8x+suRYg4qkR6P=uZ=V@`=j_&U^Fr`SMqPW>mJ#jRGaJ2e!BTXX+Oo`&X4; zziH0T2KH~}XUyX-J<h$q8kBAN%0MMr$!bupDSwmy{hRpv%XLR<`lipn_;dH(zkPes z?md|FcUt9*Rr@RVpUa=Ie&grVr+@F&{a}1sex`QLM*DYf&)co|+xYXuzXSi?c!E+{ z);INU+A{N(JvUCT|5jW1RdMcn1OE^2W}gzT{(Y}~>wBZ}kDsD%#lL&|{fXRXvFUF> znZ_NQX+Gz_{?k$U*Ej}J+pvRco5dKl%|cM|oGyPElxe`VP2K8$pB_VM8*z|#5Vg%u z&bjf1_aFR}w5s2}UA{i(x9Mkr^*8fpR@VpC|I>VHekQ%bA{drwWd8esv(062w&DG~ zS^f3D+n+y{-<tnM=FR_k&rjF=&zI}Jv(ZxT|EikA;%}?Zzo?mB+4#KvGAL?(t^q|& z+-6YJ%)bnZ8s*=DpC8?EpZE3iZE&IX@&C)8D%HP#J}(88YP{gs;ReOd#{cni_usoc z|Ag@~=5;sL??3Un_{$&dnEBy)FMdvYEUUL~N!>Y{<H~<`Jp36K^1QWT$A&$Nr%&2m zapd3htM`q*e|V(y%74d>Ej9_?gJ#ToDjXN%R#`sl-1`~k7K`F*Tq}!Ld@GibQ#wC? z(eJ#UOzzWz=hcS(mHaHS{^jrZU_04$wfyPrC+%!cJMXb`+WEWmtL-zsdzaqp?4R{b zIwscD??vSk?L9}onwQia*>lMD@0w7#c=zW^ckG@99z3`G{n7mD`5EmMb-7>f=4+ns zpDO!C2D13-^K0$-H$dyq7R1*_Kfi8%v99&6!@C!eb`KsbJJtPu#eIYGAG_-Q#6ERi z@mFB^_DSj4pmB+c((I@IUcTy|^Zwzae=T=CelzR6QveT2cz@gd{$<Vd%I4@_uFGv~ z{GLDEv2(V}#mzPcb${RdewBa5@`|$ZuYct=&c8eT`RL`nPkZJ)wVZd?f9G%Kuffmw z;_kUuwy$PC&HGIb+=Y7d)OpHv`%6EiZy)CT`{wwm-R@cTM?WfqI-unimT{GTguiA# zQ@nSfynfXkOV8hY=lrL*S4}%VZ`JQDiS<eQ)AJ8l|0-So`EPJZT<hz};5pt8eSaUN z+t@#|lzVyHK75{?@AKA*2=FYg&8GMNo&Ks8^Xt!B^{=J-`3dH-VsH;i{Cw!WxeGrh zKjZCtA-+H4Ue1>Jk3YD6I#%^WwJ&as&F`tdvY)M-x$l4W)63dh-#>gKTI75F6We<3 zr|%Zj9ldif`j_mtdkg*^`xdVb8tnTx?e8yhtNp2Q59if=i+=j?c1c{<?(HYeT?P;J zov*7=TR;D^vrYZ#U+>;edA__zuI!$!K4|>>{Qbo>N%aS74lw@x_1$X!zXjj4-_$$w zy^Ngq=z;U8Zu=GTM(01C`c)rdR}=GF(a&CO{oBfk`5#^hpZXj4SG1Ua`WN*#b$-8@ z_3V_vYm!dZeOI3wZ;)T$z5j>t`u8Str$1gcF}g~zvOjvxU)R5~pGD5^TeI(f_|u!_ zsr>%;C#Y9V`)~he38OxP6YRu=n7h?CY`*?E{_$$#M84aOy&A7?C~Orq<;-RG@igl! zj9cF0ye9bAM%^nSn*x2Dq^B<2prN+B?TAIl>l;rjoYejr2>HJJuzTJ6*FX2Ie_j3m z-_G^NpMR~ZO)GnUzI^xl>iqBLE59dKOaFVH&VT>I!N2Fzr0vYqGw&WeJoOV-`qY@D z_feGx>Zb93{9yI;XZ_at`_DeK)YYv1^ooC0f5DGx%LVC`9qL;@Z3w=fZhN5k*Sp+P zbN6T6J9skwiKgx8^onye$N#i^WfTAM`S#9#_FLlHKL4K_T($4%jsq|MU-6IdFYvbC z<6QYg{;l|fXZMR7&wmoloB92HNd3uO?d;oE+<*9mZ|A{x{|#(UTifgit}LDYZGD9P z#~F21+dmauzH2sTGYk9d6Mw(IxD#o2;PKfh$M>E!|5CNay~53YZ|-s4%0l^X$CoPm z=ZD{WB>3BBdx+h^Yx9%(`wiupKmXqn-=h5HUi9zYcL8>X9$P;xaxapZTwSg?|J{;1 zN4-BCwEgl!`m<V5J@;9Dv#GUja@70_yNzRV*X=oW);6{Mx&G}Szin6leO~*7X?gT% z*?GCj{M#?rT$!$Hb1y6|q27Auq2{+w|9y+;e|~jdKWka+Q}37uhwS*j2k$%dckWZE z=R1y0{;mCf@AT_?*-yOV+cjUZm~Y*?)q9TC+RZ<A_tzg*|L}Vsf4+XJWd3Bc`3cc; z?4MR`zuflu_FFCe_ln{CQ=ZR0q4%>S*fRP5$Fw3RyE`#_H}=>2TPE!nTQ9fd@9k>M z`43+0tNNpO-hJC*o8yz`J`t*37h~i5d*_Yk|6=CdFZy<Zx4*bycW?@SwfvO!in?`g z9zSxbY`J~@G^1RMR)1m9Qkh48)IYJ9+fP@v`M25T=;ql^B=qyArSI71>sPSh=wdUQ zeU^ROrv}H!>gaDM-Z3ZfzeZ)};(&97zm#?!c)GEubNAyXqTlZ6gj>|K$2@*=x2XB^ z<tJk1=_h2%&QGgWe|_J3!vtBGdbf9v-*9j0UhVyqv234e^^O1YY>r&)PnBP8KiPf9 z9zVW<@YBg}Op7`W*Pjxe^C!Hopl-R%(X(m0^MBMFyKy&Y-ou1y%Yz@!eUeG%pA;NZ z`H9Ke+4Atex=%vx{!@zQ*m>#|RJO!CdY4hzy7&1>QNDPk>lT$u?>wl{+P<T*`%dC_ zmYwBX^Z!pyWj`)I;kSi#a9Lq#pUr`D%TxJ(&!60G@oUnX$0pO=ckGGbEBIbp*|T@` zQ-SvVQ`9Yfu9bQC$N!UvdHv+%H}`bT7Zl8%^I*sKjK9B+-`x8|Bs}^w_nS8w={N4X z#yxtmu&D2{`$<;4@6){RywzX7p?pHjLxTy~b8KSs3jXQrJbIS<3Eyu0>D8R`|6f1B z*LPpzd*L^;n@6v9eqyj*eoF4!^G^cq@>6T))CI{s{KRB=;O@~+64ldBDDv%B^e^}n zYkBA=|5MGpu%e#3Uh^J4;4f-=Ed9jt+&{hLJ9fFoB|PV|Jp6B2b&iF-w@j|$bBnuS zdIc{}slJobSifQW?3jlI{5y}GOn%DtynlN19T}za8?~$MSWi9w-#CT+`1y&yEoPt4 zTNkIuzu~jh&BOmbeiCqhKc#lgK6kl?pK6O5cJrT1epBniS6DI6=AfsVyT$)SHb>8f zKb6>he_Fc5jv&8+Kf;xLo9Ca_Tvw;7zoB^VoW%cbm7R;j&J|Xzj(PA&Z|9M_&#Ln* z?6u$Is)k$Kaa>neRKN4!&*rCM=KT|_-@H@(?)Uif`_p`V{8N5gRBw9o=vC$?2J7P| z<i5?<=P&#cbo2Pj)lUTV;`P~gbU!uxmR8hpIQR*tpWk%lIrCNh3qKcH9((um6A!!i zNzT6gI_C?^X3lxEYJ&I;&8IxSub=Ri`RjGA@R#+@15f8a6)HDBsr}|}^tytI-7$}! z$Q|Z=zVzTu<?TCey1m=5d-9!X`T752Q`wL6PyTIjJGQKFYroBbbBvqX|298W?BknU zJE!ldpx%64_8rv=ZI0dBSk!U&@e@wJdn)c0^}B73p7kv1xqJDEB;UCS!ZOQ0$)rC& zrMXUTiujG6O>+|e2UT`1&Oc@8w|)Y5%s&sk!tcSAt$SZT;cDL+^Z3d7qUO)_Cv@}v zDVN{a8~kqX{PX|Qs&g&u`TE`~e=poN_syeMb)Oil`6t=Fss1G3UVX~W@B38u9W_ld z58ee-_Uu)DBGB%BT6m64cwfQ4q{^<@9(^0Fr>0v}bkBM4BleR_`unNDF?-|V5}x~5 z9{y+fNyvTvq~bYsVR{8Sr+D9~^^Hq>U$pbk!|zX})Wc8mo~u{fzT;=_oy70{Memtw z{{2_8w)i*w&hbd~-8*(g%OyOItIoHum;1JTTD!%r^=}@3Vf@4~oqr<p9orze<otZg zBM<+5Vo_JNJoGd8iDq8kl;k&d-t!(lU@dBTJpE+xoV`(gg<sh!8|Q96Df!KR%5)2_ zCo=r?+s?N=UwvTm>nB3zvnyM>_n#8Y`>%Mt;zRt-gO9DBik&yBKGXKPw4zdeXZbF> z|6j{CHUG9gU29V{;mxC!>ii#){BIs!UHeq=oo(Q^j~_fgarpO5V79T3l6(AUXHmy( z@zcUK6-#9vehiuS=w|d23H|%3=L`PESswh$f1=pND%kJi7n#aN@%fXC_xxJ3=g40D zla_MNiW=3YpH`h$A0PMdk=D*<&kOnNk4HY#w4c9v=KJ^S=hrX!e);@ot!u(D#`ibA zd%vjid9xM&(Zwm^$E#1sZTnk$M|MHYp3Up7Z+Oqq|FiPhjLP>uf2(Ia`1Sac$a3)$ z#y0<&Y>uD1{)AOeZ~Al#y{An4^;+u-is!~WKKiw&`|#{1_hQbw{}<cYf7<#9<GkE; z_4&?k@^$+Qb}zO$c9Z!j=e&wfBD<?k8t=IkKkxCAgvv&D|Ebe=SV!r7`1JD=m%IM- zY@3R;cOJc}*je5t_x}&e&i-Z>xd-<oKM6cfKdo%@cZ$uid#;~YuE$SYZt-`S&Edz| zPc6&VO#|KG()(ji>74CNpTj0B|1z!O#QF1!*;nj8&>Z{3&OZPAi<^&gPl&GxuQ*n7 zuBv8I-Mp9wZ$fr%xfc`n@M2|A>++c~kB^E!Wm~^bYx|A=jdvct$lCc`vG(7k_)~oE z?kar$_~HGgZuRXam~H;gfAjF2-LLO<NA7*w)IZtzso=T!TI@S2+iZ@#D}E}#u0KuP zqVEYu`ufSscUZ;sZTzfP+4lGQDbBuo`sWLOC0ZVRdi)9NyK|F`-_(Z1Ju1+AZt-(g z%!5C-i#lg(KNT%oKS^8WkJGxsKhir7-uznBy;}MypY{Jq)$-S#-+!ON?p}VncFw(E z`~M|{JEz(lxXZVxeJ}e7XBj(}Z-t*cDqBA9eZpYA&*s=W55B?=B9%>#m7j8?A3qTs zV;kSM@v~87+u!ihoPGBc&KLd)vpo9LC+@*J5zE8(*q^HM*(*J__}LQk;7`rYBWGPd zaqW(us4Y_yyRPt$?9PLcn%j5ma(lO-c<mkQ$>;ySPi1$npZMG2ul>!VPxn7%e3v)f z_D$}h`Q?0t75O)hd&bK>tWmc-aCY4%iQW9uon>sI<~{gfSk$ta`HAK_zG=yCWc1E& zsGl<DfyR{Q7PS-SJo*$-*|_`h6Q1h)Y11ug+UJ03=El#Lp9q<!pU{3YPdD5m;)H3R zy|TH*@1{GCUIpzud~W-bdyzH&_N!Z4{MvZu_{;Mt;?u96WWIBCQ~O>wxkn%7Z|a}? z|CI8a`<m=KZu;22mq{-7wmfq1+b5Re)~5x}$*K4kK0Rqz*7k|#_wSROee*QV7yeSQ zJo;4d6H~eSX~}Q%K*vG~Ssp*B{gml>@XjM=qo0VD`A^W6nWJ;v;$Op^2XB0K9=&Q= z)IQh$^xpmtKmX5p^WcSdk^J(VZytRrs%+T({<Pe;b<^4{{w#g-_{sK99M9_~G2i*) zE0_E|&GN{>+)pgWZU62uK6G>M6U}worX|05qj7%2{_Z&sUM&11v%G&&aLhYh{etcL z=On&YsO&uKaIWxE$<6~mH$M?F*Pjr6qjqP^!w05Cy^qaL@t(V<wta_H;JXdQtM2^P znE!w0n+Goz7s)T5KP5IMPJzFmeB+zM_d=B&hx1R^`R&v0FZkzddEl&<T=Mow&N6=- z=RNqrW_jr5{imAi@+Ky~xubY~!~T_Z_hvrG;I8buocmN{`no*_?lM2+sos6kTjrhO zbBoF~F%SNHEb5%?{6w^DzE-$JMO)0{AC^VUn>+73dL>%aKKJ^`y;IKr|G24narr4w ztz1_4)2<R!E6aVeQ{ykJnD^$f%4ATjEc4*m>QA6r*;yt=)4$-4u;rnf=bwUV<>NPY z#?4Fo?`C;0Q2Tsg)#^EqKIv69?mqkV^EsaCXpl=3o?GnPAM@bP!J^LD?kBY0yi*Og z`0!NfIQuEybMthz@2Hw}C-J$&&T_N)|DT`c>x<L+UieGv=Fz9GpEABnx_S7XkKCgV zA~%npeEEsvdHPAlJUhMqjn(^P9z9U1Y<jGJTJW6S<mEfGo=8|PwK@FHw5Vxu{K?`u z|NQ(4f2LP9?w<XGr~3c2=@xrp&lMK&|E*bZV5jo*9XH+L63f+h9y++LsP}REN#1j1 z)1zbFDwp4|ba+?WbN>IqP0foBKe6*WzN!0k`f27ndjr00C|_f9<YD2a{>kPimFMh_ z<tr$jWOM9YW>LrM`LCZ_3*Y(QEA#N;*H0q;`BRejWIUCOyQ`(XqkOx~@x#@xp0C!N zm!qoxp@!Y^@X^0dRrBO^o>zSE*m>ab-X}umYbsl(v!4=OH(%%aj`}5c9$Yjm>Rx_+ zy7iulm3OS&=l{RDI)(lFJy7wsc2l>yab?5v<tOCy{HC|>u=W1-@qzFsj{fu0nQeA^ z$US~K{i(q9=_iHn{O^-_7^vz0@rzXD#?^Io+n+p7D8BRQ^Uep2r)=x&%lzzXjrXtb zZr-`)(7Ty`>U8t$w7%P~dv0#;F8An3Ol8ku|I@*5YTf2NepmcSXnOpFaEq$dcar|k zE&4CKYhUpqn<H1NpBSDKs_c6F{j{-hzxF}Rd5>k5?>NML=ONGTJI{rFTO3!^E9mi_ z^GNhuVXJn`V?Og6Pm@o(-+%T);?qX^3zZ#({LAO`e@Z-cS^xFVQyV`g%-i3tt-q}Q z#)p5mMEXzsy*uT1e#pCrGxo$zzh2=vukuy@y=8S>(w|N$o1Hse@j>A3{NEl=e_CHD zFYwqGHC?>IZ=Q|U--n#9j_**Bf4i^H?#SNuSHEP>`GtT^zx#CYRrJ0C!JjhtpEiGA zA^)hSX2bJimGal0i2C`5?LYGFa7q2=g}<hq|1iVmhIi%vkgv6KCVc;P&m#XM_oq2F zmGgf|zB{q}_lo?VEd288et(u*yr+EEFTK7KuS<V&>7TfKe3kt9tNsP9cK6C3*R=fJ z{qonjx>M=TSKgn<{^aPcnoPC++FyOY1kasp|D8XFzrg$6gFNo9+HWd%?LYeX%J!N* z^(PM*Pc4sLDgR)~p8r33Kg-2U1SQeGGS>YUzNY&J-2bF0H}SWBh}})UTK?lt-tO9S z%=^<Dhp($;ulN@_+ezlm>Hqj(;x7B|3Qr%;MG6s_HFY~y|1#7&>E50*hrjTB;I6v% zaOSVlZzk*Whu;6hI&YG@e~_Gw%U^-?C(Y{lbG{e)#yxzL_-gu%yRqNR>$@N!!ZGFd zykB;HC#u_X=WKt%TIsj1W(hbz+E;@EWbN<I^H$xd-hvb$%2(pwtgLI&{`B#(**W(e z``g#OmsxoSw5!=hLI2uQZyV+Q`Ro4uF?{82p)TKNevW;IYJNfCf?uNFzFqmN+ZS~I zljXb#?dJpD$*-wv2>$f%qV#jyJxcl?KLva(e#2Ax_ongH?KNHQPyQL6+Pi$kdw43b z_5OP_@zwGjit}x%AJ_D-SHJvsCtzoF%P&5@li{yFF`Yj-`S}Wa`=GxP)1NGUo;QcT zFh4(b|KW3iugq_#$J_bWo))k0lCzEf`6lD5^PGwNaT^Nn9XG9P-JN_zf8*}{clrOE zzUt0@Xju6{>FHka74ILn>@mLyO(k^`!GWS5cK;KnoSobE=X+f$e<yv7m6>?`^(WD| z3Cs0E?W<<~;#_y)xc+Sm`G^0KOKYD$J@q>I>iZMSpORwt9Wnm&BjW4f@Ky2;=hXb@ z>ix`^cT##!)mBibT>Y!7^i_BMW6sJSUQZ8ZU*#|Gx>tYoSSA1Z6W%%T_n+Um+5i0c z<X`_z*UeA;mi6{}a&4FUncdsYy+8b8{l%L5-wqmnmV5o}w~6l0hObikGrvb}zyJSa z-{pVK*MjdD$ZyEof8V5X?KAf^{T~lpf6N=X{r>%;_~kzhU-R+J?2p)fpFe7%e}w*r zIX`EV{;pk|vg+^hHOF_P*d^Yri_iR0b@qIO{G)sScKx4H`nz^{U(LCy=Gte**WP|# zIxYXgKkjSd6{l)`xIL9TH*@p-aOpjsKPP>)TQ^fba{K-A)hD>GdB3sq>tCL9w=O>K zU)tOKNwsaq&zP^d{r)!V@$7wRcaL*^mVN#0ci7(T8T(TArtV9)XBqxq?#=hDMbE0& zr0+<+mwLDEezsKhf4kShHZ~6Z*OTwo#h<BO{fvFhZi}S4R&k5U+0V3Xs;sY9@A<}m zPm#Uik6&fm;qsG~^X4dQzcKgZJ>f0)x%>-?7JU*q?>{NrqIUZmo4~(EtDgv-yQh7; zqH@!oBR@5Zn!8=(WKKMOT&Z>MW$uqk*N)SZpKz@Ao0uH4H{P$Hwr$R%XUauww~L?1 zlymPqvX}cQm$mpw-go*>`SkTB&TTyxUN-a1qo><b`JacMu+#IhJn%HMs3Y8dvT{tU z_VFG6*3NnGtiP!1w)7L8^Ldks=WHqJeExr;_MCfq<`sq8?>x*g{`>v3rGJ0@w#{#n z-dk>JU;H@r{<HH_nP=~J;QRQYxTxi?{wYJbSS59f9X@^^f7~u=JFNXg#@}n_k&B^4 zt-I%+;(ZsZU4G-|+BY>`{rmsB-#q$`cT+pN`ANHPQI-b||39JlEq}VQ&93Oa!VNR) z{u>^gs=R#1J+E^Go9E7XT&BMB$X(_qT;Jt%%x~QEeOFLle)H!Rx&6DRzj<_U-=_Ax z%qjBE*-tU*@lAD(kyCT8C|x4+_*I7G!BUOoJ1QIJJp86w)VDkQgz7t4_3#^&&36)h z*M8x<{eH3a^Vc75zhAujM&18A$5+4qP}P1t?)`^bujih<{aQAzdhg-v_2m_Bzw)i$ zd+*`a>(+a|!4!ZbcRTc#<C4Rx5hN-8>LbX|Pn^HLzf7$D`+4Kuf2`M@f7Rbo8TVf) zXMMflw(I*@ZoiK2diz!X@V8&nldJz~Z`}JY^hVr&shsuovfHlPKR2%W^X=F3r0Tz) zH|+iQ^G4i%rkwTlircR5=eYekzUS>%{e$0rO;4)+dwRp(e@}13{rAdQUoX1t`hK?C zujAX_em#Hk%a6BT&maBv>p9E?H{$*~<*ct4+;)Ax#O>GdO>e*IAN}@gdSdn8(;N5x zn|dSezgEurdfsi<_k)6@HmtuM5de4Uckip?*tqwf>y5bhq@4eC!p8COOK#NFO;7w? z8Qu5xdA91d`R$=M>i%6#_+1&+e!b4_tEFw+@5;&DZ=XBMZJW>j^G02ra6+{$$Hu>Z z)DC_7JWb;E`Ea2edwtK1fBz^S{`R>?=Jt7cuN?dQ6&wEkS#a=MT|)cYucvl;^xwbt zzIfx_`saz&_UDd&`xn`8{ht2eZ~wwt-u_)9ar?JF=eF;&gL2->r&b($otgLS??R#5 zuOnOEeqD6%+xv}&%l6If{`%u>P4$g)+xy?vu-`aW-to4^{l>ZSoM(Smu-yLbuDR`d zw^7df_fKxzJFmHMuYGW0_5P&?zujNYcl)>Ix#wRi-u~qmzx`WQZrgYDnw<CUEI01i zb8OrjFOc~AXMNAx=RY~O{hzn&+VkI?Jhy+I*;rfWoiqPF*X`%qH&*`p-Y6?~>w9DT zg~#$YuDhniiT~P>fBy5zUv=A3=L@yh)JN~}|0#3){d8}eKMi-JU%o!f_Pe5R*C$Or zdyVvp6Mt`9H%`up*Z196``2{)@8`d7s%~2^9)4rr{k)BTzc2dlYHR=P{(Qx4|M&H7 z{QJGA>+Syi#X0|L<CA_@CAPkOJyGoTb@RVV*S-Jv^%BGGJImW^ZH_2@E9`N%ILdhL zqeQsH5!rbO*WXG1uIO@>c`R|hpi6kpW6N~~UDh@;Ufb+g^S$uw&l8c`*Qaae#Os&e z*!Qn&|B~m|_s#phv39>e((ixD$G&}a>bkz~-+^ymeOlkXUdng-di=ZTrM16*ojaZ# zub=e$m+`T0Uvs<OzJAK1Uopo!=7G%h9S3Z8=i406wmBp^?}60$f@bj@M_Jz$^j61T zeEfdny9bQ#9t)OzWa0n76Q2IEMyH_jZu6Ha<D`4%j<ekUIU~_po;&CH;sa%NSvk)a zmv_qb&+TX2_Sx`InVs5=bH{mZ|BOhmmS^4eIWXB;ey?|Ft+hOJ&hz9B+jqB*Gs->W zV7EA!Jm;an^ok~FnZ)+rbCURvTO2T!dB~z((Pup8k&u2tv+<h`g>`-B+S}7B&ivGf z-2T2^I_JK>b^O)O+4t91-`Mw`f5YDz#Y5jdcd^_)Z*R2c+h4A2@9U*<?#ElkKfcdx zzy8yP+W+DYw!YWjSi65#!tXkZ!{7dv_D#2W$F#nnGkVXV+IO1|ImbLU%=;j+y`s;0 z&yl?`{pGE)cOKcjyIlNHfd7L;bwyWuMPKvh61!<Pj&nXwKC@?Tzvi~jhR4h7rrkJq zoa^?_jE!^q|D{&#DYI+4aqhUp?VmG}tmTVy?w{A+IJaMP+vkf(*7ASXzy1Z0=lFf6 zyusP#m?2+bm%7Dq!F3-*$}NuReYZSp=U342+~Sy~-$$nB7KcUS9`QZD@ih6pv6Z}C zp8gc>bM8lX&F$yA{j*^I!spk|wfDcRX}NLEz2|Msk{jpT``*@s+&Jgn{<dbv{VQMJ z*8I3}&b{Mp&5;}D+`Hb^Jh^esy^~w+JJ<Cchiu=iKE{0KfneT8mhy_W>id@-M<%d~ z@958d^JreIIRA!r_lgen8^?`p4sp+kKT~-?@|}ge@UyoyXEx032SsLrwY=%J&li7h zliQCkzE8vAyX9?7PvL>b9EC0F76%2-eGr*$ag29fV*78O4eie@4rum$U{bd@EExwn zi|`<8Sx#TSoc!|mYd<+Yu<-Lg`<;00?eFO27d~g-zh8A@-+tZ=d+P-gfB!Q(`0a0Q z+uPr_g>HY}&b#e>{@nL3etrA9w*Bqz+YGnAZx`J5K3_QJ{{6HY`}XT@tgVlJD0<$x zH~P*4yYDw2Ewt6MIkxxxtAERH94x+b-22TVQMm_V)jRU9A9a57*ii1FCf^5{{*OY{ zJI@{G+HLWu#%JyM-`9(Co+o#{ty!~SZok;=pD*GUK0ki$xWMh763N!`!8y;9``*^* zAjSCmm(UmorHBU;jtf4N@GtC2p7U68T|twz&2hc&Gn3@?3p$Kt9;^Bl_7%rG;F`YU zu=bl<GV--{*Y02X$?=g(-$GvY^|xOS<5uF2@#|P)y!CC3$&P208HMNHN%I%HXWzA7 zu<QfN_m4cgD;n8%9FSZ0QQ-TIb^YuWE$$Wvgl&!)%RFM8_kd}6_=}n=76(P2Cm-9h z_y6t#-~JUfzWw_ObT07Tp!3uB?zcPet?n<!?cdqLIq$EZ-LUsR=pf*v#<zb@N!|Xv zaIgHUU*G<{Vz~W#t8&hJcK;1~_uCv5?AJcVIqxBl`i>*TcOHmX-+9hdZE=_}?h(gx zi-WA^3Oc>_9O7NK;qdWU{qxR#G<@**N4u50pxncMiobpx{yA}8ytTaCw$FkI*7Abe zK2J=rmY3Z2IWWmuo_E{lhkqijZ~y!-(ORBy+h@T<YkAIXpC=|-%d2ktd@;dVUN*0? zuFC%6-!D7;+4&3Q`!{wkuV{FF<FL2Q5$!jR#rg~8|K|E|L5^MSv4H<amh*)z(<{2d zZ|rn?zWC_ft3?NX&M?kLjrOI_FXM~$)u43mobx=n=WUJ8#<~5HeU&ksejjC?TO1XQ zd%%}|<Eh>B8^<mA3LBGS9*L|k=+lmQC{@1Wpq_lR-7mA_$0zh3)i04t+H=JCTzhpd z$m4d~J_{yU%NuU{JTb{y-g4XLz(i|#*=?U6t}Cgvmd7dkC*=D3!uVR71A1}~8RsSN zpZ_4BzoDPo=8!30!TEQ>`~@BE6;0|Ehm38Ga?3nsI$x0cbA72@)f#t;=QTPx_I3NW z9r*UoyZ7zi>G#fmsk!xApE>9K{+<nc{~I0r_U|;$?ce&`Iq&z2+_+c2a^v3rmwxYD zm;Zi04@mydw|}QuZvWOd&UwH8OyQx&K80!Lx%CR$r1u=*`#v+7U)<t=YTpMg^&N*K z*A;e3-+3TrUGwJ0i;o+>bI;SCeOOTLnw31K+=FSK9~$PrKhJvOoO{dL8kHO8+}qyP zOu2E+z4>j8#r`XQZ~gqRVQxRe?VlYR=JvDP{&`}<+<u|kKQlJW<$oT&Yu{Xc@$Wm& zGs-3L_kR@d-`M}WqGh_p0d1ROx_pK6f6sZK*!Mxg|D(w9ioW!UPT@U=itjvnrf(%* zdrkX|?5g$t&&@UG&&Zz|EK@e4t|9!%-`i94PgLKiob*jU=WoHzV-KJH`ujpGz2?o2 zh);z_>v;7(bo_mMyY#Pw`t19~hyU{(`S;JWr`i0~ugle1+xOcW9efu(rTu$QUVXpH zfwQ-#^vgWWuAk5H{C&{7yU{!U?iCVOSJ!->zwpk#I#2#~b?x^X{@PAvt6r|T{{7;A zx9%4oyvsgidbHN|{qH9|{>1b2^x7%6?^j1Y_@eXl<+W39-|ttku!{bfBmMN-_k?9P z{<nNuvHs3KJD-lZpQrS156!FBPitBIdFt=mn%nowKYpkaY<DAW=U>~Ic76I`@7^r9 z^KYN0yPVF+^;cgv|NR$xxTgOrSNZged$H#GcdY;RezV>2i%VyHzjn6WI;-wLRfG1k zzono5&NZ=5+1uW{KI?zN-5O83B-`VbpC9h}Idk>j<7@mkem8w9zjpTb_sf5BzLxWw z*?;})_9wyDvfn(p^MCKx|L2Q8+FIU@T34`j@tyram5)v_o_nW%zQA-s@tZel{`=O~ zy*YW4|7nK*&SMYbKK*b%b-MNm%W~`2AAjEuo%dGBztC=i@o9P0>lSyz%hm_jTYAVn z{=u>Hz{Sl^`P%g-w%gc0e*A=^eWT2~HTV1Z3VsX6p08j1sU-fX+_!Z>_a6OwJmq?Y z)4aXDe@`wv6+CB>|Gjm4Dkgn0jGMUoeSlp>R}s5)`0HQA=R7UL_8s^b_^Ios<ZD*F z^?~;3dv8X4R*l;iu<pYX*P>5;cm0o5_597=8vj90PXG7M9cu6AF0d)s8t=VtN8fM7 z_a}^FCT@TIZhiR6uP5H+TV4LQ^{)B5|53mB{X^n%m%irz(6i@|W!#)4Ka1GUp8xpD z{FZ*r_D}y@DjVkB*LuI-z0&dCVdYOk)z+)#KmJhu>ioUcb!GNXgKgBm`-i>DUv=lO zYGrfv{_Azj^Yq_K&XRwa!(F*YZ~lXawL9#}en{N5k)OW&MV-=~19sQ_bLYK&eq&wy zy3^V@*YiL0^y|ut*YpU*?mxEhrriIPr%wO>`19aN`6oi+6?L<osh5BHc|dmOf4irL zqjnb0J-66)zur3Y>z}Vz?|){!yZ)D8?fjot(`NA(p8G8SkWqeljm%A%-~I({;&0^Z z9j?#6yY_c)LF#q;*ve}E*!ze7+Gp)MYU^Iv%lzq0^DBM}f6aLl&+k~@@mF|vzTEwk zb#-TcNj(2D*La70Ov3xByYYV%p9<Uj*)Zo3+xicWe4d`0e}(`2B=;Tv1uc)M#yz^} zKGpn&|I>r>EB6(21pnUi;m?!w?7F<yY0svA`*UaA-=aHrRmE?-eEB&r>ATz~E`9Tf z+A>9Q*)sRNE1NG@pVr-0KVMdFd&s@tThCQ*@3r|mL+*UjPENf_n|GF{s_QTQy<Sl{ zd(R=e%3p<N`+t0&^+2<7{_ES7MTIi2>ezPJhRM}C{kB}wUvR8WcHPHMlV_FZU-;|# z{8X|{#0gHhP3vvrd3PSKYUO_R{Q1iJ4<7`7`mlai-22N#Eya5dT>d;Y{KkHVZ}O{; zzkXP^_;1vm3D+$Y&g+HP-|+t{a{h$+{J?t?`wRWvrJds5Cs$P9z3-6rzImD($``-O z{}=hyIR5(lhnzou6rXzgdZD1-$+_KAZeP!q{n@ogVf*^)({B8ny-q%U&A*iTSo=fD zpB_G+s?Q&2cfhc+c{#hr`kZ++A6ei1|9I;84n_X`D{8*6JY|%-v*h<{{i*!(SL|tb z{v>q$iRHY>=l3o7_s8Mu@*OJQ_phlr`nPh&_xd^Vdn`QuO8tIvGyIBt@08^gUUoZO zD!uKFN$#v(`RU`qSJpe!;vdSLuW!7&|MkB~>sRH+=O3@|vHLaalU;m1f1%U8#NSnW z3@7Use_if>?XPZuyIkxt8~dQjj`sf-{_^fyXTv$=d!b9*!)FFhRpTa{-@mY~YR4zZ zbtjthwZ6anz2m=s?Ede%PY(uP%|FTfY0e(y^B;O@e&v4MtbAqugE@D0$5j3>d8(Ip zGW*SkKWjEzfA#ND)9=Tx=d<{qXnwEZ|8d6ks|J_8F1t~=)aLNT)lXQ<;&sJ$9MOIw zyL``4(Rcsd{_pjUTV2yI`}#@cIet$Kzui<nqB!pXi@U|);FyOJ<rQtVcHj1&{@h+& z@kQTuKlin_Keaa4%A0OGe*5=Yx%Ia5?EKcW8`~UE{Z`N%ey9I%Rb%oy{e-*q^XBd6 zP(MFaIL6lf+=ljIn<vQ!j~mK++xh+6@#yg#ySRnV^E=bicJF_$DgXKJ;(qB*_oklG z{-yi#L7MG<+1G#5U;n#%|K-oEpI<$k^ZE7TS@$@;=k&eiEo^gMXa3jj`){Av{HEtm z?(t8#{rvt>)ylnk@el9q|5~9Ib6xH{&+YxoE&JjZzt%4}aJ;8qQ2uhz_sNe~e#hkh zaelftdCPqc|C4j&HMf7hJuw|LX5E?nY0~Rkk2Bxc{rG+_aen>#bML?YjE>@8U+^dS z-Sn><ySKmiDgDpo=cMDt2a-Rr-QK^SOZ`drYS3sl_wD(wZ#|ZL{NQWVzhyfP8^8Hv z_;B(0<CgNyzbm$`lYh)mURJ-xf8Ns9sXLzh`M&<)zsUCwAJ%{Q^Tfi)IQ@V1`<q?Q z`@d?&?G3!Hef{ij`>QnvceT6cU#i)fw@)>GW87oa&u4f2e3O1_f12&lX7lX-eWkze zhx^y9`#J5aq2ITw_l*nxE%==J`JKMW{p7m`Wk0{$^;5KR{#PdV-ygpRT|2A3|H?n+ zYsoQ&?>FcDul|2=y@`EFZO3N*Oi+d8ZkM$8;JbEH`E|47<3LrGe!-!dEqQjUOzabF zkH~)hxa;S^(pmF2-8+79=C1iEcWYejlJ_1F{rqy*&!6kJ{=ajruwdG^^Er3_$z9Jk z*`ILtxaDWd*WKkW{_ek*Z@NEaZ))AAw5LyZ{haf&=_}9r8SAf|^{-$4H++r%hZhz9 z>@M%BeE)sc`pEK+m!5shSXS5CUH-8mYi{}J-#b$F{b~M|f7AZB?B69}cDAm+kM5{l z|7PR-r*`jV^#5D2?{rni-pMcH*Vh#8dh_TY`x8Uk)9N>VFDQEM|EmAZt}o8NjIXJu zXWPlX{5z%NE6aKNB{c;bKE-K$|M=x_(aEnuzbx0C+-(24?%KNcyT(`N|4DsZ({udE zJKj^>{h9X;a{g?3{q5xR8_&YeoX@@cZE^g%*WqTz>rTd({+;txqWnv<+s@*3@6I1T zss3czyr+BZU;Q~d^Lt*%{<L#PS^u*A7SGzx_5As1z2A}V9ya~_lz&J5wPoH*b#u*r zyY+vQ?!>6{zlgLuru+Hg|CRPBwnv(~uYUix&Sr1R@1yU+pLEQ7YA6@8>dw#hUy83~ z{~G>%A~5&-yXaZ{g(Wj%F3rDqzR-2v-^qV}*0zg$-@oqPlDii2j~nj%o&IUhgSwAB zHcxl)Ri=G!3_qj3Mtqz6-=x_3t?TCBS@T*yvcJ&V{>S!D_onW$mp{J_eCC5i;=SFj zf4fS>pI<wEcz(uyF8z9y@9VEuZm(%5es=nQLH)Kq>0c-7r~22e`<oQ=AG{6uLEFz4 zVP9>pIluYm^S9&c!S_$U1<YM;_<HNlsCEBSo*vDA`8ZMax__YE-?@7Z3GTf9vToU% z_m5b27SAr)J-=^{5@<T)&i=mNyJP>}l;2@5GeJG3{ODufjc57)FRwfEi{bnW{p(-5 zOaHe0WPL4q?qzy;#{W<LyY`E)Uq3Bsds^D&-{wzG{C=%DUg2iH!@Kgk`PttV`vTwn z^?ZKulij?#OYZEB{yXh&?4Bj}6W*tt4Y$aCxq1FmyEvoxZ|}A~{60VCT5-%}|91;* z_W1rjx?@+U+~v(RP1(;D`(Ba1Df6!U)%l2W^UMG3->F@w?2TAoP_X>j@^<a><`@64 zRF`XhH@o^(=(hOsC+E^^;?`ZCxc)?U=}#f+^6dW=#a|zvE&a>Ue?mNFd&+;+Z9gB{ zUY)<$?ttOnqS*aW*Yjtbk6iy@QeBz;+xR=t-={yCsJ#7)&ilWS&-HIORX$n~f4j8M z$9~85Putd4%KLAh82fGWox>luo!YB=r97g%(CvQu-GBcweeF_eTX+A?+MjT@rb_;; zeUk0Li+!{Bub=JTe&x@MuY&7l^j|w`9i3TssOoslhRNUhBe(C5k1()Lt?is0YdU|; z?EdZ7{uy6Wmaz)}W#ETqvp`N%{d{xR&$gO_Rjq#;zn#B+R{z(n`jfw8($7qP{p@hA zY5WGcgzt{G_D7lDzwh^1`&zX{;=V}p_(|8-Jl~PLFM03&{p-wc$Nk>E#{XlM{?l{u zCI52o-FN(Kd(GD7Ry3$AnzrYBRqymO)vupz{+;!o@%73|`M2_KSJc#Oka={~L+;|{ znjY<E&2z8FZ+e&fT;Z#1_|G>6JC)zF7P*}NBw^jIo&Tb}EcVUi^Y51bot}JldBu;C zO26};SikiL&3kj^??$=D9d!luPrq(9egF96X3)W&s(nsR?=fFFA5mWDwg2E>@yvaP zK8v3)wLKj@$0+{YqQBv5p6^&P|IMnJk~QDD3qKm&-0ArIVv+dtGw%E$`;-4)@VPIo zF<)-^-<Ui5qU1Ksf2w@P@V$-4-^R6<zsD`E^W0Z4dCu)W(oZMMdn)+t-Wr?g4d3`9 z{0lwot2#biy?v9v|GwJ!7m;?yA6idg=YRTf^Sj3_`#u{y-CLf$PkH`a@R>)2hiaVd zQ}-U)`Bi@1-}dF1`$hDHL0h7wo}W?Qy)u4-+~c;o2b%NeEc{y&Qy=i|MdZ6bq0d() z-m7l;#apab_WYH3#k^CZ@9IP3Hq3wO{AS+TJvB?dz27|VaYNlF4Y~U}XZ3%4F}cWL zy#jyXh5)&@>-L?m>PY@|Z}HUa;#v2OUgicbHn#ZJQ<TpCReeqQ7vo>5KOb<<I{)!e z5_oyJ@w3ggTk8*1b!q>)81VB)&|C3GSHqt)fsR8olz+4I-=tD`>**`rzgb)J&3C8r z`&#F`7i*v1_$g-j_td)X+Fv*AV*Yc1Vk&m${TDWRC)scOpZDpB;j8Zv{e>>}6>C2I za-a46<CFFo^PV#H)vdX6JG{2PRDAgt={x^tKGU{|KPg-_&A;$e&CdDX<TuZIJgM%H zwtVdJzezDM+Uj3){0mRkY}x<I@U`N*7uEg2c5AoJ@4kKiw0G4s@g2$cEFJ!~uD$&I z--5c#pYcy+`12-cS8;!0tM2{u=HspXDYi!y|GwZn75@K1O~>*2>DA`1{zTk6{?L9Z zyMM_3)OvaKFLRlve*d@nXJ1WOH@Ld-o00!w_nL5v<@0;D{QuzhcKV~I|G~A5MY^4( z$6vwMhIud8*|q2AZ2R5z{&sClzS42fi3f-Glz03Re63ez9=iYF=ietp-{mXnzlhwo zrFL6LoZPCv&(}P+STNtlvvT9yXUR6Vrh(2plF3<bQ?==r<!i;hm(~7(_wM<B)|<Br zbkf<q`z7-p&7Er9_{+3d_HR#}r(MN_XT^Ie6s{-RpSGQ2IA3<zU)gKHF&F#!!tK6o ziSG#KpYHxeNB(iw&j%}C@kf5&xOw)kbAqSRt53;R=JSIN59*n_@Kak|#`U9if8S)@ zs^7W(S+Gsb8k^<mKbe02*7Wat@(&VQyFUHm1jW`z&?a3WcmI%gy;osbOC6N8oS)2^ z_f*$!rhfmWf7;iIV=np6TUb-l@~ykDaL1cFOFn1p?Dl@Pc=6TuH|$L=f1kIqrfSQ# z;=<}FHYxU}-9a(t2F_aZ7J{<Yx;eN16oU>95d1c8xlQ#nSk~J1>1y{){(kjOJ@cLx z%j|Qh6!`Qb@_V7v{mQ;i_q0v#Pdp!|2s%v+k+bHn{@Wf5imhzW5gyrdjOW|<|84pz zVg9B0x%T`$uD^fosM~z!;^sR)?dL9Ut9;M;%KXgE8@6$O+0Nfr4d3zK54?_lV|CM~ zPp!B1pRej;{&ljuqUQb8njZJ}6Pc@6D?6GePjwgmT6@h|=JNNrHG69JKZ~`goo}-= z{U^_H`G9wOT>f&thQyXd@Mp`o8V|phk@Ft*{Cu<YmVKh_QPICIQcoR!p0QtG{kdt} zpwp&~)_f@XI(^OW8$W0KI=Asu`us`OpYBx_Ip^yhKeznk%W!Zx7<cfi{pz10{Kh-~ zm(M+}`jg@J{NQ;mu*|jeQ`O2!dH;PKFC*n1yzoA?H+<#$jqe^!scZhd^O?5I|5Y{% z(|?LAKQn#(wSURr*os?RQ?e6O5b9bkOt0+nezy4WmHtTnLihXCYd^jG4$58cPkKXg zSLNJa+|kS5$F8i){25x*$8J9nlDopS&o6`JuCJ%U_k(hm{q*Yp7k+Ns(|<T#i~rt& zy3_yc{9ah+hur(U_L=pko9k2i|LS}P#n=7Ry@xFSzVW?P|3e)VVe(HwjX8^SyUNYK zl&>Y<xxn8SZufKcx6g%lPZ-*s7QSQfey{7_j#Ba6=Bw^M___ZH*Z1g3$Mc_-zAfJT z$>`d@;%mh+7yR$7+VjFh{_(}N;Jxn;%${DnedT;4f1%I)>fN8d<(=JKQ8gX3MgP11 z+~qsIzu>*^Z}~o8f7-gm^DpY3WdDAtrcZn}qzTva^Ud5__aPA$d+K;}_I`o&+or&> z7t7c7HNS7%^r}r?R#O(gQ~CW{1@|vH{TnwghG#FAxBF`vo(0?N*$>HHyEXj%9znC$ z_D{bQLD}mdBzy6PzT4~mw+B)h)=zn+ZByS8b9wWgqne+eR&V{^^;Ks2m&uc-_J6xp zXQ<b<>GCU^sCSPh{d^(v)%qL%*)MxtewNg~eg3G-zVbxfwElwQdx}^6Vvb(^{^zUd zPr4`0x&5cH$m9Gcj%s$z^M05AF}~L1d+Gi@cwZIQ?}r~JKb5pS{oI0Q3j6!#&}xhE z>E7j_YRlFad<Ig>&$hZ#RjtLZ=db(Qe*RLOW}IDc9Qfp`&nMZh>PPe!I@{0Cp1;Qd zl)2`CGuQNU!H4&hw}I=e`RnVNpX*Q7zEi)_Cc*x6`;FS2pFTOmGFN+L&H8IKJ?`r# zK{D5Mjs9~X_tR~U7}mbmdHPn`<o%<Uub*n#o=&%@TU_*9`IUdf`xoh7ygwbhZ8HC{ ztIqN-I_C?H)qD$lJAcExM_qMOwB+Zn`0KRC%25w=6u9W;gEwF4M}FUE9DM)hj8BnD z<xlnoKWV!c)i*a7mbK13{3U;-rmKDV>A$l}<)%Mjesg}Rx_tQl<5fM%zYeNTxh{Wk zPrrJ4V%0Qui(e~>-cNp2y=J$?()$noshh?>{CWH-r|s$G7ODGe!hiQio-g#YkJONl zUGO(4rY6eoMdUnCMA>{zzUF-A;&;2HHB}qG6&L=ttn@tpiK+aI_585<#MfW;c3;ug z{ogg`@xkd&yY4mZIe1U?)aK7y>rYm7IsdxIdMZ5rdQHdi=_mKrzXsP_=2O_kSKZ&V zzhC&*x%4UD_kn7zuoH5>Bi}u4`PsJbbX9BdugGP8+wHH^X~z9?0JnFGpG`i$%09{V z81LT)#i!J@-#&l*E6Z|OdS#RJv%TF{`XkCWR`>qmofoeizT>|(Bx?n0>g&U@mfiY2 zKlVOLw)rIsIswVzNAsr_+^?RmX}4JRzBaJ(xA@uJ6~EGVE={j|FE)4i54NZ0g8t6h z*;nVbUq${;>}SikJ4e3WIA6q{ekMKs(m%e}n(JP;$A{VNbN$^~QM%b?QTk7b@H6c3 zf%PYL_0O$e{XS+<%{Tj<%J1*$fiqTdJ3M0rz1@E&h5v7?t<9cxNY<LI<-hL~q*3_6 z^y$~VrtcqjDnAFERKKOQNI%>@`R-B8zii*PU#)3<ejC;%j9Uh66V}B3JaM(oP_Jyt z-2X42IZOIg`5XCJFRSl|*wrrhR$REd8&+}soCeNXZ&!gTt{ro3{{f$PBlxW>cwTMv zZ}v6IEtbf~uB-W)@$K;AyO6wf$GI}#1Ek{m+4t#QGN|JEHqp3h+H;G<eGBJrihFqH z*Zr&i4j<3nFQPB6zWxR1w4R?&xW00O%fWriYl=F)eJ-q>0M1+M!Fg*bIB)TX?LYYW z@Kdhu{FB+=r2iDy?H?Lf7ytWv<oQAe`=5;c?={XIuP~X$46e7*HTu1v^;X@AJ-nvx zAHCcR%~^d#&)pF@%jL6W+@1hfwRId;ZK<C+zWzd;V%**sSk?*!XRYEjzs_AfmA-ze z^{0D<kZSAo323#o?%}WeYc*Z%`ICNce+6z7+Q(IH3WDY>|EbsCU)a;Ho`3v{4u8QH zzo+-kU-=Ggz<<x!cj)uvC(yk0XD75#_=)@J;_sRJCB&DX;<P;-9AhN^H|Xz-uL9*? z_Qq?@uk-l5bH`j*t8ix+xK(&AVE>jsIhMzpL)N{}Jt6(3)~7No^Z%r;BJE!mzn-#Q zY!?5=A2B<xzXa#3%2UnptD!k7f68~Gv*k}VbE8*U+i%tXa0OLb&ta9;&$-Y_t8RVG zr%+JV5`twd`xWvV-z9wy`YLVne_G7Lf96kFZBI|%c7FTR>07_ehcw^apC0`Vs<uQS z)t1-Oi(jEx>#t|!?_^NcQU+%&v$@NEsGpi^zdGLd`o;4vrax((pKW)%dw!8EsP8EG z`DXl<{fEIVc-~XT_g|}1j0^qLG4E+|jG_FW(7%eW?aI~#-_M+K{+~wqlf9txgyfQ+ zS3TwZdjZ^n@4Z!jGsEua;r*wItETC1s9*f)31}F@u<r%Cx#s%+peDvYMR2~lqV@fs zKdi>uANpBz-hcOXFC*_gn)370`&;!#tNOfuowT3Q|L#(qq29X*b8nZ*>6RCstoh;( zt+K*?mVCDTy8rdzx63|F_^TuTu&?rY{44&Px%;-y{H6Lc$=h=K&)+8$ZBHw|$z5u* zI})0+{zm`Is{VHP@!KchTI-HuWdV2p{8CU0{=dfiyYZi8f4->$ABUuV#{5h0HSIak z|M%aj|B(QSEeBYwwf2?xn&Ueb%9or{@4rxIx2}A$b=5TM|CcMXW_-^J-k*5x=(^=~ z&Ci{89{Owhl<{|@-H~^3r%vDAT0j4*4EvYK|3CitcDbg<{r4%xy-hYp8rR$Ga;}~K zRp9uSz1CB=`(N49z1)Ao@-I5`AO3K7dM*}`u_l|&e|&Udk=OZ8Jn3iB%d`Ktd=&}* zvUuL+hxgz9$h@V0WcBh>thT4acO=>EX#eGXt@e$~!hM@NKixEb+P9~C%bg3+r#BY! z!1`OuV*Xdo^8ff@XVHH?NR#k<_`IBDb^Tu@+`lxRoqAm#RB!1Y1Lv)vr}u2H!tz!) zs0Hsa@51JquHa{<t26%_zP8kRsowYL;_I#PJ>Az&LbKN0XlT~bo#LPT_W7f46|idS zdmy;l+P)$_s;+6y<Ab}OK&maD%56)(=|_S(#<#qFzDhaUUhyYxXZiAT{ZDIU<}I?h z5%qW4-`Y7B%C`mHvyKJD*56IX>!$q%6@>3M#XX!;ckTMW!<)CncTJa{cKnOZ{0DP> zrbK^r2S-=V@|sVDpuCk_<a7QLi}{)A+pEEOO9qs;w!}RAxBLktZ~Z)VT6W9-IpEm( zt)*|b{HH{}o%j5CPeu9kg5=(=s5@5$>Kgl<x~-pi@95>FpeAAY^mD;~QlIv%t~(p! zZofEw62IQ%nm+OP$5n6AceWcp+x$DTz8xH2bEo!mgF46Cp9<QZJ`Rek{lA>A)xL>Y zx9>B<)6McHWGmnQh74pZh1FU);&1owiTbQ57pHpuW#qmC|4dI!j@@a0Em`IwzubyF z|CT<hwfWf!+9rSG;`%Ao%wLVK#m>2uU+}+t*7^^B%t1T3#g?C$&JXKt$*rmRlKxiw z@uRAnJELI(8G-x30~ysTKE3q4b^hqvUU=3@1ZS=9=3l)(9X(r=_xb-M=c;M$H-2yb z^yc9$`vg!2Im7(v>f1N?`>iY8&VRDx%MX{Uu&KKSiYvK=d&>JCwyoc6_37r_JMy-t zi{}{Jzu+Iaz98?fd)EJji~k1i*|U7lqV&q%;%AfHp+gxPc7Hl)cI*7{uVFTFRnx5Z z)|%U#YpZ;J5!~at8}*m%eEAgZJNwr|8ijW~D|fa-M>965_veeBJznvJ!E!<Pgm#M? zp_L}kHetU0)3?r`n#&n7no&2SC_NuOnvqa`_Ibre57=l%?H1^0M)H*Y?-%P7<KC)@ zgN{w?`uSiZxaQit{nxpDr_%c;TYtLu4^ne6KKY`(TkqS;^xuVln@#3Fdg$@)zVq`! zPrC#E4xSQ@|10+zR2u%)UeA2?cf}_WpYxv>+}kz3%Prq`d{=kyFWz_kpf=&ePc8GF z2G22yms{}nIC$X6Chq5po453j9W?}<F()$pjJtn;eVXkN+1mG=r<Utqsng4wudn|y zGVVcN<#UIx;@kZ0S2zAz`c!{<u}#Iyn2XU9%x_qSRhnJ;rwVSqvunohb^EL+w?FD# z?xN4hmGbS!HTn6%praYiQ@-C@^0Tkz8{5rf`_r|c=qis~U*KnN9rBz1{<^<GdumqO zEKC2%^8Adte^C9AsvhZI2PaSU_q$YQw=Nx)yZi&~lkOgU*ZIo4qHa~p!#X9%P=;S{ z9NVq>bKt&l;;HVPpf;fbxJ_u`Tv@UMHj)u~uP)@X-n=@OyqA&pZihVA^qXq!z5-rv z6@$t`^&Ox#E2wjv3F~WlJblc)HNGSK`Dx{<Y5j#qYd(~K`&v64YSY)$e5>54{61C( z+$8+W3a_{VZ`D_JJ`1+_(*<b|PS^6EcM#GbEZFktm-MXfAD_I2^tJAXyt564*IZ7Y zMd#JSYc7em`;%;stNwiwdCJ`$RC9UVyy^4&<Ns>C@EL6fHG1^+1Rc<k=vpMPLS|jj zrlP)2a_>J0Or8pd#UieT2F@!KQaC4=FbWCn`>cH4_WPY>p1!@?9}50{IPF*0@5aO` z^9k?oyqw4DpLdk^o#g!%?Qd&yzxK_%ynE*_!F}-^#+&Q)`Cjz!Cw_OdyL+*AekBw8 zmxt?W{vEO1@m1s9CCU5E%^UaZKmObJ`-2(xZcSgmH)H>y^7%(K=YEuw*&KgK{S3E- z@!!UG=J!hU8`;-Cnse@l;hc@~@~h;H`X5ZXmw$S@ed+n;<L@7JovX+WyB~aVU-goG zDd*eX@_$vm^IJ^3;IH?ag7ZJva{iy_?<?JZ&ZfEc*N>L(v+w=+t>5|J*_0d0r5`ch zm_L!<|7!IaW{XAT=bxx^-`f80+@2lI={4egVo%o=f6aX+TI*$h$lCkX`3H5eb1q2E zKcxBlW$U}+XM}ew;CKFct?M0Y!9E?iOOo>sv;O|K^cQ0>|F)d3*QVB77YzS#Yt@b= zzrSzT+O}N(OmSkr-QH(ErEmE^IJRqt-SYQ^4dn^vr}pPxxjw_az~evn(c}6pK1k<4 zRNk@u`se@0jaM^_6_@3xzt%AEn#plo$T{&%vi$EG$EOKCRY}z{<Zw=L@tHP9AUQWh z`gdT8Qjb&ee2-)QcK@|If4nB&{Q2+mrMvgbufJY>t~B;}@z<A+o<Fz0Z}RW||F7k{ zKKJ#@^}XvTw|Y{)tN$_cmAT>>_aELl#`>Fi8{fs6_RqUd2v!&S7aXkl?Q~bZAnx(B zzdC{O_nf~k-LZ4`oQ>w+nYN!fu79cSRAq<yx4Gi0)xTe^HIsX<y#D6w>Spz4XQyZE zpL70Z`McGB%ig)4FpabEcy~klC39I{$ojZdzoXA_%WRV0?^FA^;B31^#f+RcYu>-V zqbp<Qbl2YNxAOODi{$$?J9mAwIP3o<RKxm?c{zXj8U8o7=albA+wWDn|F_89@CRQf zKW*5%(|t#pU3KfX>2sD>B;2=;vh6CJ-~K!OlxB7D`40#7eD->Ke9r9~b<@7F&)FaF zZo^lmohkY6UjLluvbT2XyYrUoH=eI6>!~W9@+^2yjpXk)XZIfdclzq%<gM|=a*w9e zKEL|vvEi)kg`c!4Q}W-nt^6KbwPx4OOLgb(9H~26=N&(1!B45_$3NS=o4vbP``PNp zCHqfSHd=pse0>G``s=ld_vYy6-<)0DVEydx<E`(D-X(q(c{_W3X#8H+c`uI5emZ0C z&gD03d}|Zz=gjZ6{kB@T<o~a^v-CIo=Ce%Cf2aD*FZ{gUldpyI9?huzU;65AwdwnZ zE2d<Bdv@>951&%?%XLS0_Hut?&zZaSC*O1VDb?Se**$9d`Ay~S{)c;x>HfZX^400B z@4O3(`*PyK-yh$3dq=9Bo$GJm@9mp!mdCB1R}=O9W`&J^+0OiT-ZqB$^H%*mT61pS zXU(_UzkKR0vOQn#$aiygb<f<-S67?AsGI+psr}9I{E+)KQ-2qH5Uo6V?@qByo$uZA zKmS;MFF3aEr&{S_>sj+(tj<3vT3x)p@YtUNbq6ckUswJ=yX*en#&_BuemPYhzIUfO z#(4f-*WbL)HRW!6_Ydx`TlCxJPP~%;o3nTCxV~TBSowVIE%_OnH~vk2%WtvY=iP>{ z+?5Awi_16G?yUN4b~nE;E_wdnH*ag|_Ft^&n%?|o^YshPZ~lkb>TP*n2#zt?SC3az zN~_mT68`pV-=UXNUp=$lDqm=~F@EFyOX8ECWyi$nioZF#_mJr4t1oxiKi+dd_V>r# zSDOF7+9#0zPuKj-+1h67XMfAH>(B0NKl?x9zKwJ3*2|67|K{0T?0tQLx%&V0jXRC! z{>`h?`*vgfy~Cf&uh%r*y_=F9zE}SG7uIjz0?vEAm7cTQBJF<t`l@>8v(*;67}N6K z+4X%7DnEB+-(g6c-3|FHd+z&0<!{gCJ!ty*t#+6F18|)EzB+yTrCQCrZ&QuGJ&SuV z?dK1jx69|WS0vs4*-*7<{=3Z|Uz`A?fCrtGpPO&#KQI0^_jdOFxxeQ=mC}#dnY?}p z{|(E4y{k&<PggcNe|x<7s`<QU&N6pG&B`wSPJbuH#~=FLe#zg7zisDi%&&{M8<ADF zX#G1)v<&vE<6XJ@g5O+w>|*$C%&u<9ezv(ktNvtV7yGxr#a9-$zp&%~?hemkhL9Y# z;T!uLd#`sJzIs4&nEUGO*>~p`gX1ga>od+-{vSVme6!j7JKt~rkbe7he`kFbSpLR( zet`V^WtGzE{!`t*J<EHu?tAdBlG4wUZ@r&6`^Nek`7gVlXYD)obaSQL_p^5oihjOY zx$FKDaHK82(k%XZpFqA)<;i<@nC}?AuaEkz_gu~_eo5`-u=u@B^Io`V9e;Dy_UN;V zub6*7{1fwLV|=aK_x0hR9F_&iVST~p?M}abY;)A`_rK>?eqYbLclcsw(b;=<qGi%w zoqN7DzA*1`)8D@0=O$;rf8l*DZL=q?_QovzeFt7YwmJN8<*fPJo;B-d)jg@{jDB|3 z_RGIH@%@$ip7EYjf40~BMcwSr|6+>{?>YKYYI=TX`L<`5-M;Hv^l$uXcD6eD^SkLL z?~C>w(0=xJ?a#)2Pxc&E{;c@?Xk=AfW#c=3i}QsQZB=_r<?A9p3qH5Yo9Vy(+1m9P zb`S3yxK~|Lzs>airSLh|cO>n5U}NF_d-eKP|K@yViIxvpzwO!H^_lyg+&QTI?Dg88 z6Zbvab6olJ+iNvnOYhz<h<mW&((9KN=JvYoYoD2aw%ze}#;*;g`Ss49|JL;F-TG|# z&pE%%zfAnh7Jg>-^~=BO>uhhDm+n7U+4Z)#bpP4P;(dGmOnat#E_TnVt8>@>?A-UD z=793&d)NN_y1ncE(>+HnrkCvBZz^B!{@MGS^PWVzCpNiT_y1V;jQO1In_5rXd$ZoZ z5PYt?ZifH%XP@7{{MYhXbesN#zc;V#*?;j*S22J38Sy#0?_b~dvG(lqIsIq)?+2{k z_AL9E_c?8u+ThP$uhkSSd>3CV_mKDV+iQD@Cq9!tm%HcBw0G|<<n<<2|7!cpyG}1e ze%rHd`4|7@edf6>o>_Nm7JuD}pA$c;o||E=yZ8U|>&bCzDw{ud{_L!r{rQuT?fS%f zk7{}s&tG93{rP2o$^WL$68ST}Z+mvv@3Y=>?mc@#zW<K?eE5##`wz2zPWb$x{Fc7{ z#lMWtEw|OLl*>QcZhx)j$j*M_XP4JjzE+>*Z(;u7NDVY*EdEW6^FO$=bn2d6A>W_R zQLp%1{WUB5-tU#qywAz*`O^%GocU*KcALik_4#f-N4(;r``!D6_x^eJ)vf!<`<(II zzg2cQXK(L)R(;Ob#?U_ZZ2k-7=e&LEul;>Cuj>E#_3FP{-<ezZ7arep_U9`r_P0O& z9{Xf;HhRwPil6d#-{+k5|HAs5InMZf?%DPF*Z=B0SB?7<4)V}PebfC<Y>rrdR(yU> zuIg`he5T!lJBKd&z4~~q#=YMnzi`jyXP4Lh{BwPm{ll78>t~zSR{rNYyZ%}6o7ube zKd9L=F^6Z?`RB#Yu3ryc|Ew4iLwh!u#OI$?-+%FM_BrJ_#`p8iTJOJFb9iTOuWf1l z@yb%}oy+qr?mxH@28y8jFF_Ghe#Srg^TGF~_C@=S{JXd7{+@mJ{(pXL_<sR7hGtdH z{(RzM9XRvoe*<S8`&a*1pBtW=35p>5%zIC38hfK()zxR$Ezq}oUvQ}A#Ln9Ex6>Dc zGf#Q-*NSYrJwc$%^X>cPnq8*(e<MG0K4)7u^Zd4F(Z9MrOIiPZ{jcfs*@d58>F=_C zZZorXLDg2%_pwWVPAX=XX3yMrZ<hSa>~qy~4DRQh4X?lYm-jjAyP5AT&c9py+%-JA z?r>$p-`%VEqd$MUZ*spl@6oKEnb~#D@|O7<if6nt&tLHU|DUQ&p5LYCEZ>oE?^#V{ z%eU$E*Y_OV+1>klrFHaY;o5^g8-$<jUHkK^eaZgQm7Ud~a^T1OS@A`3j~*24oj2k0 zOTkZ%;%D(&Y%i!?QnknQ{zc_;(Qj-bKEJ$H^DqDI{DOUlSU=yqw&%b6+4UCRH~bX1 z8(*-mt|{kF_-D)K%AZdzzOs7l&sjewedhXp=6dw!&ceI0|L1`6&x4D*_TQaVzHZ^q zw&H5D&$7=y8gIFO*?dm^hR-Tr6SMd2SoZF{<@thxf3}#)$9R8Me(s%P7x{g*`4v!s z@NBDTeu16ge53s0eHD%0q@U@YyS}4-*{;o|^W)q>rCZ?#9dPO9H>>=4@g0Nr=b!JH z2P)oXe^0(Ef9|>a$*1Rb**~y3ew6#_<F!98TmfaFvo4=sUfWX|dscmpv_-1j?OFLR zwa;bS7{nLM+aGm4`t73M#pi@&mb|)pZO``Apd56^AU^+W{}<Eewq@}Pf8SpFGs_%Y zyiNMqS8RW|Zp-dx=5yF@*!b1nn6>@;g_`p_JA<DsU;C4{_Q{@u%%7jdfwRx<dEo5x zLu#+w?Bd71pDtYjMbGTXS72q^yOXoNZ+mvV`c>WZ&qCAB{Eq(o@hdp%T+`fT|71^H z`<@+*pu%m=zDQW%CI>Fuq+fvwH@^Fees5k|S+5U@pMujh2P=z~ee0FZw0mBYa?dt) z@2y$uXX;z7PcsJP9P?|SoRhQH`}_9j&wXouwiQdSpYh(J+-}X^dHWvLG`D|W$shgs z=)GP0pW7UM_}kPz_bmJG3~0q8{qnE<e!0(Tv&=2#&-i}s(qFUZf7PF~Rd#<i)w5sp zF6ZoW^Q(Wk&n4&7hJ3zvZBMoF+1+#WKXg?>^UjA8H76=RR@$!LvlE<oD&~P|o_kK9 z%mb-;TAvA@<9$=>^*ufMvu^Ft%3kef+~=CtXVq_A|L(k{|Aw!wpweykQc&FNNrGh^ z8zWHG*?tL>b^6X-2h}|LwcWR0`;)eheS3Vc{I+LrZ)fbAe}3cl(zE`bEx&`yw{<hj z^Vfhg&+S)r&7VbXuYLDDe|cPO{I?C8CyKv0d-v$O)>nOtYrBi3-QV!<cx`h3;Rp9k z=kMKdmWf?-?_S*Z>N(df((P~i-+f~`|IwTDqC@xYgj=NB-HZP%_*^mXM)|t~pVwcg zHCtD&D*mSO3zzwgJ&V5?pHu(l{w=!dkNe&5#}B_39lm$Rydq`auF3D>i{l;~mER&S zzaZ{UDBs4~sWxY-zi{fW3;Q1XwtP;tMZ*0(o2!27pY<>KKYvr|>%SJ?S^55*{e08h z;{AuNzpotb+Q+`uv;Mbt>4BG~sr`F*{;nv^{rX1Xt@WJc6=(O=i~m}8r+xM7Q-ale zwg<D{$gKI>P%QoZ4Zp>neeYfuq<{Q%V|I08^|RZ~CH1E&d)M0D+`qbN+x9t0m#1BS zb9V2+Yj&?TF8@2}vw;51a=y^@;<L7IEa%=iJ6^o@!0FnnXLo1re_E4dpSXW1=)Ah# z$G1-%yI1x9%-utsi{tLbe(%2%|6tPJ9|onr8P2xv*s=Ic!Tme7F-Gt2H`kuC-II8K z&+=XM9q(qpcpLi!)X%Co{O7>GNuT+o*|YcWn*PrJy`p%<uHADsnSbYz{vL9E+O7WQ zmT%;iy}KX1ch~$kiO=^HoxFGF`VHHdyF2^86`#wM*=YaZ9mm;ni<${{Hhr&`b$7q? ze)aF{bJ=q?&%f(`x2EM;@Eltoxtp_hZ|uM4Z~6J-!&~oXau*)nS6pBEzHrz1W2GHC z^WX91%`lfg@cMC0Qhmz)rN{j*)|$%AgY>f8pJ~T`-#tgXLNL?5Zb6mcX~k{xUFUy) zp&4freYbwXH|KM<G8^*c7T((z@LBk7edC<X=HG?bJAWPc*Y!Ei?Dy4)yXvjHKC}A8 ztAPUd=<4aK)A_H}9j)xT{^mD-V0quwJ?*Q*Puf-&%YU3z`F!E6`vrNA=lx}DSAVgO zul)GwXTD$R=IrjRes;Uz{QTe9IeWu?-#mO}^Yzo3X8sdn-_8Cl6uo@aasKQ7lImnD zPVW26@%5MPtnC}Wr&*@tzcY-Rw=B;t=(pW-;oqFUb!#h@Kg*Wc?;N+${JV_$^3~z} zm;Q1-XVtseFTXZ#{>q;M;;Nv&>M_;N|B|=F7rcAO`#bH#^YU$=*kXUmTWz=ZxU|iN z`vvzB7eD%H8}@y9_Ra&BEp7Vu?woCY_4i4OaNFuR-`XqrcFPBUmtP-O8})6&XH7^y zD|)rH|Al==clIiOW4}`y_*s^3zryb~XKjyM{Q2swaOwWzmA%E^Hmk3k9e=r|YxDXO zoYlqg59j>+aq?Eb1%Kh;eZn9AynkUQef|C<?r%0#t<pJmuD>Op3;$OBZTkGA>bJiA z_suM-j@wz^dGuqm`Q3-Sdizw$cjP{mlNLY4T&6$KUFPejme1NLkJGCDo77M7wfXD2 zZNumIo5vpdncx2SOAK@xTS2k%&7+Ucrts_MDeD&&u-`nsy7>vi_4bpBa__X=Z`e8Y z75>t)Jb3kEQP=LtPesk{>!jbPZlCjb#}w|EngG4R>b{tVFKsG&=jxv@<=d}hZt;8C zokzc%Dx2HApYYw@KcV^!|EGq__9vCst(&HP=Wn!G-n#euE9cIB|D$f!joZH43Tl{d z9y@CHiD~=qQ+)GeZXWH^uC~~-de4!YwyE;h+fOsf^-Xcku@CCo@V~<H*vsIjEaB&; z1>aHq#5H^6oW%E6i~1f5KV=eMeo8gZf3mjCT)p)hKilm*_OSg4Tl(`;y6dtlo7+D> z<+~j}z4}dkxLm<6=gK2jmwsy5eLD5ASk3=>_1QOmZ_au6<Nc;y?#E)kKKI|Ao67EA zeL}A+Po>&o&&)kXZmvz0zyA9)qa5E9=bZb#eH-fYEsw3#SKm>!V$P#?7e8^$_Mc?@ z#@6{<;UAlw2ab9_5!&v4T6A9D<m)#qJ=Yb^IL&+Rp5FEycFy-6Jk;5F_?!Dvx!?1r zu+ORUlPfH3w0ZbWdeg=SzyH_XJQAlKZSiks&f`bdKQV2upCD@!tGfHf&*^U-eu(Op zeLr9IT-n6hnD?6O6&s!k+~&4C^zZExiRI;!gkxlNmhZ6kiA(%kSJbvw`H9SN{^{H? zHeq^&(kDgd%~M^!@w3y;V-Ew1nl~pu5xc&As(FQl>$?qq#daS5XZ@6M`TMEvF`=JY zcK4q=wy);Dz3%KAzZc~^{IPmdZ+HG_?mKZR))l`tzDas+bo21T{3ojC+-@HKdjAOn z|Nn{3Iq$cu|M>sE{-J;Gih3?fpW<AXr?h;>?xlMUJp;ML`m|-A?al*7_dXHY{(ZW( zjcr6+^7Fu=-oxrAc+bsK+rFc&Z_mNQ-B0*#ub)u;X8jY!<?AQA$Lw{SSMWXT<_^{S z|I1ISeY>Y0ZSikI&f`aqKQV2eKT+1kbW``-ux|xFY%4oAcc;o<x1Y*v^CyUJ<KJq_ zL;vERNG$(9K{zJ%@igmu`kL>2DqDTM&uu7Awmkmv>{BN3?GwH4+;d#_p=83IW9RNZ zm1_S!QF@MTKwhEj327S}w|5)<rtCca&-f|h^7GT(WA=v3EBNkpbBE~t|Bq9JtJP17 z_1#ywy+h*(Yu<fj>xy3uZ<3zN+&uiS@{>^d{OPqZ^^trZKKNQ5`PN$0^4I%`#PYp! z9=zhRJbG9Dsi@idX~u8<MxQIJc^mz<;=jm_8=-oI)wMehUB358%KG;yQ$E?92X8VK zb-#9g!YlVqvHXTz%)5>COKcu|5&YD#`uIuVck`5_w?F&+Kj-F=IHhQdI#61PsBF9~ zoWkzEetPViIcn7wHnDM!-uT=+{`F>2L;F^lq}|h$-^|xPZt-{4oCmM0i@J8ppU}>U z(@MXwJLX*BpXi+jj!rG=yzRN}!<YEV-nn!0_dfm`{J4OB=fRtCMcuDwpOUt@<MnRC z-u-uy|2tG3c)9RX$Lggv58p9vDwN*$pMR?F8{4RD1%F&_9y@yZDQn(*ZR?6EP+G~j zdH7-BC!zG2Zyx{ZEox}reo}GU`3cHz=BpmJ_}ek(!7JgSuHENPY3IZ#q*rWyom*bB zzbNO9gWiVS{V|U+;&&d}sl2|hxFP28M}eIOyQ@#>^6^bKuP9w|=iyi7CvxBWC$s0+ zhRS{Xbn{coWEZo%)$i+n7Tr8DJ2{nGTK$w+ng3LA3oGBUg1TLA9zFB9dHC_oPeS_V zrq;?_DQXCRep)fE-nXydzpUl4Yy3}H^!29(&xz4nU+^>9^6+f!r!vy@)4AVBZNL5T zpGM)9%`s<x`<Iq|F@4u}-@pFf#>%cc3GdGqbx*$hlvnTk6ze^f(eE}EuCqzlKFwXG z-g92T#Z$-Le)*ffUwwAPu83_LZl5;mTQ@=6;{W0|51y^x)P21Eq+D6qt@`8FlRFRJ zO_fhKpTcY-qt||;Zi7tX|A|FCmye(3TsKc^`Ht#sdk#J8e!^3|a?ax)SBo0Eg`Wy- zUw%q-p5Nr_H!7#cJpNEy)V!Jhr0%-&Q_U+@JmtIHdnft->rV}r-=9)mSEu2>V|R#| zOx?f#L1r7jTi-nNaek__c>Q$o9j5O#JpTRiL1y~RqvyV+@VA>!nr^Wh6jRrV8ruIp z6}ZiQQt;b*wc{3hx6gTSYI^V;+mN`#&xS>9d(}@`_RUum-%&9y=FvCRqSob$pPH7% zDPOm+@ysijc3OAceZBk-1#Nc{e=`^L|Ls4;>}RjpzvI^&n}_e1Ztk$X|3Ckf+P8iB z(H8Ggq{Z#0i|_cm_05Bq{F}Px?ms2R_g_`NuxzdMyNdrmcHDF2`><iTOj32e<)MF0 zeT7xlmItrSeIioMep)-{p7Xg4<@T1xKMEE#cDJ8a&6C#-ujqPWT9&l)*hB88Z0YVN zb=UPzG_R;wdnfVt*P{Nv+fOk2-Pi2jQMKOY;krq^e}DX2pDJ8Ef10jLec-mjkD--~ zxARZ)&C{C{`{s|!w}J{#!JnTZe|@pcgNOM=9dG}i68u)a>vG?B|DMZtpR$CTPYJ$r z*Cj6Tb68Q^-oH;|j+am4j;Sc>yj^`#blyAt^&3A6>^$~x@e{Um{|V7C_P+NXJhUuo ze=C04_FKFnzeVgx<#loD{yVChbKac){y%q9=iYKq*`j}Y$Bzwr4!k{{%I-gZV(gn5 z&u;}cPI=F%_5W5_yHV!B!{tRCZ{tr2eyay%>FzlXUdb1A?ar3j@c8ehL(fW|@>EZo z^Z3WHqQ>sor&aUvmBTA`HSalgF7}C3d--(fId|Rj3jaD(Hn%&x+aP<&_S<|Nev8^= zHjiHY{M530`l(~GKmP6aGTT_bC+Fdhl$*zn&VIt0$9?nQ<?0l1Yxya*GV_%63(Mxc zdHm~hQA4}_X~k{(Ro!pw59lkb>YVdn)%4&yv5M<A{8YC*d=FGH*Pmw9Tle-|#s4Wg z?uM@WP*PjjJGb_URQvB!yys+g9{tMriH*PggzUEWn%{5O$H)~_EwOp@O7K(5?&Bwq z$^QIjA8NMod(O>6g-W+~RP5Yy;O)ju&C7*T#6f5K^7&7mZebn!t*~~P%!7wHmPfwr zENb~1)mK=xZ_a~P*+pHu-=EOV`4@0*Lpdn(6zx24H2<m4_V81p^VBMPWu5>3o@B~b z{;YnzP<r(V-F4q5nOE#sc_;DrwW9vNf1ffgXFsL9j&G{^9o^K&el?)F`JQts_woK| zxiL0@aSy(|+|<1M`6<1!IHhU}o2a-)Z!B&eFI8i&s8}qM^!sg5%U|Os63f$1a;~#e zTE63V%br8eo<HHK7C&Lxw_j0w$Bh%JdG#vc6~AWgId*RSQ>pg)3DR?7Rk!c>w|vjR z!=_*NPrsO0Exz;kzj>b;f}`aMOSvl#-OWvX%&_l&`6S;rvO3Wgc0St*3*##rZ?m7| zn<uBX`$pxOHxCUaO}D6?_U7>~)}n^?=_eJp^-olOvp=k_u&Qg$gQxso|Nm1sdUEL} zuIbZHOWykvc5dUx^qmLZ-G3_N-uCZzqUgEuY1en$bYAyy$Mic7j-GuY#=d=;dBxWK zcOHIiEb9O5{FE{J`!x4GU!HQR^H1dszyJT!#Z8^&{!?^wY@D}k{B2X&xOn;rzH|N4 zV(-YPS67^Qq8j&PQ-AaJ(~NO*727Lnr^!70r(D#t*8db|T%Dg^VNv6rLr=}0@Z_5n zovxpsf3`k<-LZSEuUJ3-b^mtlKKq9%-SCR9o|V1LQR_ZF`drj}{PR;W^Z04z7XNqM zdH5o_sQ>Q!lgws%lic_0jF?yOGx_F@&G-0Eo=*|h*PpDL^EYZ+;eYAM#^%Leeh0AY z_f3nnkyEbTVd)q5=nu=y;}<=P8tw)^6_C!Kq`b${x34hr1gGCU)#VkDr?hkS#-A(r z?`V1aHUDYWxLO~*!VjF^=CAs1mvQd8<x$S}^4~LGiJHyp+nK)P`<J$T^WxdJ=|B4W zhv)73dOdZE1^V+>#%+H8s3*_Xd+$NrdCz_)mwsp8b-#L>%wxgnJF583|6cfP@$*y4 z+vclVi?7ZvXxaRHwRpu(rk%S#9JBtnXKRhzRsWRFt*>~W3x9s>`s(hrn!|tEKAV=+ zE%<42vG&^eClcGmPrvK_w_Sh3N9B3#wsZDJd={0f$op^e_j{wejD7sP4_}xpYdXJG zpR3)oW7)2+L8Xr!{#u$9c;s*NTwmxHzt`vY$@0?prs!SeQ+6HQ*W8<(S$D*2?{T@k zRXe{mZ#Ip8&?UF@Rq9f?2lMjwJKg;;VOQtd?9<YFmYG{DNO%AI%YM;Mk@PQ5wXZJb zU%h+BLVt^&D}FVo|N0erD}TrSBkxL0<mDIdvvlVx^of^Qy>CJEjwR(cVzc)jm9?$k z`Yl{OI6g63{bu~i?{BJaESEmM^7-?ux+UvhnAZL*{K|Fx%M;UE`t}Ru9!`8GvtF(y z=<cy|=ZgA!KR@B^dvP>-tNo`xJmOy-uzY2GzhCuT`Ni6Eov--r9{aY=Mt=7fUcMJc zUvGJT(b(o<vrnz1z5MRoFY7+5l+L%im|W$|{~|l)(&fop_uIvOKe}V_?&T|vzYmO0 z$S$uup!mJ~#`5Xwuh+Sp|JWrrZ`HdwYkt?nTwZJb;nxc`xtF@%{4Un@&b57VReJ0F z3&JuN_r~0<-}_89=7Rg4h2J;7ygGY}{Jd3vnPy*~yx4s8_b&|kFTX`!N#19t=J!&1 z&IRc`%hKnFyT7iql<Rx`HR1WxqR!7#V;&wY{3PZ6=DJ1lzJs%`XV_W$uD5?`bCh{~ z{?D+lKfms*NX)E1r2Cth@8#?5nf1>4g?|0_*WJ75eCLvQ>#pxww&xEWoA>F!y;<x1 z-QQd0Kd*iEx%|bxiTe(5-mPE$%jJ84t2zHAd-t_{*PEqt=B%r2{yg#TyuY*ZF0a0M z{d%q5^Xz@|y>0CE4}AVSsr+Rn_c>*mg885GzD~${+%vD>UVZ%e_=jJ%7WJK;{AAwW zj?cV#JJwq`pP&8y^aq#qH?k}KU)^!^VD*;xf_+Ec@qYQk`~36og~t`&mv6pOclhU# zx-)go^5D?^o>jl(_>RT9(^vU_VcnLu;<|alW4)^RB{!B&e}DPkpI^m$7TtdH_4q40 z_GtA}4}WjXFM9WQR_$w@(&>2*Cf-Zxk4sM1zq|ie!?)>QSlmnAH@`aPT~WJZLH~{P z{l}%NLNo0bh~L;V@m=@1F#eZ}ahKlmuXHaFUjBu5UVMD>^)FZ5x5{sx{~+<*=e_!u zUZ4E<r%rF<_n@z)-{RHGEqtHyn#C!8w>YdkuYR8t`|Dcc|2CUH{P|qn_<a6<y=~9u zi&y-6?%42rzPjMN`hV&b|2}U%^x59N$maj&6-PdwZ#Ombd+_;q-m&NQ-@kwQnfzX- z@(8aP-^J(e>m6*Z-0!b%Ss&aWZXUMXe%ZUd-e!e<_aC&~doXR@+O6;RNB`C|%c-nf z_p9w)Qor594?Ji2Ef%h~U-x%j+@r3%k3XM@XWc(`y88*M+{Wh9@+)>*{8;!V@o`dR z=k*)MFZN$~?Em8H%sK5l=EvVxzxsBsY5qKQc8iq!!@uoky|?uGd~NxR=XVZB{?_H2 zxxUajzBcN&;cw1)&-Q)YBUAn7^O4nC=NG(7D3{;6Pf+}e-0?53jBm~VUn9O_iTnJ& z_vIe+<<<M`eNrbJZGQ2ydBwi{Gkwq7t^eEgS7iMQ-sg{{OX981@16L2<HXal=L-7Q zny=*FaX>k4Ph{;OQL}fe?AIHfH~-kQzdy3#U*)zxO?LB5zwg-b?DNcmuU2vVaxb~x z&91*^@%i(N@{fD=|64RKy*MuE`LbR0Cx8C=tYH`bS?;-F-wgh3&%}G5)t=LhF_15` z`(N|=h2nGFdj|7!&OVR7^w;pYcFsMY_4oHX)c&igsPHu3w`SfZ`%A%9&hZzoSNQ5% zY(ID9^Qy{sB|DFOK7U{AynVj4&HozN4}U(F9Qu6T|MKPEng1#?AOE}`FB|irDlGou z^XY~DP3&?1C;j~SmHozp`R*6PzVBQ0JLa71o*g^w?oavr^ZxemihqBMZR-D7&a?l| zbbfw)=kxRX4}E^V|Jdi}@sGD$d7b&;&(GdH_bT?Wx9|D)D*M-;sH(=|s`Eepe3g9u z`{(cFg)8>if4*-2YuCHm2I3E<{T5rh>*T!F-sl%!zu#DtzrkI9|H9hY^B%Xz)dtr( z@)x+q*LdHZIluq+&!0Eu&hLNQ8W;aF_igp(&xH>B`xoz9^4nr@^!?E9FWK^5NXuN1 zwz>HEeWv}A^&57tkDI?gu>XZz-^*j)uO|QU(0?iU{MyA`>u(k9ewujt+1)R7Grs4} zG1z{<vh0J@?uuqxn@6**=NHC3?7J>sVE6xbP|NfBc&`tCey<db`}})_%=!KGS|9)X zUS>M4e*cvRKfilQ+x-8x;`rzH-JiI>evL2Mcj%h#&wsH?>@L{8x!fziqW{apeT%Mt z>5O|gEAMXX{rP7NtL`ziA3t@HA2j#b;{I)J_f^?lw!gz}z5n><>%9jZaeq91>;0D0 zd*QhJ-Noq@&gS}o@t4$hRc71nU-<jWR~vu-`Za$W<Q`9X_s_+4iT;OKb`Lu4J#37N zTlIIwyvIj#zx+Bhf0cTPe0$03^jDg94_NL!s$JC^{p;29TjyVJn&qv2UcI-<uY7~M ze4*p}LZ|h03;+K7F3P&@#l_TDs=pcEz5Mp{mFV3g-`;-tWmZvVZ)UL|egBnzcY^0! zoW4IOzIcDP^skoeUk&P2KeONN?u+v;Y?XOjGr@b#U$=M9{zdP6_)m0O-umBv?yuZl z!vFiryw5+MU+#E5-~U+Rug}*1Yc_rO^EtEe`Fwx9dG&U>AOC#TJn;Fv`s43awbJvf zKm7{Zvu~E%rtpWe<cjm=FF9}iv-w@OMgNBrdp^5=O}TgYT-~krg<khTf#mu7^ZD64 z;$IR%;y3O;BE73}X_edj7tj7x)j6MknZ0Mp^7?Caj{SvR<^LDOT@tVGl`n98|G`0j z{iT1i<~=?t`{mc6{j08nLn-U)&-?1Ub1vUr8~1qHzF)JyNx$>oQ0Q)ZfA+ucb?nt& z1h>EWZm}@D{`$YRxCdQwf1UR(X5X>=_WzLgFV(iaUvmBW!^V4$8sh$V|5mm=EO~cN z`@cP=f9lR3dwlxmum91%g@4<X@dudyTP&CSdH<(Bb#q^+-hKG*=l4dvdH?@zO{_oo zQ>y%p{`PORHvgY9uiSXL?|)p&@yZ_E>F3)&|NK5%aNhsVZ56NWzDw=We^Ap{`%XHo zzTrK4+{M$`S@wzc$4zY?->L2X#%;5A?)7Tjx69}Jw%E1#*R0R8{<5v-Dt&$HmcFHV z!NI!YKR=nA<-bwWe#hv3QQTwR-^Xmf{>!|%z2MxxS5{U3PSiD>WiH)+xaLFH&BNcU zKl<I;Zdv|e(%**9eSbNozv=&M^W4_&roUzXy=Bi+Z#=yJef8Y0e`b7UT7R?O$8LXk zw*3L-y(#*!E7yPIGPkgHyt{ARyVI8DA0H`v?fcw#-TFbx_tR}Zr+sE?f0MrZL)A;o zyqBkAud46r-rW5459j&%{c;7)@$Z-Y{rO&Bb>54Q?_M3-`(+>J#_EoD@oyH|-OqnG z<KDxc|HP($`BQbvd}n>D^{)KC@Bhked$;PjboHw9-}_7E$6eNaxBf%dyqN#>@B0gU z%kw_$|9-n-$@hwXe}Ax9&x?yMbbfn&zyA3G7yfTS^Xu#P9bvt@XX39vzrS?uTWJ2} z=l9pyIdZF>FE_vT@6YdV68bOKt+o6AueLGxS3~Z;y1O?1`rohpYnu1CQSRO1cNc2+ z?3f)lzf>4hDNg`Z%8%#l+qDu@C2uczpZ3b`?!jYszy1+q{~}lY<<+xW{4ZJkUR>0@ zqFmLWy=!kk|4X%Z;8swM{IcuQ->&{UW!|HPeS4Pv>U#I6$L{Ph{v8X~=P&&`C+<<F z-2JG%3)*k&ZI8SE$n2Hs-9v_Z4~y=d`~2n4+^zeUtuJr~O<!HM&AA(V-dyHuo86__ zUu~a%zMm~SuRiYTo9%PLD~{F`Ro}J$U(;FOTmCKh{mtzkJ>Gvbuot)fe(AOTx9k5r zKtb|uf!)RFI~L3?4>6zi>!-~{^Dk|Am#+U$x3%9p`I~N4&vft7?+m50_Z`rzJ-}Vn ze*Md(tNWbKe{|q~zvkVg+C4kA&&!ux@$Mq8%%$i(ORifiU(a`GpTB>B_xE>;<SxkO z+;KlIU+D3Eqx*WkYjrOA1y22Zf&DKT*S+`_dsTQ>XZ0_(<zLutf4}<rw9VV~FEsgH zoOZryyvusF-NQL{58C$aoBfTus#CqHru^$9xuoxPRkhuRuW0XTO$NCHlq%$It$(R~ z=i=Y$Rpur9(e1B)9@~2*`j^M|m!`GOphTgw_5MZWn9FmkSAQ>&?*Agk|An{g-TLd{ zcicgFR?v3G`d=>bkDBuCM%TZ4USA*m;HSO4`TOOy&7V8}^8V(n{rCH{)NK9>uf6%N z|NHZOdWG5Dz4q(>EA6{)v6R2!-*4~s>t8-G-kSga-=xQla=-Tc>n`2jFTO9wHlX(3 z@9swDs%}}k|5DGtTy5U+{*v&WOM8{C*zRiXWq<XP@%hotqL%0P<9pBOKmYvG?%QhR z(*J*cZ)H;d^5Wnt!{4AFa=!BX{_&YRmgj%?^Lr=TZT?HI&&sX(`}2D#D4ZPr{;#WV zH~!V~{Qh&^9ShgXFZ=uRdo?H%9lk1Tw_jkl`-`u-atr5O(v`Vvy=T$(9ZR0~U#WA8 zf7tiV-ph7*^$n|_^X77E<1V=G@?ZZ_b?+hVs!tMc!@qD`FHsMPznp7xsXFGe`HjjA zaq%A`-kN{masTq5@Re?DC-<(O6<>L47v;a;-Me`G#-9SV_O(sls;hdYmwfMh#SG3k z?x6Vj<aulU3ro2d4<}#k{r%$kf_`!StFJdJl}^rkFyUTOciiJS`>MLW<yJLC@A@9{ zmG$mHw*}ApZ!G+NV{y3u<$d0u#4>N)yNk>*7vH9@&<E$7H<zVz?0wejS1<d<TxB&| zuGsGXb8XFU{TKgzioC^dSzdVTUrYEGM)NPFYyS4cJ<6>6xA|&uRma`-*FU?TuMjWc zKK_O8{C}IALeKN_SO1;#SM=SBf1j^t?`mJ`{^IAc&sVR1nfUH<c9kzE>Fja%&1-we z^ls(CDsOPsY1?=3obuOST`s?6Z4V3DR!pq&2PK_-5w#2azwqu|*#E`UOn&L}<@y)@ zx%7XW^lo3s-5u-y{n0D%lHcI@{==-eeLla%Y>zYB+IZbv_PgR^#$LPEPhWBG0w<HI z-r`?cSNC~?vQFH>cNb-IY~0Svf1Gsh{O2#PGT#3F0!kQDUoqMq5WQPBwaUZ1(Et8} zj(Z8#S6-j)yIAMrU+54ow|d@%*f|%~=UigHbK&~>YyY}H<;eOg##J46kH7k=+ZTNP zrC8rfS-ls!dM|%wZ?XSh6aBF7o&5T^P45$57gp{281=Qw?ow@)Z~SHLJ&V-UGxjfY z-~94i=PTa3$CURT*R5@yd;IlR(e;=Awat6jA(!$>7L*(+Csp~+e<583>gMFwIiC0T zzxK}qQ~~=3&VRwZXBq#E9rNq#N-7piUw?g{=lPG1I;-j`R_${AUg)v?-h#Z#ymKzg zo(u1Mm;I9aT=pHK_l0)<zrPR9zw%e_Ij7mYCBOH?)OwZ|ILUAHe_!a@Z*e|;$#0>Y z{SoW#E&0uSj{DB}-}zzwFB$pft*QO3`$~IPr}3{ev%Lp-ZLNKOf3E*;d{nshXV}*{ zwc@)c&a;2mWcOgkzPj1pysIo{%k7WdyQqD~VtuZ!rgslr3;yzl@A?<9-(UX7-pYTW zy=T$y_aXT&<<`AC_VShVFAw>brh6BM->6&_7yn7`?e{Mn?j_IfzGA+6jCJpE<=STR zFOPWM`hVe>?SJ`m^Y<0f?XS;27t(*3wleRMdX-oH3$fbX>tDX?y|w+Nq1=miGhcE4 zO8R#B>o2x97p!G2o&KJAf9Z3J<?ZjozrV1{dwDJLmG`d3-t-qgb-%qd{r2J{`<D1P z(Dgq>?bUn!Y^;i1x!l4sIxhLS^QVUDJwH0Xb@Q)oxA@U9C;9W@qHgJ&m{otj$dvN$ zmY*za^MGr5_E)*~=cm5;m(KrMXn8>Q+=o}fuXw-NY5G?jd3U}fzI0wy&tm_Rs@v>! z%PX4K&d;`AeYJMapPoI(gylZ`+We|J?)S&%PXzSs^q=oItb1<%g1kRYzyFCpm5_dZ zT6JAv`((GfyL^71o1P;7y`r_7`%1P<O{Cn$pQ4s~V!oSN9Df;pHTq4RuUXy7pN!Qz z{_LviJRJ6J!&ly$zoveRe)IUM`PJ|nzo*$e5Y(?*`}fuDSBic6l%L=Dx9-j(UbA%< z>b}{Q^6Q%yHL1ru{QCWBwM@Lm?dEsUF)Hjk4ojY^UvMwsfBUA-(r=~3PYbRqY@95= zGJnJ6lh5+E-hZsBrx)m7_#wHn_w4)=%y}OkmG9Eu8)AFpo&QrA{vAi3p1;z2KimG# z&NqpxrwiYC#I?R|;l1SdMmPU8zmqO(xITULb&G#XZ5|z6pYpu_*876K&+)6b-`MYD z_HRjL@&wzM$1Ljm1J`f(9KG|n?Kbzj;eY&W4;*~|RBCqp1ot}$&%NF*uMg?p@LPT7 z0p@!TibBl)ulf7ItFm*g{b|j-!v4+sSKO|@`0taJ<pJSyAAYTW#oM=jn);p}vt?qJ z{;rC7kQ8+P!Grgkeukf&{eQyr9S1f2{;%A3?A`t+jQkaaTi<E_Un}?MgMCro+W)6? z^EQ4of4jaX_Urxh!}m;|u=MXZbk+1~wM?w{Hubyd@zH!A*wQWb_f<9jo%@7S+WPdn z`=#-{$#Z7BQY~AjUB2V^>H3WQH(YIx9$fcHYWD7v%yJ(d&A+8z9?oC5qkT^D>#Cye z=$t>^zqP&Z9=<oPsLB1#!&kpvUE9A^{;tEfkDuB;ary5!_ImFX@&6a=YLqRHbW5MM zG|Sm})YR<X>c12Av#%?={QuvLto*a=bJTC#iL{+}zI^K5S`+!gcMnuQGy4@*3ZGqH zxT`e2CirX1X94~*(dNPNGpg#ko%ih8S=DF!Zl=Bcf3a8E=WOr9hkx(AanE&~*ew2n zP3!mkocr(lN~M@MrQ6#>wtu_)kL|a`Zqf6{Pe-3No%f;R`u4!`Z`W&I=02TsT|W7D z`K#=6?W-&P{i%E;m|h>a{oVQZhW~VS-#EzVw?4$b!1|Qsw!%*CdBG2*))%&C+dO2u zU6b?k#mB<K$0zj5$_Lx++J5=^@&nv5AHGT!)Gzq=pZnd1XSY5biL05AW9JY*|3Myj zM4tcq8{LgZk6*g@y0EbC?CXsC`}h75(*HjB^3M1Azxkh3R`~YsD6g|!tvlVKX70Dy zy5DzL1=b$1lUKJpR%23AYipGAh%0)>+~@BXT(^HP@w)woU)sNt)NSi)-R=JGKB0Q< zCEs^x_SMy&KUe;>o3}sy<J^+@XDd6R-)-g#FfY4SyG*<K#;(maAHSLxIQ8$?ZX5FZ z<h9S?J0Aa?{P@MYnz{-rpSa}TaYfC4f1l7j&u_85@aSt#zALZa7FJETV<ew^`%3M* z*elP!A7^6!!k1q0VBXKy*>(Z%*+2L0I)6<1nOMb<zXz-4nVx^x_WQH*74<iU=JkJT zZ0i3y<=lxsum65kZTn}L-Pc3;ZN5q@KXa5n?EHsEibajj&u>5U`E&G}xeI?Xp1;37 zbKdjC9~El%Ub}H*cICT(z54{&cRcwTRUZ8Q!=raaCx0J3bWN|y_HWqzg!yrD-}e8u zjJqfs7ytI99sl|F;qvF-U$2<={O^QE7T4>{&hPisE9lO)so!gDQ~zJxrvC3)<!$%s zE57F4eV@>6E6#r7uxi{Rw%<FBU$p)5HR{aw2eZ~suk`;a82x<`^E2KzZ&qEu{^;l5 zpvN8abhgGn_;T-)jr?O?+h>;d_LkOnNx!-0{#)@mch0+IKN<P=%(vdNWcv53|GNIl zEH8duQ)h2-<8$eMw)5|=3!0Za_NcW#9c;S)fa!a6@$>JOt8T0O&r(rm?^<#A{CQD7 zze|5r%lxKYw|HE7b;qoD^>+o`zIJ&(B+eJtocSSf&p&=Y*YAq6*UwwT)E;>D_vyT! zo%?RYt}lO5^E0gSkaFG)d5ieR6aIc-+*SXt?x@9b{hHEb{=4sO0?hiS<i5FBonsSm z|2Xfu7f+jSEPuXZdAs|H=o0zc*DrrwqkJ`YS0i&(xA+&S^e<PNx4yq1eCNX6_7%HJ z*!@f9fByMe_R-JRwnpy@?>#<u>9xN6s^8(&rwog)Ha}rGU%zj)!)L+gtm|&}%PpIC ziP`27_qn;-U)246XfwZ9*!p^S{)S5CopmkGrdRy+nAg7A{bY8GU6k3kOLbo*cFu{n z|MntqMcmo-^=5bLbiSWoFaG_@w9h}!7q>sRKQH|(`<%4QUBC7E^>g0Me;&SL0egS= z{Q5ebjn(XL`S0BR{5ko^Pt8}tar@%T<QCbBE1S(<@Vok%+PnUp_mk@O-9PsEFSpJ8 z_c?d(7wkXzQ)>F1`F4Tl%U>GGoxk>)_t|fIHFXPn_j85+11o!D?<Dm1>&iRZ<^7oP zY2)MMgYMrwt7=W-5}rF(-D~(=DSvx@fd2e7e;emLZjf_(^{{f*_d+-Gd5h*<%)ayB z$;wy4-{z_H+nhgGw)VHX&Bbb&3)lUx*L{hMvp@IZq4AaCU0;269@RCoTmJV?<f~)O zU-v~nJSzU9Cb@L-yN46<?4oV$;y(-9E?@tW)wZthnR&&>n0NVG>=U}5m)_Xvu(xL3 zv)rma<*F|=U!Q2t;xBMCk6#dXN&3#iFRibxg>T*O^Mv($@y6e!UpcM6FdqN%%k`H3 z{)Ioerhj>H^p)t{y3RX~dAIFf`S;P%S9<49Z}^`3^+D<@x!O+QU8Pfgz1ls?yufw+ z$653C#C&Eh3#$BR`?kO0<mX>E?}x9CTUa?i?!go}`L*+6m;4l1|MHsl)$FQn_dQip zcYS7l`}_C_#`B*y)NkBXxTeZO{-t)6^LgvD>kEDR<JbOe+ZS<C_}zx;&Tpq5Us0Yn z{qY0!R}ZtVB=71s-c`G&>SOTP**g}R?^wEg{nb4mjnD2@KOr}-;Lv9_nQyb==dbuV zCGOFjd3*f!?(z97nD?@5*}jGO8!C4`+dTQobPJ2fxQ}~O`|6ffeir%KV|S6e%6t8~ z3pKswU!Huu_5Q`Vm77d6_8qrdcW=SG&wH5s_g}2};`X&kF5&z3S7qzo{f#p#^vO@V zeAzs6-;sB-pYr+dcv8tc@862w*Yhvdxax2Gt^W0i$=9b-i&~O*{SEutvhSeixqHj* zJ$~@^)2!#Z8w*9}=P!)AtiH=V|K-1{v(^_n`QKakcgnjxlb=77<KJNwyZ2!wtJ(i^ z&wuNEohJ7{wf1A)*9Y@wZ7=Z3|Jb$9%K5YDw|mO{H#DB|o|k@kt^P;NOWD1L>}+e- ze{+7UX8o^tr^Naf-NsjqtJ<aK?Dwxd^zir7dCz~|*f;In_H#k<FWC5ANXx{!f95^+ z;wAf*`IqnVpVF1vuyOLY>r;Q7`0TaE;AhSGu=y_(`CguDzw$WNB>(Z8eFs@>e{XnZ zUhz}#=AF>r&)id$FWj$J+qHRjm9zQFY?+JU&ok_I&U+>tb75}(%IRO^%6CMpj-K{y zak1ff?hVzorN1A)GP`@kaPQ%lyr%abP1^UX<(u}d@18r4D{uRLsjhb3ucqgrH+FBV z`lMOfF88qIU0qb|*6q*4cPuKe@I9Y>mjA|op7ZzJe_u;ao&H?1qB8iaSoIgF<zL<u z-#VYS?x)E5m+zim&HmNk9#iLdcW3Lj$NHzd=ZQbcTe#06{_gVV8@Iyu9{#rWN!h&R z>!rTEyf^)cs9a(1Ywjz|^THp#GuvhVb5oT^|Ax}&((l@@xZUFt=HGkRFt0xJv*fq^ zYUVe7?UMOu!~FgC$Dfa8#3fhPe_eBRU$ML8QO3J}+p0X|3;Isyui9?$Z`zy>dj$B| zKm4qVwp|)s@o?4m8@2)W9!!{5>;7A?_Gj$Q1H$(nJga`CJ#YK5$FV<Z%wI{`9y@pN z)xGam_wGK$*Z0D<@8!|fS^9+q6YnJcW-mJT*~doq)bnocs^+`9S3bAD^6!&DWoz=T z@{Lta@`bId<yU^Uc=_Z}+%Ek?4{cMQ3zfdM+th3Bz4Q3ZzfX9-z1J4EzqaoqgXQ6~ z4~sqsfBn+<NvL{XPTVRxIYs|3Uz?w_$=SQaB|kq{^eg!7^v~bL%lSjg>zBn{6u-fB zg+0eU=G_MW^Y!a$=fC^@iA#F_gzP(yo&@e%f9##|)2peo<mHsrcT{b86T42%*01ct zqm7?BcB`MT)sxc?-+!g<L(0wr*Cs!C5&r6J@Dqmgi8=qHYJZm8JaX*v6W(?6HO(z* z+vdbBto?qosOxz8$<vN|tJb}F^uzK~%k`T5ZI%BjUrC=^E`Cz^+s3a3e{Gj|?2zZz zpE7;Nyzd3=%r*}NZr8;8cUyn|u<E<Y+K>5HcQ5|3M?hSEviSaB{y4?x8(vTOwy&QO zJx5me`TpzwK1Ecv_9mzN=YRFp>s!IEteZa;@2cKobLsfwC$r+_Dui3qt)277^LMvT zUqSt%H$AV8p4`-Xc=8ju=;f!R_gK2>t-Dfp<huFc)#fYM>%;nAddFNoyMKlG&S&bs zJmN3ASJg~?HrG6}?qm48e)gRC%kDjVcebc<>$A_>Pg?Tb(`v5|Hovd<dq?f=9N$-~ zFQ+o=-`Ct;QMEnh@vqoVuioGC_p&_rt~%wt{Hwz=H#M*ReoDFT+@$I~75iiU2LHax z{**~ve!}AVmF)79m~-ap-v0mW>&L%Fg`X3@^7_5xo%iBq{g(VWi+_sjmY;a{|Ek?z z7?1BTDZBmgeWPmMdd2qVS@uW1Ma9Jg*M8=_dFbcyr+mNXPm0c&r~dr<rMlqL+IxO& zc@rOf_xGGP4__K@DwO^DRs6}sc{OqCUivAASNvEs=gpG8pO`EUU7Vhho?iNU^`_q4 z`loHr?bpjM_+YxzVC()_|7#v?3)Q#O*P9}3QxpGgV|#D=>*uB00^=XNtNtWpZE@&j z`PJ|pfBWV<&Z(2#ap<W{zqZW-Q?s8DpD#X4KI}ffUspcaj_vx@>*|NSb84K|pTFa} z_r;S=xqlvcFQmU+xA?oUYS-#-yMOMi@cmvEoUeCvPcwT}zkAij-OuLE&$7GW+_$0D zcIWd-wYa}ApQUXsNXJ|*_Wu1=-(>$G<-50oYZvw3*z5E8>es8ubM83ERmQb!j?cQc zQ}O%7+&dT8_x#-YY<K0ndGD9jKISU@@3yJESNb$(-o}p{d;7EJ{CE4U9sOC&_MmR< z&h1s-t<Ubh@nfw`!sqWrJNutax7gD;=h0E$)b8hzJAN$wW}S06de1^}^UHPiS$2n? z&42Rr?9TsQm51!&?mN}CUT)p<pwdw9|DxLR_|o=$2V`rH9F8}=pZr>D=Z>Y{-mZT7 zAgrk2Z1ic#dkNE@o9>vkW&T~a&vLd$ZSU@1`|Ah)+3FkrcH2BUYX9_A*)0CTCnqe+ z^7Qp9=k;Fyf32>+_7@xfmm>SO{{OGm^ojqU`1kKs*~iPQ|5;|-aqKJT<=(Svan*s( zLNW2dzwgbx;=HTb{Z~ux>x{Ys=e9oi#PD|amkU#qbM8j!6}BtSyZ$I4{qA)66Lz(& z#=Gh#RMo}C+2_4@oKsaQe%9Z@Dx$3X;=baJIUj7K*>|t}Zm~4Kz)k=Cs=rD6AZ7cO z-@DZ7ocg$G*7}X@;pM^cANR1dSO42D^;$L}%Zlf4#i=RQiyt2;EHSd`v+nC#{Ww+h zh(eQ~i_$#hhe|AtJW3LdDoS1sEeah6v-cdY-r}`0_0$y2;AK8LQ@y&sTRt`3|NZv= zyzRHnUq2aK<CXryLwtLN{RQ5*HP>sqfBUaK8@+XYPJnfZd-|U17Y^p$N<W`5|MAJF z$Mcl+b>GVFI_kdb@ao=M{(0B!YQo-L+U)zP>3fdV9_QbUdLQ$0`=_6Oxo=nS?>X-Z z@;C1}epWZ@wcgw8TZ=D0|7mlt`{jvi_X?kK*SJmJ@sX)+`#0}B$GvL~G_SpN`+G)y zZt(9C|Lc3MU;4QB)^q)=`wui@AO0(=teyW&&-TGF?@y}x7Ay~byIA&9!@TNO)4ne& zDcb+dd)MLWs>X2r*ZV3RemBKE`seqv!#^(Yyxf(23!SZ^Cw|{E{lZJ<Tf0|(Vw`{3 zG;g0)zWlYiBkp?+^}0WKsQ32ymba^ws}@Y(bN%AY#k=&&vh5#9-hFUTuJZeiZ*sXd z%gnx?I;(!g^zDU@c9lNX>sR)Q{{27m;?K#u<THikUx>xOxn8^9@Ao9L`9bXLPbPoO z(hk^N;;mj%H^KJ((l0s9@Ah7QD)#PzVxHV2_r7n+aSue}9z0BZYkjM(`Mde6x&^uS zUcb1hR$1usTXWt;MY%ni{pO$lzGO0YuI#*C;(y%z={2#t*7+;b_n0q!zOE)FyJmrM zO`*^ClVNYYcO7Zo{!}ziHk`l2`}XaU`ST}O@0q^tYuV24eRqz^S{+lLXmfYrUdQks zO>qw{?!Kk}@}=k3E0_OH`c|=i`Q5pnK27;%H}5j1+&=a5VOzexWVN%@UH`F7?&Xu( zpE;r5-$|Aps4l*BTh%<NvP1u)$oh{p+rNp%K7Po)E5G3Pnp-y(*Sep7`N+BQNVVkK z_m}V4tM?a9KUeTtxpHHC_2j${v+_RvNPc^k`PTV!t7WT}2;Y-_@nFHX&_%Uf;w63V z;!mC`zGdEZbZz%1zWkRb6W?C;f1>v`HYk11^9v6J-=6h<YH9a~_1=Tf6@Q!FeR#3y zU3E>BYp(3oeamfk`8;KP|FopU=l6qEdyeegdhG4_C*6;4`M;3Mf5GU#E;E1rg}S=f zx0jDSf7SJUT7^vJ{sZC_JGUKwEWK;Jf0q0u=Dd2v_(!s~wo7ZLon*eX|K#(J^Su52 zvh9Bc-Fv|H_7U&fxetF|=9H^l`kQ5b-P*VdwtJVH&VQo&{^^$|YwYZQgxz~6_x91Z z@}mDT_vib4X!HB1a(&;j=l55;-}>Qr_wzlW?dLvMe`M6p<nOP)Qd{8odxBr#Z}rNy z@)GBC`_sMMyS~>4>Q|m$`qul;z4)ifc^B;NU3!@MHrwj(W2f(ycE5e^USiITyIA{m z_nnBV|K~T&i<{bBf5P|9a^{*}%WZZo+w1zg!dtxJ*Yt0)Z~v^F7ju2@PyVyj%67XI z*B5fHe<9%Sb@%wpZ-TK8F4sR*y?5Dm?tk^|d9VJ}&;RUVU+>HS1{I7kx66P0`}XJi z{~Ly0Ufyp4l*5kp-Mq1J@s_4(7qa|UEpa=lVJPi&v@gohTO)Ui*A~|vp($)vkM%7& zH)~_^|Gw4zzkgcyz5aCm^^UpUuYaDo=l*>E`|rP1%Z3+yy4v&qv;Fgi-EmcJH|kIG z>TUgNSUNrL(G<Ife{y%(KWLj*AM;uFuD$EMN37QQ*K5jG?K=KhN+#r0=IVEsh38z{ z>%T%={l&iK=wB_?Rh6^e>08*umfc@k`Fe8EfzLcL``l}9%)Iw-PTZV@KR?y(n*Xrx zU9H#N<@q1GUi+`E-uldZuFS_h70>xsSkDjae<`N-!g!tEmA``DzdZ6e%m3wp&P@x? z@8NGA|I7K>@_ehszYXuw=ZD_EV7_C?@A<*&?HB#llY3z-_wwrV())i_ymQ|xlkl6n z=+tMQIrG>2R-NC!_D&LezlCjR?ZLmAn+g~Fvpo6Z&JodV1?{;u4<~+)EbO<wxBiDx z{QdMVdw9&}PifX)Y5nHw2cP(j-uIJ!^PIgNf32o}c5%x7@bw=`rp>8wy_+20vUc;; zx9g4k%?mx=e{5=Z{B9n9wWj^7{>h)$uP}dGYJK_d`qk|v;^|)`t>drlduv`&zb9Z` z!LQhzcHy>3@tx9De|*2rkb5*Uuf}_?Mf~qGpHm($|Kzp4Ug7(fmRj9;FK({*$y?jJ zRzB<A&uvxhci*45cl4E+T)o<Pi`4raXS=VgexGHxSlnU(`}5WLg+FX9f6jeYn-ilX zExzLUo9GvIdl!p;VO##?iPPEJ`>*XgtHS?(V)CA!?Qd%0_omEm&HmM*4O(09kNMvB z`M1LV1jOmrANH>QpSr{7$piOSroU}$E`{H@u>HoKo@cM+v-cf6>!0#H>y@b7Iu&*M zr*^-Ua%Q~xw&vbN-#3@5Z5}^N-xYt);j`?w9LxP4d(-2)%uD`1f5m+FsA=u7hoxKd ze{+9(tL$&Fb7Rc^pxTu9PVK6ijlW#@KYEzgEuCk1D?RV&(`%o+^z#+Jf0<dUEBE52 z>a6>h%x~;i`fP6eYV#X^d*9p%ynF2L+b5FxZ=^qZt*=}Cb81{de*9VX{lW7KepK(= zF@IP8-QOpU$zSO`{_^`3C;u0+cbAy&Sft+ltoiSj{Lk(?t2<;K|7hIwS-rHoR!r}u z@V1xCaxZ?)H=S?i@w;pP3BK>fIrcHO4?dq={FJ49;+$mR>lSrWs=Dvql}SHwvpCO! z{almrkJb0qAC&8>*e}}uMc0h~!e?>2`SVnwH~yOw^XB23gs&X@>?bw16?U5YhvXN2 zXQ@1*Ht*w>YWqEpSa0t>Z&~a2_+!<5iP;v1#nx@;wZ8Mu_x^s)>lP1wZ~kdr|8Mv6 z2OkA$ZO^TGcS$!#Zk1i)YlF%IjJE&VpE2+H?{9gSJFoD&erf-6(+bO&-^zCUeN%#C z?1J?^*0kJ7;{Sf*xr{~fxrxS)=U8Z;`*Oc(KcD)IyDuu=)n7^9)w_7{mGnJJ`*$n| z|9`dSXUtvw0)PI0%kNzd-}C6&jmMYnFTVHLWdF{dn8fFsK6R+)*u{T;eR}0>{b}BJ zWOa{E509_-Uy#15l=bV>yoB$pv)+H`_^th_?g!UbvHbpto3&R;`(LnUmwvM+<gW2m z-(Ahgzgl{yzx-E|diQ*scK?mKtue8#ca!29m8(iZOJ~bHXxf+b-t<=bn}xr9HTA<M zAHIHC_HJT)b8%Hw>D}^|obO(IGrcm|JY#<H$;;L$-0Arf)!%H)KcKt!PVi?t+vCx@ z>V3a{T6;@8#@p<^di4%V$GE}+`*i2Md>c25|E1}+`%8aT<bRb@zdr3R|4R3Ys{J|f z?zTzuJJ<5B=(kw*-C{}lwrApV;=}LN#C+B?vse0kJ=p)o^G6N)9`M#4lia&&-?O>> zS@E^ZJAW;SN!ma4vGl6tZ@9~sMVkkmf5DdbLblItVeQ}E(s~Q;ypPW=7d>0?{LSqL zv)<ix*}K5HVv)ba`z`tVR=!&wKgB(!)^FXnK>eHH4<^dp_1U|K{l=d?&%*B{Jr>*b zzAm<Q=T4hB%H>~X)$*F{TQu)p(0A#orrKR^SDnAHbE-^z^k=cS^HbIDY|1}y&;07? z=<I!qpI114&tIHZ>;1j?_Nk*P)i-S8;|kC3)Af7t_N|Hih3uG%k87_szt4;>s^0l~ zrOd-0?VH}N?0;ii=qdlv<^4y8^Y0e?{NjFV`u)IraXQO)?C|*Zeu>@Y^G9uK4@Up$ z39l+mKYQK&`o3R!oBmesJaSjHs3!btYwg2xC9m^dY2Q8YZoTRKhl1bty=$)z&Hq?7 zar5rg(*94r%a{FI@$Rnk-6hr*%lvQrnEdYi_bY$j-2c?^Hgw&)rFk3I9}u+NwSAW_ ze}QBC#_#-ZjlW&~`>e=(*O&X=(|(_L`2ULauEYD-o_{g9P&<9zgTB1`;kJfb?(dv$ z6YILIpv<-Mklb&!JC{!X&c3(&d4>0P`2}%tp1+&ePdzhD<u|WBq0M(=`%&Y)wG*B> zSM|PKoo!dQp{jp!z_<0Q<sN40->mDXI$|drUA-C__xxA?G<|MADeeAQ(=1=%H-9*C z+*h?cn=73a|JP&ZuB9<a_41Z=Q>sqwD@flZx-z|l+58KiyZPmP|1R#bf1|y<qBz^~ zcD!x+eYWc*?+stE-aRN>d+2BGmi)Rk@79-3bdR~~xQ;IX68W+#;x01h+zb4yowrf& z^}g!-uTKj<Jvc49H!Z%oclYY${n!7t%}bb{XYW{h?40n^AB?lMf7mtO=Hawj=5I`2 zKPj9o|00wB;@`nn*J?}cD<;L*_<Y;&JE8KZ?eA?C3%C1U`{S^_(6wLqtHPCiPc)0R z@0WS_W8$X2Azvrfs_DH{p7+95?!`^TS^n}%Ykw~M^zCC&S2uIY|IAm$zhmxP7T>e< ze8tl6_RM{D0l!}zPW`P})VaI)NyXxQ8}#qY%(IQHT`GOUHsZ6aT;YG7yZq*_bnnS2 zrMs_?X8-t`-@1KO_4yF<mwbIMh0W$I`~7+1uKBjnavN(~Vr;!3S^i57EX&Wll`gaN z_t}e|CY^d%{G{*QhV=(6ZEO49?f$}2Uh;eAtCPiB&sWZ~kyVbis9tE3*k8+>_wwmy z)AyH)_bmPGzIwX;rF{=rH~q}IdDvHPy+y)(vGZTdF4uUh-}txa>~Z~&_l2L7Zr1Ou zYFVC~`upfB!QVbPqOX+uUfS}#yy<PS|G^)L%FkkFm*1#a{APcMZQ}fH=3h<bUnJAN zyh@!_?)7$c@lGp8y^a6NEbDecBK<4Z*PgrwZTB9&kiB(%f6({#@27R|?QuUBw{+g- z{O0Uk-?P3py?fAU_wdi&Tju-SzF&8fD=b|UBfC0o)A|F-wpv%Ts~XNuHrfA3OnUw0 z+U=q7aoYUq&`9rJx%~LcI%oe4{@df%+a*8eE&7vrHoC&rcV5BieT>!1S5H3<&G7eE z<kbd!Zw}J4Q?I^Z8w|_vv!NN@d^LM|X8b3yo!0Js1%Fs?)~)_^!cKVl7eRl}(wjHA zv;6n3sQr2S>D!$}UEPk~?k_~n@S&Oe{>}P!_5GB$pPveqKR@}A^A-2+LvvqV+kGW` zSL5A4aHQwm<*#3H@6lV<qHl7gj}z+tCq8#7eZTjW+TDYOwTF%^`ThBKiT!R5xs88? zcdojs`%Tnhk^B4b?JpViUTA--TUC3Ey>$OhmpD6x_We_!S^bL(w4C>Rb@J$~=QSSl z#BLtCng5it`i*pfx4!+F%K7si>)y@3a{7K|{0G6CF{%9K_0z&-Hsp7wSN#q=+x|uB zcKyYgnoU*xllxEp`+r5*=5O#e`*m^~&mXzA|BAcJ<!YHrn}b1-9#UC+R673G{r8$? z`r5zORvoevXaB;Q|HAm(i>vA;?_>Oazq<S+i+|^le^a0SDET@CT-;55C3*J%@7}`~ zL$|EAcmCeK_la1(j^*zuRp<8cu6ucJ`Bm;+&Fa5eKHF~1e^*k!cc0CpS5`$|CBIIp zWtDq*apo&g+XKti{uJGQ!y@|o-P$YDH$QpkR^-0^=JkZf$6mcmFWtXT{l@a!^MmJa z{9I|dZ|gJNJ@;ZD5x+e^zZ#m`#jmW5epUCub?1)eH;LcNK6O=p%Pn-u-@oK%W8C8| zx%x=k8{xkv6+byQU0LRS<hK2b>^7Z0qFQ@Ic<*s$+uy66UEZA$Z+GJM-zOsC->3Xt zzw-Q>;zIxU57X=(PmQaM{rq+Bmi7PIa_l4Y3d`*+?ZRsl<98U}{k80um-&Y3`m^N~ zNB(Xvv9DQD)xDj6;^xm+deuSsoj>%uTj}I?4`$dUK2F?aUmyK_GxHO{+x^p4zh4pk zM!UeJf8Xl7%giws!r#1IUwiC($$m?ZxO3AFN4$H%_giYt<;&b9_m`^gSbST2m3sem zyYxxRom05e{ii`|_&@ue)mHUwFZs{@Ds@rqLH^Xw95)Z2P5jiw{4MvRPk#N9pA+Je ze;1rRZXfo(@RRV(`o5}`<;tnQmxHsrt#|Da!@b89ZD*VAfAA;$)3erD{u_VR+}!Vh zlHH%rD(}0t@0WPd)YGcp;+2l?5AnY#{?2E+UKq53@4L9~U-&M28SU*ApS>(^uYy$Y zv5Vp^$l6>~pR?cV_p|ud|El)bJbG1H^i>)f?fF+h`Ta3Czwf_Z`~B^!)9;@=^o7Rz z*;g;+OCa&y{i|oL{i%=DCixGyPJ&eM)>lv4XF@9Y|7-H*F8F;g{>dYkn}_ps*Y8Nb zFQ)$G+xl7ZFHG0H_;~+H^Y`rdPvtwUBl`;eB;Jg>>i%u!jwSsSi@sMZNdJGK=2k}h zKmW?=S#use61n+*`mZx~;@`ip=D#$KdwF%gY5e?EwO{K~e*5e^a#ynGPdv1KuLsxf z%S}M_`?0I~f4{%_!2L@1-aOs(>4E0+&b+sOQxkS~_nKXv<pn<TH+;0bJ70hK@0$w! zH~wvkiH(C4^d+pYf?npW@wz3y&sKgaa<%-^cITq(H&ct{%a=h5`ctn??!5Ip#&w?F z1l>8c?(;Sr-^aN9%coVdzQ44*cj@oymDBHE-Iu_B^H1lShtGb)qJI54aMZKU>R-R` z-s2;a{>HCzub7ou?f<o-R&86|GP_4J?meC`FE;oy_qp||`E{#*ip-9_dEXm3=Ckt8 zT2If2ug%^0YjaG}fBvExSxAOI4U2iPx3AZ){(ac~saXC$we;)3=WlL5XnJ?|zADG^ z4=(cy{*}L-oxkAs>(n{k|98q4ewF|Fs_m8PZ!Vili=|hFe_@#Y|LUKv=p2h(@8crR zN9XDAPoHjGb#@<{ba;S&{-WQ!wg>I*?pyh*XKwA28<+O9USEAmIPa!;!uzaOPtTg% zzgWCy;cxTs?cXl^eIQm;D0}npyRA<|zrVTtsO8?hpwG;EkBQorU2WdGMSthiJ9j<$ z3TkX`?%Rq|+?&nvU%$TgXYSLtXP=6Qn@{|E8ztMnUH$XdvRmQz<F@6iPv24e^hR*} z4N%H|w_x8T-Z%HWK;?bC`(6I`YwkUI`}XM@zgKRD-rK*acy(_stX*&Y;$MyU-Sh7> z`)}-<9dkDn8u#YVxIcg0?(w@__wV?})mZN7heiE&Sko@p_NM>uIn^gGgK|Cp6lkvB zvmKi2?Or`?-lBiQ-%MV&dPn7sJ&zh{qirtVcKEEg_t-aO6Z!k$dyk*|n_?f<|KW?o z&3*g9O}qY3`9h!fg&ybS7W`y=&OgQ6|5{DgS@l!@ny(0d+i9^tzruNbq1$@7HI>g5 z-mZ4uY2~B0@qdVAT?e$54}jJ30&ic-FZ+FXVo|rb|Mbo6t0CFm)(P6KuW$Qyw*SiC zua%!VR)?&UTMDV=yTG;lVsNhi{d(VTp|4Lbe)_<)bMf^z*&8OVT;6{X+O!L+J$8<< z=#SUg=!)8vkfvSSvN*XFKl|oA=Dk}#XV=u%bpof4zE0uay?$c&oz3~(!d1U3&hmee z3jgwh^VPwPx2D?!zPqR5Zc*2qBfkW>u(yu*{PN?i>Gq!Y!iu`Ox1ahq`D*&NojaE6 zS9s6==(S$x?DhQ1`+jL|iao9R?w;y#|4>M*^99DgWGlPB_9tKe4*QVrhxu1;ulUVq zSv_ml$0@s|{y(pmep3^0*V+f#vbPBQ{`_#My|t^{#=n6(Z$XRudF!CX{oFOR#{_rX zpQEUMe!^k@6VM*rFCS=4Kk?Pc%v;ZGyyu;praPzfQ;Y34-W^M)pTD-p|NO^k@0P!M zn!ZKf!pm&GPW6tTdted&Ji~6u^&9U@<?TTc|2gHq^ee%6`;=~<5AVN`p7eS(ta*2F zR(!!frpnK<XP4jjGwn_KE6(3BG8e@6EIe<qI9&hAp5l4muIf)({r<G-xBcqJ^}`_L zecYmX7kTeou$GBkQ2W<#m;ITdZgcxdo2Ns|`@KHUi2uLw+u5D(*6*L>9`iS5UEDfI z#8<Uex$<xL$$fVHj-$s`m)!r`{Oglf<&Uj*E@po-z44>B>Q~m?{1=?xUVh8IlKw_U z`*{83J+0U6p*i0FRq8@$%&*<`HT^DsoVxh`iMDV4Il~%wh0p^3_0{J3toToCJ9q!_ z?fL(CKgaR59QpOg(O<pz+2zw2@o}eauRf)zw@&4Ee$f1z&mYa(_dvJynD*YP&Cjxf zf4|y(;@j3ILgnGpA8%i!5AM+B2ZQ2&{X$Uu*YAGUemyw<V_Cjsb^ET5A)r>?tyghN z^DfECTvpGCasU3j{8e3<aOIJ2yiZ@Tly=v$_Pw~c`$}$A1NX14z0#TUKg!+BkEyKO zE_2TZR@Z-j1zKI}zWx64d3R!d&xx9sryp&xyVnNVwEqol+RF#%=dZ3k$e#K+Z&Ukh z>r>DkooygE+s`$TpTFeZ<0sCW>gB(3_?J(A%fH(Fjq%1({;z_^zsR+JS#$AE)93yZ zv)Qxkjy|hR{l6F`_SLiR?VSJZs{G{D?Wa}0Ia~hQ0m=5IT~%)81<vmaz6iX1?SJ*} zL&KtO^ZrSj!=ODnVQ7!8a!Kvy%w7BK9OVj27sSkkM*goQ;K;WCNB;7X`?afn6}8#O z=s<e&^Onr3i-Pp#@2)(5<7bbIee7qkIDTkv{_>U6#-@<cK7D0$`^&n5Z81wWwckxY zrTy;a_M@D8|E_t)Ue&8z^~dt-1CO`fcKYS|6O?7_!?#tV^ytJ*=G*!I{-l5E_ViPl zdT}bh<5A-M*QRH$#k1pU`*QZX=@ou2vi#Te>kz0j`!y6ijw3n?G>+r={jm9p?G@1{ zZeG9A>-^(y``qiRs^i0<UAnmCzdxIo*jKN%N!mSSbuqMce?J7;y1%!i_E_bv`+L0O z_NlhNp8##$mt28#<m;ntS8h4~v(Kj1VOznsI9NyieHNr6e?DCQ{_@&`-%~y>-qb#O z@)J?^H@6?nycf3sT+utv>IZe{j86VjzoKkY>$7eDdT{Ih_*H1@UOuDl;7|UizRQ1# z%$`5xul!2&H^qex@gLgk9{0rkjre>{@>ckNmvwgf({I>&_tmeOw+YmpJqv5u-@JAG zzt8vf^(S=i)dZf?3xd@2zjwlFdeyhH>lglhZT?g+Jbv=(_*K*2Xn*kN*9%+!g3)aM zI#ApG#;bk5ZNEMZ|736q(vi<!y?pu$NT05LtzGhS^P)e>XQM0j20)tjldFD4L!0*R zudIFly6!{u&L2D9Bz|8GYuf)`0BPFmzdE?4_N4sNch`$r9(Sky_J5`P+b8A{Xf2e@ zh0XTac7LaSds;tnd;OH)m_L5s{;xvr(|t8Rdwu`)eZSss`pdub$ldrS70}}T-$GC& z|1T6&$^ZAi%ilXY?$`axy7&Hhf8SicQo8-qZ+`3lVc-9)h35G`?%$vPe^FPaYMX!L z+tVkn_P-MQ%_Vbj@&A>#zc7e@5v`tn-R`l`^&MYcXRXuIZ<C30h2{Ix(0s4Id|piG z?>VPWU%t1gb+aw3d$)c8q<go2QB|eyTkdr#v(2a2-njv5>G^`Ych|4h)TDluQxBi` zclJv6id`FX;zL2Bc)IS;HvaBe&&21vSIo~_{8ME1_9=f?uSQM)vCg0SR@ZJ-4KJVK z{pP*)@oMNG-t`b@8$WM-?cc2>^*eUod2}}RNsj*4IknO;m-p_4b?tU%-LJ{L8_!&M z<m%ohudE=gJmqN6Al{zs;6c2qUGLjooi2U)@E^2^&-m))S7_JHJYfFDVrR>`DbIBG z*o8pipFdbXe+i__-@kF!*MhtJGCM5-zHQjOf6t?Nwb64f+@76Lx8VAYMaRuU`Q;YZ zp0q0}-yrkw$Hz^z#whvztm%9^$KRi#PuzBXDk9$b=6+CZ+WhY7UrpP;NUr~K>T1nj zk+-Y!Rd1i4;(bR}@A&pGNOhmL7!?0`;5PpDtA9W2e5HHO&ih>6@;sZ~`}H>}x9!@# z9@@a4`08Ix_1*JvD*ZR?qSo0!OM6*ZX)m5Jzfk>dew?0u`IN)Su#ud-o1qPSy;mo_ zx1Qg*+D3F!>*ny2!ryL~KbRJG&-XKU@b>-c>FclUd+=^k<SDtlzRA!|eKkKg*4JOJ zsqz0Rr~ZA?-|`ji6;+#a?ni@SeeHJG*zI>vY5(`h*=p1INuOD6@}soz-mR{D9(ybN zzW+MEN#1Yv$M?No56Skn4z`P>cPuQo_|@?3EB}?h50i_!&3otAdO%D2gV56cr~8(C zzS4TzSh>Q|RWY_MdsE^Y-K)L?eC?7;*bHvl+r)goyZOrW_LGN~Kk19xy#C;^<ySAe zL$kg7N;}X2Q-55~Mpyh@18L;hEst}X_5OwBy$gTcS4Ypk{x_k1=Z{%$62Hs9#_#$s zLdNgpSIgD7{+`7AByWnc%zW+J?5mK+ZsnH${4)F2^y8ntIawY(TAup<Bg*)l|Am^` zec!J7PkB54l<K=Wh2!iiA+<dF>h&eeyX~*kw7cK2_xygi`)c%_zcc69M&7mPxnF-{ zr^j9IE6_3f`LF-|5xIN5PQCxeK9_a#Rzb#Ytz7OdW8bl0{f*ySze)OE{`*Y-Q_%_; zy(0JW8`mGqnJ2gGx1Q}m*}HZzwm%ntvy`s<H9hCyoA<C5p8s`73(wwpZ_HMG3nw%E z?dO;NpZogZv;D826@BfpXP}|m|Cx4nL3@v%+??`Xt17N#x%P=~o3AQ=n|Wi`qF)`! zRsGIY)3WLg{tWz-=6`nijXTcY<}aSN;rvm?+Jn-+dW@^S#+<bdzrOERWl`#B)o<S? zJ$}9#GH&m_8aj4cogM$5>8^a9&i0DpTFcW{iofL+_<oOD0qxe`cliBG{N=wY?#d%q zeV@KM3d!<|r>_8Y?0#p|9o039TlM?hzE`IWKNV<08u*3TU%#a8x_?3V&E>Z-wa3n# ze)>ai*7gstPD1*1e6OBrL;H2>L$<$L@%!NFCy(Mbwa3E8?N^&Z#_g}KU@yPE?@7eY z-TP%8R@mRvyW)=2tzUn&Mk*uzpH=1W0L!CCn^XQ<e4SaV_wFV4y-VqPF0H<vy{~S= zx2yRR-u`_mRNg<~@#CxF-&(CNuQgu<iu-<W+)v*nZx^@s=<VaDZqB~ad-&(y_PNYg z_L{GrUBZ6+3#T;utABsQ?w%K_Jo3%4=xZ1>%iq2NZQwO;JzvrO&b<Bf>Dx~~2<%*J z{U*D>&tDtbxVOGK*?H@EOUHThRHH4b+h7g6-6oK++xKDm{TKE<u-#O-<;}xq8kI*G ze{ZW;w*CE8@Zhb?+2ikn-xmg*{%80~aGuf4I!L!pKLpyXvjcVO<foXgzf{w8Hs+gu z2=a)%mFMSkr?;;EHz7t=efkaius-`WkQ{Fct>^<6*8X)bsjt|4=h4}ZMc)FU<@{t= zId7hI|BvC_c<|v&vtw#wAUVFI3*5dd1GVqmR{wtY`|9-Irw{K!3wqmEFV&%AIm=g` zzhN2MCk@K*3m_TZFGSydC8V6UcfM=o`Mufy<k6Lz+V4(&Dhlb$*F`}(^Y5-S_h-g` zlHB=wip;|wCO7SZYg6WTdjINBuj*&7Dx3D~^5w1i_R;t1!u$$9O5Xgx24yhkxk>!| zm9<}=r~a1Nc_dc<_+Du14l+x}4Q}1(zpATPSJk~ee(GlZmD196{}a;#zVBNDt>J5Y zzdtW7vH!i!Ch51$&R<=-j_zY}|MFt>D>K^zthGn)t*AYAy=4E-#yvbm6^*dIeEfB2 z>(1GBXTa|{#ZO<RZ))8ve;QiM*Fop#{_B4Iq<%|$j{5BBC*<<#6rlt5Wmmv)?tS+7 zeb5M=?9F)4*sa^P{j0&PyL?#d?lWivulm!o_n;BH^qcz~kjHUeIi0nxzqqez((3=G zRKNY#JbphM(xa<e0PWHJ_5S@!{?)&N*4Z!r|CP<xQ%<*sweU7VTX=PmZ)evp`u+Oq z6T$FhF@HlK5pS{@l-t9vfrfEnmi&Gf_v-ZdCl93{5q~(yzkVHL6z5;-ub#Q_r#^l) z&42jy)~A-}Z@f2l_f=JHd}dwMXI}C@{MEFzzYm7#<!M#l*gFwc!Fxjo?c-Oon_t`a z#Js3n+w#!Iv#GzgqqOkuXWH#;{q}VK^zHNJJbJWk(|>l9?C$#c>)S2s|1Hk>UDUbT z`sBy?S0K6F9$eCwUjvo&|6RWy{(B{Q&))Vqe?#w9SndD6q1eCleLif|es4+rzuoWB z`6s#O-1lDx%kK8D?EX7@{zv`0`ErW-|EC;ohj-@l??R?$>#t01&zk=^zOrgf&O@C^ z&|#cE^C81H_c!SMwT!fj`rUN;#52cD?X!=cgqHMs*MLj<h|eFNUa6iF6Zefju>Zps zm7DvTz#}>SVbCnUZpBa5=i!sg&tItNI(s<fK1!C~wdvX1!&}$y>xz+8oqoeUsBb;A zZ6E7v3#sI*rhWTb{o>z*le+h8{Ligh2br!Z=Y>tz{Jdozy=(tIAGyM>Njq-^Lt?(f z7gWiAR-F}J*xkFg<i2fK?apa7dz{|AF#Ro+vnR;*ZZxDzSDX7)()#+u$B#i#zZDYo z)pM&dq4PECSLewt|9$Z5lSjFm+V7g5g!bw7?t}E{YM8%1ID2dQoq%`ublol1rTlh7 ziF)a5yV~X7p7!neyI{_vN41;kg;An@cGkXiTi4%n-{wEf`%bM#U;Z-4EZyB0&@A2G z4bQA?9{m&BB|mT3y+?14KYcUp)iGmGpDy@{Z8&TgC-nRC=$CchBrA`6lPoIDhL-fX zu&DRXoL^{tH@~WK=USP0y5%M9wY=wEzTCG9w43_k+uN(v=U=ruKH2tN^o>80s*XJW zsIYwZ^SV&IkH18B9#wp|@w{chu{p}=$1DrOtUuey$`u^=J+=J8@AF?|zTdy_Tf+Ut z`^K-iHxHiFVBcZu@3)~bcu#75oA0?fm!Ilb9KKuWzV|-c?~1#7@6WyB{mbe6x$D2T z)Y#R;%Wdox-!t>d+Voei-|9_~jVU~TxAe_J&fgVBW#6ZNu56CJr@wdeJ^KafH-2xN zH~&Lr-NZSMKc*M;%HDZg5++}G!m=>WBK=%b@#&idyUH*A=Dl%D`d<Dq?%E@_<6r%} zHt|>8ul*BkWBx?-eJJUilO+DUBDKCT_uQn*&(~Nyp8I~)_wxmfbGs}5-Mx}swc+#o ztJQY#|8&mpuD($*ZQs66{;&Av{nx18k$CR-;XM9(j|67#zI^@n&Wfjhm)-q+QMs!7 z@&4C8HRqfEpLY80y!w;6d>=bj*RMKn@$61f+8plmqdSYr=G?sd^`G#)2a~>kez!2s zCi=cRd-Soh`hUOIwV$7?eS$GhUtj&k0n0wU<#9=qH$N(|%sw|^^TRs@d6n~-{4JjR zeO3MHZ*)~Bd+>SrpLh1{+VkM7+@`6YK0nLa)b1<u#;RCxUO`K^O!92webeuqKdxxD z?#$=+Yv*0yo%4R>yw5)?a(5o%dsnz?`>&qgd!Ml8TZEr$x@_SUU*GI&^Putj%}0FY zyT9-5zjr@L@94j;Uuyc#cT1lZoR_Dv{Kiq$b9pP`l4oyz_{B2%+>FhS&shBZ`=w5_ ztnk!t-5tx%SL|Fl&;E1egHy+fe^eHG-#qwP_X$I_#UbYEq&-JD%`DC5=%ow4`0E^# zST1j?zjJ++SARjV^w&w}!~OFLJC5JIcYC#d#qRYvHsSI4$DXUL+t6yA^EmVQ@0WkC z-L;ulxnI!w%vXz@o9D@YsH`rxJjiyg@X6}yJNC`KlQezvV;;-ubG*+FM7}xq`}JSx zIS;eG*YO9)zm(hde(iJpnteOx=h*hoH~&7>Hs=w?@y4pA<#*-wJQBG*M{@c8-N%0) zspwX|x4w44J^SU?D{2<Zi~qdyv%${eFP)!Ce&?Uq`~3Cm%^O;|-`(7C^X*aQvX5f< za!bA&|FpUH)3Iva=Y-P!yoZk_z7m}8-#;<<%_E`o->bJ*RBXAET>srN{fuQ%+8p8Z zt;X*!KV<yACtmW^-}I`!=HoAa9=rHwkEKW1hd(Zs2ju(;{;_-&$-g;2vADb+l0kk7 zfHH{1?Y;M%uX_J-il4vc`S#tm{*&EfWVQ4wsylM_I>fK<2W60>vfn<m)b4rI_kHuv zJqP0!JzvUy`8WF)mhIACTh8~UpS0}jo01)4AN=lPQH#x^3B`}@6r7vG`h42vhb8js zzDsZD-M;63kNB?+bN81&!;YPwy*QPBw#A{3pRWervGG6m@zg)2+jCTxpN}j&W^M2E ze*QzI>wDtAczm5Dm+;)Rs_y*rm#3dde%GJcEB@&-o3(|d+ug&w#ddOK=MP8ABo&w2 zdA)!BXzKUw^7rojFLdX{EquPb=ABqk=jP<6V*KkTy5~HWJU%D-Tu<@CH5TFL`i#53 z{1d*H@Oo<1yzd#Mk6nM+S?#d#yZi9-&MC}q9<n^o4>|v_VowZa-l%x+_toF8|8(~r zc^Lim&&2bq%TJxo%U6xofA*aBTfs-ZuMFj9S}P6-#ufJDp8H`~WiQ`u@%-<a<Ezw5 zn5Exe{9J6;Z>Mm5N8SEAkC#qMmw5oq92K4W{;|!jIKcdV^HJGv<?qWIz?tK&XO(>a z`LmOsavYbR8hoe5MepOS6Q<kBzgyIvn`Zp%jfJt_e}}#Ct+9JP)N#LiY3%2J@pHP} zd;jUZ$v-NaW#`OUCimc*a8V2Uw<8tZ!Z}5G7P04^6;}Nh2;cGW@1zLZrS3QWZkZSV zsj_U_oktIKDvy}OeR$?m`grNDDq}nI!dBfoZ@)dre17~jD0lc(fOAL1zdiHhKkO{; zoAcn$>7u^l`X^1x3c6#@^%*~TV`)BzIenw``~~0h3)<e!`(C~1m&f-4(-rzP`~EJ= z+1oSU{Kny<J3(Xait5&z)0@NRB+WK{{H6SR#e9kU^7p5IZ)Jb^x45czasKO{U*CP^ zej=xTe{#A^g7Wq2;q%t#J#4Br%iGY*{^pVHJ()G2%yEqM{c{`7yNjc5{Arvg|Mpp( z*76-cJ7XSwJzmu9d?$H+@q->q_j5hPkJgkutF^Lu(C}S*{+jReUs}G?zw}!||M~N? zVPyrMv@H+3JzCVnJLi$^Z{wVzXLIz@4@$l}=kk90LA$u}_uoanPTF^2^ZggKQFiiT zkU-*pbxr%r*RuW7L0JQ%?x<Y_sXMwsb;mz`%j1u=uHQIl>-T@19cs?tN2xpNU;n(O z{j;+8X7r2y`=#{sl-(<go`~$WIIjJhJEwTs9J}<RlJ9F)+_OK-TJ~@8_wISi=Eb?5 z=igB3deg>dZ(7~b_Am49U5K7@*}Ogb-d>^j`)#$=r#Sh(O}M*!_4YTL3*F`yy33b; ze|gl{L_R+D_bKHk7pE1^d8gWbKIHw)=a1X+WLDZ;5dY<q|KjMqTi5Npzw^4UE3B=p z{G4;Iz1DEv3%^x%7j<*)I(%k+_n~6SyXx&B?>|-q@2u$ib!H#W?B6Tc&xhW>WGi!F z`|iH!&tKMUTK_CP=T21Ky!HDwtUqLDYZ+C$)ZJp~Z2f@k`HO4A)TWnD<b8A3QEtOW zt-8F2ytefVpZQjGW$*f}^z}pEt>=3q-o00~w)pr|ciRo~hh1^|T|S%bJ)&%D<7T^i z_cvGlsndU-X4LyW`Rw$S)^DO;GVfi!`-_-#<1_P}&!p$<n^Vgl>|gL-;bv{?uH$yn z>%U0;{!&=}|KKeBxRpOSr>~#1`0v%?JvL5!@oVKaoIn0f`D*X=742VI=UtHA^;6?+ ze*e|Ke?AuV&Sie$d+_%L{bPoAE7w-}Y%lPX-%!8cTkrbIf6XRV%gCyTA782d=5&E0 zf86?g3#)f5&oBR*@HYD1g5RGXe(L$`{N!21*D1B4?_QjgzG__6BEBnfRd{>W{I6;^ ztG4Al_$K+Oq4-;Ah4=aUYkoG(OM1?6c6WZD{D$vgmKITW4}K2(BsTrc><xcqzW&Oc zwf==_-;0O0uZGL)b@uDOSktgp{8ZY~xXt;9Xn%iY&u^u--u^e<P8ODVvI(@uvDWO| z3*&b$9>25OTe1Dw<LTM)KjkWI{LU3tt&!OmzBhe-zx1w;c3&I!T}ZET*5`VgoqgB* zp3?Olc5(Oi%>SMk-=n_kGvn9Jyu{bNv*ZifkMqCUSKd_Be|-DNqn)pee;eiOi?y}z zto8n0;Bfz)Rh-?D-zR^Y+9yA@E&81JwY66C+e^>I`z|TZxpcOF#qIZ3?Orb~x_N@_ zo8J`noISJd*H<{7_rJEs)4$NS+<uwdyd|~wjz9eua`WKHlb^(*-^?y>UGIN!kFWkm z4}Sm9^LEQ>1GV+%PhyUlr#5?jK>3aBm)NWP%U|l6`Cb3>%;c=Oh3(wh`JiZ*y!m$t za<u!L6;IEoJM?t<lNT~yW%T#T)J54Q%<sReze0L$l}G#w&b<p~$6xvT&3M=R`P$cS zNIp3`a}WRTB$>-|H(yEK)$0AL;qUs)`xV>XZNERYT4t`g`20}+8`B>)$<_GPI)5+l zzyI#nirUl9OY8qN+C2WlwDaZF;BTQj7OTexoPQx0_u`rO)z#cv&;Oc~6RRS<<L^eB z2Xks=?_K(=pS^Fv@*6)ppWQ9K!v3b#l}|6Q{KFsa%5Lv(zBm3Yt@;~!HvEf_`<H@% z_`)xyma!)C39q+r`dRvQR;{)z=(N^*kLK+A+4k)2{ml3(z2E=z)GdB&ep45`H+6om z@vk21UpsdD>+f6o^N0Se@OkR}|ECtu`4`OR{&ilhUEYgh+pn_!a+?2=ckhqs-?rYr zSo^qZ`<^fRzh}q9yWOjq4vG8XeZO3`ZzzpC%fI9B<L@Q)&+7WS{a@|-DD^k4!(QEb z$Imq~55DGD9#lM6SURn$cYFFN+ixFxy3eoB-~D^f{Vrd>#}Rwy@BS^*e&djI&SNI) z9S8N~3JM$d*+<WLIPblG!NRI9`Fq#5H|L*J{H<2i^ZWG9>HmJFReaXic|b1x<jjI~ zZ_a-dOSf4+?~9%8x_#$=zyHPm<lU^QxX!tszwFbkE`M+Q&pz&hK;}KIFMsdfJZxrr zK(tu#T|tYw%wtjY8_#;`SA73o*pYni{*Q@OooD4gfBo+sf8Wd8%`(~lSNzT!w*BAN ze|UF(b^86b^U-fM=YJNq)jxXD|7qIw^vYu0+W$#5m!rS^`t$e2|M}1RE%xkrmTvQi zHGkcTyggy{cC9Dpi@#f^Wo>`?_mwk|H~wwgC(qpXp<?2@+izYLI_lT0+xPqTgopFq z{dKB6`Y(?^KEG#k#QW_B`O;5G7W~?OWgpA#8^8PR)pwikcyRCC@9>9rzpp>|()7!p zuSsvu|ETHC{${#AX#R%-dtcs~HNT)zwz9jtety`V>V1E${#Kf{zuwnhULU8JH?w=g zLCIpPId{X}i$7qCzJK+5dU0n}$L9Fc*QS2`!+Y-Kzx;pi-#zNv_s_nzd-~nFdx!U~ z`6gcR{rlEKyZ5iZ`|jW4()t6!^UA)@U$F1!KjGBR7Pa=}_w&Wy|NG;-=l8$kKmPy! z-9G*B7X8DV=T8>yt?s{D8<W(%`Oz1P-S=Ppp81Gv_w(21)ql>dYBJwHJ^S799gD2% z1MdH=-T1*ne#1}OxAN2f|E}w2uG(I`e)WgH$K~wpyX>>}J^aj*eulk1sNa0n_X6+u zk0<_!<-g>f|Nfs{#QNv+`)#+LKe&7Udd6=*{))Z*^|-|Ts9t@2(VpMGLmoBl_cy)w zw>GP=!1S&3$36S^Ja}ce=_A|T_eZWLJ`#<-k$Uc!VOc?Ytj)u3=YRcMx8vA3)?c4{ z{~!7;uU<dZ_M6L&CG0i#>^$GgKYDU<(_izmziaXyHU1QQ|8GxKPRxq$C;zScDe`>v zoVRQLYUaK8XMaU`-t(mDOZ!BRe|h`PZtvga&xEUbxqm&2e<fYjQ@!hR{?{pa52xBa z?6FID|GR4cC;M6FUs~R~plx@*cE9wV-*xT(uhtxVZpT-D;CjFKox=0?yzifvdtWCf zacti7f7R)ypP&A}<^JD=-%7tU-h0rp@9F1P3jg-#Ev{9bufF5azS_vIZ`^O?$M!u> z{`~2am~{Arx$>XCKK!D-sZjN6>i2))Q>3fh<ICUozn!0DXIgsSBJO{Tn#H2qHT!-) zzj<_b{k*%{+4r6lOZRtw%m4W9-<I9~-M>E5nsxv0pA`jv!%OGyy_o#<FYkuJ2AM}< z?iQ8-wmVmStKMx}kyO9w_ng1ddv4EvboU?6`){|yFaMiy-Z$s3?|b`4bM8HUva@P` z)pq;I)j1C&-Sq>^@2#+3{ww3=A=d9XcM{n7Zyb$|d2?{S{QUGCkN!5T{`_}w^^S_p zdHa*<w~K%O@=a=%eB7$vrg1MfF5P!&t!?GK(<Su>q+{ZOZ68!x>cy@5{c!6Q=Ux5P zyLL|h^~3Al{C$_AcYW0QdiLI~_sP$16?JX5cvLB@7r*%DFRNYhm%VK+2uIgymDnFT zwsBKsZ0YB%Mg7ckV*PCoAN=~X<$2`}E5Fa$_bzO&SW<3&;m;qoyZIY_R$1;`xT|ze z&V3KQj~&G^cOz_{R<f@1KI`6B<;MTPWqaPDeYKn4N#CfNd?)d@Zc$b6-G0zj4i8wL z+vFcRd)wsxou1!cxXyn$d-m$|@)vbIZ?~Vmrh8?sms~;5=jc__ElLc}e=e+Ude$4g zGW<*HzNO#4e7(Ete$w;UPtUH+D!;F6y?vsv%|ov1+e6n^#&z!go@uvlZk03tN2l%I zL(T0L{8lT=-g#WkcK6<Q`5T^ptl9Hu+VkAP`bFQimS6bW7x#GTynBIn|7>`te#6>x z+xsQ&F1ycp^yu6x-oAHA-)-~{9CqJwe$VP(4)HIKxnE`9_0@3aVLRKsv!5~VdVN}Q zUSap_-K+a;*5CRm^49;|Lc5E~IrCP%yAyo(UrWxz2D=9n=H2)HUi{{M^t%m(@|Djj zxoeB9;`M92^9y|azhAB?W_#bSzDst6{};jN`Ir7a+V@Ja@1457jsAhze&5gjUcLUy z)VRy+Rn=<m`_-#{F`PYL^1X3W?X-92Ee@S+zS0}C|G4&?y0Gt)*;mj0!eL&b9`fF9 z{co{(FPZOM`rQ6v-{sf){s&kdJ9apw`?>CyH{HALU(Bs)j;{JvaQ1urwZFXgF3ojc zq5p+*_wyHZKRv#(9PghbJ5T)4pVhnaU0><l1#bbqUb6o<w{7*7XW_fH?|)Mp`+a*w z^X=moD&u;)i%aW2N0iQ&dpz%*+yc8g@9(*LF1hE-Sso{I!cNA<&u^pTX~y%>FQ0BV zy?@!cs++y)U&UGf`&a*pNZ+4$SAE6o=ckSN3ck90<xyupDR{oSptSMX+vcmuyE@%} zwLJIy&Ylye@!bE?p0Bkx>o>_fVtu~D`-<?q>kodl&+?zYXx~Ehibd7_q4)nqe3#An z>oIS`U*VlLF5i`9<g~<ZRLz?6$%ff{^E3827p-kBcON#{AG74Q<hFXX>h{&v_S3gp z9Q*3F_sHz!r!CKS7ykA>YkfXI|AiQ6%I2TfclS3jI^ymb_wJrLX#A9A{f<L_C%#fW zpI!JP`)vC8p!F}L=Um>KzFK_$)mrxQFPZ68Ghh8{I=ndLd-<&G^OUdKoImhT{tEk@ z3)XWkcR$bC_ec4CzxA%~HecuMJF2~I{`z-+JwMN0{B+v$qZYrqcYS91+L!mR$?oy1 z{9X2s=EbGVl7DfKJN5DVUHg;dzv~CRuZ-({T>ql(Thh0Spxt!(f$RS-h3%#@+?D?? z`upPPrx>@ztM%KQKXS6Zv>vp`Sak0h)B6(JcYXQKH|6ofcl%>%7wO;F)$`7LeE@&q zFR#jHm7Mot{J!4}Uzz{XY~9P~J8_QR)om`G4&OXqZlBw>^(%fK+gQ}Xo)Z_j_weDV zPxf5+`)5^ASM%x<|FW-Mw@nc*51%YPC+btr-s}@+Uz_aTyDa8mn!lx`pY6@)z73nF z=j@NG-PM=#=+&)H9n<g5*XLWmI&M$n_vPsp%h`{w7QcSImcRVV+jC!j_3qmLV8@iZ z(i!(EC)?cj*j7;MTzTlD?-Rz`eKGqYKR>oB>N`FA)Whg2hoeu}ndR$A=ZC(Jtz7tg z`xNV4_0l^JzFzy}OYW@ve06n;y=&j>i@p0bMLPfc)byD9fpUdK>^rO4pS|6Ef_2_I z75AyTKC1owUD)t;_bTg}eLI)SJa{Kp)Z{zo@sD?}o~|nno1>S0R<g)!j<nzBxmEj_ z*6)cw^84!-gA1Rda^9(@t7q)nKY!1`pS7v)V_*GaPGw*JefsttwHs_6esL`Nn|F3M z|5Wciyib4FzWRFjsen5F<h9>d-R_^nYh&vqSMW1q=ixw==kG7?`59q(^zgq;@vEP+ zpVH&wn;?GY)8DxtKUsWz_IK9%7oug!U!O3)x+naEL%rm4^DEB1$3@Tig~%5cOp1|N zQ!B^EKPfy%<&)TV|4Fy`GwrHZ#U%gN{PgVbtJ4dMdTu+XEDyXdqZfU{)@R;_KP8n1 zzPjnzFZ%goW>Mc;<tHB&zk0kmMSi;bsbeQ!Jq-NhWFEY8@%QsnrRUhY``Iu1InRDQ zOZEBia{G057ji+1^J*L4_3v0FzC2^!GWO-CgyS|&&D@t?d9VA4n7ICw&HgLckDrjv z+2iufFZg|&nzcps$~{N#X+PoA-x)K1-Op#CMV;pBr#$vwWqtgV;=Fj>==2rg>!<R{ zh<|EWd;PSmT)g`8`Il-6_sn_pfp^pY%+klco4S9SpW>D)|6h~z|7?k!`F-8!{A=ew z{)pYwK3n(+$NKkEf@K~Fg-@;O-u`}q`<us-{(Fv#t*<!v?On0o{L2;G@4J2HByxw} zI3}BCRr_Bp=HZn0{+}dEKQq_-tyq!z+o!aBuiCx33G2mof2k|~@ACDN&e>}DNyRdk z|8Bl2{Hsa(PEA<tk(bYJ9RDn|$K=-gy12W`Z{PUa@vH2*|1|YE7f#20mah82y7SmE z@u!^p77r?A<Lu8}|E+qL|E1<Pzd-(dYvi_UYB&F)>-X}j<*xe=erSK{nY;d!;Q7rP z3a#Sx`(84CdwF%i&ksCz^$WcC_br=uG5pS>Z?9iPVxtGLHDTQ>gv&R5dAnwft! zEjBK#KPI{N*Rp5DRbO;>9)4E&>C^wK3HvYpK3ytvJbcnb`_Ia1msFxuyi-(s7r93K zOL=nsPp9`1PE)OEi&huEnsax>xzfewBA;Kev|fHLbNRWKZ~T_aF7Lbg@(M(g+NC8| zEaT3<Uwgl@V&DDw-%ZQ^@ZEVlrCN7e-J$Q_W}SZ_$@lW%-B%y|P2WGBWB1r}@2|Db zqUYRE*1z$0?wsQD$G*+2(T}@aEpzd7_15`+d_J?rT|WH#3iqy$)jJRKn$@lQTaz%a zTC?okqw4<)_AT6Pu}r_>EB9Id9Sf#^-|XKnKcPD3Z=hNI%D;@$@4VjdTl?!H$Ft#I zWYoXB@PGAky{Y^I-r9qzd+R(tGspFA`YQdlx_(;rJIft!ud0I%#JF&}eQW-m!|#vZ zyIb@_ytF^hMrnOPv-cePRriYY?|#}_b^ibAD);h_PVpNoKm6WUK0n58S?zo||Dvw7 z$DeZX?|2^9zWevrxQ{K**sJ<_*{>|#zcQNt6yvv-+<Y&-PM&rC!>jj2y?d8GnOM#D zPX5ww;dw8Z&D_7f%6xm9|FpEsg~gG!OJ<)xVVPIheEomG{GHPuJ`~*dJ@RYoyTsRl zrH?I3pBq*#YyZ+EcX4ukR$cGg=TF7@Z~U0`Z{Een9}D+=kDq1!GCRk9p`8A!caw`c zly`k>s`AM%XuMp%y8K3c;I<vlAHCGvCw}{jptb+%>;EtA``#1tXolSXaNC8^`X{CD zJd_jvA6&j;`s1IG`>x;k_UZrf;+OxX%?~z@U--^mU;j%>+~x2uSG7&!lhUm#4+wwT zVg4ZXVRigb+p5OB;#VfWz1l4Plw<viXREIUS9NR8dAq>w(Sawn?<#LpbiO~TSbNBB z@6Ev93ky%2{x7FJ=hEc4SB!W4mD+jCcHW1y^sgP&**SU3zb}rxl3dlmylboW@7vk; z4oL1jXlZ-b_cL#qZsjp!za8d}irDv+m!Dn#LfYoS<lI+}`~KAB%-poIeb?5>cOD6( zSFd_*QL%PT(fPwq&)teIaGU?ZA^+Q@e_K{R)6RLTq+Y%H_U@D0blrCx&)##>-S+uC zsqo#|_EmF#&60aCG4JhayY~w#nQz~I!e(84>Sf)m{*Aw@b{_aVZKwI8D*d<Nzq9L{ z??33=SJnJ0DckPYxqF+QuGszigsfjd9mD&_A9{;U+RB^%&bn_Auy@h<FEj65>iugP zpImNV`Bm+0b;)yu%2xK6N1qbD&Z=h3lie%6KXUKF_KJnK*X^oY@XYs(titvi%hU5O z)^zQ4e!``{<LSMY-OXR(YF9k7uIlV<zIu84s_1od66WX0FO$1aJ?G)q+gGw>Wc9w^ z;oer$anIZqG&XeB`KtA<+8K8qG|bx<`&;p@t<$-W9nvv-ook=mW8-iCyw`n|{}+zv zdAo|I>?&@_c{t(T!;X3TgFk1#k=NaR<HOUL)yB0plin}Y|H5_KeP#ObjQh4xaSuD* z$*h*U%pUXLQS2+(zW&L*>tDaOSDe@Pu=@Vmyi2@qWLDoxes8h!sG+TO+;7gi)%|-8 z3co9qT@igpyRgpYes$9>-~K}9@_VcAvHhvDxx8EV@@HYw_wyE1{;w@+>Ybd@UTth^ zANZN~?$Yob3)9uJ_gi<~?@!<Lx$bMLUBdg6v*HVXaUGYRVEeAMLN@E3mFwN*(mR%Z z-(m0iSvT&*&6T&z{X)Onov5xjq`A&Nn14t3qemuh*ZYO@zchUJ;+^EH!;-VMZ!Fw( zC)s$?b;0ii9~3PQKbu)}WN+&}%i!O-w#%;XSpGacv)(59v!>bkNq0A2U0eJ_u)U(V zuc~h{|7p(eN-^_R*Zz+ADth+_bM1l4m9yS&5ItSFruKKuO?&^o4?Wj+SjO8vjcZ-a zy=8u#i|u0diY3+JE6$5&-aGiM^;5&#?x&BO&K|ee<Ds{qbmN@j^9R1F-g^IG*1ZRv z`~0@-k6HGU$9npyd#bPAJ^j=o922){-@(<VpEOk)=B>N1uh{Z)3HSLgg3{rc`;Nbx z`svr=Ti;(C6#c}dzvIZ;sjpPOXWfZg`+d{YD%bdjt?%NO=Ebe96tBL1LiyXv+5MSz zM=xI8)BiU6$*gL%cR_ovKW@91^w{d_qsO<lubW={=JMa{tIWT8jALq>ep~oHomR~` zFaN>sAI}e--Sm<7Z~3|%o@F0qoMik~&|-bB^W&CM{@H(aKJqVreg5^A-%dHn-`(ou z&*e?7&bhCYZ@2RAl)t8ZFD`z4C24yg`&ZN6=&$n%7e8CAfBG};pMAHVw$1w-w>7ou zm*&~^FFEsGd|Q5Xvh|mFlTSJ;MqB*uvU$+--74mC_v|hE7e238^xJ&3c>LAsgXvEm z+}_lFJpUB8US<BFZ`-fDKA*LJ(R7PN$L9yf7Zi2R3A@$5;j8S;eW81w*$TIRku(1y z_WX;^^?ko%_fD^m)2mllZt-K@oBPY|eVW$|9%$>x{`HLeR{#F>-%shE`rH4MX}SHB z$G2bZ5r5Q`cXy%P1zwv=(tG|*yqCRyN#%dNoyQK({q&zv+UUuGdRqoCs9=n}TlvHG z>!1H0ljpAyzIdZ3NAi|mVB3X_scU*wkJaT!{+^JUVlC))BI1(BQx`)KS)s{CG@n{? z_UiO*U3?-UNx*H}{jaYJ>vygb|G#J5?K|=3pU?b${Q2j)?*~gyewyw7@BjX7@^{|P zGCuh3`n$s3^!EYpUk1xuvbK5fcH*x2HPN4S%l0Wwe;*=$WBOxD+p6imJmx>{v3vBg z^_ID%*Z0%!Paod>^nrDe?|hlX>(?LBw5{!bXZ?kB-HW(|a<OY_FMmHZSvy61eVtzU zjnw#N>#C1-XRE)cmg!%v`B3*&>b$+e`E?=lA8woOZj&0{KR15$`u=Ns{No>5+WuQm z)h~YhME7bF`G+SJs#V_~wqs}ia&5-GgPOM1VW02GZ_Tfq6Z6N}@8g^Nq7RB+Eq~X{ zxxg-SX?umMzWth?1y}Z6{rIV3@!fSl|HeM}#8y<(RCQ#Z!1j{w%C8J-n`GTTo5npp z>-GI_^osR`#le==fwc$svH0gLv-`cG%4z<ihI<cn@74Ky4}Q1ZV*k=_qBigI4*yP& z-)^yc>#qiB|9Mk>6;Jti=b&m?VXy6-ho4mT-tQ>h^YHJY$yb-3Ia|1`+phlH=L^%t zckG$H=g8l6pSaj7nm_Mem0wscURktepPjsaVOjdunJ?4y`98|1TO6_MD`-u=^MLhT zVUuieUCe$vJGq}<BR{|1ym0v!(|PX~em`%w@Mq6FiOcCze--K9o&U&mukkYR`B(nR zwa=fl-1zM7<s16X&wg<9-Yf0T^M0R{F6PfK+_L`H6N|sUH}4YvU3kuxKfIz#`psiG z^F95CKTA#DbN^Gw-v2%G9-XcI`t#uOtIWIl-G4Qxf4}}$civn5>GKoqZLNI$Hf)|2 zUeP?4J;UzznqM;K?bOpNj{I~k*?;_BOx*l)Ht+Wz;;#Bs`E`Qa<4N!49sI+*_5AOq z82g~If<Fd3j|%=a+p*wz{FNG?^99cHufED$QG0M^(SM7Z$A2b2Vbs5|{it-+SJkuX zUxfO<ywLf2)$x{iedM=!O6@!BBFfH(`QJ1@$h`NU<lbZdgiYtyxqj9?w@<76e2{;^ zf0N2>f$^L2yO#Thzc2KAU*MyE?&6;(lDp#PX{UG2Nq)bx=!@ysrrKhei*r9;@&4s; z{$=*BH<h=-=db^L_4E_2cKu10*RNiGWBUQ`UH|LPT(*t59KEMv<G0e@cjfD+Nzd8q zq^B1Uzd1kChkyUVxXa9UF0;qTtg3z7y6gR(z;}gTqbmRUe&zigR^jcxZ|S`Y$!}zr zReryHCi%URWyR`U&AXT0c{Ht7`i<PWdD~~b2em&pzhA*`u_MCo-qK2W>zy_abboKF z@LRuc_0M^6k9y>4{cC^mz3twwKmGl5XPG_jW%Uc}Hsm)2S2eqXc4t&|K3nYcdy`*Y z-(=xAH39Sf2Go91{2Mdtk?7vze0vYe?)@?G+4SQX@jsI*Z352~R_>Ac>vA{szRdQL z=gVKo)h@ACc_fBY+b*ZcaQy@$?v7FDhMHKmr@#xnBmeIx(x1rGTi#meok|E*a2 zldXUGiKD5njKAsj`iJuChx5N+^n1ZvX216LWBn5Q|BLP<|1YWh>;2X8cUXm^|GEJF zmxg*T->skVf6uqdy)pH!Wd(m!VG+Oo8YJTXy4l)#|8BZ}>hI4@{hy2BF>eixd9SZm zcis~JANOsaYWt47l<)knG=HmAEHJlNeEr6LhtDq;{1i*CpK|#9O7$K4J?8!OtUb9; zbKbUBw&z~T_Psnh-_-tR-@EDICvWyYm8qV7;$r+2?r&!cUCRHhio4`q<rjZBeeSob z^_Ty?GTM3Q;rS;eA@LjY8>g52e-DlK9U;GWtuEdFd+VJCZ>AT0<o{~=d)l!tALhR@ zwLM^4d*G<(E%Sfg-{;z&vRzlFzW)E(eTnzE@?V~;zam}Lvsc^ny<cA8+53;pZ|t5X z)ANe&-9Me}J5ukn?G9i0{Q9*T_wxlF^JCp>4;(Cg`ow<L_l=+VD-T3}%l$YfF4q6E z?cO7jw!8NI`ZE8P_<aYx_4|LD#+P?AXP;iT7&!s#YJRr(bw+%d^Uj@}a~`CySC%P% zJ=y;AXM6Y;IqNTc<zF5hn-zaA;P<K7Cm#D1^?8T)<*j<RDZejzSFv$vzul#|vZni& ztuK80YG1weS1xnw(=Yp81%K21a3uJ9{yCewhZ*-CjlOf&>HA~r?0p9x>lJOB{Hv$d z`?2+k_U$3i1h9OU{XMVmpQ}&Uek-4P-Fo%;o7)d@S3O$U{zYPX$@gn#?)(1UbNj^C zdz+dc+ddI<e{=gm)4cm(pABsf^VXJGe=YHU%blnHz46WCKXIQL#NXV0#Jl&F>u1ir zN0e=MHUG+rf7`u$llgS#nD_d-%R`Vd{$G>%_dGxAo_nX$4w<&CEEE5#`g>W$uY|91 z&%f{;|MF<vtob%B-%rOrePdYEx7Yo|#k<f1Q1f+?-KFARv+Syue7jnH{qL)UorfMK zKm8*2O8cAche_|=AG5i8*mUpF?&F#9+jqY!_n$01=V;N!_FuDVy_2hUEU)tAFYuQy z_?Yrm`unBY=R1q~SErwPJ@Z#vt@fU!>eZ{wzerSbpWXf2pnq@mse|2DWbeo-LE`>= z`1$usfA-CLIKl3Ac<q6Mbw!`J&i=kpxe}K1->rq@eAZW&r)S33$nUIKEA!|<=%(uU zuZ^|Bb1q5ST*{8Qc=@lX{NAYFn|_}>8{}8;sj9N9{_Dw)fBqcSuIiVqYPYWX$Z%Hw z{({=4jGum#Sss5H{Ul=@B;G$efa6`+1QhRo74Ck&zc}vUGY`w!4d9GlxqR1ufoCt} z_+IGVd-QJMF8TU^&%AQ?wZ++2oxic&q;7uI4}-4_at~VU62AZ4W#6`IzP$eT8}?yw z_A#~R_KC(_nC!j+67v(!$S<$GxA@5~m751YdgT=!*~hE*;^$&h`OC(4E~NJd`uktm z_dsE%z3aEaf1H&ExWDCoY>RW9<^Mue?&ZbgtKn0>9qpgI_wrML>+>hxJ-&MRo7oQ< z?>*>=Oa5<icDetBn(ocbPd|9S;(T|0Lb`tlxX6zWcn>M^=PmhpQoMA3&(k+DmdEei zEc%iHE%KMInEqwryvx_W+zj8czHZgK@ZOk*A8agZXZ`A`<=(U8w}1M)cMoUXdvx^Y zt?RbV-*<OEVM`Z3b=3Ik^*7N{mk+nXBHs6{^!%m2ymukL>Ob@Ns8H_;DuZ7ch# zoclL^k3GBle5zda`N_@mS6c7*y%195|MRf5clj)9dswmdTg_KS_Rcwff<D`o)#>q9 zoZhE9@A7K#jD5?eTP$|h51AjgtoCE~lTYPE9nEoN@oSKC{4b%i?)$Ipd$Y9YbGqfh zlk!h)p1z{4{^2wK`WLokFJ#}na9#ED>4Ms)r=NbzvONAY{^<+RS9-sn?N|yrC*{k; zcYl08XaADAzWz$>|6A2DpTEE4GXH<+@0U<;wtsT})xXs<mseXX*j~}S`}&KzJ<WFO z4?Zq^suVnbV}53h?bi=mLAQ}`)*g8GwY1*G=X-JZyN&h9m4B_E<$m0Hxl740m+qPy z)C<4;d{5)L^=ZDoe8ue+N%z^rzx?r?)&Ej5@5Sb&Rl6pCV{JeES8r4Q=i4Wk<2K}X zn}2zA78?E0SHibn-S>mz=Iv0v!dmy6wX3U6?c<bt!F%q7>9_Yweu4%=3%`|F-f^}) zxL5yt5jfvhmBaGA?5z2BoWGw|e)=Y^sBiB~nZ3b#6Yk5nmpqSsrCPh>`4`jLZQH+H zeSht5R{hRH5BEO(qVZMqw^)Ve_xp#T`QG98E~oFi*-!GGlT#3X9}21P?=Oc{_zP+u z|1P~>*>dOctA(F_F~5@jw)Vrcc`|Fk75<9K@6BhD-%D6ltl8DP+x?_&-p%a?-z~oS zIS3N_Wov#lG(Uc#a&1rlT=f&o_crBsKmYQ|dDi=vs^?x@Y`?mkJv+W;PmEnKU*U(4 zo3@U%3HMo+e_`wYA{GASi}I}gdCO{Vdgbv=6rN)fJ#X#`yPq8P|37ryOK!jSurIDA z<n!t08Sy_2D{DIEJpRO5R5EGT>3x=YFVCF>SNQr@{><8U?CbH;`}I?+T4#s&*)Oz9 zz0c$yx9(l|naj2^7oztZy{leoU$^&}w9P&@J-elL$@ec=1oQg`Ld*O3)xRIF+%<o{ z>${Er)hhpHLL=U8F(l$I&xp^OzkS+k<y7|L`IDn%Hs&{TSN)4Td;ANJ`Ino|uUy`q z9bd5P&i?Rkh5zzk*}gUoQs7%T*OpHCb~Jv%-sGnO*X1YN)nA2_?=#LW-})?i&RorQ z?XN7~<tL@b2Z6J_d>Ay_pS$$uNyT0L{W|IMCltS__31korhmiyxZvHNT~(g)FD+|* z=g(bI`!&3D|F52yhaZBAb_GIfe3@r2<<`B_jhnNm_U_JI_vb2_Tl`)VQ{!8EcHdG% zTO0qq%h-1;=il*T&bQv@ulE&iyYqO}wCnNV_c!FXZvXP+Ke)m-Hhph)Ciy*+rG@|9 zgLlK9ifw;$JE45>yn1J7yf6IK(42qjWGkqyum9Ar{mt!1mV19qdsbc5X<YRs_iN_j z+K;Y9l^bOqJ-EH;_A352vmZ>k_h4e&<F0vi?w>EZ-kKh(V{Si1cur0HyxQ>EO^=?- z$6c&`bHVz~#qRf+bw8QkYVX(YkG4Gi)c?r~c4)4jzM>kG>qEe~e(t;9d#hS!pMLtK z9g^S0U;XQ!0n6|5uj~G>pEa-e(;M^0rS{mqr62RJ9-hC7{R@No7slQDFaQ0RzH9#f z(03dEt5?>p{57Xmd(Q&(Xh;kH-<+TJ+4IY1#{Bm#EBI3mYv@hSwp*6IW8wL_h4bRp z)*jS<`fpct+~@D*^3R1~aUZ+zXG`3piE@9vYD;zBc30ory;0`TFSbp;v%gNM6}Gt` zJ?CQf8|zvA^^1RsrSG45IC_Qpj{Pfbe$V|isW#YV@64+H;;Q!Ks=}qu)YrY6zJL1W z+b1l)<*B;Y2SDOp64uJkNq@Wg|BByNWuJ1jZ=ZhIekHV(pAL=tH;ZcThVHumv#5FV z?h{AjuRx0Y{j08jVfg-qQM~->zK{94-k*6Q7ry)C>%iK>`$X?un!F!e*YD20w|7?M zo4?L{@7BJ1kSD+MsP1pG8+(^m{qsLt{)MOg%gy~)*k%4i`^hb+l(+sqm3>d@eX;W| zuhyH~zwABd;^LEj*Z=IC_ilad$E{C3EiCG2W<T|A>s9t|z6D<SA3W9<y85qQ{PTt5 zt?9Ahd2*`i7MxFSe!Zfu{s~d)AN^{&zh=j`spb=oA3trW$3IEg9n#QGX9w5!9^m*l zzf${u<tx#=c^b>jgXV9_Z&ddW`ksFV-q3UT{x}`f(7XKf(K2vLfBr(bdp@=c*ew?F z->6;ou7BOT=lT<>-`M-dy<cIMexFPK#mTc*ovV7hcU5yg<G*N^ufEeSmHoK>G-$@J z^M+>pwO1}r&xqf!`Obc~Z-sR$Y#y-w-d5qf-UiyxzxHZ%cvgIk^v&wYGLL>4+}yhs zslbn4{_{)Oto*{VYRj70RZYJSKfS5<%KMw|$1i7LO?_Dt`^xTj(}OLmx6es_FI!aN z4z2L5SHdd%iMPV<M}A+;e?pXx+j4I=w8Ae>g;x00Ti4$X{l1(3B=5PtiQT(buD`k6 zWLf(@XoVk`b-$wgZn>S>_Z?P_ard2}v40nw@%uCG-JM(c=B*<8{GjrJ@=cKXzAnc0 zelR5GZ=3$Bp?T(<ny}AyWp=u-`hKq|B=T#oET4aI-;a4kk*5{;?DThk50bxOeo%Ao zL1oZsD|f>`UzEHx{jIur{8ZsNb5zg2TWj}I1F6JknH66b`TNxG6OXSym6`th)WzDX zvER-XdY69-|NcVx?$24zu75FI_kQ{Bf7`EW--%IJ{yiKV^Y;V3%O8NnyzGMCAHSR4 zf3R_C_fBvN|NV+~epm}%|JvV=j=SdncX_w*{>j(u&=&qD0Z7iboAOgWYkv8Hn0P&F zi+v%mhTdTlNJDR7)vl}i9y~1iFMad)Pf=J4zpCY#a8;*!)fbPiSJ!XRubcAbuP0w& z?b0`PQMRe~S@^%OrGJt7z5ja6FNw3;Ev!BG{;!+&;Gb5d*h>AI=0`8@U-8{ydAY?h z@&2oSo~+*$Z|8STtvdGe`MZ|i{;ia=je}PAoUrQtoBrF?{MY`zV*9m+tNr@K%j&D3 zd0z#Z_hZ6;?~3@od-f^bb9ox#{$Y>`fBqt9g@4E6_p#-#_7!XIJbtzB)2~cug>MtP zcj56HyLUdjn{Lp*H~rMXw^wEFR2Fqdf6Luao&B{2biBsH33k=fel;|wpFSCD@;*6T z3l{y)q0PPXq4Vzr{(kiQ<kP&Oj^^Db-zmOg{;g)Q)VyNJ^&N|rpTA!7Bs+fEiL-V^ zO_Ob(-sHZbF8>&*;1|!T+d2K)RQ*ZEf1j|_`#yEC`0CwnW<Q?xZjZ~|#r-Ae_Gj0> z%#PU~^8GLSs_-2<=g-;aQ+sM3>%2Shcm3flz3H#(WU}@heBAu>ktjId%P*3XTP1ga zdCmp)H+CW4kB7gitDA5q`TxXEzd~OXe_Q*ZY2KbF+a<?$EZMz2@n7Jr=cz@1U2Y!y z_!!p1+YjsE&8YfS@s>MI{k#A4+Bx=-avz#%qxUQfUw^&EN&llq|GfqKs=B@%&7ZRO z`ANlf;+uY}A(i^}-k)Cvebzn4H~p~qYV{rU`)sN=gG+tiE7fx@TgzPT-kwqSiRrF> z|AfuXPi3n0CokT<O8m|2#~ttX`0icO|7Fg;W#@z5uD-wS_tnIr*2CeaGuQ1)y3eHl z@}KpL<<&cuZLjz!_4ak&mA~%_b{@Pb{p8WySJ~fmdtW}>eI>i9q54-tw|Un6A9;7n z?<s!YVdWGjzZBZVuLfuQ?OFG9XB@v*{d7S<dBJx-NU2{tz3QJGwABB7m0hOB!LRS) zp8mPtPs5se8E3zL5la8^qWRTj{*3sVo|t(G?G_e(W!@+~ykt}PT94nG9zS`OVtMdn z=chN$uO?6afz-1<JS)B~<oBt^Pd|oO9#2(uS6=~%_!@A;Kc74+{_^!5aWD7%ul&ko zzWn6NPH>f<vi4p5l*(Q0%vIgncl@65?s$6UzJrg&pFC3eD*1cbvG@>v|1fAvFZ-^% z{kq>jcNX>kU4P=W{|ZRYJ_y>gpM0i1^!FbBlV4dkH9!9R6xy?&zv$<Tc@Nv{tV3=8 z?)t_$f7<V`Z-xJSVU>NY+h=gk-paT3tM1$G>zl5hR9v@Td-wcMq@MkEllgUipLNgq zO+V~?Rrt<-k9oCm;E|nw5qpnY?mcX{*TVVp<oPe^ezIEbb~?AQe5OooASBB#57U3C zY`c8@%gv{^uD1*RzFPY!SNr~Hm-V5QeZAP{68`I7#PsVH*WR68x_`G<UV+((qc@?^ z9uA9k`>gvvLhqLU)BL{U*X%v_R^;E<eki)C2;9Fj&$?$jz4A@1FW)-j-Ot{?=X>{W z?QcjUzy8YS&nEXT8p~Wtf4-XE;>WHzcLL@=Pk#Oj-rBQ=#QloE`S%=tKjMB;<ZgN7 zpl`}|X_QPao@KXd<+s%PQ>6X<3N}r)`91B|la#uD58=al+n!C|er4Y$rOG|ca~^-% zR&-?*H16F&nf`ehIPUjFeE++9W%!PrljqchLo$8j-T!i*OZeHph~*c4uf5y<{Yp*q z@!hA7@?S}R6D@V$*>;Z$w6?$N`~A4z_s_LY<$hP6el5QW68WE$A%*_G89!^cod4Y! zbKk$LK<0#Q-;M1DS#AHXe3o3*CjIM8`m2|<Tl9B?ypz}YZDH>K%k<IE+Wz^g)jPi( zoqqD3@>7B9?5Ey6MH<$tpYiN+e8#?`H}#)>D162FPG0x?x&Ux9zdsP#%&%Me^Q7ah z_-{quQY??(J6QB38(P`lhxhJ<x32%U_FXvl&O;9`KlxG)9oC6I3GLo3&yN3IefRx5 zeRGTIzL-CbkY>INEcTyg-T&c!w>(bm`;MxGd;U#^j_cHi<-aie7PqAGyVkRf-^DE} zw(e@)J^eH!>b0+Y-k-H^ak|Bl^Z%CFJxG``**xRk@pIWv8S`&$KU!S%wHy-jFS5SA zOuQxj-&wDJg0qZH>i795G5`NkP05aLssE?VE<eTTcTey9zr}VxEs&~vpS;Sm_RDpO z-)gT{?SDVHI7Uv@{d!pWP4nZrcX#&fa+iO3t{ObHQyY8tdw=lyg5oW6;{3s}UlVY5 zza1?0%bD-?`-5Vi|8(nOP#^C{#n%FM*w7BkUHSYKzbjS0->~<LyYB%V+L2xj8QM`h zGk;C(J>RFlOl}_hs9Dsl{4KY@@qGR=NY*dDdO3Kj{*AzQ`xSm${GS7B>gBD2wDa#e z)t2u1cGUXhz3?Xj*V9kE)4dw}&G&;teu3NijsI28F5iBsrhD_;rypv*vV51Wd<LoU zi(w^xbaq|Qx_8sJPu!e;%JQ3(<*v0=2lrX_y*T$4*3N&!^mcXr`rlW}pK`T}pM0qY zjr{wKmAl%rtGdP4ek%>W`@T-a+~Rj*%$-0;-0ztTZRr(ue(Np2R{Pv&=kcrlPrt@q zfeh{JTn!%DF+RI{w^9Gz-6s#Ozbbp@uTx&(>3zIq^(*K7hK}a%Ud?Z@qkRq!sE=n2 z8_#{a3^JzocAeecpx=*dpM1(M>S(q<{cavg+*fDX?ehA)Y37|j(S8L*TWxMf*M8ze z%JnzgKcBA8h_Cdo+;i{VulM&0D|Ib*O^0^x_kp|j*RO%Q_jSA8-*?%2s8@OU_b_l{ z&w9mgMtIE2-|a8IRMUKX_Q|8QS3&uH_p68hV8c3nyX^1zfB$^@l<l|jY1hAp&)=Ni zD*ojO<10nmW2$#;8!G*O@7Wo1-?OY>PxqZi9ktRi7e2FRL3(*|OXl5k{N2QU`tRLT z`RC<R+4m&e7t4Qf)!AhJ1#g>6i`!SNFT1+$hr`X=(R_t_cfYaoL2B$(XV`%*qk8kO zNCMQuTW(X`0<P=#PKWmJK6;$>|9187r@*4JhB?Xk^_Epzpi!R;kNUb>;qps<Uu`UE zJ<NVGa|yJvPo4>_>=WL;mRtV&?&7Cx>HO2XkFSO_^8EwWzhr#(l37n~VeR9CyWY#_ zeBaUa<f|}jRBsYE>LbqXHvD{}dXtQe@7;rUCqDsq@6E%`&tC)?&aGbctD$-M$&<w< z?~|X;gcbNzyP(~Bp06*Rem_b-^_2Um0DJq1cTOn7I+3&b=dG{3x%ug{r$tSZr=QsT ze1*RjQq)(hdp2GF>b_5vpJtr2)Qi`2Z--X)Hu2yBKNMWx`<2{}UlqQia_*dc4&WTW zC+=>&A}rp&E8XqCzwRenfBv*?@zvnb-0rF$La;2)aaTTm)$gByMg4!3pME`k<@uZF zhpe`qklx+zqG$J4*51>8@+;%!!H?Cj+FpJYB+FMXt@>s6mOD=MyZp4;In&{-{H@Sd zzSP&N8*hoHZz`W8^XQlIrr%LWjlA_H^W~QP6iZ(}`Ec+R;XCy)^Y%h&`#L9RZC}y+ zOnvIR>G@MOpFe5&%}&`pKM2ybk6Z=o+-2ShmtXh$>dd0n!^Tg(oP}oj{jJa}AAe!r zJC3{W&5D{g>z_Uv4IRzhz7pECPtU&pqwH?E)Xw8quRkeW2W{h7gWGtYP2S6$wE1>V zlU*D%nA>&-JbxFrV%~mlNayZb-d9F;_me02LGhjh%kq2OAyYV7SC-$uy6?x{qMyN* zM-K9*ey>Hz^6#(Ld{KUT+D^fI{{-PVIZtn1d^Oo0xm(X}y8qA4Z&Qz-c%1)KX8Qg~ zi-RED`sWegZhaiMTYvoJzW?c8xy<)Zzs$eV|K|1slkHdhmQS1a?qS2dN4oF&uK)d! z1sd1sj+wInT-2|B_0atab5(=zuZFw(U;f*1>F=MY-=M)@x!?bT;^)J<_U_QgH+=Sg zZS6hJqPH(T%gDrs!@77Up@X^otCwHDyzhZ<QN8ia<3D?0vv{GIb_=IhEODP75`Q=1 z_apz4_s$h{yq<mfohDLszrXd_<zUnMkKSA^+R<Ir^gH_W=JPASeZ1SSKHl=ox}xa2 z`qzuf!Yz;AlYRPP^DEZh&ny;M&ku$*_N+7GYj?d1-+fw?uh#PKo?no`{B#5V?*+d3 zA0NHDb-mW{`|j#fyyw16>egQc$@W{JCBEV1eII@9mVcXG9rIRw{r)A;=#PBGYkTb8 zUeot=Gb`WB)n(@g_3i7SZTyC+f1=Pfe)v^(nVct29)e2z09f?TT?{Gl=dQN14fy?N z{mE37c8iKBZ{{sW9?P%V_iV9ycKo-}ot2a3Jb3c))0_WS)aN4)>$!bCt)3BI*=S?u ze6H}Ph~=*~XmQ^PF7ExWf{T0e();!<dk>x6{NzhCxRL+l=Bt0(;k~==68pN&XVNxm zMHeBHIE__5WMSF9b(ekIn%_UQpUVASx#!<H=&0WPV950C_nGxOzg5~#czyjOUthd3 zY#3h%GO81~d|uiu@jn6Y?(6)vs9y}L>Fbt2YWlwswO`fVcGoLayPr~AcVBC_J#s7m zw#oc|KA&~Z=}nz}$G_m4-re|*dn(uOIVg8;)=sC|qON~)uDzcaJ7>0K%tOt*4gJ+N zw_p90ndkp;*7w&Rd+tffuRY&ivCqcOudsSe%#6Jiy_bV(o0-qG89&RhG(Ok2`AN** znD_CInbh~h*UbDiP43aZirRlqLjG2<$xmKx@qdNQ@w=U$n0{Lvwf$}Ura<p(?YTL| zPfGN!|5mMNTCV=$vsBE5>^;AB{F?Rrux3&B^NIsUmtVQ-|N8aax}bTFCRN+5`^Zyn zaX>llpVRyIA8n4xz8C)!RO|P>ux6HB{lU+#K7YFO#y&Rgp+J7YPs^{@O3w8eKfF`) zY>sXEQOgf@y!Re8eP6xq!f*aBLc6!x-T&KDXDgn5+IY{uO)?KT{R&H_{SxYbbAIFT z$O5)Gs_AET{+N1C|F9rmrTzNw_=~c4a=!eOo>v_|eY=Ha{Jc5GzR&*rM6>JzPxu+u z9S4i=Jic(Ic-x--e)Ec=K0E#YTkqK~O0TGFtjhXU6Rr0_WPRZ;-LGQ#`!w?l&)h6d zo5P%b(z58<oX_`P{I#+<Z2I2((aEU&eYSjh*Pi=V&Wl$%U-+H5vaxp0k(=MIa=$5< z_RQ{im+_M|7Q*Mg=70TX8TW9?ckjC8`<5NA_%YFL|I?o}+Wb3qgp}Ez{yuyDNn@MC z#WBX>kNDgxju?KkSRVfBFVmZ(|Hpsr;nRP?yG}1W{!`7Hr=olx*{1Igy8q}OSLFfD z=O<<s``ImDzyA<lUctxez4iUmD^CAztq&-l$^GF``L92c=dagKQMNg#*~j*kY5hNi z^&5^y7P8IBJ~w0YvojXz&G*(HVSXR}sm`?4dHsg(;<f*#JwJK%)02{Y_y5GsOJYA? zXtT<FN1}gE^&W%Ib2yiupZPEFz5FBAz6$&Q{;xFe9)7$3%TLzx%dhWzZu<Y{m0NH2 zZoBj7?A9lYyDL6b*6x)&FB>zfddD%jcORyGmn`g_TmSm+Df`!dm!ElRv47va^t^9( zJ>nAN^$W|Kzl!FSO}PHd4z%Px&qDZIoAL9Wb(emJR`i+gng3b*>omJd&&@AC=eN-> zn{?OsM_n;@Wm~$%q0_Th>lYlK$I@?cR4)CPWI<lVeu?#k$9|{QU;VBBl2fky+Vk}l za`)oyJ@{1iN$mUfsp|0;u8Sv#Kfm+2pmq6<gLdyezR9n$Z+{+a^Z85VUc<eIU$3k> z^7-VtqOQfePqD_`b&Y%c@Z2Xh_Bp)iXKogy&5=z%xl`)vKU2Me^S_VQhu^>CJm*gA zeEXkD_w&C^S$^YSvCR90`wm=vSJYMgEj?{s@yVCP&*msDuiko3|Df=mM}I+?#pbU2 z{Qb`=tMYdqo&EO-<L(=4zkb#G7CIj#vp9n@i{X3mKf$2PQXXIX?~u*>)82Dr71kGg zW~gkoz4K_o=Epu3+vixOpN}l$+vDH7{l?w+`Qd-P-`ijCj<JcjYdiV9`9hn+#xf6| z9=;NMM@~ck<B5MV)pJ<W4@4Fno6}wY`md49!?y3A|1I9P$l9W2ie3HT&!0Aa68k>U z=BLp8{0}0}*;k$~I2zL+ZFAg~Z{y*cpX}`JJy3l=-9NAN?D?x5^B$f&`0LM<=NE&Y zFs+}bv;L#NY2A5`b^9!<&-D~P(6MwsH><c#cW-=qy2XRPZZ?+Q`}=Iy&0qI@=BGQA zeKyBGZhp$TZl0?C#~l;6jn79G{hFhFZo=kAJ{H%nU;F*MqLuxg{?5K%v*aFs5vZ*@ z{rPM+D6sx++V}1#_to@@zf6^V+0UHrJml!NI41Y}%dV>Z47+zc`fIgkf%%R4nRfLj zKfjs!>C_$Tkb4RI`Gudjz8;)W{N#+Kdiv|v>o+t@$He%*zyDY@?nB+fuUBKAG3}}C zwW~k&`KI&}4*huj<vVKU#yrrBOYGnLh{xjk9K-apGYkFl7JS$Kc;I*COuO~V@?T8d zxj*For#<znZGLvu?Pan#`cv>#@twV{eFcZ-Nqnzpls(sS`MHnm-ue#l9Z&!Mk-ZYU zt9^0#i_hHi*7r@iUQx0A&Lc~?f{%J%PlkW}nr2g8&>0((%wJw{`ghNcqn7W(?*+WK zPt`kq?)R6U#q*9IKfxVS8xZ&CV@74G^PXdN>A6<V+l(LPSQMXo;a0Vu>-@(#-;49s z+`Hud>zT#g^9PE{Rd-AOt<tkoI{)Dpi{&BVJqKi~g=`*)RL_x2Kd|%R-=saql;2Ok z>+*j85_OB;Gw!7y{k&|F`HjQT#@{)=p0xb+>s9}0y>n+})_o8$zj54l-rbP*&p*_3 zUEdSmpZ?|CkC58BBcGq9KNZ=Yz0;ujqtx={EAtBu+$=aYM>qZ0&BAGOR?mL<H#_D* z%lGcsue!EJ{yzWub8_+5*OE1!^B(>2sqEVw6_+5td`EhHo9wxzJI~K7ZkzM2uWCPw z|A#L;wQ<edRlVWqtJhcB&C%Xo(Z?P$XYso_-}v`s%Kn8XZRM|5v{}nM5Y*3K_x*dt zyhnZCx$_p<E&pxtZx^^$ajMMxR__e1RVo{+vaQmO&n(EZEIv1H^COvx`E2=x2Y-iJ zzx=!X{?+GGD=H`M*|GH3ftr@=UFF(eC%${oxbI-<>e{pCcD?@>vF_t9_D`3B=g--G z-}HaXoJaHI?nK>P_`9O2^V#+t2mj`l)Yr|dYTs@@HGF$$`OWZz_vx=bzP(~w)uH_B z$+uU^Wn~jD$7k0q*}Z>?`4`RK*DRK#mtWZ9@Bd+v-P}v-FWCL>`;;}o*5?1*Jx7hd zZM9fDz5G&*!}*W1?DlW2s#*H2wS403{U;gy{_FU^TV<Di-(md=Q`;rs72ff0R{i{= z_162Hn*TrL;}xY_ZN6Sr{<d?+;&O{+<~RPfKbu~Dy{7kb^@+`|@4udPzg{wLz3Tb$ z;P?&sZF93%_ggIdUf~!2cHK|8@ahfk)n@5`OkuCwxV-ArJ`VPheEqLI?;cFqckmwX z*7Y-A)@_^|^LVDV{`au>P5MVUZEv{SF8^KOdjC;@``g#=SN@)T`;=_l8=dv<j{e@T z{(!3Oz3{zD+IKAV{}z1S=56siUH<Y(?o}JR_bgn0WBV~)TW*v1i^4WLo1ZQJzA*X! zzE5A|Udi3N6MFBF>F+3;OQ-*u#$PVBxgGlX^Y4}A7JK%~yj?5zAhEpi6T?^D-)y_~ zw^#Y*zYvYPAkLm~?`H7#$vgM(JdyB!uj((q;@+n7M-4%X`3|zy{!}>2{yQuFUqWSV z!<>g1^_BkwzUux?vsm80WBK<A=Xl$Y&nN$GS#LF=mi?4!oSjFUjZbYtd`tE&@m2jL z?EGJN_2k#oo;_T8zqTjl@z=vopDJHbfBt^?{Q4cs+51DvU)asFbNU>8=fS_gUH0}7 zdk^3Ch_j8ln;PG^_xP&g{ej<KDE7UW+<e9TjZ4ulle7IL=F?!&-+vVn{k1ZGPu_ZN z9~Woq{_Vq`nV-bY-?;v$C(h3CGo$VC>|cKpzE&)(eJK3&TWe9nW#=a+CtrE~M*4;5 z-ev7yxbk0iM|}SId8>ZqCY#Duna5YXpS%pdf{6dxmCvU8U#;o=y!_<m>#Ndt)GoED z-}S4h*7(gHui7J=dyg0YdL=pQec@N1Z@Q-Ok50aRdeq4_HNJ&;*LNLQ+)Hm+U%Bm_ zc>eTko9ga8HGRJ()oS{^I9PnOcvpY;7hS&o3;X_6?%HqZ_3pzXkIGVx_lNf}P5<)a z=POm)Bf7PdR;0hbV)vZ&Q^6LSOWog3N&o7q&AxMCvv}6NMd}rw?9TefyBz=5{q*I> zS915Jd=guK^ZKKSar<08o7x`VoNQ`ezp|?R@br@xJzp`(*(v!4K_WkB{Y&1xhq!+| zdOEAz@5<jNqMtsASRT9Vf8w(9)#z_KEtZw<SZuyyfqwkTp9?PS`xE-<S8-9>;`1jy zhp*1RX@1zU_K5A?<I=xgna=X}ySz^;`ToqJ>nVy05R|f74yy`<*XX{w1H>3+b3T z$KSKAzuZ^d7xVb*^ruf>UsaF4?{ELVz@Pu#f_E2cZET~#k>9(^KL3jKp1-s9R88J> zdLL8u^OeWFOZ(*>b=X<P)E+rE`{@^@v;8ICohqH@Z_4j=uKFf+_V*VS{g;=OU);Z9 z_kZoD55hMO-~IkXH|}pp-p1dxJ8Nb?TRi`yCEv@7x38*CUv>WDB)do7*k8Sl`99bC z$rIU6GXDKjjPE=&)w{Ry-s2CkpSE1ycXIbriR(KKybOHB`|ZB6f8C0ox_kwZE0$Z- z&MQCr-un9l*)QMi_Z$<|o4<75v4fjazXxyMm!@}2PVVEk%VqC3zI=WD*4|^w^v`do z?(^+Gx23wrcmBNGa{1YIIdANeE}K8Ux%c2Q@!q@MIlmswF7w~;a`Ux!jApf;Y<FAV z`0`C?clnJkSNq?_C0@Sl{dQjB<>%9H+a6iAd;g~0?Y{5J_MbJ~Z-3_fd|kdDe+}mq zTCeusr!f27{M_%7X0~?c-*M;sdgip-{Kx*k)&DPVe0jM4tzFXP<<oE9J-*C+|HkSb z-}V19zVrUe-~X%L%53lcYj4-a?`NF-?rp+%O|#mUw``C9f4lwP{661!|F?0;m$#R1 zuI~3O{|>VH{I|`&h5m1s|6ngWJ5Dy^yQ$gTlW*Q7U2ZnNS=;C9e=qI3$n3a%x8G^! zRDEXLz5T|QkIrTDYa-0<{-1F-{l=Fc!DaCYmp`AswfDfX>s#-d-}v%xb(#Ezm-qhP ztnKpU-=F)PWA?n-`|rGSs=f;EUcd3>-KS;ulP=G_f9vkiW$g8ve#^|B_vh|AO|!jo z-X#6~8IyQ<w)Bm?N0;@RZ~grwZPUwtYv0%%UUq!@_TNmi=iNX0j@4}My|eG6bE@|D z-(}C)RUW_Ff8)!C%x~oqFK@m8vZZvw-Rn2Lyz2zpl70hZ%lXY9TlU-l*<y1A<dfnV zccXKDRqVK{o<Gg^`~5^vDC@o1cVOA`<2OJaQNK~!?EBt-+wTV7?{>N0HO*}Q?76F) zQ&l$Y?(`d9Ud4bt!V0$HJ=lu5cc0(*@+4^Y@*7`1aqV8e;pN$>W%(OlJ`OFL|Hswr z?*HZBu(B%Ou<yUlhL=Bi-^L|eel7cU-@#?y=YjlTzV)}rY`J@9-wB%8-o6a-$JX^= zf7F33X$M=<zXjwEn{yz4)NHyNe&b78;&-vxc6RsQO?EN6TR#(Q$yIQKn1lV{4)(|W z%<qh|-|fBsE;y&EVh-3Ji$MNZwduFi?0a+5zU!LZ{kiCFY);h&(cR}azC8J}%zxv{ zr{-_>9a?67e`|HOuY7&V_mlmpm;asy$Hx9`pxEFq)8Fv&>-{$%Py7c5)jIpF`~LfE zeEHD#4Jbb5zS(zVS@`y?AY1O9d>5UwtC)ZH?i*hc@<FzECV*0wT*7xzv%QuV-szgv z)@-?}-apazJO8%dJhSEO&%Wc%sro0gTl~hCU#(^L6E6Rre!KR-vg-BVu+jrZ`S#nj zoxbb)z#fs!1$o5s-a9=rTZ@zLigT)py6y(&RQ<FBN61WYgdBS}xj5zW-(&BLbE;}4 z-W9*`<qtd9AO7IT*uMc3<#p-cDBlbYs;!`)dKFbZ=g<H8ng44tdwt)_?9Si#vM;+_ ze74-1obQ%qwl|N0;$+WiaGaEbbIH82`FFg{?%ux-vgwb)Zv71}e;x)$`w39;{jai{ z|3=CCnPu~nE+2LV2iNo)Aiu<Aem6DSTRr#g_8TS7b<4^(zC1XwY<}|P$=`3;9$hwl zDaeXv%3!}7e{1)%C1=-v$=&BSy!<E$j(7drp!6HR`8V6_d-aFkF`M1peFGHlKb&{F z-}sV~{aw{;@2{!gr1c$~wDyBD;(u^PjQQ?&?fZO@*>U$yfOAPP*eBP@=HGEMySsle zD0$rgXT<lZ-<f8|y-)wnYqod)eozAb9stgWi@`oQ4vv+1NnoE$1N(#(<P*m%P+(Q| zf@8%E94pap?<HM6UVgLoQ{K&z|IB6c6E8pJzggSpd)__^WJmoaP-yK3C$B8972)8B zUk|ooUn<Co-}As$sDrFH_!Jyi_ium#tA5LGuG#l`z=2i&#%|`FpR?YZFZ*pi;jI1t zGaFuh^nDA;^4qsmH~Oy6hj?Z)$TQn#-(7y=OMyHn$llnM#V1`}y%QW{iQph>2A7ZO zw?O6NygX1Uw!01rug^;0@DeSXFQYa4oqQUoRI$4QO2zZQvGRT+I94uzV#WF(C{`*q zfs@!Oa1tv4mnzfW>^r*5`Z+jO#BYGChys@?Lg3&!{{|FX@waM=T61>QF9pTO4=r%o zvVQ|AQnK$K{q=k{ui4)F2SK*nz6i4A#ZB8o%hJm?{1%!W_cjX@Vz&>2a^vRppgdn- z56X=vo8Qh$x_sLGMs2HazFan_SUFZU-zL)RZv2hfHsAjF`QKU1Z0lFv<<6=4tF=3S z!^^*~-`XWy-mCn^?&z}i_gku4e9zxY2PH47Ywxmis<v<XEjIgI2slnM!EvGwj+6A; zwXMGKcM`&1pAT>H)z8cNE;M`J&ZT#ybAA<A@9w|x<z?)fcgdF*-+d#Oe0los8@9)n zt$&~VU268exwrQoU$%SUUE7>re-w7N-}v&Sv+Vqam#dfG-g{`-_VZh-+kNNnx$%zA zthQ{$UFMu$j|_IJ-}v&VVE6PJUwrevv(2{KbNrpMS#4F%-P)Y0lBT=KIln%o?0$aZ z%h#1<>o>l<efjOZ<jZ$Azj>E<`T6r(wnvsN7r$Y9c-i`>-Qlnb{N&QI@(nLHYrm08 zxGWxi%l6<h@$j2_k1Wgomk6>T=6Bw;@6!cm@3RT9eOBK;{js3?dQj~=f8V)xc4oB| zi|@MU{Cak_EPunxqqpD0C0!PG2bpocZ&P)H@BBUI-|?C4wY~LD)~vR4&0X!Bs;WJA zjdOneaoOE|qvU(a?&=#~a#O#{&E98s^_{6%?apo0eZKtvSLOYylYH24U;gCmcZq-h zd2M|8^!6K2(fxi~b+_+)+1&38|F_Qv)$jW(ZocC*+iP+4ovK-F@vghlIlJno-A(_o z|L>mvFK>ML;RH@eM?vM`Vg9#vkN$r<{cm~l<>mV~?(X;P|CjMyV)j0nxAT%O|NeiY z_Q3zQ=kl(-pU*h^-A+&`wwe7FsQhvQr(#ENMp3^Bu6{RwtF7~(BC=-V-S8V<o&|t2 ziotIGIQ7}@_TK~-k$fP_D-%JPUOpLAu-Jo>@b4X<y!hdAS^tKYH=n-+)hOvVYkPg) zpW6ybU^Rz9#r=;yQ2o1Pb9I-mzW!EFrjG&FjB%OYPrgsR{C7V%4gb#srQ!YO-d&r2 zo@sX6{R`ltWicqnmuG{^mMft8clT^icFghzdt@KjBfoEhJi@;b?2$`gkIVsk<RrLk zIrMIF`pIR#JHgp86<iL7gL8cT22hTdPXyP$r$Kq~M;*9OvDmG@@#WRdviRi7yHA1h z;<nx0zWn<#Kn3JaaJ5yr4{XD1unpyJ?S9V7+4VmeT+~CXhzDEI3~qDfgWFv7;JkSK z{^4K$gcC1so(-;0%D|Q5-D@CQiqk>$?*ln-e%uSr@drR9S8)TlJ+$DiI{#$f@AApu zlIsSj<oag<u754T^{@CXaQ(Xh)K=Md4HQ<<;5fgR2P#yo!5;bS2)3dET&Q@08xopj z@rjpbpT7xe)}`O7{iJ%c<i8BaBZbM}2sr_2)%|M(dt^D-Bl>Sah06ZTphD$e4yaJs zbqiFel&`g2`(8gfXV>OtP|@`OTm+YG14VoJMo_fhxe97X+`0R1vTe%cztuNEMb~$5 zm1PgMrEUkv7Q0QLT0RLJ<;LLZ#2MUF(ccJas_eZ43aZalV1MX>gGw13RNrrb3P&$+ z+Ep%_zb57KUw?4IvIqOa{xH}dn?N@F%Le<S6_ha!cZ02v2M5(QaFoa2tnKzK|Ca!2 zLHxN2YN~wF2bc1@sw3~$hu!${%ncmvXW!cG1QqnspwRj#3$CvAfr{Y0(?Pb>+3yB5 zA{fE8wBG`i^8K4YrM&GuP-uM@0ku=kZGH=C&O_=FNDI{z93kenKoOF^_4kw9O)vlL zehX@6%WnX+?CQZ`^=}2pj(?NEK1m11$W~Bw^(_>fc(1?RcWhbteQ+^fb03@wJ3+bd zNfFp5=3qPQ!FDXZt6o3d_q)3B>))q&X3O0_07_YP!QhlN4V<!W-vp(s@{ORB^)3z6 zXLy?oj`n^~y_=T@YT0pu{n7l!?)Wlw|1F?6pPvV6JXWp)CEg!OyZz&IX1|lq0w>-x zU@LZj!fNhqP-FgnGAI+uCWBgbHU~jz*ZL-?on5*LWW&1>a8N~ptE-*hIOl!?st~V% zvqvY`2IJlSdQ*MBuipS_p2kB8lT+Y0ZwH6etzZ8W&Hw*>*x>s;F9T%Hor@rQK7-R% z`36whdYc9E$<524;JR@g6!As&py2w?&VMt1zmpw!7dAr$WA5C_Ij>WG?mL}zXM>Jc z1LG;V6m12e!V6l_tXG;=1olTUs^&#}U$OX_?Yq+csw=y$Pu<lJ*dHajL@Rzx^%ci% z?l9JsT|%iX@-v@T)lT~U`7{6f-@E4ju2heG_GQjtmPx^VYeoNi&nr0YD|_hQ&qJ4u zSAUkwdG^!tYUSIQMC0#rp>xd>jrn(7trR!={A1Cp8iv`GRfVN?jc02<-g#BSIeX{t zN3ZrU&aV7D^=c)%+2<EuuU5*NeZCmI`m<rqvzxnDf40ndc8-1ZXRDlN|5jM#A54>r z3!3{q!PsAK^||&9GyV66%{`xBd|rS3x$JO`**nWaOYgOuwfRw4daw1Y&A-%AyN0ti zd$(E5KajRBBILREjWc&sOYb$D-BVj!dav=U&91Fh?+>Th-Fms>)c5NWW}n~aUHvIz zR-E4dY7gJ+o%{Vu?=_vZvDskt{$ScXnYg+9iN^EIAU^E8T6tF7toZ%EtChlLpC5dA zwTF9l<(~%-AI3p^I0Nj%YDch*f2X|K!#un4%b8bu_-FsrSat6BhMDR5YtKF3Fw=Vd z%5$$b%siiVJp5j~WX`j#Yplu>jPw63v-+NB{5~#xZu^Fr{CO+R3Ew!QZXWtP_{N#( z+e4mP<~-|_eHU6;BbW1Ru65{h#++w=V^@EcF#EhQdhYTKGtYlte@=YE%<KHC&&A$2 z6YU=UJom<#YW0xkf;rC)Uwu`>GW+M7DX(mr&+aJ;D}8q$?Vincuzhx`toRd+<x5t7 zW-<GG()H?35wp)vk6x|hn_c<1^6F0Z*_F?aUae%9UHO0N)k>b(KYx6^TFEv0=byD# ze=?bU{#CuISlsOMHTKn?&2paY4J?&Am}a+UiIx1pG`rnvtj;GGudm$;_Fi1z+}|5! zmakuR&iTff+3GoU)z?04cB#EFaqF#5_pVm*`M>P_`^u*Ev9Rj<xhht+(I!o|zYCVV z*Us8k$87eQGp1VLdBo>}&?4ja2h;2=)>^$kbT;^;|N3*XH_oW1hdd9qSSY@2^=G-9 zXFsdA-PY03+ubg5U)!d3`wM}*{K-F0%-X))OMh3?Guaz&^b_9)S=w!XcJg=1{WI+w zZszZee|FBas7~7KGi%Pb>DOvLFy7=}KlLw*+3$Z7*8i8Cxjn&n{^7;*Pt+uyeas)W zzMf(B-)HS9^ZrMDtG#i?c*oz^ndjG5{y)6w{Xd^)hB@E%_MfoX74yvZ#+ldgYvbl~ z>+jR4<eGiGJn8K2+e^~#$uCU&CLRC0W}ZF&xtG3)pMRuX+w;Bm)mPUIH}mT@|N2^c zW2VQvgU^0FzqaSU?#r3q(|KmszQ28S{r#4+HECy!v*lvr?!G^qw!g6T%-P@9jn91b zPyGCEZsg~C<tg{~)GObZ^FHq6_2)nH52V>Op8Z{(b@uSeH?zN|^PBzt`u^0NJ^N#B zoC&_MXP<EPy}$F`%>JIwG5hba(5s(qEtZ?N+REKOGwb_5|8Hln_a|(AAE#UV`G@p| znf?i%|M;)1{L%dC>-U7s@$*mKv8i8|xX!-y?Dz9WW|h}#{C@UV^3b!I=k7-4*TdV- z*5sTG&X)TV@$KyI_1v@n-tu4d+<L>z{)EqeO}9OpJO9+a%6hg9H`o7<dG_q%?zWdp zH(1suo!ve2&Hwj58^zyP?_UvDFKhOBqpAPe$`7Ys)rp(^-Wm_GlP~9)@{K)q$=UZJ zr~mzLYro;<`NYqELwCFVO0#P@`@1^j?Ct&2_Wk_#>OfjO=j`wGd1oizKe4a!KVRbJ z`?F5psrkP((RlseuxHPH$yzKnZ#w($#;o!>_217vvVu+ebFEIl)>!^fn%$;nZ|yzq z+x+9p`8IvS>z3i{`$W{k)uG_TOvrsd?XSZ<wi{@cED4+MfxEzn}e`e<1Dt|H)_0 z{$9>H`=^ZA{dn$dx&M*h&VE17VD|g${u6ih?619XX8QHb=iloWRe0&|bbR*gtL&k) zd%sqnIs5u{+u0h%+0XMc&$62r+3m0QN!a{eKQ%AzzF5w);u~}JZ+Q0ce#-ql`<QRM zG5;U;_m^GHGs&E|`#VkF|9Ad&_O<ZgwEMd~pFR7Uc__`U`Rwb-k)L18-;{q(zV^l& z^M9(vpZ|SIFrI%uX74`MIhVRWzxu~u_W9ZV)Vy`~+t1oGpZ#pV{n_9DC-%)ezTxKg zyp`u>Z<u-h!GBx(Yc{u5y!)QG`TmdfXU=|pelX3h_3Zk5<LrC8L%*H<ZQXQsU-j%W zXMe9|oc)u<?7n?)w%oOC_y06cuB`u>usQy|-?L|b-ycewm#be~{I|HmW&Qr<GiR^& zCmMe*o3gXA#`ngV^tPX$1Jh=FUOd-g{`>oOIp3tMPv5bzm&<t;e8cA7Ow;#w8(%*@ zzg#fqTde!DXJ2z6$vHpk>|yJ$_50ap|NS*LC2!yUSWs%NsrOk^`TgA0&(1gASeH-S zSy_KQ(Rlv7t-rpef0z)LFFtej`~Mtf#ozOc%&%W>I9tQ?|NYO6&k|$5o!tX6Xx-JF z+_NjWW*;}-`0Vc8RlgN;zRkT0iUF&fXURAA><`YCd!zXK+3)3`w0t);?_NDPA=cFQ zuC077w<-VLea4(`#W!l|uYQ}dZ|3psZ?^pW`~Gg>d0*KB2kTYx^E!_0K5+*W+LznT zfO2*GP5<}jEJ5MD782gy42$a0&Mv;a>NhCTvaIv%)?NI#={+dWox`6QXWzT+_f5S0 zlugsweY^LbIr}&p6c~S#Q|q(N9+pk9zvuqU_Qsp*<x?sjoV;Ut=Jn)5FKtuoHa<IC zyXv}m)8f6V`RhM?t*o`)FjGJA^S={oe^%JvG(R8Db^N!N+?(@1ZT788e73a>6wL?H z>WdoAoV`B(5a-?x4(Fc#ss`04k81AC*UNdfa^~lMb0R*!J9zaqcjD&vGC^~%CmPEi ze)e~E)aM5mAldYAO5VNw;Lx%8pPYT~BdADXzVYVyhRXVDeAD;M<WKzkPb%{BH`}H8 z_x6L!;?MuiuKoGL<EHuZ<pnh@PYwnydiJq2(RjUV?B8E~iQn8eRQ^fMzGvCC{`_nC z1JC}*m|c(0{`A$No@4g&{FJk|k9*zUQ*XV&vYvBxynS)@Jelyl`xJA&MIQ&(f8rY| z|Ld;(`5^8pxHRW9GT;AiJJ07IAou94`T4=+rg?uoi~IL!d)|hhe}5otU(5gRe<D6V zvD=hi_gCUj+Wyj@(tB-ZYZA{+zgANazi#sR`Jh@M>+2s-=KN8AzTdRpKW%>ee)bJF z^Y8gTd-nS@D4x&$F3vmq`}_3T&;R-pH^1Ks3KUS1-|+L_=`}weG+uq}|KJ1Toa(L> zH}fUa?Ap&hj*j^JBjnuk-^C2GKhIts`W&3e<^JE9_1%B{J5bg<H(_UG9Vl}qeExTO zP34towV(fdOWb_FV#1lT->0+B{wZSie*R3;_j5IWKl`l>%AV8Coc-<(sycJ(YG*`! z{?~l-`CrMy2XEHgZSR<MeLLsupFC#k^V7~QZZEQ1pD%CryVtMu9Vp42eQmw@+1}z+ zzXfx?-7N;?gSJGu`z&Vb-(Q*4&Of>K^RI6S#dUveX3oBTy!EV2>)F@F8=u{6KfSNA z*6GF@>;4HlE9<X7QpVJ%&v5~J_i^Oe2Nit}T$HvSTwGkY-}>y~;?(;#^`K-cA3S&W zhMCK+)g+zW9i8&O{(OJpy8D$mU;kL;oRdHN?5FqI$`2ObD*u-!ZjP_sbLQ;t+l^;y zSZ05}eq&bou1)#->X{(v>*_oOP~rXTul=TH4_U9iet+=a&q>$y*VNUDn|&5B>(5U* zyW4+C?dPwcLc;&i>(75<+t1eU%zm!E_1Qt!P5E;5*A1@6bEoFro6nbXPX6Gtud>mf z&rM!^UHm|r{q|>DmlxR9{8h}^x8MERp1&dIo}ZVOy)kFMN%6e-cV?;kPp<v^Pwr5f z{f>xd&t8{5e0EuNy{+??`t$stDy!yy-`dLM>c5};Hg7mvmv=V%{1i}D*lfS)+1qr_ z`!@UF^~|T7o964^3z+?0`~1Y6n)<DY#_<Q9{oTFgS@ZK#`zrsdZn*h<zIyTJ=hH#y z@5Y|};@52I_Pv??em!H(x9Is3=kGqjcjr%bPt@bhhfdlZdZ&8mo_lL?#Yf49IXa(j zrng=`&$)a$hx&YRxxUuL`W(mS3-j&Mxbu6@hWeEs{#-V&zy7$s=db?hnvyMl_I^3> z=uFac?W_Cp3o{CnK3;irC+Yp_tDl*>le>ev#l?0%dp@P2=H~UMHJ`svK4-q_O|(qZ zoCuq(7Ta&k4mr=S)2HjF8yE4WZgW-K?MEjbO*}gD=(+8yp8phU7gHDW*FC5EZC|Xd z{RWF|7F#P4H=edHH(4Kl`_YH4SJ%CD=0(l9U9<F!`L{{$+4G}p_2H_{m(F}$zCYe} ze{NyQN3i==y{g=Ibm7s?qru(9-FyG9o+lq66Fnzt&xRXMpR=6(d~w^2{}XHW{(Sx9 zD~GNh$l&NVe|J>HB^2fqW_>)AG`;>@>bmv0g(-!9F2DLbJ!;PeixW%i_xaTAg}VRW zqFwj5S!}9EDNNh=`80}U@u3KBt_we(9W4`Sv&mxfjfc<8&i=l--C~Qy#)_<s*3(~~ z{`q`i?u|L}k)J1;o?o-GIQOfKyxH^0`UyK99(Z))(UnK7N8goR{d`NzU94Z{oKD%l z2;1{e?S*+8x356>>2L7YJ==~>JgVGn+&$Mm{QPHKKDe)zR@K3L_5IhY%G_?{Zg;VC zF>CV>BxN?gSI@D(6>jIBWzNMP-ZHyjQ-6WD+#6jPoz>UH!Qoc%Y5S{xmmVFvzxs3E z(R=nO`)oR1H;S`!9slr$;i1SmsY8!vr_^tm{XxcTzucAok$->bcHgZ(Y4iBId#=UL zT{U*5dDANIPX27Yp?F4t{5siFUl05|cxdsSUtf*8=hlZm-*@!y?HzXi{#;#q(mvg^ z{vX#iVZZW8^?Yyh^<~~Fy?Li_XYcF+zU{9M-Rv{Uf2TF)oywbcYIEM`#N3Xx*wtL{ ze%%ITo4YgrT66!-7mJH{b8o3tJ}lgKW`DK0|LDS_Bac=d-FbB0&z;P2{s|j*udb>6 z{GAyd9J@o2g5%BGI7AxU?T!?<yHNu7<M$QMzH;gG>B_y0+&k|~Qv3Yd2G4(*z1RKy zSp39yXO7u*kPOm4KiS}S<JsR~>&+qQdUt#&JguhxM@~Mr|KdK^pKm|9@#w{aMYenQ zpLlHuN<5ZJZhc2Y>c?W+XH`t#9JjnZbpHK2N&WM08=m(s@_)Jg+mz$>pxkD?=oUP; z$wk_N5;G)gtU$JI@8Z?Z*~N~FEf>?*Df{{S$FraL=1*%r|8jvOxxTgU*pG7_|32Zm zdE42aD^24giogGORd@RlhuQO1^|1X=|D9j|4sOW%U?jVipxE_03@K-XA##T0&Z>Dx zrAZx9Y4UyjyzASb`RwwldC#L`>Ko4doqpnbG<V*<h#l<J*@v8Wd{lb)r19$K<zmmp zs+WiS-Wt66&Xyh79}hhGU~=>Q{z<PJ7l(8BKfHIkwb-I&=7&!uH^0x<y<@Ss%2u}f zw-|fw%Xa-Ke<i+s6Mtvt@$7I!1%vu}!FdOo!@2bz{s=wPZM^#W<9VS^LHX;g@RRe6 zlmDNXSDZ9;`JMdyXNKReOXn5s-}CUyBfIaXXIH-8^6KZim!ao&{J;C<_nr57JO0J} zEPifqKfBm)>yF)9t;+9(Kizor;P2I+Z?Uhk*|fuW&AH<4cKJ2uZp*Lwbm`HP!&fU` zD~EpD7xi@J(Tm$&-T7ZN^|{#b`zy}fzLTFj@BGrEC%3Qqdu{vbJsT<tDofwR2R_|- z)bP9W?DhBeY`S$`=Us9A=9ks?*M3VEbH8&u`<LB!dFgr4GR6Nl&NN@YZr=RJJJ0Kq zE`LwE`tQu6j^E9``#&zus?RFy|6S{Q|F7p(`;8V)_C;O(zC-@{2atlovwJ>vzxo;6 zU417$``5emtNwN#eOOf*w}08Gl}GQ!hd)1;zshEt#RI#m|6|YRuLjA!U-jAQ`|MeN zuRPNGK6%#8!+#gI9T#K2BcJum^c}mY&88iP|ISOB7j5&T&fhq{`2MoQ_TQ$w{knYr zqH6b;zT0o|cj8gmck4|)-+83?J$zQ>?BA-q`nrBQ;xC<vzVkn$BJpFxZ~3!#p4+({ zw)}1`C0As>_~EzxtNY6{3lIJ6Ty}rg(p&KnHaAwB`};qvGOw^EyY$|kwWnqtt$oLC za{Y1P{kacSzyFr>+p+(ALHF;kJo-9v1^egC{C%fBy&|vh%-`~~dr#`D4ujM$H!kk~ z{g~&xPTvmub7!RQJWs30dsqMXv#r_p-3NV(|4Yq@ykq`-z2WyWe=|Oud354V|FJ!Z z@AR9Oi+w-yH}y<x@qP)LjTR=~yA9XR{(bm?;`ipO`%7;;d!K&m&i&L1kaJ_x?mep0 zJ<Q$BerJ8^vpU~8xl<qRJgV6JYR}Hvsm9&EtwWyQ>kFM1Ip<yQ-16{MZ{9>deOVX! z?rnVP_Q0oa8nnLiuh~={Fg0Dwojv?{uk$LKtvkvyO5e$aJ?%WYFnsQH{`Ey!h2Q60 z-C681b-8=k&f|ZrpM5RuUw2Mjto?Y%^RH=BgS&Sh4|{&DFjOvDMkapl^>?99Cmx+E zyz29vM_-P-+H?A^*0YaR-&dXk#bABXudTnsek*rd-|<iSwe#rV$*X_ozT-FiyY%SE zzpMX-tv<!w?asgEob~b$%k32vS*7>v)}He2o_u-r=WCLoaS=8d;m`jyud>;0VYk^T ze_rU*Gmlz+%b)pty~<<j{tXo$F1)()J_HnHex>(pwu7V0+~E4-x#4fm>-5RR%{7+` ze7f?e;dka4pJ)H6*$>Ka_V@Fj$=R>ZuShFAa{l$~%I5j|&26^sIDY>A?4762UzVO1 zAyc-xfBm`h$7k1N75;C^y1#qFDdX<N>S51$>+0^#vERC*JaFs#PoG~bv)^b@Q?xby z`Sa7h_FH$HKHook=i&3+(sI#vcJHX#clvz&?0-idZ3usR{byy~Wq;jwcB^ld|M;16 zSw33EX8Eo4A3tAPX1{62;q$?>EAu{1n7`cY^RM?$-%o6k?=VYvo|Pz`w^+Eup`LB~ z3;%~QZ~r=;n|bN|VZpx~atnK3FNvSsY4*^tmY?r}<g3f`4|D&LeDf#p-0Q`*znFI% z{Cc#YtL^`ky+`l6DqH+tUBEB5=-qym{CgU&4t`x)!2E7O@Z$dye)6olt0S|J`B`m6 z&o{Ss&)z$W|EW!opZ8v&lBNH`F?E&vJ4(;Z9}0r(n3?#i_{QD9b0-s?Pd-#z;!w{} z{^EE+mzlk4b?`6U3a9(+&Lw@<%%1$3Uf@&DxO@JzV)hmO^&IBqlPa0~FJ4Pmd4KW! z0n6vxA9BPlQvS8|{HcEvJ{Lcmck-g;UqhKo@&_ev?Q}U;{9n6&JNNbSX*LbXTg;~I zao*3DKIi=bJ1e*3U&1@i{?y##uzUXG&+1>Q3+C5xsAv5BeChm4^9NJ*ac{rKd23Io z+<P9scM8va9?HC}b^J7;PCl-v4&)Q@rS?nix7eQh{(xcbLdn0Dcb3jScy4LYyC<oi zzTdI4axE_TRy1?_MV`_)#mb6v_h#QefB3J9@{Y>xGu3H*yPkXLFMfYe@h{sQ$K@H@ z56amrv2V`ifBbs!*T1dF7K`t<A74>E@5`+(wLA7HUccY6JEKkSrTzo6zm_reJLXtB zq{sXhcz4<Vf#$8MmN%+jtP8yM^SZwfdRuI})&11JNp<J$D9bNe%e=(AZSVh6k7W-^ z-r`&$e)0XG*k6n?i?_Oa))y?uko9|Ey`jIax9A_syo>%1S#Q;DnX`Mr{pPvRmG*}? zqw0IUNXPgy=Ups+XlCWe{L9#4k$r3NmhG<R*2}8gYCrx(xuV5PPTO{Vop9U*a3BP) zOXoAsn|yrs!E=v){L1M6%wFJN-xj>(XT?qFi}?qcf7!__D!%gYXZ)eyU)(p|p4!P= za_*<|j<Ct#*c4VN=NEf>!0xY^%tG%i&il*uz4`j$`5D_!ic**54=evtws5u<KT#Ry zyoLMxOZI}EYkE`ScJ0rQE!!?!mT>>eg+B>1{U7rE<=o?PSluhXq9cRl_!m$}`%j9S zypP@gh4}+MD_7@Vl5;N4Ke)B~$FB@G`?lG)Pw(OH57eKsr*6dx{`N1k3&hV}F#F4D zv&6prwg0rwNn8Bw8;yT4S2X?dpSX8Qo%Fi*3YFaN7IK#ydOh`U?l12hA46{X2Yi=1 zq<o_$j_;x5t-4uXtiCYcsEI3kU}sge>r3)4%^bV`$3DpL>P`FCQpYj-{C+(fhq>t< z_IHA>w4Qw)FPpbec*|R_dbZi;_iN5s+?(#D|Ga9}hMzW{LFr2K`T4}pmD3KM+x+B? z-L56}4QHQ6@+{Bzd~?(DH@df)&OV>X-~K}8>$ge&gyiHE_B7mm&cS~{@2_Hx-Fcyk zu5I(Qt2zJj<=CCSRnVe$QS$Gt&nfq7<~;=m>c@)X8-ChIAC$aRxo?j7viq%PpGPtr z&(NJz*Ig%THb0&3&En(|$9ksO=Qm$DbMYPblRwAo7TMmYnb%s-bS++E@4nM=Id<n` zcJzLWQ`yV-{Cnc(pCSj9OSY-i*1MMQ%|1Vyrz}qA^E2-Ywyx0|e*XFJP#|`J^11Vg zpMP#_k=CF3S!m1V^&dFQ=F2-*v~Bx+X?AfP!|e0@e0SW7uRN`Jp1LXD&OWO^SazY| zUlFtU^2rr_Z2Hqbt9^04QBzm)knz<Xr(c$};%4*ZOLw#`%P6+LIs4GF&&f>s7e7q3 zvHK;MV`pFWL7+5V^{?Fd<?OT1_p{w`+<d)2wz@;_K|=aN%b5Pwz2=?Q%0<6EJSlj{ zcSrZPd!BLPZx5f$J0xi1B>jGp&64{q>0fLu3@mrui2Y`C!}CW~M)Ps;!<w&Rwf5e6 z?pkZ{uc<)z-J-MWJ?wV)Y+*gF`MaRw*Zm1LKUZZ)%hmMO?r7hpH@(I}@a=(<+i$ch zZ}}U0(mlL`?ZXGJZx8Km?Tq^L@w?0J4==R7J(S?B)2aN$d{IE||Ag9#hBD)m>h^+f z557Eqqg~i!kK3o0`Yy^9pR~U{RJ`?j?UT*%-QOO*oKsuT;#NP!=J(tTp7Z|>*x9(v zeXTlQ-zC=K&*lQzyoF!)d*0jaxP`IZd=vln!0+*s-0Q`^KDg)m=CJIR!tN)3XS>cW z_>%waA;Z_KPx`+)%v0VS{u_O>eRoE&`b++8!np@O@*T31S@dtNihr3{?qTM3kbmB( z{rqrlk*vi|?cW70+rCez2@&Ug@G$Sq;o2>mr$2eTySwb+#d&WI8%n)VuiUjggQGwE zMmzh9M-C^|e|JAC_|5Q8^^OIHozIry4H;*JbLF*W8Gk?VSy`2zPrS5G=&g)mRP*eN zw-zUNyLVrExIpvG0lQaoG%73htl%#9Pms@B_%-xo^}Y;dKK~^7yxD*LO>$RXTu@;D zP|n8XIsb$jPW^|G-k(_C*acWvgqpNC@2Ks15?j%5&To1R-}#3pGbg2QC~hnG?YM|h z=0IKaC%!xG>gk?#&FLS0_?%o`(D#df;+|SFu4;a<yo0tSg?&$iE!uC(o}6CrIOdzY z-mOXrF7xxkd4~mO*#&*lv}twkpHRc3fAQI3)qGZsI~7wl@VCFn=zgL(=g`Sy75T%( zTRv8toZavG@YmxOTMLKD>K=AY?ge+I)^MD^@T+x_`8oH8w^$G9-En)Y?m6!Or;Kgj zCq^5`Z2xI{>IAv;6WPCAe6jLU_d^N3`AU`Ya*G!~_nc?P-a7d?SN}uHTNRB@&OTpU zU@P?P0fTRx%1;itgCDt7<qsKe`6qNzI$I;AdgBJ}?H70GS2C#=d<i<q{()ufUZ+pL zP6t$QT5srgTR$m|=Xk*nuamz&NW|`Q`*dsd#fTC<P*MA#)TsXf2Vb0aCBNLF&*mQc zTBd(^^L3Jb!uQ@o&5aox{12bbR`GwJxvOIKlb_K67S*di2<7dG-SOM+qTHQk>-Q6E zT7*p=yM1Nd)3E&gq<=E&_DApd9CuOgPHXi0i8c+%CBN)W8e249UOVahgIm8_qn$7E z-D#XHKVc8Ad&V!tlgmGd$khgX;@smhTYl=EuZbdgH7+;WolCwHo(#5Vo!swf*Y-T) z@#IP7?iw-wTQ;z>zj$LjssDlHoj+TiNWQ6=_V;|}ujvamSc~NyiY@uUdh+-O3AviM zPl9t6zDu1{9q*F(Jm?|Y9Y^++Pn;__zVBD5WbzMuzIxK`c(;c#>kjK~`EUA?_W|Rb z+iI0O%QOD*o}9aWvG7IKnC96T@1u?0AFkc;+xMh$MT?%^ber~Ki{IOxc<(4|E%@#Q z_Wb>cAkUvs>91=$bnv_-yWFDY(v#EG+Qs)zv1weMQMC5SPt!%p6+fjPD%v==U-yjr zJ@<vgx%%^Va~3Y%@9|E@C3wfT^luL>t>V1m_B)m^S(|U-*T3*({-oXY;&TsuoqeNy z`im#_lg#tP<{o^v|7Lq}N!9Ep_u4Yp%=1#^*If-W`@i!`j{C)DWs8b$+;+5Ro;$Z? zce%*da<R7uCtGv07c{l)_ORPKIfKRj-61<0m+IZ#cDrY1$j^Is%Ff0~Is8)&|3!&; zcbh@Yw(nDG_Dz4maPC{8yx+wi4kyKbcdC8ZbI{Jlk-gn>pN&Tehdy7T{JcdcCr)}k z?*&KPy~(u|ZG8Px_mpjUA#tuhQU2V8i+fee`^0@8-r{_7xVWSy{^aX@FIdX_lH}tS ztey7c-N{9=6&3am&14q7y{sZ{>tNE*zI+4!_lxIdPU`m;e|u=L+3$iLHvXwLw%#T! z$NAIb<rduitTMmErMjR>?{`5{TmPh*Uj-LA=GjcDt?2kBr~dQJ#YMFhyIMiTqPWLA z+c=Z9bpHf-xy642KK*Q6#B5P5^t+(znE&*ek0}>f=GjlJt!Uz#r~30#(nZBRFE;Y~ zUp)3%_56I@TP?rOi++2+@b#KXzjC1byZsYtcXXS@tNna%bdjz_9k`HRKh36cXNI)g zo=KoWF;4&I2h&Be7L~f7LXmw^|2+k%1BcBwf_!7GBER2t3wQs$V|Fr&4_2%A-`A8n z^tc67$lELYe6n|utwpUo$UE{AYpg_iADnEz(cYf%UU<@ZJFQ#o%jMJM<rdyuty;cc z|5kJMePO<bENlNeeB%4d_U3Z=Lo=y6Dt~|7x8OgQFY@jX^Oc{=pXC11yAxBycgIP3 z_xs&HEoa{K-NNZ#Cio51l=!;q%<}?2`{uc}DevyNmy|I*KlpNQi*y0|+(qa1rp`O) z{mXbq*`zbi{Y8Awi^m>X%iSuz;kU}ecbi?aZ7<5%R4yyvP|r9$@%OSz)&>7XWEP&? ztRg>G^VMO+TUN7ccksGrY}>y5=b!81m!c0GKHd5}ZD0SFq%FSo(B_l&CiCBu=Ui`l z9nP`+f!r>K-*e`J+fN(U&zZeI^RM8Y<@PP<8P)bTUl-Xj&bjpdK<$=o`@cljPkX)g zu=g)eTja_@`^LTRPt<YgU&wPl7hm+(c89lpi}04ZhA+qG+;0&6rFX|o{YBG1o_QDN zAF{k<9dK@atp4@e?Q^wTK`m9jNp+9)jq(ra{*sefV0`$?^8y$9=DE#J@7P%_ux~j2 zCE3DtcKeBYQ|h?mE_{C|Fn6)7+<T!spQj&n621pmmN)dh;D6Xq2kLetZhC(C{Q>4* zxfV{-U(BgH`}pI9^E0cTIA7W8xUQV%`aW%&CgUx|JLZUgtb1|D?k_{%#ap&Z??3!w zb!RcG+fm_S-zuK*ox`%;X+Qh<m+b{j*ZQZ_`R!-ieSh-t*N1XTn$+qi{p5Lf0qhg6 zbKhTle>kI#rQhd$#>@8lsh?{roc42;U*uVPU*j+9oXhiP|1>Ph>*2GsZ=8L9`d>yH z$G^+oq<*<w;IW_e`vulp&#H<lJnA{k`=%BPZ}G2ZN&nLRfhSj9y;}1x!@79Y>-QUf zXY}d4v_5{iZgw4S-Xi5I(Wfdk?<}`(d!A9CZ@K>DXW>Jde?je5;U)6_-OiOKey)sc zkIv}wd*Pq>xze(6`ikmBbLL<Ep78nS^#<z~BDv?DRvF!>`FHlgEdA$hpqBR8=kfA# zi_iW(5m&W#&i$sd&*$^Ezj&bXvVD$y%h~6Vpm42S`u=a&x%UU2eO|*0>dRbOzxLF= zpEjpKVQcbh?i~BJv(ImGoV#%DWKrCqpRqS;=CKy^TzjYfSMslw%pcc%^O%3XkSN_T zk2A;ad{9MW*?axx+h2T7_*^M=h%+kxW6g#91J6F6X}$e|CHGI<x^lMJ=QnH4S-hA1 z#Lwh&?+-uwtkdM4@wo94zr}ve+2@VVpSdV$wZOjp?DI%A>*XhQ)|Q+E&3v8TEa7+Y z=gLjtzng9Dspi<t7u!%h>r2$~?!Fi037;!3w<(uYw0&vc^Z)pUpMN9{N=j{6`aVwm zy6~ZApBHoU2RwJYH2*?*;^&`%px`S51>aA@#_1Uq%jU>m?oasq^I~)Rit1@!ihs%F z*x5^gGUr+QQ;*LkeEw<JoSlApr=ZlO{>0BeFSZ|F@jc<D^d(TU`(n%PjI=5Ln9SzO z7w`BdFi*VX+;7Fkv(Jw+%v<obIOY8Rtv2@<=KXWn!TkN=lZlt!{||bmyyK@os2+OW z|NP@Wr)S<bBE{nl<b_u;n=H0(iT)y7k@ZRaL|j4doa=4MC7paTo^L4M_eND;@a<lY z5|-!Lhny?g_~I2S*XmofeV)FNKmCQqv^$kkGq|qD9k#P^Kb}8j&p)e+oNx9t)>bqw z%b$9ucJm97b^BXuD?0t|sa8IIyU6%Pt@`hRKELf=@AgKUG)uovm0!1)|KEa)&wm#c z-;m}?-|$)SNAjY7?XKblpBEo0-tn*SNARMx6JH2@v)A0yaM%BE^2KlIF2y@aJ3k2J z)%aQL3fc0x@}k7KeF}Hlk7xYXo^)PcSoT2VDZ4p~KANk<*MyohK95h9pSPg-v}(Mc zOZ$gM(Qgh1oBUk&<frH&<%&wx-ye8n<8&+E{$7-9vA?U<!r9$^+MSu5%L?AQgQ~DO zo^k(IzF;Y<J7>4Y;c~W0dA+7p>+9EV4ttk;iasg5UvTchcU#{awzT@Y_{qKZuEskG zWq%jsD$2y@-}*B-gJXHU*t<i_TYj6LR5$PV_TY=#w}&je|5bl}dA~@|W@jg;63d%d zQ?@xne%`;?ph|4(;ivDl%~RwKZ;rm%emtXI{pI=X!m<Z$@*OgqGwaU8nvKR>{_hoR z+FzH8yt@;*g}eOWwYe(%4<zQ^3;o1%?!gy5P!mh<(Ar%Y?C%bo-R*g=P1)qGFSzNt z+sm$TddBB>leU++KRhM}YUeMuRrP-;;`dJRr^LL)2kj=A$6gfh`!4kE5Oc|%`A;T` zipagwo^y!%inT*geZ5GTG^oeK$3Lltr~l!>#!3ATcuM)F-m#Wwo$K5pUBE6|F^T`7 z;vIXRPb~8mofDk2yM1v1-}Q%Lx9q*Dm@62*_f4^B3pTNLD|()>MRQj}c7gC)S@q9$ zciML!_mVrPTvDiive=@1^JCTcL%qT+cc-l=w-+gUr+=rx`o)7ru+jAmPdIH{=U!GR z_jiP}-Rj%Euzg^7H&+kbc2hVx*Q{#U3#N4keYccvJM+6h{@pxP&;Zm?XO;60WzNaz z{1nQw3E5HIm%;z;;M~3^igOm+JggFbfV-k<-IL-Sb@K|I3oeS?!M$Bhuae<-#@maN z(hFqYu}!kx(9hOC)ux7rYx{PN@`sF8)q9>4SMd4EsaLXD$3Fb_6V$!<C#>@RKy69U z!Y9TRZ2kHZYPg=SsGs=ct@@%3-&G!p-EltdKdpwz|3RWgC6|7{`^-td{T&m(uLK9G z{xoo)URISqDEZ1Rxahs4#+xtTK(&efq-fLf`}`zObMp1iNxRPrzCCdGIoI}w9KLZH zKQ){l{$K@V%xm#Fm5*IDVxoo19-2w*5BbF6_uz%<B>o4SQPn%2e6@r2FQ$U~7x9Xf z@^Xt$hkM6Wi~f?jXgSCD`-#KXPuVmnTXd+tKj>WYuj=IV4?^?y`h8-3v(M3@VsZvY zd%^GCNg%&Vd)T!<|M2AOr1TA+#eOs|>gHeYp+v)`{k6Q%x>}zt+}9sIPzHsV)%-~| ze|D_kF1J^VX^+mR)v0`cc@eM0AD!O?(xv)SZGO#J!Tx>U0lPbn((x1b)X&Ti?h68S zP4_8Q{s|3~e^=iNYJ}-euQ3qJJ@|3^jdtfN|JOY^DY_`PqCoX`0e^0+?#~a^ppI!* zt%Xy%{iK>N%oi>1{8?DQW^LbAulMS|O9`WSy_!w?Yso!&w|=$05c*cHx~Ji8_JeHK z+xx}NKD-uF!D(K{9j|`t=iH39{fpk(cRV{|`{|GVBzEq==T#Ta&3DZ%5MKM&_tQJx zMWq%y0&g_dUimrw$;11uu{)}Te;07Cy{}aHKJ6l#%)i;SJ9>9*t<L*bsda0@PWJD4 zsq*g@^v+#!|GwWAPIqgL>Vk&8_ZpRZHost5XMIR>kHh6ymGbvGR;{ngQ|03pO}?v| zf5kO@!)|F%`51e0@9yrjf@J@14{ybN*th8HUf1Uvwkyp!c&_e4<D!567IE*WZ1^Cv zPM$?x?Uq$U3Fq&2F8ze-&HW;|@_MrluT^fDUhr7sN5sW*7Z+`P>~h=p;+&oC^X^A~ zdQcJg+~wjq&PAp>I<JMPzW=qT)WX8^Mq_Qsg-JFxktH1Z@73oV2sW|w{q%<sR6ufp z3dnhym2Y`28qV2%v)#DlOTfvwn_Yu<d=mh5TkQNl{jyvne4|q0_Xj3ldDWf2IWB6( z@PoT8g_F$Xl&zZY9^b_O{KXfhlY7@?u$iArmtPml(Z1-cde^zc_eu|W%I5Ld$6l%L zdcl-u=Uc&dUB7L<>aD7_7ee3WgNpog?(_FlrS`{`@O{6hHs_$9(j9m8FYF&W>UfsF z_>%pmw)OLa52p_q@Ay{wki+-o@edQ~<mDDOm)^|&?^MEJZv2pC-lDbHD(^49KcEO2 zWBmBdJ?G!AJG**6C%g}P2x>FQE|I^Oe>nD+?vD1dzKQ$Fmt=^`$*am7Ftm!Ex~E+J zqUD`E>kHVd)w%oYE7qTV{r!SS?1I^c|DXTA^wWC5wY_=szrIswJyhNItMa6J`ISAz z>R->zz3T5}x2yZv+1;z+r~D}pKij-}<@)Pa{k`|?U;XS~-mB@V_P1g`zmt0<T^@da z%Kwy?&yt_ppWphj_-8mz-mj2<Uu$RAY5mvQ;Cnvz&+K{8HfDc4>i!g8F8?Wb;?d8N z@0ZtGYyYgMKO4>awen;?{{@>T``7RKDJ8q)=bk6a*|Y8JTxyF}{F?bES-(<e-ZSQ( zZ%?G1(|<a9pJx7M-}iDW|K19G^?31B{r*7vyjAaISMRHw^UL)lfB$ux3ERIuyFT|p z_x7gh{I_2|y3U)sqVlwO{F9TlChO-eseGPtcJA+$^QY95)SsQL`?Y(Lyq&}62aT^@ zFJCc#TFw8Ev*JG<S&1*tzGvxNGx0b7r5aKDeYUS2sBiy$Z04<#UUdc2o}Jx%^*(p} zoXf}jyFNB||6iSJ_uTqXcVGAXJMYAop8KG<oqhZN^%eeX{CBs^-ght1Ui;_#2b*sn ze_Xspc;4!L9}T`np5#AwdC%X1uUp+$_<QgB?s0an!{0qmCc9_etK3rc-0;<M)%*7s z?ff6{_4FipTffim9$(!Z`*riA{`m_kU)O-bOaFq+o`BlNov$`elDF~v{6y*N+<?8m zQ@-8{zVh2Y^nTT>s^>3XsjJ%Wiuioc_=>yj*SqPf^6kBA-`;#Bu4-T1_v~fyRsQ+Q z?dtaZid$k=(fI2c_tk3sp!oRU`P2XWIXcTfeo@_@ps$|swf|FJ9iG1W{T`>i|GmEU zhOaD-TU!_T>1MXc{3Ea2*N56y%>DJ^>#N=Q0r%?`|9Uq2%I^HIc<B}KQ~tcsopn4v z^nTUsUwfV$&%bU{)Bfw2^;PTlLHl)n>P)OD+HLV(^XK<2f9{*B?2e1?f7Wwfz4GDV z@2m4`9BRWpO<wqHGXJzc?N|Ek*3^9v{Cd&-Xn+3nAIYz7&%fsP+4$Ap^Q-b>7Twe2 z+wr&lsHwiU-S0bTduICEt*`sS`n6eq)%&<r|D0+HV_N3ld3Sqy=JIc<Uo%gBH=CcZ zQ||rgTQjHEd=fot9UuBWC)i%|=ZoxF=KI&x1%5i5pCK2saNj@Kua_sik6*ddK6Jm% z&x_@z@9X^c{;mbZ!1_yjzSh6`JV`!&ZRHQ<ue~#Wt$D(3e`U{K<*!@iSA!x``0U>K ztL9Iy`DA?7+CKb!$}4qM`M*w|pXp!K_p1A$eYUyu>(}fn{@(lkXP^Che?|PXKN;&P zzejz2mw)xVm)*a)&(_{wecl^%LjU2{SIqydu+#o|(CPEH`B$$0TVtpD^Ip8k_jTd+ z`ahqrowcqHeSc>~UC^hCL7$)9zXCG9{A_dmiuL!G)J1*zTc7przxUrwPu$J3@14E6 z`+u0d_Rk;ov$p32&Y%9L!uj)u{ja3AuR8BlS1|k8+goL`1O7yX-}bAG`n310>G!e# zd;Om&?q{v7SC*HBfdYwdmbok_zuXJ_{J`(k>+&#r-JkzrO}@WhRTuebajePvd%k<4 z!|k<yR)n98-o5g?cio=d&mMxyhxt?gJdm5U{d-7!@zv$3_iuX_=jVU@JSqOo;-5vX zwfolpy7lkX<w@^NO}_I5+_wqXTR-8~wS%v2x373Fv&zoGr}lcVyxh8d)h$)uJwTDr ze`!zY7ErP}o*_4H!M?)yuaVw=AC!E3yZP$%bD{I?f@<Gye6^SR%6aFnCy&0eJ|Fmg zPr%<NOJ6MxUzxuzuI6KP^RwM1@l*a7T=e^X_g3Nk#g#ql@7MMH4t%@jfw_I1-Ljv3 zKa}F9e0uHu^UdR1|2g-)Sgw3_`@`;<ADn0HoAf{Zm^^DgWBil7{+a(|Y9_MJzgEM& z??m+ci+@6DCRWeC`p2VY;&uItHT*RbpD(RhJmviHTmNnMz3{hR{xkH4l6-yKXZ=Ur zHb0x5MSpaas}218Y$+&H?(zBj!28wfmA^7ie&4@l=YP?!&NUzFGU|Ol8}2*N-1$uS zPul<Av-&@}zWeX<S#jTqz1gPn54!K{jr(l3@5J2Mrtcqi+dSWY)^gw7_CMv8-8Coc z4{!Q0hwI1taIv3F|F0!ajPJi+v-i&1eE%ok&-~qc<#+XJP}-9}yIcF!-P)t#*C#*h zUT=EC-}>#}tJkG&&418cSJF^6iyc(@e^5Bv+<f&qf1v$Q@$16!t14d`fJ!0Tvxg5~ zHGj7fltcw*ZSNO}uhD(3@in;S<BnhM+4t?;K#9-y?C#^M%KL*siLc^p^!K3o)BpTn zIeWNzb^aVs;%;1D`P=SQw)#qc?|tR*XZMDF{XEIv@7kVX`>*Hzg6g2G%zHHrpu$~# zMP)(PuU{)c#iPA%@&6J~@i=#3<#yeD6~5B^LH5VR*Jl<j`(~ZKviux4$sU|#F24wr zuiLZaO0HZ#9|%gaJ7@jo4+iDy%30;|>+aRI{E9qzy#LA`(G{R<r*ZbM`|5lfx7x5z z&APL;%dg(|%>q<($gSUbT{M1=7<bLbKm79-RDQ4d+RI&HuKn|1>#XhbSM2+y0#c=S z=??eR^WJuqo1V>GzM_2IDp2OUY?5ym`*+ckcJXXE8|T`+%R!klJ?ou4ICGxAw&%CZ z*LTIB++R2E+1lrz+%J<Ux6h&WaVw~N-{bN5+pJ%=y00!*mEY^~*|g^4Owm0d#oO1{ zvBsBt3Yxdi$NKgMSM|!B&kkx|$v-GA`+w>zeeb$2T4#5!4|~54<dfGw9-T3{=JzG) zYxa*t!h8IRzkhud{=rpU|LIHVEt`4cOD36T$?fs{D^_#y<NY;1H$8D@KD#?V@cq8f z+OSU`&0lhFb>3T7#~WYLRG#r}U+`b9eJ`FYwW*z6w(ViJ-=vItmD{VH+k%R^z2U|6 ztAB;o6fXO1cXson_~YVpzx=(`X}18ZdEv8n>{r)6==O^{b(udiU3%XO75Qs>J_~+5 z=MIYMUo)QlJAQTjqi*B76Q4z%T>rS+?-%FWFLo>M{hk79n#{kp=d<M3bLk+pyIej$ zivWe{{L3~!_Wb%K4lYw&&;Iqk`doE?qrO~n+03#@`YZ2u>01S^+W9~A>*^n8pMTb# zm9DzK?|zNl{#A1`X8$s+xyZS1+0NgkU$uWMnmql}7wKC!+w`qYx_o{x`_=Xb-F^Rc z&wieC|A6@1H@9zj>V@wYjW1cGZSsBp;yTgzk~`X_=e_D=<4Z2BEL-$`Rs3P`xeuym z?Y<wrze(S!QqzC^rE_LA7d`*^e}0hlHTr|=@&Ap_Do^G===L+a?Dxs}YxGA~^<NX8 z{p$z$x$n0yEWnoE>a1G^4zT&z@6upVr4Na!_$;|UUf`&@ZhGFkjyb+$Q}&k0f1>_| z)m-eXTfEage1Dg|)w``=kLp{!%-<^f*YB^?z85U}uiyFG{7bav;z{qys=45(`{aJM zx%HRsk42CFdw$;Y#QcM+`tQwUllHFw1>421vwoYeh(9VW`+YskwBB2t(_UTw;Hv&( z_Oo~QVR2cX0gg-aOu0Y7V5j|GS{e6A`p2Tlch}5SfrMIp_PhV?f3^0#*s(oh-qb%< z`(7-OzSa3}HQ1lgrses;&``^^zBBK{{f#+?#pkA2pKX476_lv!*`e`}<Nj8~8XT(^ z&&~Q>9su&}y2X{Cbh>Ery+G@WbFZv_*zH%4e)jI}74Zkf=kA%Zy!<LS9?CA-?3fMq zEIj05w@!YutWJC13zyy5@7^y2N9yiusPn#G@Y}K+oJ3;I-raiznr`=Q1?QMr6aFx8 zcB_wvxy=5p%J0>nfD3dk{?G7r^^Zl5<yJslCbwkniv)1?lUcO0dIc;NW`JYiQKp>C zx;pNCFMfQ#Vx#|)x8~x>z6*XuOMb2Wu}D~U>CXBszd~y+7WQAX(f(OlbJ275t<JvC z{cZYI2McHIKE4|2%kPlX=6Atn$9AwU=dXZ9!p~ct?-ql7sh;`nemFQ1R>NZS<gLzk z%fXTGJNw->m@nBOG0K0%#=;dGqyAT+F7vzWSF{W4vbhU)-VXzX|GQ=rXg)CBDm-^7 z*s#r}<??HwMU<Tjq=;Ja%+Vce*!hc4!_Hmx`(yyl2Uh;Y{~x{z|LA(Wwgr~=J>I%B zg2P{K>CWF7uq<i_$)aLsn~$%$-=uH#@39Fq9~{qCf4K@20T+c$zMqHW16G(nTW@vF zTLE^Odgi;hB~YieK@6L0%0F)rI0oSUoVRT5lb5fyKkD`?+4$_A@s;(Dy8CWlhQ`4B zm2+Q2g7ZPewrB5(VWIXs3!D$mU$&{(2aW>wS>VFR>L&M=$$J98Su}3R&i%{42~8Z9 z(DX0*eF*|5jxVO=@yno2+ZO`~wbo|`wZVDde<;kf)mta;aRZx{boTG{Rp2aI3iIge zt-^a9!5KdP%AMND(4_V&?JYP*EvWn;1}=;0S3}F9Z)R^*@*#P&JmcN|U~uwye+lYS z;kPdD!@y~++NAvcYN*@tAUW#Z^2!f&VAK9LKut5d;`hlB9HYM`Jp0!UDcJ;JCEMa# zopz9ttv~x+A1v7XA&G7N6`LPZz`<4@1uKkt$|jwMM5*em-^t)SRp<A4&6DdNUDf~Y zDO;q!0$l2L&)PkG6*M1Ahg2-_mux&B!FC!JY~fob*Mx$DZCmEMdS9?d<F7+Kx_{x^ zj78w|k)QRh&Kp|A{oMx6p+!5N9TbIB2(o9P6@u4Wm0*ZR*FF399h^RX*TOui_15J- zBv-9AEw5hy4YqTTB3wR8?vDpJBh;^{gp`wiU93;~U(G)%KKDiXtly9_JZ~Aa@c8Fs zeNq1^s95`;efBQAu95=B=#%TS*!KtSXN@oUvlAKzWoyeO-G_wQdz0_=>%pnb9#-mJ z&s6`l7@V!dX6>#If|k1f*UZiRv1sxi*Ut~Cz%jbt5f%rl%O**KotCk3=YMl>9Q=!g z#X)=7qS@dQ_k;i0yY(xfabTVe&Imi79o!Fz1AAB;=-%=)y8`y-{#n1bLz1o;G}N|i zd;Ipleto!1E+pvQnwFObK;2h<1yY7zvbhln4!ZXXph0JO%k$j|aOqZl>CXPm;G**V zB52Uv3$?ze2hONFW<Se|g~saLP;l0|>H9gM9PHWq&QQ<p-d#4S8dCesoArAg*s$-g zyzu>+-<E!G$c34de-DMa?E7V~%f4N=$yfm{j~HQoZM@~l7X)fD{F9$_Q?$U?0=ARf z_b%s-<mR8pKPD6u2v)zj$j7Ib#Lsr{!2_jlH<}L~bbWJBK|&_xh+MUS#f}bJ36|#O z?uc8<rkUj~uZr59drNC$=<~V1?aqJyYu{gKcmDhT>hn)x^e$)q{y$ya-|NKxmg4^> z)^#plVO?CErCW9UNAcF2S=pO4cUSC+GHWe;v9k1|R@TigzWM8pr%j78+v-@ASX;9q z%IqfZi`vKXS2w-PIk9Zo!SWTU!S_1m-IeeUJgv5sb8qsWol$0IeQ!=NKYaP>Ce0i_ z|J=s#z|+g}tBg;BjnRGCy7t4uteYv@mwoT84>`TexYajTx~4tK>}l@Hn{PjeY|RO> zgu3STX3h5v%U7oc-<UXWRu{yWVs*34S2nGD>!bgsi9htT+UtwIkJ+zEy?k@3Z>~Am zn7c1;D%!Z}&b}h|rSIeOteYm^Q-bet`-h)iHevblZ^u@zNWE;ncPIbs$gG=JzAY91 zcDQ|2>g2og@0tG#)SZ2fF(-@tk@b~Ln&K~RHiKQme%boi{MD(KZCZR^2E37L+kI^7 z;g>&^Hv}Kief(+SmotGDX6xjA^?eSXOfE=?v79!~mhXFy^ZYm)x#fNbavwi%smhc1 z-Yczs@$clqUm|x5*G+qIZ>2?{RG!pyzva8rAFL~U>r?el=gpkn=wnZfY>WB&dS5@k z#Qpr?lM)%p@N>)4^$*xS{@_%#u_;*m;kLp8L)&uBchcr*7yfz|{xZ5_xGv7`JJXyU zOy<4b;g{~NF1WtK%;|i5@7(Ny(>rQ(ZG(?)Ec|O#^{{Hr9!B?LQ>9<toLz9<V$aOD zJ*>;KcI3+3@j8FE?f0?X+b{pgTGXn)lL&vmsJi^Ii>!IC_xB5Ts|&C1D3iCf7q<TX zcv|64#;RwIGSb(bs~#%OsgZC$Hr4&b&A>axeDX`h<qxksUU=Ig<3h3jgMEdMJ$L;V z@w4x>K6W+z#l6iIA7%2Srq3$*UU|oK-Cl?D`#a`F?nsTP>zr39dHmSd@E5IOe*3zV zAD_zp^5*r9beVI@#P1(iX<m5zNAcG^^=kW$E|YoqGV7+uy=BYq9fU;TPd#uH9(&RH z9h{)<FNY+k&y2I9r0*}B=KIsR>YFk+B^-yQ1pCC`f1>l&P4j(t{mYg750La-cH>OY z>1E%ds~!e})AxC3`mQ;*>>KMoXWiMK-oLnc|FLG)%_X~_=KMdf?2o%mtnTbX^IxpA ztPtIr^J|&;|1;nOuK%)i{{!c%n@%44vhQPm*3FWCr<Q$#q|Eg%ZWe;wbN{96<MUTH zW&UgS{W%|;-{fq+>hI}_GON8j`+u6Tx9;p)^)Fi2e*|Te|K9JV|F4+l`&`;=YyL;s zteaDQe|b}0(G_L3@;%I)1Izv>gZ-sm^{O7~o_er3QAr1P+WY;nbE~s^{Igg5z)eq! zy$WSwvkH>mZ4Tmoe2!uEwMQ0FOy<*$Y*ksO)B1O5VV&fhSh3~P58FOofA!PNIZxHi z*6w__;_3H-RoVrwmlh<s-Z9Zz>rx(mEcWp&tuKF8-H7Df-gA2OW#del^_{P?A0E18 z@$%7$9h>-Uck8{AY=3>RyV+u^U7j?%^o3&SNBatuoxhtOdf8iee8(=%ySGKu%?|rM zzVxi5ocoUHy406HbvJGn&6AwIeOdal2RC-?<K4SK*DtSK`LS2uyt{JWdk)LKI4WD1 zrIjbmZhf)X`tiQPWbs|^AAVW4BRS@7@4L5s!p=J~V{SFSd)K-9*w(!-e%h3MJEr^i zliimy`z*}Xy?57t(?6O0!HFG33bxUpjHOWZF=37s%l6)8=a)MV7u?@bXmQt`X?gdK z<QUsY?_^tr-5)P2%#^xo%U0f-ef-kr<B7+Q>puSU@yi)b3$u0eJ@k1VKk>9MS~th% z{Jf^>V~L${HloY@4(C38P*U}d=Z<;a9MA9P553%6czj3Hvg+p#j_ll#8DrHSH?L3o z`QrynF?$87Md$3`Fz<~Pzr5JHpqlMnOxNz|4}TQh;V$^kb!RtMyYh|-Q@_~e+s6)W z{SqVkJ^xVe?Tz*Pe)b*Ki+B7|`8Lbqqfy?zKJWbG@631pvRt=2`ctoL-;uTJ)pYio zWz>4>e=mGyZDzam&n(41^XC0ZJmmS3ThHYC@y__*-c?VpM_p}wVZLhVbn#{W;okaI zUox{^-c$Obxb@4KMPGccUJCBM7#`^D&vQY2<<jHJ`e)yZoAXya>!$hi1?Pjj*Xw)E zUpY-q<)w1g%cV<Sq_0>iK5d!&s%i70+w4Mh@5WBETQTjOj(fi3^y$mf!wzgLv~|^A z(=l25;R%b)pIoNzm$O>E>Ev3s{>xwfNPRh1_2rI&?X5ZUZZ_S!GjHC#MmfHVldCUe zvtJTsttz<E^0a#4`f2CmEjw%1OpDXIynOZZzdx<o=h=w6ADjC5#m$#H(q-n^Uwr!c zhxi81_zvr1SGj)wam|_2U3hv&4X3R!^YLR}y<fJTR@0fUUTV2FSBB-w9nl^6cXmvA z7vFkWdB@^u^H-OBbrBa|mpFNknESD*TDH})?<Cu=U+y-4aHSViQo5YK+w=QaZ}f{g z-sSm6WgoxFEqPxyX9u%+uXp*SySodn?<h03jX!?Qc1LQ=zUlLJ@*h9;b>^2te=UA8 z^z~@-U)rqrZspVS$&VLy>gm~@IabS3-kZJn%b&$JDtWf|obJDD%qt^(ef|RRc}Gqz zFGz{0oHehO?R(GR^p{7U7p&^MeeB@pFL$_i<lm{7`0o6nm(vT5@AzeOx0+QwYRAfH z>+64pRz2Iw>HS{PJTCq5`C~85LGo&MtGU&c?wIK9^)0`DF!u4S-CzDll-)b5`}ouE zFK5^-%+_sx*~(w|Nm)iR+-_OA{XyHuAHu&pT3`6ed`GTK$%~!$AMGoA9K7qlq+flX z^|7n^FYdkH@VVM%!L<6cqs!W3%@$|fWT{_JU4O(m>*kL7MaPdl&bsMRd?Vyv&*u0? z^9p~6?~;F<xYg$JZ|%E3rR4%ntC`vU={mb2%Iu)U-QU)7L8p)XWw$kx3qP&)OMSK% zfBOH{>SGW0zx-GKVMElsm$km-|GL?)Z0hvo-=Ao_YMSo{-Mg<JD{jrX^ZrHb<6Gaq z{NcaxQ_%fba#m&94`J#5^%W7i((luEO^&F@oB89qAamBuBg@)9Z<Gu@tu|x+JKcu% zE1L?{FYZ3JmG$M%)(x8t`g)FE`E=$&;$!`$_N$vdiY-+a0~JRMcVlJBd$YYSeD+RK z?~Fe7bm@zkOAB*i;@jdP#MGxBo>;iSrq)IG{fqSu<-rvJy3*;cRVg;h=6(Mf)7iV< zNG|OB@2Yi9<zYu54p<F#K*Zd4OFvGCGJ7ZW<wiw}?(7%hIZg481h?k=IayNfYqMfn z?`APuBe~$yYB95W-#<#+nzN_$#kpM-4!W~%d?~O62X%8veyz=tX}!A{A%S*lg71Wb z%2_vmNMF3$`*A^(**Uc@Cn|h&XBPyU{YwLdMt<?G&8(1sKeGuG4mT#f%WVaP!}ev6 z*b2VEa{B?u8M!atm4Q5??%v;X{K_WI?dgx-H-LhrY>9R7$A&1gjV~Yi<+xql#96ki zdiMjxtvNCMa?<^)Q-$B2QoF(1zasVVd%yDb4<KjAL7m}m_Ad_P41NFdZ^s~^@p}W< z8PngrZ3j8y`*Mgg*f((U9RYcTbyu~3T*&ETmNVoe`&Xt4%N;sqFT%e%^|7p5Ip1-J z8SY>+G%oE`28U=MG(<DZ4w~33n$}y-bJtdke^u&Z8K_rk&HjA^IpaOcu3{xfXvn02 z5>$cEU294Hm8p;CIraA*zq+Z@E2k;=V@H(Pzs!>FC7?(tW`jhE&E(#CF}bkQ$E;_+ zn*t3DOK@nMTU!16At+J4ggT?f>>z7}i>~y4r(Mwpo3n0Oh+kBGY`8V&Q1{Ir(;t8$ zR<h)Ikj;W=zByGDlCuN1<}{st83#_B>lZ*mBYYF*x<jDQP%inN1$G86#2I_0^~N7P zoOQF}`o+1gq0Zn1J0ovNwK>=svtMrfxFgE!qHa!;lw9EHWBaGRyVu5kbyH#9a!^*e z*;2mY<NG5ZGs-W{-3>7#aV|Jh+LpYJ1EsHOc}V)2by97IJSbxA9m?g8fV`pzaYju? z?|%bOXjHen>v_buH7DuvVfULot5St++`h{l2bBiARXH~6rulwhnf<`iX3@0X{~~v5 zr9hEl;|xh(^HU$&w}aBxtdi%IkkI(S1P%@Hm-lo)&iE|^aYofl-w#|MujF668xBt9 z*^u=0q15bOj?J2Bz2DjHRtod4NEMzfx9@0Li0*8KMb-J>lA`<tBs6yCG#P@OA$PY{ z9^{M~2Z%Fn=ltRSxFO2yo_opjWT-PZ!On=kxHleb#{CP)j|;cvEaIE}U^_TsgLnOw z1f|iRb0BH7?%=WivY_DjZF~16G&t<RY4nHk-QU8Xa&E3OB!T@qqxM4x6r}%K-yH*G zx997je#y`Iv;G6fAr^OkT0;`)A9k=q>M!k;1DWwx91<SC%x6Ea2RkHt*Y9{x^7sr* z9)G6w-aihC+WQyhzK2BZLw<1bs9REP4^AHcFG4&s|0WA(dfv?6a>f580#6^C-~aAh zKPZ)mEr6tw$v1v*gG-^-7v~N^N}&tjLU;qm-DnX|p3!rIc!})>OZQ{JtvP>GU%Z<H z@{+nIBxt86J=X69#qhL+)~BJt^7IBMmFW0?4?6%#C7O^_vPEfjf+{F__8Z-eWd%jg z8ZU?$;mMEJ_kql~RFcjMjUH}rVYq)u^<;4Np9RTx8M<Z%Z-GMP{?gsAA2@E!nN#|5 z;>Q_LW)G`!{y2hC$#%QDHyI$QB<}<`l{kMlI|Oou^kqn(_}*Zd4K9<<zIgWx<dt-8 zP{}^ccSh>t{7#TFwk@)behf+Qn&5!lwy^s4BT)8#3rT5#eZCuPL5cN#<GXhqpb%Yl z36lNi-uPkt7?k~EU-Y#@s<rY|P${%Q`tEI6P>AwD<Hq&|OEuUTdtc<qfkNYrFC;X+ zCq91P4RXe}#n!)}&R_<IsEo&Vt_lxb>F)}V5G^s7{XhT|qV*bgZFxXu$oN6b=udbo z4o>hBOP*^$LbSpJoX#>!-b;Y;U9}D*omutyJ^)AT<CpI^L80;71riz-XO7u(g39E% zKIMJ~A!V{EI8v5h+I#r}sFs5jhCF5mXM!T-zs{~|Gf<w<ybKAA;u}AngVWdN7w1Z# z&aeYJgZst3R*+Y=L(62nB;lAI_bZz|>Mz`F46a_5LbHsK*}tcta$SFcb@&5Fq-cXn zp%~ZiZeV9zg`|xaQf3FYf}FA6t9<=oP(gMb>I~@{Kg_|-nEm41Sx7SfAO`k|>8^5Z zP_EnC3#sMqo>SYw49dCpJ<H!80+~?`HN#5!zZ@uimFwT#$qz|i=TblsTVQ{;ngJBC zb{>$d;$(Kv8RU%riMxJtfI>rZDI~Y`Z~7>I7?g4QFVAIzWd52aaG5;0<h>>+Qhu92 zBBiR$_k#{7ebx89GXocfpP`|_YWA-i6dL(rwjYJ^q^8F$+r9q5y27=t=l6Hby<Tv7 z#~&+OW9j3^zM8*iH81?6D<c`MRP`<Qjxpc;h2r;*t~9)3#&>V=^Lxi@A0J~c+24Cv z{!w4ySLI#b4gG4GW*=J`fAMbo<8_5^&A-&JTkk)r`}ouHFK5y%%+}TW>DM$)-hX&v z;nVM5a>T#)9JasEU4LYy{ljgA2JQ2H8^4n_-?!v<{lS+K<vpwGmsZyw?p$ARd54K# z`TxG%$F|mA`27EfAOH5A)BhKx|LaYD{0r3mE&R0K!f0KM^ZB~&>SKxX<Nok1-*-Is z@dNg%ckXx0^ZvMe|KEPu{&8QS>BbXx_J2O}_xI#Kf79*e%#b(l_2#~C*K<d9O!S0# z@f_CNkEa#hT$nC)aARR@U{#{w9GL?X3sVcL$~f;B^G#nOKG|ZcNS-vi_vPZ#5B3!% zNAB7$<EP(mee9~}i#RUzup_>YFL{-er`|DLx7O+W`mVWG3r_FYV`V$}*v7(I-l~V< z7C*gZB*WD%Z_a)+uW*Oh-FRvK)zAMLUtDVa8k#Cg!KreM`*${QiS-MTDl?eP4z_`k zgS~UPIXFd0LsOLRjUThYsq*ZLbI%~DvH()*Mef?J3QCoCyCA7D_pI8CCQ#<szHs;L zN1#&g?F(?Jm)O_4-xgFS-EMsMrUO#kEQd4!JKw$S0kyr#7eZPaa<db{K}E%W>AQDj zK}7`*w83I~<3}~v8GB#&$w3nKyR)EzGeLgWc73ojU`@dDY8DKj%pvbl&J8Xq6d*-K zg@N>c0dVHfxNFG+F+=Vg$czUZyTZZ!2KP(Jk3VQseax6MuWk3SugNctCVw>9`X^@R z;}^A$+x)-xAAc!aaC}FV(p~9eos$bL?<g_4Ys*^Ro2^>)FXYXfw&-I|FTb2=T#yrE zKRM2VTmAg8iG@pj&d+PEKK5|umq(Qrrn1|6n?JwY$qnk?E8boI;EU^y<e0rP-o-Wv z8-vVy>C*q~pXr0xV`_z#c~aAvc2%>!le)fc$@BGx&T-^PPG7$)eci#0g@2=}7Isa( z{_w<(B3s*P=690q=9jw7A6_{N>K;0szX~#5`|f_;<@v{DAHR|<dG9x82REpDc=@i? zJE`mM7CwJ}^jz(Z)R=jm=kN3<KmNu1<xsPQ<f9WiJ_*?VHh8!4_2=_{_bY#V;gWy; zZ}$3660_et-jOb2_Ts2|!7I-@W_<G&`BzFkzw+_U{PN4`FCw>oIkWl8jUE4F_Fg!0 z@_AuOOl9M|TEXu<ha<l{^0xSDlqb!;{z9?t9rL_BUf<&nzr3!l^WXNxy24ts*@vVp zeya8LXy<>N6VoD`|9D#AQ`xF_v2!F2>u&v%eg0FmaO|AQ`M&3Od}Ne{lmu3@e$P4P zUh+SA&Oes&p4IyoRqsF6dH=z(!j;bD_1(LVZS{Zo^ZbU-mU)uX_b*7VYfpas>;21C zJ->gTaYy@0o9hqRJ}%(f`y+kMFQI<P?N>kTHU6!iqqOx)q~YGB)Bb&lf9bpR%dz_} z{)JoEg8G{KFYm2?aKygw@{TKucmF>W`}o%Wmp}g}JkP#kr1yXNyq|2xkA2O5*=pxk z*RK5d)b}rM_=TsvzrU~WvwPJ~^>5Ap4@+*X$-O)OW$fdqq>DTM-`=@jY~FA8I=eS# z`lF9MWqmQz)52_>zK6a}%Vh4yCw4r#u(|ueyuuwCdv{3q@jlpAxWU49JIgz1^Jz<d zd(MgDD(_kCeR*$cK~m%$6Fpt`^6AH8AK&8oQp07f{b*WYrD|1Ls*LpY@P*=GM^9cY zNQsG@JJ0v=yuux9dw+1x`K6jC%|7|%PSe8sJ2ryKhvl(%4A-r5{(kLf=T}fc=uv(h zWFFg>KW#ToKA2Wmsa%zoEF*p0e4)76(UWHjQetk-nRl1zd(UB=y*pI>a$9d7J9zEO z9g#ccc{$GCw;z4^w&3`VS&OT0KR&_-8UUO4&hKdK<6C}T{+zpUlXZK~X*t`?+I_vR zcVFb*{rHJMUypY6h0WEV(n@^qjN>c!7T&hF(LV3C`1fAv-xvS>E&RoB$8epT$9FD^ zLV-M~>3qv}w}VEi1FHTRypidPKK4}k#Z0BX-q-GzxZNK<xoBau&S!CYKWO+MqUxi? zoKJ<fEiC5Evt|U1d|&#TILD5&yl1ug<-NfLi&|zMTN?cGUFMGL80)$7?3u08A5AO# zsZ;eVM@IVk=`V*YEh_c;dbFQk+<dw4yoJsDxIGNZ^^W8|Uf^^-w&nM+-tL$GR4r_o z%X?N&zqI#s!K0!(CVH$T-?{FXu8Z|NAKyAx@Q#sQyzlv#=H$n}wtjIERbLOPn5wG2 zvE4D|%U>d%e`w|G!rK-*TIa2PxUcYW*sgkMzx$vRXZ_;dT#G{SJgMpLmhCRRW4i9H z^ZEPTbAK0{-eEI&-cI@B$G)<^Xk{-nnp0r%{rawrQFV8t>pOfOCeEp0aX&USu<9Fl zEPS!J{PC4+dD86u7mEEs<0qQC{+syOH-pB)U*0RWxCp9GK9_uF1&xJ!oIii)oHuAJ zeCE7L*5k*%itYWN<+ra*`SGdOU*6<^>gEOF_m8aHUU1u@<HgSHh4*)S<hxrhzWg|7 zd`IqXwY<9B0pG_#v)|Qq>^`=&|HaS#jh{L5B&XNAp8q3t{Mgs~7r15T{L$^}(Vl;4 z^ZbYN3V&Gc{lV(@mv4J-^Zm=kv7nl?@!fyH<^N3{R_;H(blUsZw`9zI+9yBv24%F? zd7XthG5@vqelVZ&hs*ug)WWK7?{}oj{A-T;qwe>$;I_pNaog|tcfwC!U-(nL>Sgwu zf8yo6+4nDezV8<?Z}OYV%8yT#e|hsAq{Tu1Uq>*gP4L0K>RtLB^E?%?*}qq+)$Knt zpMUvtP?5=0k}u?^W06>Sd|K4MS(^Gs)HWOR^}goYyMe<`4>UiJ^ySTgmfOYk>#jWd zvaN6fi|uxmchcsQO6oP|#7UL+tUm2i^0U(W^rph;hhrb#`t;=w*PXPWFE@7VQ`)=X zQp?lq*-<m^nel}!d>(eR_VKZ-lKRp)evkVKOL=#F=brOJHBV}~+U2{Zh1Yj%6SLiK zRu*%|$Szs7DpL0RUn`#V-uf$-{(gP&a`O-2$9+-X-hREwBK<&9`q7-IZ?n_DrLo(W z8$bGbUzTotlRf*w=h=@uXD7Pr{yy?dIQE~^jMO_p-=BTECYG}-z0g&6_Ni%KG@~ER zi89-{_GPE$j?|c&X^Yy6zt7I=-u$XEeln;xA!}I`Yx_QXldbK3=CU%-gj2|`2Ywcd zr={<*zP$U`*4P)$a_3e*y>@%*yc@ZPK7Gw!d3dMZ`*Ui>Ey<66$$dFA&tm8GmZvj8 z!(-99^A%5RUjL?d^X~_RkLN|@J%4jrEzJ9WjeS+P?%&COU&?O%vXS{k?$$3a9IBQ! zY-WErFY4aL!VfOu)A<q~%XNXKy<Yr0ys?-iPjY(qmopPV(_XInGQE>8tLe;NyO!^{ zl|`HHyNEmHc{1+bYv(<d^M5aO-EYBj|0CxN@>V|m9OAbq-T#>F;}0TL8(V^x`$f#V z9C*4q)Ia(0+&<y-2g?dy`plbb+3lMXV?R4i<M9(qi=flbInOP9e(rGX<6|Ye>h1jE z4H7H;!gb$=?w;VguW4>KXok!1{K>dO%hF}eEfCi~y7F{EwC?=nRhD<T3m$RZG0}_l zEMGrsgN=IF>FTTQH$>JQJDFXO60>vKytR+!6;{aZt+4gG+i?3;<@-|QySq8n^N#sG zzNA~S-RxoI`=#@~$8F!Fd8cji_Qxl76tSN_dH3Y9{5w0k-`(%MTzV()^z)Ud#Y6p} zPjlu)-P5jV@crmGhpX_mMMcN+3jWQWHlVh1;I7{q?^Zqid_{h-xZLrRY<)f2{ueg; zgMuOabhYWcq{sHXm(M@wEBqQcZ*qNK?`2SIfBtfY+am1rbI$lB;^_~sc<;!S+2eD5 zZ`1E%y<&IkMVH4PmVNx{wQ#JRf1R21bKW~fdiy=k?`ci`y61b>i=*2MU&(@6^h=)~ zQ`0%`xyL<UYWlt9yX8S$g0sb3@|!&CT9hB3I{xL2`i|w(&R_l^diTGn-+#99p4GCu zzDLjbA!6R^J^$j}^M%)Ud}Fo!Z~f+v-1eT+u~pCbEzH*apBVQ;*zerIiG@$ke|a+< zl)qc!dJ12y-;pcxr+40OuJ66lk4yf)xA@C{$8g=h$?v`vJc{3u8S~5h?qB|QQrGt_ zd;Y(BZa%12qHBBk_{PG&)>RLy=llV+7UW;voL_L>;*b2^AI5&FY)`DS@71qcoGWw2 z{ruVf-^Y4cU;I;<6DLsKvzq(j-qylH2Ynx3QYtAAykojf*X-ic-y01MsO_}q>(TbU zu-W_3ysCTOODDb4Z@ugd%IcE4w!dk4YI&~c%e2DE%BnP08R_f6Hp_P?&A#HbBUfgP z&-t}Yzq5YYoDF@s^y-G)poZI}FMp(jr#)AxckJ)c-oGSW9aOQao&R_}X>y!&dCzLS zyV30G*XC}hnKv!!pJiNJzi*nr@nc`VzHH^K@XK<px@~ddLb3G2eTB)%yY_SX<#$;h zyL$G;y=N91kG4GDJH6^&b?VKOZAUg1)@oNJX3v{E%PC)){q}|8+mH4YCR@)7KDDuM zJE(cU_vKIDjhR-7mFqop--q7p^es!jW6W2+P~3Lj<a<-^8LoTh_Py+Qr{bNE)6X^E zJDz{jnf&-y+!rS~_3!gG*eqW=?K{`E#L2f|wR~k?HN)QQ80!i1^b?*d+zC4Ue5H)f zdAX+QV-FX8d6Z~T`lIEkrR>%{;a@q-;sn+EK=oE&$@h#qrt5qcKkq+yZsQJ6E;)X2 zv)wz%_T!hk)gN5x6rT4z?p5V`(=*4cIo16@8A{VeJvd2N`nvy8algYSFBdMEc3yan z<N3LrzmN59e(~?7MJ&j1tEYWmHTSSuy<XWl(DX#fyvernea~C$X^7h+uv}}$+G*#5 z_jbIC?Gc{-U|C@%+ugk-hd#}T(0w1ezsL7s(HtI7zHWN{WL?T-^Sl_>@9qV^vcQ=% z*7<yV_gpPdBYtuEI#4=et9s}*XAh_Qv8l7wch$_hqnq>VSg+LG{i4hB56gbt^L^Lc zdHb2I%R#LYy{c#LI8PT>W&QjtdhMpj`-3a1K`n>==M}~`Ro)%<eSC?z<h$dY(9_RX zwtqR~Z1K~euSdK8!sf-o)1H61`YEp0{pOGUhhHA=NRG+<Fz1hO&K&i^(>wl%*nT$Y zyZWi}Q2L9b>4mQ>@0jt;`f}$pD2c@_Fz@x&zjQZpM|Mng=W~&`w8=HB?#HHz+5Xmg zC)vLKV)y#TS8{C9?t~pLj#sT}_I>I*r<S{4`umk4Y4@C0`Hv4-S3HQF6LNa3v0J|6 z^!tnX_w^fR?JTulP~MxJ`|Cox#rkQ{@0F__CEqcT`_~c|bb9T7?W$kbcO=_<lCBEX z-R{^v@3-zd>Ha#e{1s2l{`AECky*a)K=QHNt#{n^CkOwuJy-T|N1?y&_K)&6uKe%d zu0O<FTo9zY{oMSQx{p6i|8j8ugPy3oyEE>YuKPRH?u+@H@Y8F*i`p9Y%|3Rxe);q( zMX&!i&-<tTPNM(Ii_ooi*#0ki{;&7<vBm!vnqMiBuJhIZC%$~&!Q96WqN~<{^7{K1 z|Gr!NWqv0SUcaQ;{^61T1($bxF}~}oWA|P6*}mg<>~|g8`t!xlpBpzb<Vj9fzi7<; z$nx06sC{{NTW?$uJ66f=AH`ywwbNI}D_?55*kXUV1M8ylE_YwHK6X|0Mey_^^P=*K zJ*)2T*eFta>(Y(z)47F`RaudD%;s&Bu?;w#n_5{_#(Kwi-pobqSv%LR_t0O{GFkia z&0`az@}gI7&|KeTy!s)?zP#P5H?Le;SjTJgQv62X>D))tzbq@vG^+hH>qhA5+$!Cw z<2!bl)voHc-FoNQwT0h%rC(oKzP7z~>m4!nmn**(-mW;t_QgSW`&8bSH+kMkp1;!} zx9aJ(eTI8CaQfwSrAxbK?aa+Rwrr2(xtzx5634T4zD>J%W!sUTYd<VmyK(Wf+ebvI zF7MdFTl;An*gESfP;bEhu3UB2&a-bF-b<U8E%Be-1+uPu(ekomxsN4xUtr#PC(Nd* zEc}k~yq(gvA*XX|%c~MO)W09t+^f9x&bDu$bu;OoD*6i7OuPN=UQX8U2Y>ceT&e^4 zE~&oi@{SUQ+E0xj>vlQ3mk8%y<ZmkhvQF0Ny|g*sQh#fn-&s3#<^23*8*d*o?gINx z`Q^>U1?MZCUHlTDyZvkCmqUgYl{)isWmZ1jRw^@lQ@`=?$B@8O->`CS$LGTpmpnn% zJxT#t$5mUzxjSp8?|iTK65;-f{cUAI*3ET%FKvEqiN7^B$hvvH{!>ALX$iKj_~p&d zAnQu1R!qD7>*tq4tQM7u5bHt{gZFWy>m4iZN#1&=Og}MrcH`&ik36R*y6bN5JpI!5 zagf9O8rHI_MYH!!x@Wj9&UL<36DaQ1E&JXpef`4n*lti@uKseRbVshu<Ep9!({7*Q z{qm-FN4m{tHL!JOzZ}xFh&&7l%)Hd#eZ1*;$063ePYB*E{QUi)$?uN!Mdb;Xf_%qc z`)MyIFn`%q9p7O!+3suIo0U(^zBBC2zf&>6PWQ;ZsJw3ei^2Slw|(q!f6*9~r@i>g zo5djO48hht{^G=}J|7x)^HPHAxYFlAeK$WbxLWM_{KJ!HfdjL$>hg{+Otqi7LDp4? z?M;rU?X>%v3bu}GZ~mQ(i_7<dlS=&J<#ESzA4_h239>H#!sh%(^FE&0{$gQN-fO|V zAI$vrHA7P7-qXu$+Kk^rGs64LD{C7*=i0n<ezW4K*+;>>nK8d+*!>iKv+AkYU+t>n zJAP&Vx^h3kUw8XXzP<T(e(2WTS`Ny1AC0SC72h$N_mLHp@t&4{dGo&Td_~%HP)_*A zUiGlw;-|XJZHu7Ox!HWPKg}<E=52G_BJ6bTcm13!!*l;d{eMZ9T`8LVqwAiDUhie~ z|FWRO^1t~WsP$pDwH*|D|6JcooB#8j|4shQ>ZjX&nA(1g2U*AqwlKPCTYvR2<Nb?4 zIlbOr|4+kY`$v$RZog6UKYw~1G(3g9&-^WX^!;qs=ZAm(R9s>Lg=&(}-prV&33io= zAaCw9*qa<v`XX5TAgFlhzV!Q8@6i{R**2`7cKgwzFIR+i<k}>Ag3^rFq<OJi-+R*a zeEF{yMZ@NoA!%k>dT<<LdJr@NcI9MgKm2p5;*u8Fx=>Jlm#Y2r3KWS}zV9W%uP^Zb z%lhp~(d^%<d-Lzi@R`3&?c3F&=$P(#QPSIc(|3S#MCps0rG@7!o>hS}AZStFoI4EX z&HAns-M%%!*Rt7I8XAexn^)#G!Fn*;JI}uKeSAsm%gIQv?^O3D$K36*E6oO_8S~bA zph1ZF+jK$J-RYZm>fyGJJ#WEj#`?l$Yfze53AS$Ti`Kb?MdFY+Je?eTPc*&kFeFEm zrw2<P`f2-Ni7mJ^i~F*yP}6_@9&=Czyw?tD*?7<2B@go5?fLi2^JE<6mnnd()434L z4zjKpY+d_>&FvuT4ug|u`(<wT2PuLO-&sxa{i!j>QtG^6-_@eqbB`@6<2fhatuEUx zyY<ewzx7)*?a%%GzGv34rH@}u_6JuH>X)pKT}}LTW%7pQ({A56`DI_>W07B5gh001 zOr2-NyS+DkjvxP(qG-$JdA7ped(!8*g5nU`d#Tt~xMbSxYddpJJ%4zn1e#E5%(ikD z{ByEd*(AI5j+)*g^ImW9%j)q>v0LvfOTS=!?5g+6VEx0OBs2Z;?_<3Uc5k^r>9j)C zwp27v`n<$K_N<+2V|>rYHCG=qUcVHSPQzcezApGw1qsvFY-UfT3!kY$txGf88eOoj zP5o{c$hy46=DptAFRR~g1X=ffNqNue@{7yw^@8%nE0FKxer>7V2uh)~U#|GvF`HLt z56%{hRo@)%7|)Zu<h=FHHTgy2a>q`(f5>_4p}T!;pxIOR!e@q1>k7@bvYoSURJZK} zSvL>lJO9h-_RS#c<}WSpS$+QEa<h$~!2Ardj`i0Taj<ndRj*V*xzGq~ooLmz*6L%% z@nGNWchld~H+l0%h;`;SrrbX=bNdIG#}T^Q?{@gU&7D)v?q4Mis@{7p&OWyE`-{o< zkAtdrc~D@Q)xK)K5qvuLopROv9Ul#AZ>fVzneZ=H)`O~ud~hKuTlH-_s1OYX7ozE3 z4n4Q{$qK0=zFM0-z5m!p9Fk=IGS9vxU--|z;*t!wSW5?$qN=r@;y0|GcDuyqy+rtb zulc{sK{?{P=-&K063hL6TZ0nIA9mZX{&&pgU0e)`y+7Ua{+hp&JpYFuRO9~5u6nrN z;wLZELfzR#^6C4aSz>>B@NdTF^+zVx9RgJn|3T4be_369+LWhz;*&vT+j;l*65-F6 z`^PeXDnj2y-+QIGFD=(?0o6?6%a+T48ZjK8Kury-Dl@!eJkQbrltSa@#6>WvPd@@F zWhUjE@_u;c610>t%E>zY=uc6_rBC2ehPCSQjwy@$;{-w0t#^4Z5gxwGKb93_-CD2r z(&k}{{i9_;_3pBlD@_Y-R~*v^2P&kC^zn@8OHfsjeUUr+@se&xRk8N)vORj|*0e(! zF?^d=uI>LU{lHT?2~_X$?9Gh1)nQke3@&9jL8Z(TyHaCNm~OY+n}6p<lbtTO-rc@r z`L;v3k0qlogBmf*E^NO2VBW_wxnS#VU*xu&b4L!6?Qb>uR=Urb*#)ug-H~P6jL*Gq zQm+L2E*w;bO4ojR2P#9WIzXk2<NRIf;8Ldho_XGrmzTdC1J%2~F9iR7wC!WhU$F0f zU*60PvW^*S9c$G?g*i475bLa3e18VavE+dy8QVF&Z#m}ZKk{@3`)=_I-^Z6OemQv= zlw!UZe(5Xx8u9DOV^Hxj$N#;wdEat>YerCD&hzl+1y%Et!Pco?-n<-Soe(&|gj78= znPVdhwXWIsr_UTqZm4y$eb@GUe*VDoIoP_-AnQtgJ^8$G^|aexSV7h~{kp;h^4;!^ zd**pDKJ&{sK?!t@qknAI?PJDz-~<}ucb*NDA-KR9V(OPep%#`+khqIIyR3%yTue74 zFvD(MS$Fv7>yJyMH-H+Ty<e6UzEu15WH#73-7kHGTixbYX@jlny=R_x$8UZaJIJ~{ zljrT?-rk#j#}`z1uDrbYJIHs{;K2NTk=wZNQysK4V>ElpUZ{2iQbWim1y@Tvmp?e! z4O~Mk23cqL>&bDDbtRxm-p+CUFBOn=)m`_@^K5+Qf8zmJS2Jy172o#WbQ5q5asKjV zeUNqHVCy!&Xq{g0DHUp+sM%Aob9=fVzT0<f**B|m=Z{UkcL0?8@-NLkwsiZ8$;zOV z`PXc3a!hTz-Pc%9$~1R-FKvErv46EZDDLVU{I`Nimv(S<+yCNbKd8D@2iJe`7rFNz zJ9+;Bq)j1f_VoUvGs~eFFFhw~{^LL56_?(FL-ReT1v=gCCqF1@{^|!sp8uDX#h|ou zXa39D$H%6B$*eyJN}GnZU&BGAr#YxOaVq@F8w*hBVhv6#@?Q?w7j9~Y#NOX--=Fs_ zKFdQ(>uj^F{~!G^ueh`wlouBH=SxlBx7h!m94M#%2Q}#Hm-zqX1m*O<{rAlC{`kzF z=5b1W&iCJGAX`6Q4E_vCqO9N~I{C#-R**NHz}{?q$;z%Seh^Z;h@}R{@uags%j}~! zuS`4eQ}yE#6;Mkp0@PB|^_{<m2UN|+O}l5fPS1V*E*nrJMmOFw&s*~1au~Sbt9>z8 z8)RK5*t*r1Hm`m-@8g-P;7H7@O5~mM2Ucc#n>{^gu~}_ivdrqI+jfi1zIDsu{UguU z383Po6x4{R`f{=v6sT3TRmXSiVyu1D2C5_UoZm~En=Se+{rHO-XesfOce!oCvq4LL zxp&P5&7@5G@+a)ZO{wiYr-NV2%q_@?xj!xL2A_Jdh0(ek|MTw}s*gSF`|>Dc&MnUE zz0Gr9?u;$CzvJP>zp;f?=64L&z4QCtR*=Mh$3*X~XZiO-v5%wX&ATsc{TnpX6JM2P zFC%^Z`*Lx<11FgaQ(`nPfJR>nD-8BlaQMk~-9C0O@ynfuJM!;XG`$lq{N(|fnQ{Hz zcdRpWM`nyw+dH}L-N(%3+}E#sTD|Sn<-Ly!AF=$|QW&hey;u0=kHZ$VLjR8JSUm0a zx05+d!3FDD_M5$1{d8No*6a@^F*dUL)ogw%pPE&w*&3~z<9h!~&YaNGxyyX|dtX1l z@ZDNm{7TVm`~G)6$8{eYpIbitYEksAhIertyN{WNy)fN+Crp1)wfN&Bsy{LxFN?~P zHooy=`lBzY;NkJS+tW95GR@h|RG)h%{B-VnFSCcCJ1m-}@0D79rD*n^HaW@gbxZT3 zjz(_1leTX0@nc_Kzx;i-fjevG+4~;ld53f#8|N(p+t>Q;TEX=n$D&_??8{$Vz5VeK zS*U&Gn?Alj^m6w{^<%PI?`(UW(^OsXF7D6P!g$^7dw<_(F)sXAx91JB#nNfFpEQ3t zu|w#Rc`Zl!)uP#zGvuVN%P)Ly-UYJH-m6^hu<m1HIk5k-F5FcI*{2S+Z~mfc|Hns+ zq4u5M^l|>-muk@P{G8JyUhvKpYF}i|q3H!5Q}?{l2HBU=EhiZsw>1Bk)VWnp%|2V% znyov(?EQB(y%kT*{;S=s<lf$MzT-ve);rVgE!+M6!McyV?=NL%?OfY;aqstnN43!S z<G=AEcg{b7`lLI7r*nTRn;krE@tyPEtsU#9-M+W}M$7(VNAEufVFyp9&bhfiRe0sn z?RHD2+aGS8|F|dW+dJ_u>pu4GUwr+_Ci$PXdsj`9D|xxo{=xd*-&r@m|2v`fN7$}} zPj6Lf{EyEr`Deavihnd^m-+VP|D67V(u=QpTJhufLSNn4x9-1aU0;wTQ-0;)oxdmU ztMA&eYT9|3Kh5)gtApKAyZ*S^A91~Z(#!uf-#%u%Zy9@5W!lsIU*4F5>XB$rJyJD4 z?nmCC-kr8zZTIHN{Bbz{x8wJ*-uW;7{a3p)<M)}9_LpO~*0hx*tpsgWm=}6{hn0No zt3Nk_PrseQ`oePS7hm;@o3mavb6+yfdO2Bq(eA95FI!*AK3?VgU2NutJ&QMM^j&#) zCoZz5wv6F>&*7slkE#}Af#%C6eYq2~BmYjstatjxi9hGO+w^5!VXkFWUFDlK4bfSZ zeyXnL*L74Md+7D$(J70qr@+IVHEUO`-t;l-aOc&+%R8n#vz%#q<H)hE@fW!FA31pv zJSlN)$@6Q6Y9AlFRdWC6%ThI+^DkFD-JA7#)5-Pia~<y(>8(Fsyh(b)OUZWWOWl%l zZgFhC`l)i2^yR(21xdkoO!RWy%FX9PCndHg1fO{HWE!XxIa*v4z4@iI`LYXta|^1X z?-;JjbN^msvC%e9YI@m%-PV2;_o`Pu-+Mj8taWZdQM!y|c-ccs%YNVcJ2q<Hz0bS6 z{J8Aant8VbZ1=O5eFM!N#C`FpgU(6ZneAIBKj)VC_TJ{d6@A<7XCGk%l^qk_i4}zF z&R<?9u(!a#Pp(P%@u`Jh-Xy{XFAv}R;r^gRu)Jrr`=>d70(0gpE<C-%rgxqt+wrWQ zHV-#`c~ofe^zpXB0vp@%LoH7&JB2|7tifGd&hp-D^~;}^CoFG?KK3;D<;=?kYvz5A zTYkAa{lS%)J91^_xSpSD`>^tT=rZ$O@8=ip7K64K$(`5qNuO*Z?|y75_luj{h5owp zmj^EVE&lM!QP66QmUm}oY_K`LbILs<y?D3tF@4F8s~q%W8YhQ8I<ccj)Ycj_ZhiI3 zBU6h~-8^ab(5iP<cg*u*{J-ZPcqv_Yd`Fe=-QAMvc?W$T2lc+Y2b$2j{o?2Cjm7MF zlGEQWNq=`}W8vSts)c=%zk{}i=-XDyzmse?etGnF;VZ^FW_)r>o;%$!&$Ds)E`RK$ zd%^J?R$kxbj&>dg4M$qs%>~Vlb5{NHd}GrZeeCJ-mow7~a$@SI#Z~aB&$cjHXXAf< zUqkh)%KO*#Fa3?Q_$%~IB0PRs^?IL*d*4gD?-}Xs_d36)E&1`U*EY*7X8XS41x?&6 zd4BIu?be!kX)gMEd+(MPT;K6c&(_{7vC{98ZB<H4<@|YnLCM|h{7-w)dR^u=ci7g! zEqBZ3WGCPM_J65+zZ}mZ_ni?{1|4#?RR$4NUtSzcczJO#d-GC#RQ~<SH}A{mJ-+k( zzy12_Z|k1lc>Z&u>E^d{v+cjXuJT%c{@H`|7ykJF;@y?J^PAfDKhax0Z`+ah&Mm7% z>-~qG-+Fhq%9QL7zn`rB)$aSYr?1%W6epM5FM8};c1r%E&$DE!Z87~j#qAaf&%ZSB z>8bQPchA3E_w)hxyQBI?fBagq@6o+&F>i~`*ZO|fHQ$%G{EzPWlzoenPk(*CQ@n10 zuzlCZx~Qz0{eR@nr_?X1o_2Wt&g%UeCjXmSeZ1(c`MaO@GoSPCT6ygE$}c;2*I$Y~ zeK7t`cm3s)g@#tSrt?<+;y?f7-_qaHr2iM{TW+2m-Pi1w#${-=@#(KAcesNucCLKs z(c5Rw`~A(9lJi9tvgP`Y%a@5Sz8v`Y@0GL%Gyn8#F&4hNC1&1C*>bUYFQfR<p2qoH zI(hTOwAA{tcQ-9x%zIkk_wHUyNmky+CC`KWtJYOksLfwAxANt(r=M!x?D+iI=X#OB zfxSBz=Eh9OTlukW6?ftJr7!nAJ$C4hclU*tu18-S$+8KrHJqoZ<EK7tf%568sy9!j zRV+)ljOp6F-DGZ5Ti)uAby=s2&j0T(o3?oNw9}`H&+kYo*_CAzzJckyNcfV;+81?C z|2y^ON!Y`g`<@<Kb;o=4#g{sFK^_Qy9v9K<x5m?bns)P@yIYUE_;RIUT|w@=kJlCk zTkgu;xl8Z+ovM=iMai}Amh!&%(pKVb`*Nq*qqrM0{GPl#QIsnD?%lFSp68d&`w}zz zW6yTWxwo3~qSxh}^sCdJSIAXzxu`Px-OSt<5xw7qb58HwAv^cZyu8S7<qziF4earY z?|q&nQn_r4@Z5Vd-$kr@d&n=bBVA}WC;{Z%xqJ8JI;r>SUv^YiUs!4Ta@*5aad(QV zyDt`gdw6e4%)RN=Y4%pzV)Qo#-<h92iT#4^>3@xPHnU%}HNEHmIN{w==NDfVmK-ly zd&ah2;;oFIx$gA-2m5YJEOEPQ`d+bC<a^)KTNm$`TeO{9U1%Qu@ZR=27Sn#;JAP5s z^j+2QVt?Mtxu=)UJ+ODxvDp7E?`}?hG4H8#?Yo6i<;|0Kiu*5|y!_Jnh`g1B=2pGw zTFWoLll=00+U~47z2~3IteUDf$JM{qY;M5gb;W$&mp%Qo^NzT6|G5=~=CyVpTUqaz zueexg`tF(DlY86m?CAJ?Py8~-*69WQv0mGC=a)%-UnN!k_w>&6*Cppa^@bPlU)>=c zb8>Hm=v<kF^EciJdYo5cdOl>`!n@fo&+C?7-673x6TH7qFUH@$mKkiTGRRinJI3ah zH$MHPdxu+b?vAN_I^lVb-`(>o*JUp+(7)%Y{(e#Pw7<J|rc0NcFRI`#e;;R6Dt~ud z%-;FGA265r^{$Wp=J?L`^h4)6?X$KlKX&)g;X9N2FX)~=++Xzl>W*m1r|<0C%60o~ z&e;Y$&il*xuC>F@#<M@F@5+wbHC^ea{4dW;e_?ofqg1)|`A<G?Ev^3B*yi2LJlVIq zwlw2TMdNdC{Y%XH7c))YEsJ}0Z(B@l>+c7vOZ<9w-@Lx#yH0!VJLmP6=Sh`!=NI_z z^W3h(zyIjn@W**ibKkwQF1h~c#O^!Hrthv<-{F3LvGe=Oz|#|@%4f@e@Tu%MSL4wi z*>`ov?Vla#S@M^E&XC*wrbfy7-M6a?3m*s1)30^oukl-cb;s;qP1U!HzIwlFj=yMW z`Yvtvo$6_a*YD)7b*|33qg!{{_NVOKO*VgQ%j0`@PnF-X+x}AQX=U4YjZ)>W?F;q) z_^8({bk}vyx|93wl=0L1FV4LGGUI7P@9w$w70a$I|6G6R@wB=7Q;y%=XJwN2=j`XD zg;fvat=1m9du9Kf+tXJ6FO)BNW}yD`yk&9LowI%i_oiFUne_WY2soUt+?jkC6wa5y z;XJc%_v{6`r@1eCF1Mm^yB+iQLp>!)YE@T?mK?kL?2JwDyw-DPydXaI{N$5sW@T?} zn|E`jbJ?A@tDPSon`09{t6OfyY`@io=BE7Lw>{13`u$)JIGlfi!ufNtywn0)(|2Ox z59Y;8$cvPV>)5^i*j=w#yLTJh-D<Om<GVxe?ys&p#l;p2cVAvSP1*FF+4Ki9KTRr8 zbB5R&*_EE<efekVi^9|AHf?&G7cVPi{_ptbrB7cpK7F}GsytW^RM>OfVGmyd^6a`J zd($mrX8yjQ1@`Q!JCj#m)IEJT^4&hEa_!Z{<<~);z4ip`*%Ny=h|P_dEw@!>W#RUn zeCMYWm!!p3ohe##?C!ZLo8ajA=kgu;qsz>u$d><pbz#Rw!SmD2ma%^-iR#_G(s##p z-Ei}#b~_~J20zX#D+VQHu{-VCmVi9F{n0!fP!LBKgOc*iw%xmRL7tTbd-m;4@v;TN z)|Vij?Y0TN*Lm)Z55%)KThp_gOX|X_?rhpT`^}Eq;qAwI?^f=6a*?_E0w^hedvb4E z%-!za5AK13xE2(|zl-E=^?$pvBU-1>|DFGK9e()(;H2#E?wunjDIWwUW&Ve87E}DD zK$CL&N1vYsR>dr~X_jG+^PYRmTPf@MynoqoUF+i~AUSBohnklWRSzt{iRvRLQ60X+ z?!N#O#Pd$<O}Dh^{5`=Rl$7V4v908~yUC_T3!IcM@7TTka_nj4^aB1XJEH9;S1<HZ zKeq^yl;@o_ep>wE%uZ-h{#>!F%x>=f2D$BTu0WG=%!$uSyI(YRgCll&L4NeQxKp(s zjI5R)yZh!TC<j@6U#Oko-@E&$_D=Qni=wBsyYKj&b!RQ;;G4F0QF1${RWCSpx9Y&& z0@=Ac=0PI1lKK3U{3XWb7n7$Yo4zZ{dp7fyZi(HJ<GR+{PeJ^;qxZS@_RGxW;2?en z3gW%pzaQKM2Ql0Cc~3vcy>tG42~=8qFZ90$3SxUm5Ieqm=Uj6AlgDI`t-lW6;qJfC z=?)Fz#WulpJ?CuvAQ4;Hl%6Fxw|<h`_BS@F(9$Avp1!R!zl}Gjw5aL>l@^unnsvc` z<p%jxd?){27f=xIJ7fD36vTfZL41A3?%ZA3l}}2+rA2;${vJ>Dd(a@>ch>l6`HM5V zp+U@d{>r_@pWk18JZ&yNG>CgX*1UACdY}xB*yEsxHT-_i|HT}s^3C&$-beD;Keqc~ z`F3UD_8+X@pG+?~{pmyaom$g(VY}|!UH@|3(~0>z*t71etv|i@gY4WN&>;TFe11y( z5@TCv-to5y{yp>Df2aQFvbw2|yz^1|{Peoz?Ee;A&bs6Kb?0{7@c&Qjeu#te&bNF} z-m&<;upS(-^*h!7FOHtpKG*WB=g(qeQ1Pg_V|Os9cnmHCrK$O|suQKG40Ua@EQ22B z6<f{IRDW^i3)I7s70b+&=g#kx+y3T^4kVnD#pdas_2O3nr>V__`O)jnovSV21Bde* zP&n`0!GG2l6wc=k+s*`~spn7MtuEYdBwt=$w=26cr2!nfOn11sFLk!QfYb$<^ECB+ z(!~}Y*Eybb=dI2u<E=+u{CV_cM`}9f&BE>L`Ff?jKh<bD^Xs^RVmE45_3ffk$#>1C zFM;ZU|HnaPRNwClpFm~QqSLlJneJ|~*`ox`KvQ?@)&^yu)!+;iKeam1)N0~VNCsMW z&iJY6i!-yJo^_nJa;@w0>!57=8k%iSe5}cgtxB*3$L=ps>`E8N-<fc3Wuf_Q!SC~) z79_uOuDlieIImFn-AUh<)1E#&3(i0}=kDHZdKV>ka}wCIVRyJ$tu~rMgIIc=X1;g& zw#5+7=A1X)D*NJ3-<KV!%Qc`utUgcwtq=bjCy-~QKrQLJQ-43m181PSJHP9+%Y9#H z3yxjeo$B8~LHzw0D2O-lmzT$bg4iCMlvUrg{(X6b@ym**9=*HIGFL9E5twT^LvFLo z>cZ{C9Otj_E&ZHYb!SuY3~0%CxN=_!i*13*+_1-auQcA}p1!(xC%>HY^D8@MuPt~V z$=CnXu0#fulq+n$KQRE6QB2?<7T&pA{bKBCWjk<rJb9<M-$LQ#(DK;-@yt&zOP=vS zlk!ZP;MJFYZU$98H=&i!xraY5?fi0}5?l>@1|?<v2X+yQK_z1xsASyJ@%zG2P{ck` zy)$|GCEe49rx${%ft_=9M=#$!&3)YiP~}sn`u$L7Nm2{6j50kxW&J|q>z5#96w|zw zvF^{;FF&qpZ4Qmt9TT5>n_px$zYMAd@*dvX7Q?%f|DG4fuX}r|&lgo#e_v<~4r1$_ z;HFpmdvFk6dhqU^bGdH+ozviUl-;}5-!G3aLxY&Ta@ik&xtUN~<(|#FrCjnau<Fhx zV=YL;J~o`EZ|lcz;|Pk_E>M*>|B2lX5pcH61Z7*}JMHt9f~vgvkLT6Q%ZrrD1N*hI zarbYfyIXBO34w!n`cCn<g~IEhK^*^hX3^|(dtfDFb9z?(rJvhDL3|q;#P=TlytMm^ zLp!Kd@l_5~zyH3&ZV#?}`U*j1)Dycef}n`~Vfa18XS)u6{ULCb7yRyBI;hHf4zBX{ zKZyG=$*;y0(rA41$>(Rb)n{I4l@~p4Wv%=3{h%PWhX(PFiO;=ls~)I>^Uirt-q~Lu z|7R*F@BEeqRRiVkoa--us)5>fC+$IbXFoXa{5gA978J4n9)cqFpZ@nl^(BX9p8m7q zPvlKVQr4_kW}`ZHW)~zW8=IcLvTWh!%b+&gOK7RAQnAm(*4BU-l$5jFKuLM#4*qjK zpy-|3T7ACALi_td6_AfDq`yCT11gnez@_rDC-2U=mh1MPISY#3TDf<vB6DX<ha@UX z`SVkrFE?g}mKLlP%eE=Zjqi}#{$@!kq~)k{=JQh57mcpqqOluPH0qtJE#L$fjgvq{ zBhUAROTme1=}z_O3!|sCPX`x`pX}egD*^@dCvZT=zH1eIaij~HsHRpd+ha60vK8Xl z&06QLgf0FY32M>og63P(ihWzuYz;g>i7KiaR5a$kYkqwJR5TWYY%Sf%zt$a8Ag?=b zyOR-AG%AAgZSRiV(x9TT5?or$F3`{MQa4)+DH`+689&v1ab_CSvui7sg)R6T4XXHZ zp+TH;`t#DaFB;#1J!=hWTf93_yTJn##CJQZ&ll}5{=P64>{-QkCu2d;dlwwNZ_eHQ z`eNPFiMHU1@BPWW8^q_{fHfNV&rkWj)c7~FZQ*4Te0%;mc~GN~587xf6gWSfZy7t^ zLQusgdung)&ZuX06%ybaQ~=6Bf_K{cz>UWKNAqlE<weTbwSim6t-Gsr?ryayk^$$S z$2-N_ULN6u2C;DEvKpbeA74WHKkA=--ilb&YuV=AwDg6x%U{~WTQ$pBOa|qk(5Lsd z#n^WLesB|9AQyugjn9kZt-y^&oB7qBn0BRB?l=a{K~C@9xq>40C^%yEAI9yN;uqrv z$w7POq@OCaDrSY|Ag_5VV_l!SgYxZFXue(d@aLtiUmP4j5xWcAqN#e<Yz~gt{3rJ| z%iKFs`yvfg`+O98cXC2rq};x#Aiti<y>s_AsBK{l4&wVK_ZEoH-2n~an-6AwvMqTQ z2Q83mZGv~tKW7gLVmW9Ke-t=Beb$!)hrtE1JE)M7e`;p|E*fils?Qhwu=u`E9UQS8 z)t@|frB?pX1osB~i_7OP+&#^G-cxYCmHB??bIBrTX;IUdeoFt+%<0fBrv7K2x1v`6 zK|x#z4Pv+1&%M`QX3hsU8t;J`jkVpsAKV59aXBc6-xtZh0+$x=3;p+j8jbbfMq_Hv z?%#@_qEQeWvHd&6ldL{+%0{lMId%7M&$}o-yXSF#n*8cKx9j|lFFarNa^BMq+3)U| zm%NJJmAmto?Dsq0L8Dsi@0QBHEa`t2vF`5?+yBOI|2UcJPOpDD?`LnH?)CcTaX*^< zzPvn9^wj^|yZDmpMIX$+KeCwnr#&z7+yAHY{`S9%<eR(m?0K=DpY`&&tV~t!Zi|`Q zRh^f$D}SdU@ApevN~)}OCGVW}VBY+BWoZj$PdjZ~bpDLX@oBG(i}lYqsi!SfK0Q^X zyxeS8w&jeu)rEXkmg;vmy*Yo>*4q5<+^6%T{+}y*{&1#(tN8P!>9hL%Ru!5X+J8S$ zl-lrn6ZeI6Qsu_nMg6+h#UJgfoTay-(0so^dAi`P%%2NfcTT^$BRZ<5x~gzj^3OY} zJFZ{Z5gj|JTF?2r?){A--`k|h4=>$Wefq-6)R)tBw_n|H`<MLrAEz(geERae&i5-j zZkJZ7MKtQI^EkgnXl}^kyrk536Q7>ax-&g?YT4Btvm<+}b9T;qy6@+!635=%KTUU7 zXJ7I?&CXk-zq)Yy7P0SNZj~JWwDWbr`jv&-XFZ-5J^fwuzGT~Xyr%EkUKf8iTM#UL zNk2Mob>a4{g6DroU%qJr_H6m?*&lp1XvW^@nU`5?wer~ABVu=yPfyj|slQwF?W#ia z;0JQi>+;Xme$IW-Bvt<Tc2W7Z#j~gJ-<nq)*}HqG?2hH-ORT4z*DV6sx=Z-`opUAk zKgsSc$X`)tF7xQ!Td(D1i{rQ5345HET|V!lt<`RJsIAqXd^U*3-kCiwQyy&VK9H?{ zcj!kiiZy+g_U*Y`^g8(iwV#<^f^20kEazJ`dm6u03)oiYoy$*y{Mud!vbD<M`yD}$ zt?or2TWz{_mz&JBYOA|h6y3XfE!X)U$1mM{`~nifw)4`P5_eTF*<S7}3F_V5IeCZs zG;O=@ss77Aw)#Dki(WVXRPE=>V81ReE<XoKKIuj0Ltfq}`m6J<b@R(3mL(}Wi}cFB z+w97=w3uK0k;y7@arCsm+^OqlKi7(L*)4v#)BDAZq7u#T4~%Z@pQE?Z`Lex7@Bg|z zo2-n!y|#(p({wJ*bNRYOvZk|wS6}p5anYyuL(Tc3*IDllnwC6`+9l9&@qC>7^7YFn zn_oEBn^eP_eEOpAo#xpuPwDP?-CFW7Y+hmh;^3@3^BGO&nXm2ZxqG|Pu8{ri);qf< zSC@#(-tqm-duw<5xx3!W-!Go~`{j*q%y%yHU+iAV{CVEyta`7{#R*f={emC+#P%BZ zrdK?xGd;KE%Epb~WzN4@du(Qx)vQB*Hpe}fdHIS(aQI`N$Dx+Zf9p*5JzLA^pStgQ z{>INcrJnzOwXN`>?7W+Py~e6rv*&4lS3IAy;MmMXyVBp(oiWy4U8uI+Z`OC28m06N z_g5UN&hxvm=lLoAHx{<Lz6js_H&gGQm;3&UYwy2wnxFSi^Vs>T5A=8aGe1A&_{&97 z&)ct5oS$c9r1$^u=b!a2&wv^u$LE;bcm9*hWwlMl_oVHNu5Zhi?ah2~2b9fEbKaUg z?_RX*jO_t|kDuq7aG$qXd~9Z)RC-nM=QHcG=6Ie_uFjEJQt`~q^qk4nAC=N)&l{g# zQMhdJv6*pE=Mw&h_O7o?O1vrk?73%^XPH>=<C?I$X6sTbo(Y+rYsva^=iBt>kdXM^ zb6WeunTc6*R<8P3Y#rx$F5!Pn@Ao_NH&mWKD`UO$>x+U~tDTCm_dCiyl_Ux8+NPQN zukio-^$Dqo!Q%N>PsT6bJb8a#`20Vm+07^8cT4;KyS4k0&6c0qv%ZvnF0FBi^Z)ZX ztKavZ)dBNsf4=^&`?K_9{O|WZ|91U3`DFdx_iFXAKaxLP->G@l{PG`B-(S0bRvK*H zGw;Xqum5JHUF!GwQ&IFUQ+@BeA0NLoP2OK7KJQOy)y<Rf>fy8hY~6hC$@=Qq{{O7* z6@I$@*7oVwBfm3cZGQH*|JupE|J?)qc>CWzd-PsCpWk`?o$9CTvqxUH)P0*-ardwN z`snGtb#_<ITmSgZR^R$-X8NOj>*rUi#Z~{hnf|EM&;GX0o%6ju_xxjRf4;u=zw+*- z^H#!pAKW^5KKzUE`6J&?@Aj*!c~lw)GXEUde96Cej4%4XNT1(%{qFzIyOz#xSF5wR zaNb3D@2WbzSru<zr!VgO?E3eO@yD+kAPw^6UyIKldA$Vep5ONCcbEJA`<n4GeNpG< zg&+;FSI@f%ulN4-GXrFevhSXAckC<e7tZfjtF!s|;EDaam+6aV{mFjjviQ?u;q}$O zW-eE^pF79F{{EJy@zLs+&KrRQcd8og_j|Pd%k4|u>U)j$Pka4*;+%h<YyQ4@n6ZA% zeB(>Mtgf9G5#H-yAMRu0?`9wEvuEAQ=iQyxYyb8be!O-4yrl5@-TQt2eSPurd2gru z`WNYao!2+ky_@ml=cP;M<%HKO|NUcFxNqHjeYLuOSH2n_JJLV>Yw@uoubb+w&8T?$ z>UnSHb;-YT3_n^eoNuZYSN{L}>uGiWX8iaVVBhSsXWgsk6FRS}{?#%1XtjR6`@j3I zTmIOYezdx9US8Nfeoo}m<LYs{f9*_q^xS{Vd_}doeHP3`b&s~Lp3kec@BX|xz8OD$ zUcPq!vwGb>mtVhsA2~n2?O&YT$It3}H+@mB+W7CD?Z<!KzkYu|@_O4pIlGTmtM~W& z@9F#U{S(Mde`_9XUA=#~|DL>8?_UeA{~F)?KmLFC!`jNUKh9QJe_lVSRj~ed_4TTc z>oYTbzOC#HmhbwwJIA~C{zWbMlXi0ppCuO_+Oc@vy&Y1g?V1a}34iQ47W>ub*jNA5 z`8O^8&#Vx8yss+k@rUA@4<Ct_Jo4U^x$}$Y_mJ>8CgsstfBq{3|BNd8W8RmxPw?^n zz=eyC{hwO#HTveq|Fgn=`1X8%@W;>m%8$^)zn#l0Zi+vCKhY|6&VTQ3mA%32iG_21 zp80>}>SyWY{L^X=ScK>&ypdD--E@ritJATY&Z+*==2y9s^-u5XC|nYE#iD-3n{LVN zSG%Rf`KQ-%K91PCr0^xjP2*#>UxSWKRZfk+b;HtYPfud#-Zh1vGH(7)j|taLpJV6t z?P;&`*6cT7De(t)tc<&8QNHGlw&eCJKQv-2{Jw?uSicJG^*(-j->gFaxu)xQPTRdq z{>b^r^h{jn`ph{tf!`b-@2b*${Ka$A`dpb+*A2^RZx$cR{krSey_1{Pr{4))pC4oC zT2>?CKTqr41&e_ElsT5ZWi>YWt3Dswc{%m|ksV9#UD;94_GY$Z`IXty)#<19wHAi& zU0S$P>v!0(qnnSgK91PCweV%m&FzNoR&F<4H%Iw**|BpwAHC&W{YtiH^7E7X78l0G zn)uDv|Lu2dVO4taccz==hIy;ndx}kOmYe3SEH~DR(fIxDnC#Z{H?b<e<&MREop<bM zck2DqJJ#R3ePh4Nx7r@z>0RGV*RA|+>=&z6ebOS}eg2%CG2fVbq+c=jZZ5saZ`5~% zUHbX@34aA1Z~GPS_|eo&(|eP@CLUY5I>r9Zj^%cTb{HxBo_Ors)klkYR=--@JNf#F zx}L(=SVO;f?cW=ZE&OGXY(C*{#p7jFH!RBMzEPLhennkMeBJcFDv$eand{wC_<i!m z)#Vb^=BMgb6^7T&DtxMT)8D)-Pi%f-MCkm?IXhgxttxb`omOZ#MRvcMdfw96uQs2J z+vWSMxF>k~XP?tWPx;=>w0_z5^i}Mg&E=P4Pk&|m{>QfDeo@8xH_spay{~S^|Nej7 z$DhZ1zp@@%%AT@ba=DyHe8!!i_>`DRk8j<*#=aj_kI8;rdhBX@>i#npzdL>uJzlpf zFQz`^n|9CV#gDXmf4^GYbNG0QyrG_*-o9HFA6tG%Jzn-J>T!@tb;6vgpqjab-nDxR zpK9LBH#ldbyYJ$T#rsa~_|@~qTsnQe=>4QSq3y@MK2O<y)#Cr2A7+pD{VIFBLG`!n zv7_Qg%B9rfMDC~F3AvveQ|0-st?*UqN84k%U-urH8lJNMyv65LKk6R${bGFlDtnWC z#+=-4d82o$W*_@{J+=OZ#s9V+f{*w83Vi&*_ojPqcYde5vELrux;r~o)*agMrSHwe z!dI~$Cm+-O8hmVO-y47F^n1eg$#cq|nbw!jNk9Al%JK!fgD>4&`SO)XNt)Zo;%9lz zfA<uYsojh|Ht`qh<3DFM?a#Oqv_B<grt7!qy|*<#Y9BjWd33#0_2<rbW4|-{dD7-r z)=P`Cr_>+55$W(}S>lSi(>pdSc#|*v{px!OcJ7n^))kuSzLVI^-F@Hq-HQ9>-^3^W zWqy2S(Idmh+kQDeK9#hoK1D`X@81oJ{Yxy&-mSDZT$i5m|B6L~+n<?*X^|hF-v}40 zPn;9)`e$ijQRv6;W4@)=Z$$fkTi+`j`O*9s@7L|ej$Tduf6iiW+mGtU(|)l({xoaT z|BN?$UDd~Azxp5hyEWzi%^e%J{SbeAr0P+8@9bCid%Rzt{<piZi2I|w#o~9;)zPQ^ zEiX*c{uqCZ_v`&*N1NU}K6dWfqk10aultX+=BE5Vzr#qUY?(p4bG%J!Va2A3yy9h* zTyrgad+Ob@mUn;rer0A!z*6J%%e}=f-c**9*v(yk@h$huM@LI)IAeG9yo>b<U$<cH z>KCs#=I&`*7w^4%{o=!~Grzm-suZ5PyEU)1<g?kwDbHTd+#72%wQ$Y6TRZC7-&~dm zfB)#`k-Ikc10L6`Jox!&b>+UrZuj^5m(4kU@BHVP?3H#Qk82((&hs~^-1pz$e7Kz8 z<C<TA^WLxc_%FKuW6i(9dGA+#tUIKha{TA7$u{$=x}GbWo(rG%?B}J;Hupmx|GhGA zvh4HE=RencW1W}3s_<U*#OJ~KA8Q_o&Rf5#@LuiI=ex7^{P&yvynB6j;l0Y{=d-is z@ZW#*^UQ6V`(cm&hToqk`&?Ug-uu-T`R`4Zect{4b4^{(^XRNO`t>J1&+M<T6a8Eh z+xvN^f5pD_$9})8JN9|$`J)!||1^Qr<kubjd{giI@vJ@ne_h}7xaOhz$1TTlzm^=U z^Z(Ye@%QA4s>czw&4q8%Z>F1^`>QnX<c{U@Zd&{Z{TA61T>Ej&vA<8B8{aqiEOTt1 z_Pnz<A{}jZ7lzul7Z$nRd~ULC^>ZV?^(w#b99vi=mt3BDGx(VA*FDE}hNjFvzhmjV z`#UzQdc%B7_AAq|ds&<GGwy`yr^K8)HP7tbYH_n~;nQmcA0Lr=<l3A4>S#}J_Nje4 z3Kzs3vM|>8J?R*4=`oAl)82SXy1!c5`#JmczMjJ9y=w{!WpDmZmsvgCSnp{{{JkB^ z%X+n6pW4^7ar3+i*T<`>R3AV2wP}4$O!)ewIrkjCh4wms%{un3ZBu&h>{nNNi=|KQ zYb*5LyR5J-`6hdB^{cHt+Lbr2o0XXfu1~%b@VsZQZ)*H?i=8toY#)2<-B(yyeAC>( zFJJNAg&m9Uo!GH$=^JV3=i7vy_g261?P+d$Gh0f0o7nlRd$Tt4-revf_q6R!S=p85 z#&b`7yJKv9LH6`j*`4X#CD)5;)!+S``*PpY0`Yf86|FXE-`x^(tG7C<d{^quM}_C# zIF{>#e}6LXZuh%YA4@sDJ&U{1UiNLN^t8Qycc^=pr0m>d|NRSN$?Z+`3gzDwtitm1 z?nS>%c(>E}#XPC^_BK<hH|pFw^rrLh4s+e<e9z}uHRVOFlR0TyEOK{ajP0!IZxXw* zcg8)OXFu&-G++PZTJO*Ex{rVMNs8Dr_2%rXKXdfwDpVhQvr_oz534O#EgsK5()arE z#;3PV-YH&w!S?jQm3O$8Up)7+#Ch-5O8$G2oAQ(A*aYucTe##{wRU*w{c~?-Za#8b z`uHo^p4aK8_bo2`CsTNF$NGCGEo{QS&F$@e-dS$wH&6eVy><})%)K>@Z+1&9&wg~b z=k}|+y??n=zxUkjK2j}l{FQBQw)p9N(+l4TeY|(9_N(2ohf6oT&yLyS)AN1ujyZX& zKF+uHD!uveis+-iXYNkhl@hZv=-c03<M2*?gLf<VP1g0DsGCsuE$idKW42!vj~z7K zB%c+tBP_<OjOk<IG2X8akKHTXB%e5EPh8EC!X<X6cGNV#ahG16FCw3OCoH_@@9Y%& zYd7{r*32nfV|Q;yS@)a6$94wPG!?$&``CFb_N(WyuhyyGdq0<V`kTF5?Qf`ePx<%C zW1YW`E|<K1Wx2Gv`~=zii=P~RWPBzre17Jfs+RPi{?9%Oj?MfcY%{rTR=Shvxs-Vi zXZm!fOJ&U|jDH~cU36aNszSBjTIW;lKbYy!YrNCEQti9m`7Kv0CcppSQ`eJzD{GEs z%?aaH{|Zo->(O$X;6IbnlT6Q5#DDbpE^W9^{AvEtA8}u<{D}Kfm$Lkl@Z!tikA41! z&-+;aSn_$f;W>-?k3ONjevk6!dHy+WY-)N=W$w?w0=2()W<=S%eBLIN9(4JGPgd1D z&MOv^=QXBZ+Wf(1#j%-Z*4Rv**$j5&^Cyz#M~!_=&!s$nI`a*ajqu7swe1S$w}^>7 zt`3ZF>3Q!O-J71Tf6%Yc)6h!R^jyibhm!e`^E$6u2=BHDj%rNbl{M$(x<kfKLo1gp zKQ{B8ludBt<n&)zb28WJR*RnB+9y$+7yC}v^xLyvcZ#Jy?Aa~&eVNqr!#3sR!t?y| zkJ*MiuBqjI_fofVU#NipuV4AP^S*oyf1I~L_I=v6=XbC4-C;I8cfIV<yjwG$3p?M* z&YJVR{PDaSbD#f~E3fB&_jK=$?^j=xe18~sZ~F7SLf_X(J-22*Q8(?UTqXObnqL8i zb!Yjn{4m`gFim#<Gwb%wkJ)w3z44zXyPvXqdHv7gqc5&snLKa%A=|<=64n1L-u?S{ z=d^mk`_<~U?>+CFwmV<Fyx{#Rb=&uuclKVc+*hFXy-(_Sbb6uwoU@;I7Vq4C#Ug&6 zL)o90SF1l3uMB#8_s`Kix5FOim1utdlWH@6ZE^n<i~Bn!Re#f(cRuFq-i610K8SiZ zv*CI0^<w@j7V*0$R=-l4=YQwW-et!s|A)Qnyj`)cuw5d4@|(FyHNLa5?yNPdFt6l) zZ}q)!{i?!!pBT!YJI;G=dt~pWCvjCR)&9MHHmCM}kvK0t|AE}<!hL^LzCQ}KnP1;l z9o_r+V)32N=RfcH+*J|vx%9);%aXg-pPFaTclEOL^|;Bh^=wnKyVFhHt^AlCaPak} z$LAMt<#&8c4_LeX=nvx+*LElU`5p1%Mc%#ipUj4J`EOT${Oz6EldffW-eP`7;j!?? zm4Eds)IO@754ma){I@NA()~|9zP-j<<tx>$KAyQtD*e^|Pd>lQL1|9-&q?E%`zzE! z9{c>zKfmMuM<3^2<KC5QXYR|g$IpLrCCR2bxYu}R%bOpS_ktcjudJ)OS*T`jY@KUr z)f!o`%)t75$rX#>InC)^pFjAlIVP!p)c795`I4&^!BGwAUfiF3{Cka`x>hXP!*c%1 zRg2)rN$FarKlE%~BavQXI`3|IrP@X&uq$I*(<iNlxYD#jZL8Y(D_1Qh$GMl)=sTJ2 z6Q4Qfjm6}f4RhP1-ru}CA^nbT#j=&hX1-ZwGx_eMbS2YsPqsapd1sr=<)Fttb=LDv zidQaMdu-+*KbzniQ`4=o=4ie<W_;APQZ4Lp&vx<i6~90Fg!dXR6*@nK@6pV8Qt7AI zKl}VNnD=sZ;j$u&^A_&iANQ_U^>MF<%wgls$2V85yy(gI+2_)8P{0MLfA)D*0t&dv zcFpOR(m#VUtEJ84oekj3nr-tq?hrV$>OY<NMiiV`%T>=mS@&?}G^zAY*FX9EW1E+` zx^P*6@_7-bc`sKKF00T!|K+{N<Koz-dWLq_Q{Ra_E{=8YJzsjY?ahyv>wCj{&X-<g zKbkJBE-y4cIVOC5_MEI!b@K|B*xldpXTuwDiRJQw*L!C7cV0YWtLL_PshjctmNyyS zu4J%He3KzIPh|1UTDP9GpOJ>MYE5TNeV*cO{CAJU*=<t3`&F%H?VNs8T&n!4xa9Tt ziGPzG|5=^%$LjKSnSE-%RiF86)ZKT*;^VF#Mvs^MDtdhA_NK>w%db@Yzh}Ma@n29Q z=GE>^kN>7yC++#aPU`)A`DEjd3nk9Zk~;guebeHbA&Vn_%{UvP<F@(ZOo{i#zjsQU z_2^A|$9?nSn^hOr)N5MbOaG^BIP0T%l8N{Iu8VJ0Ueu{qx1P1~{n3nVS2DutCdi(B zb3Uo&eUj<E@~!bH$G_`+UoCNe_q?YM%x`|&tNlms*!OckoqOKLI`_Vh{g;39<G<Tk zd;UM#@uuRxtm(b||NGxm{C~GUY0v+N-tX}<ix@xim&lmRU3nxU>}rPEvT3qs+oXK+ zrEXsI@zZuQo)g!z%(_|P>@umdZ+bQ@mRx;t%~=)eSvzMQ&9K_s`LUGm>JKaN^y#wk z&yUC?)y$ne&Aa$i-}>S#J;QzHbWQi2o4Pag_;pLm`4aE<uRHeJ|Gd8Sz4`^mexK(* z(f9p+_~XCr+$Z|J&ySvQcAC`LLrOW@u4aUZr5bOZA#t`(>TFTl*?m&J^_pgLmmbY% z%i44Pkx`D?G||P9I{L>pr^gu1;x(OjH`cMt#5&7lwf3pL?=xdPd(!Gd4fmBF%u1?x z&lPF7ul(Grq?-3q)ApKzLTcwSiTk@fdf&%Z29(vj7qT?GSMS^VeSTDU*`EKqq~6<a zpZBKXzpd%L`VITuRQ$i$d$Z?C#<R~k+pc6xGfy<$yAqT<&fc1~>GAioPr{P^?2}yi z@psxz1?#%|KKZ)G-p~HGE$Pp`nYTTA{@)Q_x!hRqy^i(2;`l0Y!+*u`(YDPS?NxsF z9DBF!(afIYuV;>Jy_+)ssKv(R6=9Dv@(t~ppMDp7Y#ia;`#$+4!%g+SmiwzN&HpT~ zVLi{VenQ2i`JY#-|F!(TaFc)4vBO78zg!J}@J?{k<N2ZMJ3iL>%WEI|t`YM1_dH9# zvYP%|SvB|nK2AOU{e-O8<LWC`o;_&?#TVZUTpVc=S!Oaf_(+D?m5i$8r|e$dZ#6!W z@hiRSqEE<CPzu<UezGrZjoaq3ZErHt&WSI+8L)Wf-iEWwq<q&OOZ((xIBTNR*+)xr zj%As6Pv3YmbaCYFIcH<$o}DLk_7%tMldF$rT)SHF?TYE9#gZ#OzImE`(}z9DWVU(7 z$2U8r!Rhx|{v=tD>IZ2zKfY;=K9W(EFT6N%Uu;iWd~e!QzMB_)?rXYjUh8&wzjv8Q z@b|8ZJXbQ(-c6Q08_=8fu+D5QJJ{*Z<kL2<b=&-dW45g6tbdDhb}a_ENLz6BxyeZ; ztF!i;KN7rY@y&q8H}@{T>0_ttW?U23lU^R3WHLL;WV8MWun)g2dQ<W3j(3uY_4=-h zU*d!pgM5>9x-achDcF~vq&F>|S>fK3=G&Y0D)#2ZHLEY4DZTM#<wc%*$;Q7GXHS$m z`^O!eH0;xiWxFrtto~@{J%97f;Kh+Yo6hc&I{U!ej5~hg%aWrR*Y=A(zIpk6=S7~Y z72nQ$-?aE<*yGnP|0`JETmE~o#Mx`-lT5hxcU*k4;-bz!E$dn5@{>%YvrP8hPj%n? zf4PM3e|^JQo%<ot^B9r||0-M0nyD#f^1t9G&z*q9Gv@}Cf&8|2Ws1A8<yLTsVt5b~ zk0!RWV@+pmmArB0?1?o0-n7y%v&o)@vr0|xrDvJlyx4Q4V*b;cH+{}4x^1@HE)jqJ zj!lwDbe73y&6^iNUVS!I_I%t7|DLpRM#EW8S&wFX1Le?3vS&-8deWkMm+jy1CS#eH z_+rTw7tiP@TF+W2b@tGtoLT>k&U)UD2YKT2=}kAo7DxV(2gg)V&aTs&L50YgBg-~# zk~q6c>b>#Ct~VKGS1QW#L(L|y?!3rzUEuM}yQW7o%&umnv8TElhb@kb_Aaxj&dW8N zHMjI=M%C+1P)Vt?R^NKoN!}wFZdoR$rBC&xB?%kOGBusG(l^z8^Ex-<?UJ)?O=lf^ zmXpSv<CbOeIePQWpv95*+RlF2mSl1}YtQ*heL3H*WQ29)e7l;#X1y`;#!TOIal=_( z?;ff6_T^oYiL>cE+s7yS(xQ9UFW=w(reglPc}XVGzdJ7KywP^syx48?j`?pgtW-gz z(5!p^HZ8ts@6ofYeuKo>BZ{CjXmZyX;&|=TeQD1;z{+kezLB%);+MWO<H{az9DS@X zOE#UgG|_B#mPxkyhMCqA&w_H2W{#S_=;Fxm$2WtQpX^Ipa%}T-|8(QpHi@&(B9m;Y z-{lz2(lwp+vpB_l^Kv(1i|{g=>W4Olv+mB4IQywJ$>evIiMII3zO-LShO=@_XZ=&% zw0P#KZg5UoHvy89yv*jVhUBF6si2%BvEgRm;+OHqmsQ)&-Y9kU)Y%-X?Hw1_tompt zybuyS@59U{Z|}Uw^Iqif&AYpgfTQRAG}*Hey=iL|t>@X6_e-2T_czI8FZ+><va1=> z<fh1;ed3&CqHH?r>9RK&YHmj}u3gDkCO293>@KOZcOHWRpUr>6%-UI?>hGVVS#*}k z>GPXr*7<=F*7wLNa8R7`21k$n=E#ax-{+x*v%X$GTJi0RG$eXHFME@*?dp%JU->8d zzQ5e3>$dr-;Nr*%|DH7W-n5UkH!qgh34ltlm%S(Z(*7qK&Z^UKGyd2KN_W2BgKu8! zxtej!ZnEszGvVM2w>j2qc9zNB^*4R$l--Pj7DxVR1bOeJJ2-l5&5G?ef^zrY-m_2M zCz-s?vZ;Q>56(j0isqmFGC#>=_G3`&$U|bMJ{250Km5yVst-nHTuQew*&W<@QO94` zZS#7!%|^X%GL|hDU3@ca@k@VD{VsVlgDuO%*!W~$+6uSL2FA0`>407JdGlsa4w}<^ zwol5p-p}mr&Ko^fGs2#wUY_aSlNQ?heddh#G85@6o89II(ux=jXC+4Uq?e0}fm#|f zV_nKjl1*oAbxl3K`MH?z;+v5{J<FyGg3G!nuQHR#-rW~_u4GJ`K2i4Ukxxk`*;yvR z>)vEsyPEMVECH0bA|{@FvkIJnR&NAlpmUpM#>GNnD5@KjSWn#o$I$Bykta63T%+x_ zd0(T%`FZyOdeT-L+njDTN%rhEsd)eT<eMMu?(UH|do3-=gnM>3xU9=lw4QZt8rYM2 z*QU5{-rp<XTOVyWtMe_mF|zCSX>dWkt@%wxTA3IqB4^%h1yx1)9cQ1c11GJcwxGb6 z_AO=eYPZeX#Aol^d-Ki8i)*Ic@Oh{2wt3yL&CB^F$e#7+P1lz{k@ic$aMoGpqZQv` zB74%jd(-|IKngj&>9XhJES!4MzGoQDdYXI$T*zr{T0GM(4CK>g^>f~2Eb|iq7jkn{ zz=d4X`DMkMB+j-;o&EC?)M^nspRjqc+hzO7zS~cMirpf;+2<-j#lW8PM}9)9BJLYL z*N$YgiFbc|^G+2~6-_?{_F>sfP*wCa$;3Lm>*AMnLg46;nkakr$yKl~pJu1H8yD^c zw;`|aZdx1}zIf(taQ=9pX100zCdri-&)h!-^3u;Tv&-cjkh+N1tUAj?`}>BQ;fp2j zoKAaaXEyiu(Tr>ILXU4=_BEWfQ0n}=%7`+P+gUZXf8A4#|1OaiU3{}DrYG$or{OGF z(^*G7%1q{}9|boR{6QHg(s0(l;v^H{^W7KMth!hd4XKLuDp=2&84fN?(&AG$uXMXy z-Fr4Ax+iUk+vXo)pwi^h?VPf!8Q1P58h_UV70OrnZ}{|eUR<;K<C~TIsqV%>k8hrq z-#GJML{Hl1V8eN~-)BmI+Jk?dZ(4jac(G)SqV>GJAC^j-eRn;{<n;ZHi*Ht6TvMZM zJ?rKBBN^MSX8gK;x-adOy5X!wsk3L!Z(1z5>Y~n{L(4v|2FFj${IlDn&OVx-Q+6fe zSN+D9|IUKq=ac;GXD8Ka)!a9)I`-SgN6{MGmYf|_w&(nlkR+4CnMW(i%B>7%`I^qU z+43giSe8ll<<os>d2EKW=FU8tQMI`PTnC-ivYvHv3AiY)G`o4x=Zvb`=4EcirrRM| z=vg8t3wdmuDVty%w0LHG1ISySnsSzj3xS#dwbR5>H!pYFyh&nqt?8_Tk8;GOC0vdS z>Pf53G@NI<w@2b^KyUha9c}Abxu*N({reA!qv^tnZ$>%xq$RpS;%I7$`{l^Uo;2s) zW!t;nWPID4Wb*gw(G0a~0*fO<7r$JmX+3MB)Y)4L-ejZ|fpgmC*Qff@f_s;3pZ6w1 z?Yj74$(0v%@^rweg5t_dKo!yK4Kr^~0p;yi({j|d3xSiH)(KEO^jjzYY+9AH;jFW7 zk5<gzDSHIeC3#jp1>B@tpkY04?}o_|XWvbbIQwZGq#oLRvM;Tw99$3W1C?@nA*I~! z6W~&AgZ}Jubzo<GW(T(uY&t-t-2Vi#yUw7D9mbb_+0qG8%2@<KO1W~8*(Vnt&1gH` z_3_QSLP$P34k;<jbU+nRlf>CReYeZjkv(bQy=gB+ZeH}6rv)z0?R?8jghL_Cgv}}7 zW<t3cC`BH$%t_M+$I*IlDYtLJ*)OipW<qKXsF|RbzInZy@ruonJDPl-dl}C9x*Aju znL_HJ;<eCvs1ejmC`~enHt)Kqb4LZ-OsLrWCIeKN=B&8*#p&k7H~012F7J2lNqgjH zI4jh2*1K3pHoB{8J!|G~NH%((4$ejmXP-2K^Z!wIP+&}xO9hp3W~b8L8G^F*YJX6d zr^c%%Ew(q^-&WUp)<miEadmEGd(MB^oMbXv*KpQOaYzisLt^NG>dlKfdvx43|DGjr zwkR6X<}ST?(PzIRxXoQ3TxJsd9@6Hvf@GvU$CrKH2hK>K#_rV&G5a)7LDzq?#9k2G z9jsBbzPJ4IQc#`x-uUBGNMm8Ww)L!&>yKu%-S50uvR@or75#ODR7LWs?#9=J7vKEp z+OzEYYKgP!q|RQ--?Vt<pIC5_@u&SwhT1=|#gV~_XG%?nG#2bZQNZ?p)6BU=iR|?Y zLB*ZFsx_z$^mJwF@!vlF%5Iz29{a81t8YEa)^yfX$rN``Y|RKPGvPMwyjYSZwm8zi z>!QzjH8<ms#WQEdf=WG;zm_TPm*)oeq-Dz)&T5?k?s7ibvuW|mGwN=e7rI@Z9babh zo4f1c7jdD*Gd&<>o;ak%lPh==)ZPUtGv2-A4X80xC3*9rkDe+xo&A{)E;77NpX>uA z!Q9H57ticWGN}f2Q&0D$6^Vix;ImeSg37+dk#W9dCYK`(XPq@Yn(>Sc(o4Dy=_MuP z-n^)@M%iui?s*btKmCGaDd`h^X;sePEcMTA)8d)8LVD5`xEXJr`6i<+%Y=LO>Aq!~ zTOm<)4b;_G9C^nL+(-VKo9ce~u3rzR01TQU>zl3)PJx|sQ{6%Sz3p0NGTAxF<nZ02 z8N22|`uuW`#Q9d!de+XpM>5L3i7tNmR^1Jh4nro%o_+EUoIam2gX*UaNd2@BQa^#3 zz5cyv1vB1cr1cAdikUO=x{x?aPH{Jm6$G_>BBiE*i;h#An-+trsW}?fvsxz~$(Z$@ z+4{5j=QYQ^1|Q8><}VB?)6dM&0~Lg`{z-0H{BoYA+vd`35@!!tCV_hY-Oo?;Ei0Y} z^0e>!nwuBj#A~=+-tW<q_9zML#doR@f5d88&zh+X$z<!N$b#Au@3n4T^y%vWwSvT$ z!A-(x>n6*BQsu3$n-<U9?brkAHhmSldC{j0)aWw_-hQeNRJYa4ev`2*UwrY+J>ETO z@A4pxzBb4J)Atj7X%FKJXWeB#k}*wQ1mw5KN{=!VP`wLkkssc)cxIIkINCn%fJB@B ziN0mRofmUfTs&j14EE^J^i+3HUaR*jGr9ZxD5w(B)<4;|>@&FIboPigs9mx6<z7%7 zbp1$1TYksIlKUdyyjJTC$!ptF-HpHZNt``bon*52`%!Si+-`#G*(Wo=b=FVkn-_g* zl)!b?*Oibu3)CK-Cw2Bg@y&~8%8r71SD)ig_kr6M(l;;q)N6xEip^gZgFPBn1&Oym zD%P`F=O4|m+TU?;&3`>N<G<~o$}4S|KG-M!=5Jd3@}H{P=CAz{XAkX%MA`q7eajx} zH0`$pH!y-v^rh8j8P57=l4K%$xeMIDSUwrtz0g&(o;7nOB+}B;Kqces*0WF6fK%R4 zOK{2f4BY-R6Q8|vC%FB0?s!^J9VnB{vo%$Kl#6i<XTLNhnat+y{`h96E2LNyp9)TW z35KAth@(d{%8ZjtPJ4HP8yGse*0WxwLK+ySPxYmp5(T&a)+m76e}}qqth6Dqwf<OI zbZ?sB=`{D=W&5pWzmozFMPzS&3Cg#i*2R+)_st8BZLWU3X=aRnPuh-!66fFLskm)k z>1MopBe<Z*uDtp2%}(DV8D(bTi(lp{g7epwrEfAowJ)fG7Ef_E-Z&SMzrI28*X_o$ z^Q6uuxSMU>y#Z7p@`Ed`dsEMT$%9s0^FXC$*taB5QFvqa*+X_YR=>M0u6eJ2Z1Zw{ zfyI)mKE9dBoa(-L?Xl~Z?LEr&_<v6@oV9k7#MwiMkQiz|-M6f`1(K;eZeDyNuk3bt zeaA%~8Ev=CtK2Tz`au%e<5YL!;z=N7XV;tuH7&}GW}gfOcg(xfH%P9&s5AE@s2E&( zD(#&PxZ--gai&c?q}qywM$fv9Gxr7bq<t1LoM&6U64L%lg|z=-^sMLY4G>>^bFWuV zTBQr5*2=nh(I;LRlol`V4=XbXHtzuUZ}X-=`nPIkb7zBFuFvw5LH*kbYw-AkY|gIo zO`!3IJBODQuZPrGh4UeG*84iM$^0D`d1fcs?Ebw0Qv4}HiVa&W>v^{3T5g*^w@CQv zLz?GRL1iYB{X0SB#<cm<WX~S?4Cy`TLPm=A9sm^^ACzX_3<nP)#wTxH>bCh#n(^OV z5@)wbz2E!=-0+xMe&fyRi#+#IH(yA+T<PDFR_oJ~?q9FrW*qYPrm;M9_~HM?Hx={q zblf(7ohfnl&~r%a#GmL}2C8@4q<r6N-@N#yUfJ#P|FE94N7j%c?>ofpe?iUj`QWff zt4{>wp5If?KFNpV9{Za;S2L#lO9GYW-^^$4ECff-xkW!J>|UO~Gf(n!|?RaB2} zo(?|Q_kHHPsGhW9E5muV(*+ja3|c&Meq0%-W@`pjx(16S&c4%0GC94u3!I5mQr(Sh zXM;TKTVHnbqR&}fH{*cCGiSP&nK+xyY89D%ZV#mO$Grj6$Bmf)YW>wKn%y-4^&3tl z<ydut>fMia!rmwP(wuwKzfVgso+-FkQcwBV=G~{eF6OMdc;=r!Bpp6YIllS%H1WkZ zBLjPuZJz{bS)AImcxH?%xMi_t)f-5=IC$~QsNgb_*I6dT&7eHOmc4Oi>~zpDM4c^o z7~=KjnfDw(UBB;<r#6GS{5M-b19}O;X56zkzRb~c+q`e8#QAx89gqP%a4q}z^~-!^ z>wC+0ua!7^jW5ZB+qw(f$rVd=zkJgZ(m>z*CgWSV=;D{})!d9X?gk}4-|LVvE|>r2 z#WQb|Aq@)s*}kT;?$za}c|&5U8C=HkrGa9pjAQmrXK)!OcQEaf2c(QEo$@BbEz73* zXNKA3;~f`s<kXLCe%>bzjvjeP4f}uvRK^J(%_#F1TO4WU3duzwH!u3kR|DrF``9v* z;Pg&#E;=_2l8a=_<`#of&a-TACs#iOR3Gi{@co_y8n>Ey`ew;1XeU<`R2e<KxmWw9 zPn@co@gBFH^z!wh;L3<M1w4qi+po-~`dyXbthuv~WK@}VgF8@nm8@r-3;;(@&FVK9 zYVSnA4ZmI9kmxA~g}$W8jWhSor!8?a{?9O5*L2oX_M1NTDv%bR?I}<;OPvCWo(G0z z-2Izh+9<kh{x?P9{Jgp-$QZ=DiI6df|B;|Ei04N#w#5l7j;siTj1sBdyjT(k9$a|2 zSIK(T-)$1U|4R*Lb($Xm4~OSNdg$M#y~#+k0;ko@?>F8IS^RSE`Ls{W;DO>t$3Z2& zw;_0-)&ItsTCkhGdYes-hjwz;L8B+z?DGANi#c`r$2LE&gXEt7fsouY?@h(GL;gu7 zyZ3ip)cLOl9wPd*`%T8O{{o<f(#!9u?#8Bi;N0^QntSSRmi!Y2kBZFCGOU?1|4Ze* zwQid&w!X<IyOQzi@`=9hFa5OLK;x$~8-3H=z@<XxOi*Ryc6s*9vrlxAOb*{XQc+f3 z2I=QoLgHz5aM_;ocVd!EtThd1-P@A{>JoZ?KG_GZQ71~B{nYR#L+uizu;V`6mloTb zmL~`*H=12<p3yrAil_OBpu%pC$n2L(k7jJUS}}j=Q_#Tq8lCf?QT_*;A|o1o(_IZ` zeGLVTwXTA6qBhThcA~)1boFS)HnyV~V%LPg(-tw&WhQe=!EJyl%bOS9tk-wDeBYrb zEy)?|t#@va!Y)_Gde+RdU}b6M;7-)-rn66`C4ojEkLH52(Kc`=YMboroo~U}=-rWL z|HE149x@Sd4U|~7X3ZLzND#2xl<0YCQh<YjLW0172^&ljvky&bQ`L+%(&0QB8Wz^K zX3d&4E|ct^t6l$V`RMfS^#+TNy@@EUE)27Nc3{@+#g^vHm8NO?7e4#;s!6Iiqc<}8 zN}uJ{V{h~_pMNWe?6r&xOm_1%eKy}TZO<xM<A~tov}-d??kYR;s7-2fc5h_YmgHsE zW;|Rrd#A2x+IgwX+uo+7^+w(=EKcd2c_eRI`CXal<YU!&&%Rxqp__egru~J!o133~ zb9<EbFras)+{&GMYt|fl6P+C9w<Wo6t>NwEw|4Hm6_ng}?#hhbV$)|wq&8PBl-*pg z@|a9SvRn8q!_U{V&TKPH`{-t!c3f(6)$3<hq&8PSPkVarY@=z~+k<Bpnx=huk@jK9 zu`_Eb(?8!lyV5l6&m)lIzh6E3;q2KvyQMZyUbWNqM?mtjYj@&)UFn+{`FZ}y>1FpK z|Ai&<)nA#B=-+F(>DZe8S;zmdE2SNFF7tt%#^ZB0b%%23zSfV2n%Vi~_I!A75JcNl zJUrOUu5VNE;Q=d;fK9~*kgNeSD~~`z!-MkmasQvM`_lgM{^oaf`PcpY%ICkE$$ih3 zyXr^TJ(qdc&E~(4**U%XaOD?<+G)jq9e?FK4{5hMZfP?A^_=Ps>sidkvwGWiE`Dk6 zxA>;n;+geMXZs}2dWE0;GTCHStnsX`={x5?cw_56|HU1%>vOfw7u%n-l)bEO9Ui~L zzwq3Cuf>-Q7Ypw>COg-s-%Z_Y@#Fm~&wY?BUG@Ibi<I9cR<_1gU%&5IZ2Y6)*k_md z7b@iH9Ts0UUo2dAN_Os_bQ7zy^_O3S2uaOtl$?7k{LV$q8sEjnCX0iAh0Q&~|H|a- z3z7Pe^b|MsU!B%gE90-c{JYHWWx15>dw!|&m(3Oj|D0@Xb$5T}9ql<4iZxu-JHoSs zq~`WX&P@n<_aa4Y@x_(Cj*Ek5%yL_v?Y7*Cx4dN2<x4NVoO$u&(yN}dpyg)9y?yrF zy?*z5{4BJ~OD6I3KF>Vw`na;F`0@*$GcQb@J?!&KjFXyst;WRapp%JJr{vschwfa| z)N@*FY_vEy&S&nMpjTVYyqGdA?D*-Gm(3PWj`N%Quj|#6@I@CrpJv+lAMbh28TPEt zZ;w`Qwdu7bh3iWi!A{vFR$j6x`|^t`*Oy+@6w540GPc^vyL0j5d>2sIOuio?o6lYP zDy^g_dX=%k;>$O>tgQ~`UU`|b-mi{bYVMJq=b7(L$j*J_XJU0x&*WX`-MPp1wxyLs zRTsD~-=f~zw_Uu~FI8Sjw!U6!?uj11pA7F_Ea6*n@#Tz*Cqu4E$}IDHS|By|i=c^B ztg+Qq<(-Q!ufA9@?^&VAJoRFmUcVf-<z-CeC4Yo7OAbxWDDhf;5fo%s{Ga#vz2gB# z)S<{%OU?zWn=GDeA3yg<kKg8lvU4xCzADiVU0xvBd+tKd^Gws7i-UK~aa&%i+FN~f z-O|GK6D8-aI4V2$Q>ls7%Ga4CP12bqMS{J4w|o4ahP`{SB!BV6m$NROytmNrQkeRV z*>38^{1BJumzVtdez{`aHD{A~>c0(o{m%FJ{S|!o;)&eSi<vfli-YUB+?MCNsn^W1 zw)&Z#Q6gk)b@cgF&;3jM4)^#yOf3b4%lZQM<F8i=?_cTnQCDj2M9K5Qd(X<w{bX%o zHP^1U`l|hsLjCv3QggRS&OLSf&PC69r^Ug4r?{#Amj|b%2i)&or0iRAvGeSUAMvY= z|AftbRc>N+^L=JXRa(g_=XWo7>Xv-8xu|b4@A&_zV7JH=x~u<}?)Cf3FE#g+y@?gG zu@!HA;`gSV^7nTd2!aC0FEi-f3!dc*K5os{b$y&2p6?|U&wu^wk6TygcUkX~dpG;z z)@%#Y@|w4^=FW37Hd;K>d-YAT#gX$I&tB;<nI&sHYiZ=wH?uFUIkW89fu6J(fyVZ` zXLVK{x_+a0^~_oAZkrR2-M##|$bED2vAfM{X3hMWVRD=M(vIpnMX9svB+ni?bmyYa znG7@Whkd)nYo*Q}d}K1~ppeNdXX9B{Rd+6)8QJA#Y_>QudY1L9mrpZHW~Z5KK3(j- zIn{0Rj;2}4#<MPVWr>9af|Nvso}DLo_EuKbuho|`zMZW&_bMu@?@Y$E>tUCp+ue+f z9>059devvG`{J8gmv-#luh^S*yJz=vvqybt_j}TI7?#_l*9l9Vz4y#y)>ENgkXKT< z-(@V@w&dcQ85eoBJ?dMwUA8wZ!_7GMSzp@Wp0v7ZQ(@y-XJxOPdAlg>cu!hlxasaR zljg2jGw)`YBpc7$ylMKZPRX;c?q%_v%`p2OxLIO_rP1P>77l06G@8uPHGVhGw!?bX zM#=T*Zxc-aYW1dlH;`JN{;@y`<cmv!cP`4zyx7zCq;L21{zVsM<eV2rT2FJkY~AO! zInV8~{XFYgwZ^j^a^AUkW}eSt$(a|=%w3dryC>~uh-vWhiy38SGNzpi*_`jTxo8@w zOnrDW>)H80W5dOf`737bYJfzFI5bkaubheTS$uO}&#}9oeIb$Z6cQ=xAN1`OU%%v{ zOpNnl$r$g&mL`iMa|_*#i)DM$a@;nT*_NAJHou%<b~Ym||6yO+>7F#hpyCua<6WU= z56Na7OEd8<Uv<-XapZ1q-~GOeZ<;^0T>X8erP1QZ{qer<8Kusi=-EA8KE(Ly!izb! zPLJRHTWm5b*ZAGM>K=&i9^d&m=cjsxNwxpQjA`?iU6h$|agEJk*|S$RgVWu?;yV|= z#4WkVb2ejH{3CFr{7VH#O8AvCd)I*?<smOPQp~TO+2guca`wkLQ}=-*r5YS5_ro{; zXapsqUjHj+crr|))9%>*)+{$kHjX=gD?RJi{L2|-`xkty65GGz;+vTlbyOjdvhPvf z?&<rNU6iTwUL5(m&+YQ>dEiKqFLK|U?`Hg`(R$X+`I#ol#<O<DU&)z$QRn}vv@Dm! zHw_m@{t7<3Px9=k_$<CN8Ey5eXa09Td!#3=c9QEIS6lw`GiObK#LVPENOn27^RcCI z@P&+DnpI)f*ZTVYKYw$6+rOJT^Zy;)X{Y@?zA(+K>d77B|IcDh7yQ3#X>I+ty*TIJ z<(*dS-}lckF1}Q6U4Hhzh5GBl?*-F&Uv_@@BYn9dtzLNNHqF0lZtp$&@}}(JNptQ6 z<>jfSZ=bk0_i0SzyBvM-vMG<}KDne<R3E-`)9g8K^uoVSaj!o4wf4!CeMQOkmc<Qs ztOCCAPrDszS-;|qyr2J@xbr7$I*VU&yqj#byl;tmv7GGE&s(K>Ki^zjx-b9O&mR$U z_2rg-b~gTX@#CGseU*#OrN6SeZ~D0At;$?}zl%RXb;z7kvd_DhzpVMkGxz<B!hJ%o zYM%Mb^`E=;^Fqnz#m`^VBpv(w{ru&hpMIL0tL6nMvD+2!{BL*3zVu_C+r^8I|Gc%- z%HC-4{aroQ=cdoLir?e(JlXhM`1&iA|F!0xk6HZL*Z7?Hx~o4YN}it_v;6bHuO{b~ z=U@1Fqv!M7*(G-Mo6qr|srdIy_fDbRp3vvP+h5k)?)iN1tyTO!=jXrEZ2bTFy|b9V z-~0LB-KBO$k857a&Go;#`tw~r+nv_I6&>>qRc82EZkKyzr)t%IA=t9I|5C8^_Oq8Q z!sQ;@tu6jlSY`G6gJ)IM^9SZuZ*5G@KZyA|_jk`Z<<CCnzS{3N|7Omw$@7lxOq_Ss z^0WB&n{%R{^=$rc{{80M+s`cLeEwfB|MJf4d8c>2`1!7Ap859T^EUm(l}hhETk)TX ze4dc>?z1KT+0WM3)gJC^*le!3cR_JR+zCrt$?vUmXFqeDvw3py_qocS7oFRwxkLZi z9Yg(hF&>5Shb+zXU&hRu^gHNW?dMD9rmo!ae2(yEqjOhR?$m#6W2FB)W`5i6Pv=%< zz7(A!{Y-SO@#Vt!lRHz-S^vIV9Dj3XZroAJ`Oo&PDn7nvR&grZyVcg}&rIj6HZF?4 zY-ueVTkvk?^`dp>&(!8VzoYZT>KyauUFUiucdmaPGp9H1{>{@Dq%F3eb$x#7%^mX+ zzw?gyPwtrdzqi|BrCx4fJ^hY!ac$n)os}<oW7Q2GC(r);%EwT<|Cr3#<$G=R&xvw) z*JBwGP@Se|DOa4E`1YStQT>^P>uvY1nA5X!=ZtTU9(~F<b5ZElY41xFvX{Iw-}mj@ zwdGrm-@D$O`+C0J+4L#lOz+bz;?t(LC+DqQuy>2n-p*>XeATya4Z}a(`S@q%)KWFG z{8!&@Y2Er8`t;w7!&kRF51&5S(ER#^xR_wMUQ4YE{q?HLuT8T~=DT)rUgY$9XKage zjy+GwwS6~x_ucsT=f9s#x_y{;$Kz5Bk<v7aXV=<pc}t(XcdV%T%v9ek%gv|mPF|O{ z%yzfs-qz~2d6nMJt2%#&o!hBfQkQV=*4wkXJM$mM-0He_&2p>h-nqrcW6JopJ>0jq zI4!!0{rQbOi;HWm-&ODyr&g(N=Rad@ZNBaKzV6~g_Nwsb3wJ)cb8F)7ymL$EzPLMg z@7$NRb8bJgo!cC{<Neb)H>cjaZE5CT;jeusvEE#&{@sgy%R6&_+r3NvTz#RjIO*Q? zojcXP|DDraeet(-{~2}*_v&K%3p+RQ*G?$5TEJiSZr18^S8I35Kbmv5-|ouJbh|S< z_t}3xJhzzrg1ZI(8Fwplz9)54iXSTMdS+uH|Mrg6jNgXmmTJ|m*<8+1JE!<|&7R`_ z9PfU-pMA>x(vSDEgWNBcKJWXf@_fOuzbVguNc<9ce(U3xmFMnpe#tynYJ6|{uakHD z%d20B{Nj0jp~v{4q}61;(q)OqW*)P&nrs=KJ}YfbX50efo7|<#Qjg8Nw$$oz{4(Q- zlIe@IOV!G!p4)QP;&R?gpMO?!U(P68Ry_HfNZz%X>m<{6ZGY+Wv21SVSqtI&OO2Oq zJNM;`Mex3U>wDdelJ8xg*1fX`uJo9Dr02U*MMQej@zS)6V>4d~TLsrfrMsogS!ui2 zcxy!Z9`TGhD`$VS`5IoL_I1^{mb5vX_b<%+GTZ91!DFAtv2#y;DP5L%Z056etI2;o z(xcMmWY(@S{+DhgJhM>kms5IC{41Z^J;p!tcRb$vV%Envk$<OU)47~WlZ@l$KjOCv z{u7yAl{QE7?;_)+_e+=MADj6}-YWQ?dpcX%oRt;byVO70*!ZUgN!j}g8=qsD{L;tH zwLS0stdAStuH5o``L)XWsJFSs=e94q{%(1xo$=yt(<Glu8)u(Cv*`26n_JA!Sj?Z_ z{JZ7)eVd10=LgHrp64!q+vIWb;WgjB)L6aOU0`eSxF%Qe?Y%=*_AxHiXRpiYEUV3M zOW)A)Ex~iHzW&<UgkwMN8QnU4`c+L~<u9M_a$nC_+&@u#eErXtQ#1d}x^MdU`kz-? zcRps<m4!;}e|9~5x%!`3`_+2)g)g`$zi#Q?ZQH&j#J+X@-12+fdfOWg&reHd$<I)~ zQ=a_xsc*@?9W%eplYG8g_3csJ61)9Vzpayee*1RD`TW(Nn~i$UFHNYHn(y5yDS!K> zr+oB{Ncq{fL#vPXe7=}>t9bY8nlk^l6D6O|uFgLHZt>@rwOh^4TFAd!Z(G}Nu9`iQ z|Exv)>a6d47k@rncq_X-^SqqrW7Ezv6~2EKm!y?!vD;SH^X*mPE%!7V=c1->uR5*b zEj_D~j{W?nc}siwtD2W3Z)=Uu9bfqE(oCy(i|Fc{V?WRByv6<eWzD*Udy|j-e6{qB zdinap7i?OKUmLyCd>%A;-ldzn=N!B5xb<wXb@;jmefyie;yoX~U$86fk8H@lsCPfC zSNth8sk19PTQToo@12jYD+`2s<If8lpHtcX%IB-uT*+C5X%)-QU3qtD=0wSKx9_if z{@Ts`_-=XOv1*TWC*yhQUpJgvB6oden`HVW{}(>LGUsN_DpdR2b1o%mZsyEFwckzW zQsOVpJkewPZ?)Csy1B=mSN`Lbn*UtZ_}$e?_qm65gZf+NO6)JpeAI3wJiAa$?uF0G z;JGhn7B2fPKUcGUp>eJ8xi9;#%{*mpWo+>H%!BH=oNZQ<XB4XaoPRE){@ToilId;x zU;4Z)pDQ`DFzw6sb65Uflsq4O=5PGZt?lNTz2~o9=(%oSI`f=KkjcI7GgD0NbuW{& z4=au;-E{fli!Em?F3$=~|1+~h&FHa@$?S7ajI1u3J@%>An|t#7T4Pz`b0yC%&ph<U z>ayu$pYp`HntF?jH%g{II$FAHOA9F5UOxTOr&4n6%h`p?_BWji3A-}0Q8Jxv^$VX3 ztInyU%~`qbr0n~nCwjgw3VYCJytVY|$7{jM^N!8@)n+yM7AOGEwak9$Q&l`Sb4KB^ zjZ@F1Y`ZpdnPmE`)VU|~)*I^@pW9M)O|o8mZs!>b;kWCIciNUL%RM&po1N8U{*q<M z$7Y^mv<kKeNZ*w<=cU{t<D<@{YG#jp3Pj3lVyDmeSQ@+em~8xY(f(y0OYffOS^xUf z@xtTROBV~j+&t%X>93sUS3JL1o?G}c=J}nIcg`2f%{X74H|Nmahi~RPg2z1gufCaa zam}2SX}@&9jqy*Fpw=SW^VKtFT+A`Kq7$>=*@d38-$L)i7u0LkE-Zd!^h@b^U*)0f zdr$b%^_J_Gr6+w>J-1bRNBpZd@t*O|?(A(lmL0Tb+Of~y&s5Cy)_qs;Z=&S-;u6{N zJ!(&1XWIP#peA+p)>)HT_jFBWl^V~gJ0c5eq<#o{myz~<!Ns048PDE@Y|eGtTr+*v zO0!;2v-+i->F+cX?eD8C4Hiq<ElB(42x@j;RKD}^-c-4zAMaK6U#xh4D{W8w)Z;r3 zzf{lIQyy!4Z+F&o>wCN3Og6c<`<$^!+~h->P3GB~JucO=J1%?P-sEv<p6z+r^`4r` zKK?oBZ8GcX^h^`?G?VQ01@6YbwR+Re_oV$beV37TZ^^}+nHRs@f7F+j;<owAwpsVA zLA{1qSHJIkTzam?;c;o-*^0T@@<qq9U)QUAKU*>Pa6d?<-sy3v-<gWJujdyZ|GfO4 z@8h4+X*Tu0?C*U1BWoNt`2qL4ihpOOo6Ot)*R(h7pENk=57qBn3<~wS)3RqDm7C0J zmd`M0md`Y4PcvC<U+8Z9*<R}Gjh?i(>F+X@)i1u7Gwb4+f9GV+PLn+QNFLPpbgN$_ zS<$__{K)4I_m-+J`gpJOY{mOk!o|m{r_XhHH}m7YtLjhtzU!Gw_I}SxI944#dy@6M z`?I@_eQr;)sn5!KSMhI~<a*Cksdp9szHBjxo2=oxc;@Uzx6R3J#`enPChTb@(#D1E zn+=S6mrY;z@pszYp8Mv-n~T?5^Pl-qyV;Pv{LeniIo-$J&wl$e^UqHG?F_c9pAYod ze>W9-(r5o&RBX}mBDq=1%kp&3)Ji?Sr1WLWxq1Hn&tszJ%)4i~U8HyYL94VH-f8i- z&h6lTtMBgrqx#>|mz?$I_cQfcmz@#*H?Q9Ho$$YT_Wu4m9<M49{(JSs>5m)JYR)aW z_N?#wio|2pQzF}~?=4;?`93f)_FYAPTiPD?wO@BUwmp@<BJFxlTA{LOvhl2|y;slV zIW4|vyjXI|6`5HV*W@mIcA_UO+uPJTdgaSp-^DlY^c}mqIaLbOUHpB!*nM;QvAeIW z3yv2*D_e50r+nc>owr_#Z<;TTTvy<J`F5`xD6MX?E;n(nzL;_C`?8BZZ=DxQ&boMp z^$w^9ne*nftnYsZsk7T8&;D`DDm#-A#usKByY%9lSr>KW*MU0z1udXX;<1TYVtqly z8E(clVQ0S-n#}SwemBo@p7pF)<9Bhkj%VL=n#`IzIrGlm0xocGxI(KOlrW-~7aqUs zz5H^9TKc7ou=9&R{rEL=&&r;CV`(zW)_B&};+>0U?rd<|oa%OY{{-t<6D7}Ha?Pp| zznrn{Y=+qEtZ!#Brs;=+y6$zYvvz7<1$BC3S1-H1=pxVAigQ;=?_A88UHJXf*Q{mv z3oiELEi3$fM;F{3{s|pEjo$hAUF+=(li%eRGQ!?10gbM(l^41j-(3ds;+lJBWzSyu zYch-1c-Bnz9gA<8ERL)QIJ;2tY?%AmKZ~=<&Sr?o2ZP2_YGcm65e7MVo^eGKNWm>* zP@3L0FLd*lIkWD4Hkox+JoAq2Z=v3_lw)`QPT#rsrt#yiYxW<KJwLO0s+)1$T(`|% z*m^;uD?feTWmM%~1o<%R-m|`EEBqHn*7v&^f3*XTsC;LHq-FUc_sjAZK&qbEhi*=G z+x%(fte59AO?Ic5oQ_`wDr;1-)Y43(<5x!h0K4dewdv*ipz((t)oCYW&#sd!U;l4G z+BbKpv**s6yo>wM3LZQ9Gu0Y2oU~T3{LbDl(!FV))O*vu>PwxSD0%kQ{W}-W{Bs5+ zzR2&>3g(Nv+gf<e$7}ITqs1@%kI9}r(UX>0^e&?+80;#s<zbuC-8S3wg2rCHW?ntx zyBw5Ua@|b#&de}zHlAl}YFut|JIy9NRm}AA=1UoFn=kLE&f}8;4PyV914({oj>?wn zpYdIM^ZccZuxE?G(et_3-FPoUFDOrxI=;(TRwH$`P4euSMR!0&ijK}QP=TLaX!_ZE z^-a^ok!My)&c3)tZ*f{#BxtbcyyTh{AO*RqrtWDb(Uqp&+N)o#by|EgX6~`Oo3k%u zxTW2>8$a24maTEz`Km_idB)qtdeipk^`>RoN`XrHPrvS5{9?A?V$SS~YeaS~w!AgN zZSy|P-n6V>sk0Y)((;VoW$>NJFf)7Jw`{*CXw)pNF5A>P%|!e5ikbJOxoysN+q|b4 zG|F_a4;($#D<f}2f)Y%Dx#{KI(CFcRmr-_hM|G*c>E`N-8D&gT<@#^D7fa5*sPpc) zEJ*RSe|HMMUt%<wb@HIetgBkRpfcdCz`Kkz{-vP7mo@UoWY3-n1dW`{s_TVhn~Pah z#~~5p9{`G&nnmD<*)?nD<SU?TGk4jta;x67jAO;i&OPV@4flmRnO;u6l;QUL!j9^9 zF_3tvgv867^RngoCK)Eb#V=-r=`91t%k%<w<62E{ynHo!m$7W!l8ZSrE}n@wDtmUB z<k>@4L8D09)&&~Z?*&ax9F@M(b2cL^Kg76N7*t<9=gA8#&T!jYHXAg?wD$H@oxk3` z@A;(8rp-QfcXRn=aK!9yheXV;y>~v&+4(!e<S~1uiMK2yVw~?>{35pu95FVBWY1oF zY%=SsdWK1GEI4A`c|sy)-lM){|7E}t^RE&dF&k&S6wd%>oAoO|*=EnGv?RC9A7{;K zod?PZ52Le|-CuSwXIA0&GuC%5zL{0{{nhTQwBIJP=JIFU+515eT%P?9gp_CU#mDb@ z&%cnNHveKqnEi5adG>d<^{ku!FJyc>lW}eTgTAz9*-~exN&5bmewX2vX5#%n^z!d% z;Bxp!GpNjaDt{F;Li~S8+PivCW9FJ$R@MHC8Et7c>95M)Wo$dUqxvbo>E-%M8E*eC z?5I8$ed({uM;jCCG?UN5h3>@(Zkr7a%kS(p;OtGSFOoWYO2h<IKr0-TJ^N(}cwXr0 z${mYm&gyg9oakn3Y+DW*Cg#pGZBH|aHeNAvzAI>;`OzBCfaL1UD`%bsxx3<Af*wdg zcJXS^u<R`NvzPW{RYgjjea2++ZeHvJ@PP3@r#lzl%=}n&P5fEk^E0|mizW5l7Dq<S zaRU|4dsxa%_Nr!@+)gvm_AYcU-on$HRvRgG_DYe-tc8+i?|g!!t2GB?ed|*p)r}Uo zx(N$4-YW%8R67@d%8w1xK)yM4D@*KJAgH>z<8ZcQqTA-oV|R_Ei`+M7AG^C+dgaW! zGu$?Bmh9avZnpel&)Ezy?>iS|W?jt5Jt$kQpX<4JW{&gX$h*_ric{P+Z<8%I0o9lD zB+vf(cIV=mH$LD3?foH0ffjDMmkXR)3jIy1(@aiRuLM<|_oL6=u>(iWxA4o>4Q|FJ zk1d1Qi`+No9=of}zH+AB3^!w|DaY;>w}Xe5pYcEM13CUN$GaWX4?U#L{<~;0Yie?a ziLmjkgB$N$^pSU83@WT_=ULBMIXS~bI?cpbz1V$orrYL%E>I#|8+`RlJGi<z9|Edw zY`xFExe2LmEaE{0LX<P8gucd_HBB$%^6n0|&6S$HyVLa-U+g)vWB2_heQD==u5Yfh zEVoI2$0c?4N0!vtOQt5E0^n)(&c!dcW`HB+dZBx9iE?k+Up1+-SI$BrMi&w>E_W_| z`R@vDa_@HrmC&c=W-WWa2vkYx++PjyTfuyA#Qe<?lM4VvOijeuFUpX1K;;xjJD}F* z?3>3Xv*xO2-r4&>1d?w|Ao=Ee!STD^=PzZb8TO`qWrb8X-FGgY+2;bTZt7=R&zdRM zn|8Wq*?+5Y&}i{e^DAFKt=ribb@r_WwRd-}2UVVbZB2{cgId~=zaxC#3xl%$b06Lv zi*K4eemB+ss!zS!V#&Yl$L<!#UjU8!KimHV95KqE36*_|F24Cc-EH$H-QKh$H{&0D z*0Wx|2Un=8o!@0F`{%y+rorNwKWAFc@-?2-8V_oI<@{Zh_KzPlY~EeJ3RKbjTblMQ zA5ziy>=D`5GXKN*lajM8uJJu2dmfy1rdYp=o7LlXdG;K)&6cXYyVI910`(ex1%sMu zZkz3R%T0<eU&=U^DRuS|4<v>pcP@T;)&&&ykuzsn&suyl!{oK3)Y&U<ATcBZiJ>zm zWqs@8z*(mz3>-r>S<9X;2gi{98c+;v=m5n~+xoP`Ku~5rta{Z)-*xd#lgIC7F5S8K zrs?B%SLe*SnVMl@efsi_>O@v>bG^{@T?XITjBR0{ar{pvvreuunRWGQ2DrDey4c-# zHyfz*POJ5OmyvdDA-K13{VBM&v1Q(@d%wWFjibF+Kr;gQYd|qnD{NXk8`M6DyzS(h z?+uBe+@rE*w@H?-&sm#RB`tM!h0o)6JKtu4%QWi(aGADIx%|%F4Z^)?_2E)yy;|Lj z4HrwkIVOAd%R5M!X1inY%v+!dG&f`60&vdRupXRq{$4pFzXa4+_?Q50K(Cs0(>cSW zI?X1%parC0tMXNunHO_pm!_>;wyfNwH|?{9RQY*1|HYCs3(Nnryvz7@ro#5MdeQOX zXRl0Vebh9WwKO=><ae6M>E(s)m#yc5OYS1JaufITiy7C>FT3b77t(^Bds_BvqvY9R zm3J<F(O&|pm(Ij50d)wUCYfHIej%gmEU4{ToZx1>!wEF8@z~3hJA9?3$zsWESA62# zArbQ$8ZpvW&fN1@d~;{(vAf)Y;G9#T4aqs%K`rR*mowDLFJ*+ihqR!#?p!=`?`$_v zHDAYHZeq-TA!FN_j9>gk?#4!oBVVm}X$whCH9nyEvrmt+zMajuHb21ldMK#+_|`M) z-eyqRIzO|j&w5s_@w>RnxU(fbizWBEJbt%xIwW4!L*nJ5R{5R1AEbKI{_{$mJvAF# z*F4aDmjS9X-^{wWW*=w@>NlhX%@1io{{Xk3ueoP|8m`%Hn}5ulwNdiytLIs1|9wHt zV#{V1P?2^k9NdE57q<CJ@2q?EnI^OEU*1t&<^hQqc4)-dgCeGG(Z!zng%@@HLb^6` zh3=PsL%KGfKwX>qiy7DcFT3dT7ZNd*-n$L{%ld#;Pjv2B{L<eIl(lBgpJNT0pPj0C z#b-IBIdB==9Pn8UY7Q9Aoz?pCs?4m5Jn2Ekw#L0_mwTR{Idcfqj9#B!8fLnA^Tmv^ zXA26yADUwV^39_dNR4y$tZcddS?9$w&$us+oI4*<<1mz)Z05cMZV!k*>Pt%t1h)t3 z4I%A;?$s}K!Gjx-XI6sd1LNF4?ap7DvYt%~21U=dNweP7pRjva%zySm&vT!(XCPT; z&7!obP^q&Syi(=o*Ls2`&}QmGqG+QSDC?N@rq$a@ojvsn97PGt?=qHkL$VI<&c!ot zfhN=3j5kX{ngg>}TbeAsskL(E{U~sAzzp0RxV>uT-9ER?>BoxMtXF}iH*b4_Yn^jh z)5-!b-=6BWd8c*n?)2}VagZIm_p6tiRHyBk_jT`%$Cky_motw2zLYWT+v1CFW?$5K ze_q!2Jp;J3I@NgRqD*L}$?NtD8O!*Vf@Ub6@jV6?%a04*W&AP)tNL>h+#Ki&G_KVF zHwS*Yn6jstH17hHX~#UX#QegFLA}q|vtJ}3wGJmV>lj}>GtYJLP0Q)W?s7lBm~kx4 zr2BcXJE*OB^+Dh6>3Rz;`sgjYxF*hNF=(=N_Y~_{H&25K2$R>KGHu-=aGAFL8MsU< z>78|NE2K=52A65~SAfd2ziOt%=HOaqx3BN}GDw;Bw;Pgob~>N^GS_6*#k(f&=Iw3= zk4Mz&L;7&v3y<IB{(d>*o3+WTsqK*Fz~MU=ee9jU&4Jn}*0WaX^`;%}Nqgq`4m5Kt zHV@Rt-u!jTte?-Xg8Jacz!9UrVrK0`NW`oMM@+RZC}P6=&t7_+Rh54s!|eXD!tZaa zO=eA$EdPH0DWo}Y?|I+zGke_^OV)cVj{MaDZm0b*EH~Nf4`~iCzsu;eTYhoP|JiQF zU*$obN_)o*X+`gQ(zonCFSuRwZ$CI<_Jtb%m4!r%J2+zIUjeOD__sXmVLG_Bxqrpy zzaOMfv)=)!ZS1d}`RBU$W?}ro$I|cOW^}lLnl^K0KsunFJ08DV>X~UWSu?|AwI-xR zFy+q0Gk&h%7Qy^k*0W~5%ruEkGx=)*X%R?X`H}`MXLZi40<{P#%|HWhuO&^3H-qD5 zcC>H49LO8bea@bSWSW$#KIh#ROX_+&ez&tV16<#57eneBj`BNuA6(A(CcgaQ8XZT_ z$QIAECw*yEn&82%SC{Tw^wEX12qNb}S_Got7QxyTX?3FD7Qt$8i(uWdw6ao2i(t)C zaMv>z-1U6DA~Gu6H{Vw3Y}&+QcQ;F40{3w4cR+hM$3Q(C-wcz-XERN_RUthbu{#&P zYy<mnP0mr-vlq9S%=-E^!z4Hr<f*j0YDnCaJ?LAupBo%Eb>ZM1j;QJ7-50_2&G#Ts zeRCrkRNo}an{qdTYQTq#S<CpBKzcZicOX5SgIQ^-O=itKoN;Gwfd!<#VS&^)?nTG% zdb?lDP&<AhBdmWZxQ8QqT=wjnkD&JGtgpg57SFVt2_CVrZ-sO{S7uc$zX%$s5IY|N zs*`G_fm)tlH(xz7cQL48vUcIJaz;qkQ|}3+>!}xHTx-~yRwCKE`}r)$h|TGpi!BWn z-`vq;{Vs0DB)84=yuE2pqohDyx$vkj?UxlKV!qzF=yTTx+*I4y3W*pGP<3)`ZPv5* z0igbO#d1&y{VFyq?Ka5C^Ne@+gB0BQ3$AbE0yckHFe|RzZSzl#-rec)OTkU({}Rx` z?D)>dmc{-TGLC_kS<G7oF3ju?%lf{L1Q%1Mitk*M+3T=4vVNkQ@mC?ph>Z~>x34d7 zzg!P)FBt!C1J^a?SAF)og0uQNPzsgYvm8{{eB3vyl^>Lrc<cj>Z5KcyW;rxs(zDX+ zmtTBS<M{YptA3_Qvhl2=EszYe9+Y9$XPQjT&oo(m|6&GcInTc5eQ9ML;0*J>(R$X* z`H&0~zXP-iM(6LUW#)@O4HKPzD?u6Nr#?8t)UTfT+a1(&xutUY!Reoz@#m{2U&;XW zKeLP={m;~*cNOQph?vZ}7-I5n-t1Z6Ld~8R;?2uN?wbu{d(-OKq|Tn|F#(m&32N^$ zmZd|6)Xp7}1vg!Jjc3iYgfs|d1cSo=T1?in&EP)HbMTPb-1xI^m>>}p0d5e?S`QlL z$PP61?q2y)*KhI7n66`YH+w^d2F@3PhB{wI#<zjSY;=0l_AvFPWx7H#j@O-wUp|40 z?Wt3DF1C#7b=$npsy8i*7m{&&AsL73&c!d+mx99M%=+b^hFWT^>1F8);EZD)2F^Gx zpwyNeY|1?w+)&F~nO3DOb#|F#`T3l)pteBy`n<(yWzkY+&wVp_7k8r(T%z5X2#KJ% zpa`0qX)>9sH?7nhQlh=PbMeeOM{oq)pKLvACS<7d{&YyjsmrSR4H@d>2M?yjgo66g zbse*II)jHgWmhgM7lCA)Ea*_@#jIuh3orKcEie3jhZB-<gdrJcMe*^w-0BxIzWFb` zxMq$UxJ2`N-k0`^2b^)TPRO3UVhL%e74HNOby|S)#kHSV&-8=98D}Fn<EUn(>4S!u zKF&EP3L4b8wk`zJkuIG&Yw7B%U)C+Wm=ovz_}$IdpjAEZ-rYYSdv>1W`t-kU?<&sS z@im!M=(0F+*HmzAQzKn&viCM*RN()kzGpA=q|R2&b~7$D2WOmeen^V?UgUncegdeW zZG1lvJk&X1*2{Kq&+K$RIOEtX1ZA9yp_@M}0hef-vzE;VO)(UHKlAwxxJ1iZllF~O z>g>7GChy`ZAVZxs(4o%jpm>q%P5Y$QoAy-~5-+zweNV_xXZ>{RSu^=T3!Y5=^6vy^ zoZ2PJz8iu|*pJ5GQGxlZL8Aiyx6j)7AJk}!{O#rYJ{y#{p8M1uhEz3w!q0x$ZZhlQ zgkyJ$?IE4ddPwK<u{vl}px$KG-}p=uZDnwY_CdPb<nsQ@ptKkE|3P2cD|zrJXU}$> zeJbBC<jlIL<8uTu5_sg!#WVhX;6h}M6KI0PbZJ_d7pTHHn-B%6d9yErR*~JD6?Zl$ z$~T`)>g=;KCi9G~xgjIbk>HW&cVBOU8flVJXDj@q&R&XvbUb&0I-bs;)^X&_>DIFr z|I9Rb&3z$bnHYE(*)y?6;6_?<;=7Dr-Qe>5nRqxTdN#GpdZ`MDp3|V<kkkRUp*PN% z)%q0NhW?zjEF3zdrUi+fSF5tp!WUnBv&QrByH+M}g|kBpQsHEShSXkP$WVKIIU~$` z0l2~u-MM(?-3j0h&OX6%6XV$z!5y60#o!K(*2<T;kQVeEH*g1M8@PkBJ=i!Ll#MrU zn>_2@IY<ZRRx6~Db~E&BNvoUjt>$BQi>ohzhX%ewy_f%PM|GmS)Y*S^CbOpg%><9x zFoMQxJivhtT9~-fITJi)(_RSfc^2#dCBn7JSI)?<1@}B9z&+38t7qigAsw7uAO%|| zUjg+z=dMY6DPp=eIMZZy`h^|UW=p^oPQ4nm!r2UpnDomTeCHQ`JfF9Ap8w<dcW32Z zD1AOp^8cG_zgX{lJf9~U<MMd^U8z{N#gEN1OMa!596G&o@#OtYZp$l0d;ONWE(R?| z-qB}m)%rTKq%Ez)%Y5bJouPA=NzQ$g`>N;63zzN1?&_t>t@iG|s(IIW@#DMRk1KDb zm1uo0bYK3Pp|`q~|MHITSAvjLwSn(mq{uD4xYE{fac~7>h4wF=@{&#GFTMD3=Eakw zQaAmT!PPU}j@P$>7uD8GwYKu?KlXXc{Y4*bc5crsVM{BKdSBqa{DWSv-)mc`xet^< zOQZchC%=0kV!!aB<jjkj^~?OeahFD=m3YOkdaU|t%h?x8_6IKiKiBH%`^=IcXQ}g- z4IfuF$?sS!{NLqqTyK2l9c|l1poPdQ{~eQ^`{uog)mQt>lCFD2KR#V>RySK5JiEi% z>faF)t6F2LpC@-Le(bx_?{1G@o>nQyF~P;|=@x6P=1N{UdER63<QX4r&d%JiIC#!X zH}zRlk9`h#zMydZLdm(;=G?icdDeCD<FmetgJ({6J09QQrVd(8KEc{*Wot%>Ra%MB zKC9-VS6AvdE}k4W39@2bq`aicQtJHWn0d!Or-Us4twz2Q_N1?GzfiB=4x?Vb%u=bj z^Cai~lDc#8<XTTqOa(_o&%N^NRZCjQC+QWFXI#t_+qu~ImdD(8X|F`gmS2>d_0eXn zP<e@0^o18&wl6ANf2+*oovG1c;i)?p2j83LroNTA*KdPxuirYS#h|73H+!wEUf#_t z*_BqZse0vPmRBslFTF_lwixV^Z_oSu{yRvWziicV>~jkL(u*%=UR)`EOm^;_hbC6N z%9$mnj$e53<?M@=<3;Z3^)kJFNp8z47FoRvzLGiPqUM}c=Pva4y*7FGVn@A4?{EI| zhd&=lUma}I?v|c%?6b@BBKPGra=m`b9Tx}hpK)yO9`B42P_mj{<gQ*T+3WYUNNVn% zt0q>m##RSS?_4|?<GomT_Qj9u!_zA!TV0)<S+Z&S1yGv0qWta!Pu}8>HW%lb%saln z8=S0iirv-g&3pYm$4SjS)eK&%-OE>A!o`2_1<%<RQ{+O`FNZGAbX)#oiIuXk)y3dD z7d>rP`{{=*|H9PU_g$&?dFJekEB73co%>GvOH1`$zsK3vkMyjMU7cTW{Q5d)<9%hh z`%cQn?-ski=;PMcCwkV$O4phizXz8yY4@M^ftK`sYn=73-DK8X{|uY-LI-dq{%eXg zX#V12`kjw+o}Pcn8J}G5xH$6nB)7}n878mOO#ZIl0h)c4tOLz)mV^3ZXYYK^+IBW$ zT6@;Ax&@%+(>i~_<77|8LEWgs@mJ6EgL+ce{)ZTU6$kYNcQ60{tS{|&&u;erD`tM2 zR<1wex5f7D>I)0M|5*a=(6^r40jk_{{Laai>-#w_ww%%BW;|n-8>q``#anJ-3>sfd zGs(VO=x%JQ3>wNvD^q(1DnP~3!-`YfjAyx?J@f|DvGgup0UCjr9qe1L2Wm;3k372y z)JdN2@0(u-o?@OZ9$>sxp*JmCP^$cUEvN}xeqQ$!cuhos;k$}+e;%34+PgHv<h1u? z(7ae!5vbeb3>uw~)HyBdo9_y$B-5%?-({Gc$$0h|G$l4$d-crdSkMUOAt_LEkS%-F zOw9`!$3QcMv7n_BSHFT9$2sfPrxh}Tx>C~8t7qP9aoe1F?C$2-MefFCkH4<TUAAn$ zWp7%!x77OdLTzwuc<CBsMe??XeY>Y`UwTm{&wp{`?MAoDw<m&EB;W6ZETldMYLdBu z>iIKo*MXLaSMr+%SA*+>X-uF7vi5J#@TKIt<!N>GAoqN2zUmVSssQfT)^e1aSf|~w zwFo(TCedWpTjk6<w)G<5Zt=onvY^J}=Ztqdsy|9dojv%`WY$4W$l873or`C#ybKze z$W||OH?EZeN6NPnNTi$(07VMdRh>DDL8-1}9cY$l>*p(9&M&|CX7<N9SGz&=$eQ>y z%gQ;ymHO%Ft7h)%aob$V+PnLA_$Ba^(L1eo8Q;!kw1pQRFMhUu;l($x?u#S$&2s~d zC>1f6n*^JKeaL2B=ze+kR5xRj#WVNyT7xFOf8M?d>dF6Knzn8&s8#$h57fJDuD){S z4rosD<D9ANAO&I8pthiye9-0}8$em0_xRN_HjayL8a;k@QxCdKd~((=|BD%A^Ot_C z5}UsmG*hW#dtMeaRH5;#Z};^01s7%Z`7DmCZgsm{Jrx`&^}XOoN$j_tb@uwp$k=1m z^Y<NkTl#tGxqIw))*s5-C-uAi&icZ>GuN%A+dsNj<X7tx|NM?&{Ck<2Lx0(xU%T?- zpzV9N=Nh`<X%mc%Pwh2qH_p^Qqf@>4g89z0szXn0-lsi3lVf$+{QjeFX>HXl=`-f; zySmeuy>@o7je70wVhi!V`p*yDF_~9y^6Z<<t>2M54d=(qiTBfWxc|^9_H)bi6vubv zyY_ArsGVM%o4D)kow$~Lw|73i{Nsk!f6-IIanbYtckSo&`y8MA=<eflH}*>%-F?0C zKz+@o^7+LF>d!Qn@8A4)zi1V|h4~MA_pfp#dLQ?*eYZN7`OkFu_X)*w<3IWT*!Zbt z_1^Zoc2SMzzjYL+tNsdne(Thi<a0Z(zC1oRI{SjZ#q{e-cAtC6^mj>dPTi@U`^3I4 zpZhuc@_7sXv*)ecUl-J$+qp^a@1Ej4cCyyb&6dO$d^2AdUm`a%{?Jd~o%`R!-0!J7 zYPsL?@2bxi{><9EpSOIEezW29ZI|b1o!%+?B<0LQy<4|MEpPaqd#CsP_?;Wh)rI~y zZ+pM%*|}@`w?K#QcRnxwwm820+#CP>59ioAJQw~vJMVqmnfWdGmfQ6vzMg(+>ggBT z@6P=5^p<h>iGK^<EH;)Xu9@-e*TkZNDRb<j-pQ+n`%Nldeqv^D>U$GQ$>R4VZ<VXh zmEYOiyY7nd_4N}^Uq7{T+MJz!-_}iUPnNs0U~l!}JG(sB-Pig3{MF4%&rdEk+^&B? zE=D6=f70*dyqGn6D>~=ISUo%a^j~Pv<E)((y>DW*#g%O9f0_M?{a&>#%Ifm2yffEN zKjba?>bA2+_-^^!2Wh`-)Bnd?P2SgBcmM57>zDj<_U?YcKUes(`?<Yl-}~oUmtUT5 zvHjgb_H$Q%zu=tzx%`vf{9SwN#Q)ALUg}%_E@sE<f7^?{$^EiFcW>_(@pH_dr=Pp~ zdx!dmzhA=7J^lUjaoyWD_73%rZ4CZjx2$ZjuG7u3)jHmP`TnlG_KSb>e}8NJJvOuK zSM~SUEWWB2`(DqgFX(&z<lo}o>&(UfPX4{l-u$oq_qz#lQucYpaXY6>4gP%5e)s!J zpD*v<@!p90!v0<FH*sH5{yulVz~9-w^|l-Q-Thl{KgZwp-|HS+u0Pa$xuWgjjN9HB z{L`jv_C8trIX=&8{@K6z690{&zvnuAF8U?^J@%R7KK*+~-hJQU{<3q<;`A4tb5EbY zIQhXD?k`I$K5N;|EdH-sRrLIk^%s$IKl@)y+5Df=c4_hF;3}=>XAalQTUp4q|KP6t z%NEaff7x;)e$n#7m4BF??el#<=aBlE-_y$EYMswNnDc<Q@P1m!yT?xNZu`93EqCbM z?KAIof2uKwo1BoibH|E1kv@FIMTwG?CeOZYy4C#j$(v6_^=EoTw}^*N**o>gxf!b~ z-<}P9a_d!59<QZjaklT<ms_7yocX$S>+|aq+mrjWZ+&Jz{q_FOd5^A7zBK=f&b$9} zW@l{TTyOd9&XMGKF6Vr-swbc9tPHy@>3go$?D;tptIM;do!enMt8d=jorboy?Zvvj z=U>LmY@By`r-icZ_ToIXD!KH0F{$%5AAYp{*pv6(&n10swD9F0Cae6N&cBbDIVJAE zP6Nrk3yRB%sua`nxvCB=kL`*(^0xEuj(x@HVRp=Rva2^={86&ztn1^?X6D;t=1q^g zGnbQZ@$>>Y8DIUEcWm0?PVFqw*}J9qr-jt~M^hhX)<m(ZU-+?Q-8_%S$G^V*lyK(k z<Q<QL_m>*=`hR~RA~pZr+u+QaUFCj@KWg5yoqKF~MGf2DO~v|4KEAwXJ^Pq(%kvYh z#ZNEJxcxkn|J?HM(z(~nzhr$_ZzcTC@A2~BO0RwTKQyn*>^tVaFePl)gF9R7H#}S( zknZ>Hhvt-&%s*RB>Nu@`E%WP3)w!)pUw)n2oce-y&hOI~U7u(4omBsG=jMf-#^!q` z7SCB~er%t`Ue0stJ@Q}OiRr#~#_~?fy*oR%$;KA(l`Y?1uujG!|K*%HE{{v)=IVVv zKczeU^i1w2_huaC-YTv)$vrtQevR!8mveDi-;dwf;Zwa)vwHgJe^ZNIN$s?Lc5Cac zV%?pkb7S_!`Q2AIp1kh<ioJi9$m~}W|2CuO(C?1x#=HCP$QLVLh`SU1?b~GQ<aO`X z-?ev;>)Bbk;M*m~q9TsHQ>)iUw`ZNd=br!e+nK*#%+9^le~~+PvHr`qA2xEvmgUvC z=D(lwZ}z=|JL^7&{ICE1NB2{~nZhM|>sHU%?<?15xhuB%X?)Q$zMbaJKKQ+D-Tma^ zjN7?y58f^MCb!f6*|EL1y1$>i_qQnh%vJU+zxhu!8=70+^5#Fevi<418QJ|A=WVsr zlk;qs?ftW3PMuTV@tF5n=j}E5kIUFD-TP_FoJzNMy_Pj$)ekK}DgE=U=7@9q6uuw7 zQxRDGQT1kZ<h!1ozq-o)hgKRqdzO96+t%{yt~;{DZ+YMDG=G|MX6^PZ)9+8a{Qktp z8L!`Go&Wyy;f%%Kw}juDZY+OduVK4<mVS*&|FJv&BC0=h&XGOw*U;SVYTO^6Z+6pn zC$IY(UH#6!$mrQM@mr_kPrY>MJ0A1jtNLGdrNy%s(r>5!KQ%M|>Ae|;-)|A$H{Crs zkAEw>{fV3MPyfxBZJ(+C*Wd52PWkbe->%i~!YeJFWh~kIY4)6-vF~~<|2S7a^auGS z{#Nt;r*G_w>d)}@*IV}8zuqN$^4<|pNO^AgJ^56#;dZslar0vQ{1uNUuUo$5`SL04 z$$iT%#m$U5=cC+z?9RNfYJ<6R=ElA2-#PJG+#JVmX;Z9|*PUCk*JSgYS+4K;cABmJ zb|<IEO8I!Q+}VYDZI{oPJNw?nzx(c(zkhOPM*Ht`=i<ViTPJ^ee%(%@xR~+nQr4$e zoQlfNJaygq{!!hUOG#hWotvwg@#mw_Zj-&Ui`CrUzl({VcJHud<h*;AcWzbMJH1%d z|NYxJv90%xTW)5PwYbjxW#74%Utj#4Q|ww*|NPstFO28*>b_*2`*`h(<~h5gFaJm` zNSEIA>dw7sc1JAtO83rJ{y5|H?ri>VlQvhM{%ctN{c7B8|8sBk)A=S<C-d=Ni?a>+ z#y^=oxv&39oW0k%>rdWn1eJ1+w_Nv|Qd|nE{zTqBTv_zc<R<^qgEMBQXRV*F{QUgX z_T+b_TiV4>v~s8Z7Xqb>rMF&BKmAkt$-^1H!?W{al*QLiExvyG<cw(Z4F3FS+}lqq zHssH{d~Z*z-e2!?_f`3i%WU0h{%$HH<$hXl=hca)?N1IZ{*-a%?eQ(<yNW(~-mLcW zn>R7~{HdqUpB&-_Nr-PXkDuth{?y9rPaeK5`qCCt8LYQYx%&O7xrW>AF2>27c<KN2 zoqy5W`l5H~J3sW>NEP3n{p|mL8`C*%eaoh5Up{$MG9}+_r}49EI=4=5KK1hElZ$VP zs`)Hu1nZquUjA(A?&Q8_*W)4`&gp1Wcb}MR7+(AK>CvZOgo<pQeY<q4dCr_zw{O#? zTPLquw_xv_>6f)nf1Gi9btZq<^v&5PR&IUrZbo)?_WCvI>DQ)LC%?;Cv3J+RH~Bit z%_l!Lyl#G7E=Mzc`=sB=@A6jf-8OfQ_UW0jptL=AOL+Ozzg;#G#pV2Ox7t3v6IY}* zp*orG`_(vGhj08-*pvHK-+JAC;-~Y|H-ey;QQmsJZ(8y36DMa>AJ69ZpTMnt>fcFF z!5qBhy8rag=_d{wem{Tdo<+3YJmu%-rcXB9E_Lg*_{pE$Pan?sJv}qu<mscQMenpM zC5vBYy-oDii&5`CX0s!(`m@^3lFf7Whl0u*-|EMFJ1dsIxvQ#PetK>7lTUk#9x{UR zQ}eCa{HK??Ke@8_(}zOKDyMZNPrn&|S@`bt$$Ou_B%V7g{_^n0(r2r`2%g({{iX6; z@BEAImf`o8W}oZ*{&Ml$+wvEWTYP8xvhm#E^DiIIxg3A#xb^dW%lr%UYQ5*bh>^Wi z`uv#x7tas*Us~+d|LIlNpIBP|WQzIQ|NrH#%NFM<z1`XQH08|4lso=q_IZ;Q`4{^6 zI?sRg#@~PbvzR#(?e1F6Xtg_RdFH~xViWb+WyLo9wbP1?<ZHJTXDR-YNx#4Re5szE z-~8t?I<E8I%jh|q&sqHRCHI`iQ(th;ogDh2droln1##=&*%zl<h+ki-U&?2;K);yJ ze9`Q47ngl`dakf@-yzGJUHdLsZk4T_x_Liy?bhPL^j}iX7dXYgmU-v6ea_;&FJI4j zT>J9%+{w%@q~`>O{&GwIZ}3a)`J;(n&YnAX@r&-chlM3iWafI@e<d^D=l-)fbKLH~ zn`1Tk-}KG(e6{VxA8UU3J%8ZzOYivyp<QofOkeJv`&j#B^_<DsFRJGTU%&j_^0)cL z@7ChVzZjoCDEsC3{Da@F$1-<)?Vrfpcej5t$Hv3{(VVK5x+^#9=hU6q`9tOJj^YnI ze_M)w*xW7ci(4|kq%VHq{35@qFPG1)-2Nhc&foHv>2tf^Up{ZiE`Ra7bvv_FS)bkV z_=3Lu3*t-s_AQDp@~idU|K<(<%kVkf_7~S%vG2dI-m-oFMcd~u{C|}`{~`a2_j$^r zmui2kJ}fKFD*C0HUhnlQ_xU4}FV^P{e)+Qd+{2!d`<Am>>&{!AyYSvxz4(&6#qwuM z?MuErUv6Kdr{_}tM&`#C^>Ys&eK}wm)%EX+WnA08GnSF_{@t;hy8Qp!H|xFrAK$ry z?Qd)G2C=_Oi+AYFwQ!fd5N~;0`eMAb`s_>hEtYRv@c;FjeBb)lF?ag@owK~r`0t+O zotbi0?xMfgpI7kza(|wZ|4aS(mAWtg=lo@UIe%_<=r8f-4-9^VKmXuS^+ZP2_y02) zdH4VC=FIW<|8R~~>%Yr4?dSeGy|Y5?@Al#XzQ6s&6?SiZAN>-)By4$n`UPQYb>AwX z=T}0%EIIdY>z9;sM_<1@Ik$QC3(Yx)JNzu&w_gsnI$nM$*iyaxa<KLCcgvR-ezX3v z<=j#C7cb{DAAk9B?qT(pTZ+H%*ftmcv9VoT{7K1na`CIss<ia~rB!0jA4PvzbMD~o zFFEHP{w_IY`Kx2zT}!zO#TM%GFBe-a-?!v>(YO7}o|oy>d7pnQ!}GFo?&0|_))ar? zww+)6N7{CO@hA1Y4aKKs*Bv_lly&Z3&ljz8x+h=ewq_5$)NRpz`NF<p6WhHjifxql z&L}qG-n*kXtE`GMy<V@%^ZBDaUxLmZ{PX3~xra_A=PW<HOr7)i=*!f(lb61DIw$z_ zrPJ2GwJ&H}h=2alb?)G*FJ9*!N|oHRjOvcNX&E;??x^L>hPbPiTMhTl+Pq(K@2=uP z?ke8r3E5S?&kL+~J&<|pu>Pscd!O}h=Y+f{ofBMr>9y7G-xpq6iho~xy_}D4iFpCv z>XM6=Rz3GFSlUgxcf!(g*1a2+r8avzH^(nGFV&mpl>ba-j$i&enR!3!eec%K5GiFm z{_*1B;=oD50^+Qa0VO{b=V)x|eAF;uwZ=Wg6J1Tri`FO<v7PctTCAeiy2<lmkchCC zuGbyUz-SF#mR(-++9$0!(XV(uPf`E6Lxjc_{<^9^XY4=knfKlJ{F!*;&$Z8f)}_CY zve-RiUF&D>7ls8ND}R+)Z0V8XcAs|n@q-&&zxXURPLkta9(J+NV)v|l!spUoau$3{ z{iSCS;(wpl{n};Whd0=&gyx*M`2E1j-YvZ8<`*YF{F7G26?4byK6m@4FCiA2=I-O~ zGrjcvaHs4S6N{~r{SMrW{lZ*OXKpL2_ikzWfrZRpnhTc9oX2@Q>P7p5BZ^gGbF!Ac zevs2yCvi@G*?wN}{!5!5Uf`_ald<%)XFATK*Ht0n7jmKaVTkJ7md&{@k3Xofu9Az% z@vmp+D_tVrt~~eU&w@4Fe_7_d@TwR0D_t&YaeH!|(7Er6<~MZ<Rx!%Fa<Av_<5@7j zZSv(8tp#aTe|hH2_FVqJNp>%X-uY$w`P-jgvTs=Y`Nh$K8oR$bb4p%3e{hDWigQl1 z$MT1Vlzz1rR2u%Zk@36i{qRN5FZY5P$-l`KJLkl)rH5UxZ!Fe+$rBUds^5Nk^~?E( z9-4kR|KLSb6|2np<@J2(*DvpHFqVEXwLs5(cR`}^U+*1zI^$T|w_ngda548wcY%%n z`nJipU&bGt>Gtb-K_2_uR&MK;rUlN8b)4tw9QN~szg>9!(8<~_`44ve{bIGFpkZD6 z+O%Dadi6g0nVv_z_*(Eu;cxVgbyNSz#{8Iak3qff!v6N)<1g$FEYyD~BV#$YyY(N( zY|$_C9~4MeDaVwu{ne6LwIse-IP^<+ar?Qc+>F(8uS|Y^^6K2D1>!q3A6#L5ReJZy z3D-^X1^e1}T@LPx)0sW}RBOZK=_}QLpSW84H0glv->bpQDXXLUVl;m<rmqVsXFGjy zMGV&t0~g!cwKBW?`XV;T7o^SDW$e_~H^rM#Jn7ZT*-vhqE&95)$S|RNOVz5dZO2YL zZTMUGYNqv*Ss#l^nqs&ts;5^~O_JH|eyruz`ukT!mY;aHx2R@Sj_>5tjOHp=jE$en zVt;zUXQzdC+3P1i4sSAb*JHo)p`%Jo^SX^%`?Hfvk3W4=bF<E=&sI~~|K!ewyUVXW z=6<>={mG}|qQgR#6Q>>4KjF)`JTBz?n#tL(o(43_REL_yO)h5KJ+G=}bIev(z5J=t z+fH^~e>%(j>4oS`;sxJwOLqtI?N^q5e`;sL>wCfd`}M=$PWsGf{wB=7Mq_sMlM8h> zxh-C<K5jp0^{l5g2Y7W~buP-0o8mnGMBIUsVWqoO+U+OLW;!Puxc+xcpUl+NeW!mm z%oTpsIeF91))<Z()@u)6zh3vLWalm?zVK7NjLXl3the^=o1^=i@%j0{@>{1bYFXY1 z%;U1S>bdt_jpe8Rt-F`cTU&SFC->I=%b|T`lYN8rSmwN2IB$>3x_7GL%z0famRFq) zlea9|`G$SY%k}SK6y4cREp6a#zH&MI^u~ilUrj;5-%*vdA}3aVI<p>6xc_~H<8CLe znx8t_aCb&k)|8yPO4FIY<p-a?a>8_LQOTMZE(>cvTiNN!<)@CZ?5x=S#&62w$tl^J zV)%9>c2zyI+xe`{(!^KKRv~@)>2Exi-zMaonN-Z!{%k}3p$a3T>HmynX1iA}KQ{C8 z%d-Wi7H&Uqll4pdgFjAH+A%RM`OVJfFRed3(c~|iOtjbYhewQl`C4q9Q^$R7-Gc3h ze@^`p|L~F4ueZVHE-hadT;3~F?|E+B<hNCpcNEN7=Ty1gjnbbj{laa|^-~w$ANc0B zi?ht^qIE*K@n5|+xl8Xi|22Oxcf-cH|D<!wF1<f|jju{P=lv4y4V%0FF_e{G-kq4Q z|5x$N?=NyUGM0Tml*YZ6e;eP$--)}YeoI{LBHwWL@R$3E?=}7kzL8%(JMpo~U&9<J z+5Nko|7YH&^+N2%x+UEkE>E-L*!}i}^M>p5{;9tyUtr(Zd#*$~r)=SW*67%m{)xM- zW1HsA`;xxlcK1K?H?A)ZZ@53*j!ByN#recyg<6qqY!{CwSbI%ROy{VTDQmx^o{%r` zSN?{)<9~6pq%WQ~WL)bRqZhx_PuxAt?AC)H$uBP_l-tx=z42Y3olviIm(5J=a{7U1 zA-jaOExVY0=v#>ui?#8~Z5yK9=d)ICez`gEf8Z|JoHL8}GtU0}vN+-N!rO<xG40|i z6T9^M&^x7F%x`p;Ss(f*v`aWgXX*Lov#BNNH=_LJ^WWBfVen?nlHCX1Y5iil5$zk# z@jG&tcFvlmyAP(>)(YmVUB3J9H?~_Xb7k%_mziI(P0SarHGGr5Ouw-=w?zNOnak4; zT`T)#bR%zx@`laL?|64xzqH%1z55;a@7*u!Hf-$QCu7Ec;cw#VnQ{!bbxTa&$Shjl za5t<<;my<++KK-SYDIHo7PB9G#`!DjhLtCOTW+(wM&}_v*1x_t)-GA!xOdwZfgAbm z@!XG>XWWoDR=VNlL^-bLxi4QQ?&i)t=oelk@uqBf`{6kMUu-wtyY@Hq-uvQl<BfYg z_cpc*=7+vHSg~0*znGn1?scE1+WAG`2Ky=dSk2llZ$I?Rqsk=b=!@G4)grOX){9?8 z-B`Qy_<?&7zsi2pADB4Lb(15~>0?`7v)tSE@qy#=iVu$*{Xaf9*euR3XHx-Uu=B@( z7)QIs`QzRM@P+O9aXUT!`|h+K2j1`d_K$zFSbA~W)-=<XxyF+}*4O7)zI@?-d-Haw zx3B!(taZPAw{`E?4EEid|6c6-o|JEW>ul|f+r4Gg_20fNzMH+FTq5^zz_-4|v9?Wn zFK6s_-&ij9_CZ9M!L7nG_fogaR^M1I`}W()H+S83ms?eTzi4(Y?Y-fxt5fzq&)6-# z;k(q^2T5fXw>~a?v)6rhC2RHki+S;9@0D+<zP{<ZbnaufZ~qp@?wwhCAY=FTjr=li zANZ9eZ+X1?CVOw$XS;6)7vI&p(cV+`KJnYa#kn@;>~glO_TR)WpZi_%o8V&Ek{cH@ zj_YsgmwEe4`^{pv-G8Nizqt5r?@7C~ExnU!-(-Z}PnS2p_0IjxV|VKxEwcS(e=L8u zT+FLGX;--Aw(t%0-m>@6-%c*h{d2%Bb<1k|&Hl2v-_5^eE|&ds(9g~K-|X678Roq+ z-Rl_-TO@C}J$b`<nYZ_zyxHt_dq!97w~X-Rsq>9*<+awn%V0O&bopXmdg6TZTW4?H zc-~)D?e~p!@!i?&wGT6-KX2BTdi%=hO}G2)*p}Lp8SLGgH(%^KzENNH?Xyd7PP^^i zEA#u)#dnr(wB2q;wAMb&2oFz>H@)?4)|=Jt))DP@W!~OMjyJw_miOlMzOriJZ>5Xx z-tMXWn;{*&F<;{Cs`L8_x7>Yu)4aEAgRr&y+Y0e-XBXeSGq?71#`f<?_pNU2t-Z0m zcUvLD?{62^$sO63yydR*&GNpo0+!vTw>}2G+3mKwQs?)(i+RW2RJ-ji7y5ngqM2Xv zee+vajc<JK-&Sq*yYV7lP?_PakF0Ol-FH_S{$6-7FaA_r#g^*u4f2w?i%-^-Y*~GM zqr7zPceihk7t7u`lh<EXBmKMcV&1)zbwyilmv5dg_4Z!vo8|7eD<=FslMybTXm5S% z-QzdU-K;An-IaX%L-O0_#dqIqh?jW#t^19*`|j^tzn5M#i%Z{cbL;B%8|!<vMQ@6i zefyxctbEJk{u}Fi%gU!ky45#cj8EFazIo$)iMOX>-k7`Z4olr{dh6@YoB91^$%@~s z7thr@r02eStHtlT7vJ?I?>E2Iy!7V#{<74{Z|sX>qbL4-m~lNkvEJlX@70^~{bjq< zcAMW?TY6)DPucb4daGN_yf^3fmZb)Nd%igK_ME>*Gp=t>>X(1pcK%<{mdkH%{=Jy@ z_Q=1iExUJbQ1AJcQ2y=u;#kg`_j}56<G*cR9Bbe3_ilzcUsApOt(Obm9L(6>m+;@< z*4@Q7?EAhwi1_BeIM%Z9@8^u`erf-WZlxXCSGVZm`NRL;y!i6JZg1JK`ttwvWuMRd zue)pidjIaCZ}sKR>&t4+)$e~d=l6ZvAM@uf|Nr;b4gN|0Qudm^zLDkiyVmN)d8yx3 z@n+waFJ``wk)Wi_esSg+IiKg7$~a;@z6b15e%*d?DG%TB-<t|qY^M~u%yv)GTD$ng zvNf{4;+JgO-ktchu|%`^@r!*qGXDIjVwb;hMtFR8*)`>%=NE@#>X)Bs&hUC2vP<*r z<rmXRgmzU~J=;{q7VGidXP5Hp^ovV*&Mo`BsgTch%Ez5sW+rK^{rqCtmh;P_FYRo| z^M3ENOZ#v4i+Mcy%h-)}wU`<Cq&y9>ntb*2i<oPA%ei01IhLpV_1ZP%U}#Ca*}7%z zMtPT>na=Qf9kr`c_SW@e?_K5>WG!c8ZQEF%w`k?X8EnFmdT!f~pS3HyzA~{^QzvzU z$=&I_sV^4xOkaBTsln81pGtynX<yKNX;BhgrF|*(<$9OzDLag8CtTFpvQbNI(<Z68 zCttlP(aYA0^(g1vrTjYk(o&vli+*p~Xl6U*qt%w3dS&kqZaQ#p@r`;R_VW)vsG7vN zf0kWS({N2aLbiV`XGBf^+xZ(mTbKPmSTxD<Se<RP+PCFL5As;d5$)Y+FjstY>xP{Y z&rEZ4+mCw9Sl9MUN@9KM;nEEc6YH6I%#Lm8dpPaJZK=Px8+Y4QStnXMq?_lwZ7l0J zTf3oJqDpXEzti)G9NGSFoi}VG*IqWTl|8%pf~B~&ctVk&l%(7AhYz@T)*riP`Xavn zuJpy-l8bL|xOeE>-52-Tk1|J8b9{E+@XI32KjCSn&%C2wWJ~V3Z*RXR9x=b+-*<^W zJrCdC_`$x|^O?-=orb<^e7djkM9dX^Yq`-(-->(TZiy<>HTv!Uyl%wvvS&ZM;G8UM zvi(3;|Fyn|yP|LRZrCPo#h&=w;Ei1C(~mc-C4Vn}{6Iz~uHo3yjJVdRuP>b1SZ6=Y zsBVgx{NZbtU)VHXi@q`C9(zf++x&~ip3m?<aO--+PhsPiem3I!^-mw0@+Dx}=8Fg4 zWSr|>S(39$_i*7ChqCbHwc@>B4SxQA=Qo=@Ss*cf+40L^J#T|ATJy4}CztSr=^u)@ zwtj)PWW4+A>&dUhO7f20i<P+7JC)sVa^CEBCyo_=2{4Oa_%?jwZ?Rwbi$5x=ZTe;z zd*VU%F8#~$nXlDz{`cLJw=j4n_xo$N+M!jQJJ<Yg*GskG&OO()cISne($(AvI~2mi zj{EF7eL?nSM5o-TXHr}Cs+HM(x$eHWuX9bVv$)ZX&UdFv_;1}3zWsaQc6&a)gD(Qs z$o1}AGv8ynk=<Og^9RDZ&Q;#n&2pGWJ3LwKuJp^koI8H}sh{I+?c?9gzxY3glz*a9 zw*G}yp18%ojdU|#$L7@c#PPE~HvA>D`hKF`>-`s&_WWOTcK=4xlQzlr_UD$|n9X%~ z<whgsv`ce)-<@!qDtGGHp)I+|<(^-TFWhLOwrQJI?1_ZjUHX@KGqaU*^84<IS=<m? z{C2}G?q{3iE-mfhUvidh8=w1gjyJO14+|qKS&d)Lb1hGK%v7Z@d-}z1PjBpH`<s1n zhoo?1_Qh5nxdp$CYJ0ZH9sg$h!nV74x<QrX>ii_V*Y7Vc?Xh2a_WXvgqE^Bi%ny8E zU&CWK`Q82!cQdv1eSiP(q!vfrn#Z@>c%i+qRB@70_UFs3JYq|K8^v~Qn|5ql^Sv&M z2w7$E)vpuvUeCU;v}gOGvuihQWwx?T$~WgJKYnW84A1WgyOgEfFFD`r$^6aoW?oxe z)3Kd1PTV?LqS&nca-Yxo<!hxk)M{64fA}D;=iR|q&NEK`5-!nv%$IA|zc&2h%cS?Y zJogWta-ZS(oqLzE^!*FYH~(~Qt3UQm{>A^!V@Ezq{r~Q+lpTDjl}D>2e%a<r(^}u| zyf~YkojYlZK-lyHG1sOq^_E=kGkbMHwr|O`L-&43<TXq^YcP48e|buBdX>iP>Pz3^ zZrqjs%Y4CtM>x{DO)sTD=<f8Fl3PmEww-r=Un7$u5!-cD?b)U};n-6@_-^eHj{d%| z*#4W`!4H9JY<g#w#4oYl^wG+8%GvVF-?neQUHH$^Yp1s9n{Dig2jRQ)FYnKMEuZ6W zb^pfsesf8_Wydn4)|~6ux#qmzbfbCG&7L2g)_YFrhID%(ueNrA+TE)!`f}Dd^QRUo z-?}HUJ9@!>RjJ#_O4++Fweoyh_S-1;!ZpVmc1(LY*pDZc*o65Xjk$JynYU!T$87P$ z*F`1k4&2)+5!XI7+F<g#*b;X$t}2b${g=z6cb{MMUq)(uf>L(=#a14>1;35{_H45| z{*C3!zGDaZCH{6?y>B?ZH2L4g`O|}r1z%X!x%TCSpZwp_junWlInz3`M0|nuri~J| zQ_e2Utd@MUuH&Cq#+t^?HI*fb&Au=9`D|adHh05b<tpok3FSTC4!o+Har&2HiRR<Q z8J{z9<~E$`yy0zNBde{Mc4=?RyA#JkzXX`&FL*1xv0D1q_Qi$zYMZ_p#GZKIxl8}@ z^UT+*Ipr6tdD-75gd}}UK9gE&6MO1M^sPU9(e{f!bAS7H<b(bipG^mDocC9|yYfX} zj*mZo>g7dmZ*H*W{}pN?cFg74v?bh<I_}?7cX8#e?RvZQLbNoybix+JF!SRv*S0V9 zmSo?ulY84YC-o!u7$xL7rXDt&{BC23yP4mz_Dz*)x$|4^e!jS$q4%`G#I4ycoY*Yy zPJcOf%hf~Q{=TqnXm&TO;_22DlluL&$oGwZd++}Rrrc&r&BgCdy~wm>qr$W9#N0xi z>BkZzO~Ma_SY=<xm8|;WkT(0$RjaaX$9|bv+!1^{_rg8q=u7we-<^CSu&YQz%za_C z$v6K4$2v3S_W8bCS`zLy{eoND&(Mh7Qm3U2b_kxmZ8-JZ-je7x{>#iWZ9T*1A3FCr zqMormwkO_s_mOE|?3Yy=N&1KHJMt*HWdGrR=WqOAUAupS=3Y-Rx!;v9Pu+UI<um)X zrQesoTk>E0?&OE}zc{%4zi@2-j1ygnpMQ6*@pE~;$;>d;qg-g0^6SZ$mhz}A{=Lc2 z(ss&6ku8}?T5C05F59wvQS_ymv+}(2rFLom&3rkJXZd1wqhI`Q<+|^6M%eTI-E3gN zf4F;Np{1K|PyT@?Vm|MWyxN;#-?8=hg-Wiwd{z3%e@nmIKlp%|$Nu243X^?q#SK&A zFZ^O&{eO||OQq!feQli=Lo8;n9sZw?U-c#Z&^w)sGks5EBGxCR+m(nPNlIL!+x7EN zglzlGpomDZyH__xFlT2c@6!vremuu{O-_@iY_G1tEv{nu$Fc=Ggw4th{>zhi)0x`w zwRYoX`(3;`e8>JJ-mnvzo4lb^=9YTGXO%5}M@<|*`}CYY_HbuLO!LpLH+D&OTW_f4 zFXMV+*Y$MrjcUH%`iWnpj^!tRvRh_*X5WElENAu~zji+2Pv6`64aO%ICEJ_t>pZwc z;#@;-q~R>#$CZYW9G8z8tWGSpJQMDeURrY9Ex&e6e$ziOi60X0I(lObZ*f2VYhcN7 z`}kt<#}7h!{0_geoN@Yz)~+H`G4lo0%HQ%2AA6f|x7%0J-gox-gx9Vmafj}`me|*L zFa5^Ui!8T(NPU*y@J)G|Gf$m+`KhDF>SC=n1uks=e_!=QM(Ue&jsIq4tm*GubM>VY z+wBXrGvB#HTPIiZfBAOgV4cL<{;ST0)4wi$8FWnj(lgH+R<d)AH%w2ekP9<9v!vSe z?!;c{mkV?5`Q0v0_#XG=z4K#1pOm+nyCxjuE{R{Z{^GUQH}o!6i?hooUpf40`i#@R z%1bmK$7g&F&-vSW?*5J0DIYh+-!BMS<I_8{Bz=MPCPQi4DQC5E&v)N#y}13NM0@8N zU4L<-h^g;Rm*n1xV!tiDXuBYv+3^hSHF@nj*SvR{Zp4>aoS!4x;m2Ivo?O8a)_2%v z*YXRpH!Zs5PCaAYQma^|@BF{WWZhAhYkAAKCAYlT=Oe#hEz|A(h2Jgs<{f+yxn^I_ z&NcfzryJGHH?u!*ZTX9uw!P~O<}^%|H<<ix!n+g4_J0X5Q;$!2^ZfWcrrnnp*~>~9 zCo5$aUuxxfw*0qI?38U{hrb2A(CuYrUo5)uRg&K8*B6)em@ho*yK$?iRdjMbJ5TwM zQ}1SYmdEc>mS(@~eA8yqw*EtTJ^wf}RA!vGwX;OAxf@iOEm^yIL#=I<`GW^xJ$Z*; z+0Hoq%eh4Jv3ka5&z#Z=m2%bVlWrV6Wj(|5yYen&>3C3O*0yc`(RcnY{`VZ4KjXx$ zBi?;~_lOwHnDp-S7N_XT$<<+BbY#x-UbKmb<tUDfZ_-QMqm!%GzIN)xNY?7?q#a^m zW(RzB&Aupm^TW%9Iq!UKGnRdKsn<8*JK%DSZ!x!|jPv)@DxuuDJ#URKSPQd1Pb{$u z(?1$>ZFY&~<JlR;$FIr0xYN1!x4|BH?fxXSyUSnp<?Qj`PyJkatB!g1`$hldq~<3m zW!LVSaPWIc{IdO*uI1nOC%w16z~JSMjq~Sc7_ISZ-nr(y$8@84bIqO~xVGoTnRZ+0 z!>${G4*TrV1~s>O<xV}b+Ok)#%-pFy*<{-hmuuUWb4$MQ`kuPWJojz$Ted3phYvV< zl-9`g?_4wAYr2u$Y_szRueH92Y1w<)a1WFA^+dJ1(J%UP?zr-&ZeH+~edBM7U%4jp z4!c~7TgWZB$Mt*aFUH*3j<@G8{FY%CHuxpA+CE9|_5RCCdwOr(INxn4xNVuk@gw(2 zB>u5$Z%$CVyYod~j*bI=YO&$1IGNqvi}&+LX(uXWXJ2ULvDu}6**9}_-`lkpZ?mym zCv6c9`+gwi+V{oYlJZWo+ml{vl=L0D$0;$dW9ntY$$4JoDUXG!G-iii{C4%mUdF%D z7k9`CNA8}km-4~j?(~<Fw|o`ZHsAd{t4xVu74K@*XPf>R#-6IM$*t>Ln}6{qU$uSm z5ALvkhkSNT)-nC|`SQfxl`j_N`1#*9PPpz=Z)UP;#)(^pN)($_U+(jnzIg4^jeEJO zRzFJ6?YVaBRojfyzn+z7KF-Z7w$5RF@uq3-UIU(uMG9$`Z0Ec?actw405kt(Z-X~f ztNlt}SjechsZ28V!~>~a`j_Wy%RBaM?u)Gj1@><I311D*r2duMHRYrCmY+u3?m0a7 zeY3ay;qQo_BE~Q4T+36E9r#l}o8OX4`Y!+FziaZRrN`^)gd%58(@QZBzB~P;%obDi zZO@(a>tuAAE-s3Q<tmOWe-U(S_2p&VYfCRi@>feI@8AsEcF1R!^#$3RH$Z8@Zp&W% zGJdE32_}6<T&^YUns6|)B>vWVUE^=xSF8S=^zP)7<Xx8zC)w<ZPx>F7Q`3G;|HhPi z@g?DIlXa}W-B0)F`WX^2Tk7;n!x@ZcZyHSXo0@mJAhAkev+B!N7H@RgzdkM8!F6o) zqP=F@mh4x&JNe|Zl2mS;ZLa0kZ`g0#U|lOP`;zs9cc)$y+_GT$oV>A2W}13(0oSbb zM6J2pFShaMFJ?E|-L@{Sd#=oD^T!|jdZIJz8+2dVyM0gj#1mU7);;|~t>n+6GPP{4 zn<I~>`7e{d^v>O4!rA_pRs6mF8&sS9U!F?8F|GIf%U9wzrhcs0^-c9#%B|&h*B2<v z7Jl*TddA--S;@a%xA!M%PF9Tl$-LYA)6dB-)Yd$^G4<owlDA@SPJRiywU?KDQ>At6 ze&$~5jjGLJu{*hMZz?p8-OIk)Xy5d8ciU}e-t*th|G>eFd)Ge&DgH!nHvY>~-8bk; z_g}hte1rDm<1eG6tBq=#%H|(w%&~L5KHu%JWX{P4k-I+0Y)f7296tZ}x}Nw2+4@QT z%k(e4J8d!HZ1{^`t20VAsy1JLaq9JrX}#tzUd_HS^<#9&+uS!NzsTOwEmY8EoA1x1 zcIeuX44<yACk^I`-F^AQFrsr~A=5GSr0*(U{14t+DWPUzA-mZ4qJ{M2;ti9M%H+cI z5Bzaj6WeoC^+jCQ+|wKCSf_<26_|dTePf4M`}M>c=2_;6vlbU>KQr3V`|e)j#kmpL ziJ$YnymNdUmvg888uN{*@0?5G&E%J9_a`b!_g~(tZcr(7clm~|JipE-J+<ta@BW+h z&B<S<zXWWHTey0CqWiJ+mz&KEtGQq2C+|M;A$HBa_LuB0YC4!DzhrzC&N+SSd5Pv_ z{fx!^J9+jk+Wh{a^n-wB_80#3XZ&kv<*8q${raQjI;UN7cPC!_wPi2kG^3jn%FGV! z%gOWkJ$u1UO);Z2m#?MWn0WEomc6XgjBZXU+kU7qC(rl#cE`iVr}1oCs(m{#+HBkM z)z%5&$E+`Hox4H(^4v`G1C2TFoUWI<CY$G+EGWNqi`m-f*5qy94+W_yzJ1eMJM*n` z_3uXk&wgM0_b)@Pp*2UwBV78%)Q^rOZxh~}{33A6R)l?1r9`Z~Ot10=)#i?MGM%|M zExOjp^~OrdJ74cRI`2&1;=PXxH9pVy=I`*?MJJ^KRNl)<&UL!IJV|r%<qY+Mjc5Fq zHydv#QrnjLSZ7z2*)$`|_Of$F_nis4WzFAiWIz9#zQbpyoYTi#OTH$(IrT$m*R(|c zWz#RcOSPDA_VmkNq8Txrtvu@&tzErQd9hk<eDhMDbxY^I-k`tvb>@3EnNxq<Ztdqh zD-*pvvA{a)-GOa7@0V8dC;c~+v1vWbC$)aTPqr|xEp|s<EzhX$JbL|xT*7nlH~$)+ z?!Pg6%6au~%a0r^v6v&+d-K8^>CHbk>@;}Rot&+yGwt}dQyFXfR@$A<TIYNG^`n6K z>A5)`-@jH~%Q3E6aDH>!?F+WG^RC`+eD~_}ntOUB(eu+wzb%RWWwUy(zN}nF7_+sJ zRmV5}<Lm6+zmoOc{<Sh(eOdIcJ*#WE?p}EwyVm$c$o%rsV5`f|W3|OEciO$rit%#~ zf8;Q~oOk`w>R)?S*9+gh`aJgW?UzCG%h%p_F8@*)zI_3A?Y*tvH0HkkyzZ*{OP~4W zYi-=C^&ba3TYur->Ws3D6CP%7`MOKz;$Mcn{u}J%{;oHaNch2R_V2)p_#XAApJz?g zyf}+*weh0d#9Y0SXU^q`U&N083VNa6fAEw=glv2E;*E^6W+$b3Z`pSAm0!mDwygql z|6a6}*xjC7Y4R=U&HScj<AMr3De;Asl40xIURSNT*Yi%+V!~PLmsQ-={0Tt|J}Z1n zeOkC{LgMUg^BlfM-aY-s^49AGKZUlXd@zcwWWBxVqgL$Or0`?wFaEq8QP18h6us%s zgg*O2w~pVK{7ih;^n(?<KB-#We-XFr{-t;28Gkx<eyLw{cK*f*5B0Zv)h<i<B7OG{ z_ul=58lUT5yi=+1Go2;!_T+{s$F7v<{mslMj(QWa!O%7={lL1O^d;HGiT=xsFTc}~ zIM+DUa-*(v@x_}pFV6Kd-;8N^r_<Eyx^b68+0zYf=F<=Tx|E^Q{Pw9~45Kc)wNcc> zZQ+N4)U+?3(~?-z_-t0hi2_}#uNNZae;eIy&@(@n5`6b+sruyHuV3GODV>??Uv2#$ z;F<NMe||IGHLk5SxFN3nJ25(pZy~#TQkh7V`{RHx{{_}YRui}RAF5hZJ#)U_@AQNk zy<3{oj4b=}Vtf9nW~4=&xHq+=NYpAkIX*1!!d{;IC2MD2*z5b<X!qQ2?+zTZ&A8hw zD|y%5T;Az@qRgp3g1dgRxcM*oD>Cg;#k_M%|1;(8>)5*PUFs*Pw|n{yT4zj)IPoFY z>MzIK{*C&Z<?jAtI{Y!Cwo}&fN87o5uJ5g7PQ5z6Yx+g~Eq}GI{lBvJ%>PAy_Z!Ze zao+Ow<OI#ho-eOWdEwvs>`KImxK_Vq?&)lAPIoP>mOSScy?L>9|GU(%rF$zkZWM^( zPIh-QyBou_SG7>%b74t&WY09`>PnrZ8@c9s*N5JU;myu|8K;(g`J9zRPQ$adh!X|M zR(E;kp53UwS@*@Z{)gK#a@%DsZ_GT$^rh_J!Zq)fR!g>S*=v4{|H9rg{7e3_9cy1$ zYcb8pvMEot`QOG2x%SpKb6wQc7Z-DVOMU8d_w)yyTUOF{KbPun=FWKUloQi+E%nC4 zi%&~7ciuC#nDTRL$#=D$qAmHyf~3kX?feqGrBeLbyX$*><QB|jYIeU^!^16U=eOK{ z@n@cIso!+&)(IY-exXXzy6A(|+`WzWW?M|T`n^Pd@%PN%%xmfwRnM&V`aM7Kj{9$I zom8X7yxRVM3}@vxOxPR$@`-s#+l}c{>r3<x*Jqx7Q2gm#%8ltapKLK_HCyJG9rfl! zhSe@h<tpQc0ndyt-@R!#i}|hP#wo{YO7#Bz%q(VHqr0ejrjD0&cVd8Zv8GPS4wJd- z8v2@RXRh_w9)7r>bW6;e69=tIw&_*9ei#sDer<1$*}}KJ8}}`Jm%2~%ZGP{^ZyE0! zmim+}iQc_vzx1r#$%@t0mrvTgc+*`QY3cFZ$Y#biK1cIQd(ZSO*}Hf{p-q%wSxO1h zT^rH8mkTsLx4fIzd(kpue)m!ny+y?@<9%jNPk769_w*C5TRXX$UticG$1Qo!Vfpq2 z#oFIe%cSq_<37Cm;x2aUqJRrGn_t+rI7jBbj&t+B@NIHLz2A4E_ZR+sme}9)ZFR({ zCEnZbIsMNyxqr~<o85xR{1<<6{ND6w=eyJ&thv4J-?Q(Yej|PBx0tm3qOZbbDPN55 z{*m2lU!d{X{^hzr+28-`7k6HmC*hqrqeSnoW@fS0n!oPfjaFVb_eJ7N)3Y@ZCoU|y z3>sbiZ8W<*Pru<|Q-*GftYt*cIWhNqPMK4$jCM`GxM|B?nQP&f_MQn}_BYfZj`^+W z#wjPGN=`f1*P56gaQbGpbh4Gz?8Nx6Z5Q|Q)ZV%!c6V-x{^q%v`Uj55WxVZ_m3-@J z{@pphUgp#vhF#ShZtaU|C8k}nn00PxJx{J&_g3Lj{mGLvo~Q8mxqs%-NvTkqyX?iB z_S%_q{kQ8MG;n?$^yb9D(2_FKDqopXuX=Y)zv#PVZt~x<yQlx~-P$kwxccHge(#xg z{I|=w{TDQmKkD>t-jd17FH}nX-t?*IUFr{|+`TRDvUg3t`FhKDHZ!j+_m1}U+*>?b ze)09g3(wdu+zlGseQP)E(vQA#OaI&E@@@Fm{Vw&B_S-*g2jesT^|tc-UwGN`nd!gx z{OLh&PUjfyvK4I$UTn%+_9UUoYBu9u$wH0Qn_tGcZNB_XCSv`oxM!a){i~7C@7dP7 z)JJS#wD%%=zOzzdo3_i$)#*RSbz}O}&{F-wS2MCpORitrd*<50y`>vBDn>~sy1PkV zK701!ZKigk`;*?~bzdyY$nRfjQns-8<$JH$yAyS<?DhG!Y;LWAg}_-hF(aD}KYq}- z@oAk!w~r@P$V44acIWe3wmJBMwP^dMs%h_1OU!cTH-F_W(f`by`Cq9=-}!fuPHGYB z-Cdv|<vo1dH&4HOl2(#;aNn9OCHjYVXS~iUk-xC_4A-q{0qOpQUoFZ~t}L5<e!+Jg zzSMs*cWXHpPrq2l>YcgA^ZBLvZnOKxZvEah{UrOA&x&mG7yXnJGx{^fZ@*JI)3?-b zrg!BMelSPbC%N<0E#9nHwf}KI*#E10dwPBztJ}X({m`!`7E^wTY{^fu(Y<v!QFHSD z3u|Xym@j!Ya>InZmM>ELN}e6sx8}_HYDqnZXzoS3SG-HzCh=CcuXpK%C@E{BsJ1+- z7i&A5XRdcC4?ljwwVGFF=|;J^-uD-u{km~m=eyKRns2Wd-rzdBcH@MHW+l5o<Jj?O z))(L2HMk?Y)^>w3`|k@k_q}-A?i^_w@!iO(WgGv|UlVst{~5TY-sG6!E%gM=$-*zM zWxi0)nAg|(X0EgP^F@=B;=}Z=?d8#5xR&RxcB%g6)fw-za$@?g@!pttvA5)N*q6M+ z3(w>)-(8+u!hh>~l4fuFi<n>hm!By|R6Bh)s+?3d@6dwgXP+ZZd|<Vz6`ia1E%lq! z-8$*R<rlOzDznR9y6OI+w#Pa0uhVxUDgWE?Nq?p9p8gYk>p$P)`4|34de8jhwEdsM ze}9wzhn&8t&8mO%eRfJxiQ2(^YkWM#jplT1TjsWXQT0q;|7zoe<ghI|Z%$;G?Xonl z`urf^S?I3a3U1sB_Zm#Q6w!2U>3)^mzQeaR?V5hFXv=0BHtoebxx|cOrutoXN!NXI zIwyD6Ub{Bw1zQ!%o-FW7pS^IqC12{*>w9~)Eq(iT!@kY$QsplE)024L`7JKul**Fm z-;3)RX0azJR<~a|nfOAcuQt-s?Yoi9v~7LP-&O9Oev@*`npHY|L8)3<N{QHA8~(kY z3pGA-zsPI5xHKcaZK=tu61~6K8K0xp++9*V^U0QCW47`ImFi+fITv5nzPQt0JJZ%- zyZpfqfm`Gb_sQ6}ru#4c&cm1b)%EV_7pk}FBww$89rrB%!oS%P`@6qYN1S?6yX&g+ ze_<2*qfX!UEtza*6`vR%w(t609`-F?nRo4f6_>XE;ynTB`o&-Q%Tm7R-<>n%c~s@( zhXKzfU%tE2a2DI!%#Bly^_1xSotaUbw&v`*>X~O8t&5Wk^k;oeR6P9i<rTMd!8fOK z0(aT+9hQpnPITv+wtTbbh2jE@)vI5|xvjput@~?fiT>x(%zE1%bEoQLozzX7cW*KM z)xCI!i~Hv7KEG}5>FjS#pIWzT`op{}Tdj9hzm7}$ec|4|8yO2q1<F!N4DQ-6?d51U zvY+%$uKnW2jN=hrk3+1c|I6GmGvRH{-P2EGZdr;qb6?!U!7Ul*vwZsEV%Be|WvX}g zi5-4@VV9hBQGxN?yG{4jT1>gRyF`ES?#$nEYs7a=|Jl6dzreBn#dnVdsrg@+n{H4Q zR(}4a-?8-<wuWz1zpVFmPjl_esawA5@!1_q<9|JW!AH$!wO{r-6*Gw${b*eGrz7{~ zkIrrX4)pc>Tk>}Q2J4Sa$^ZWe`8RF#+^9ZzWoEk6o6|W_x8`!PZ?ZIwozL1{oMb2d zt9Y>`|2DBB35siUdU7T8Jfk0PP+lw*8_T%csO-h9u84Jgo!#f8ZcJb4zWeoK2Q%{p zd(ReVd_J35&uy~p@HC!n%eAc&Hfp!+Ubxk|EG0R9*Dm?8)IIjO@?A@P_!iGSys?~T z+3`iyGJGjzTyN)gInSK$cKy8L<CvV23QNy=Z<vti7Ck-bcUF$c#wy;j)G3$0osBs4 zL~K`?__vfQ^SAe!|FRoabL?#|)R^sm>Fe?f6;jcc>L;4TA98BbzdY4^qpo!R#jD#l zer9x&U-VaSn$f?eb-l;u`RrdZ_x%R_&F?ew8=B)c{*=Dfp7E#e=l&PcHq$T6;oyGh z>&c%|thLL|)J=4aUw^FRd57nllkPeto8_D=%Cnlso*nwevsm%&>4d~xNsBkPyi47s z^0x1ALF5{p_S~Bh^Uj62m5a)pc($q}-8W}V>(#9rwcB4`KFhmdpQ4oX!o8AZse3GA zZ!^`(_vUU?ZGQVAC4CL+jma<dN;ayqRVS(*+4knW|8n*P)e3w|N@u)FeO$1silyrK zi#W6XrLT`CmI#IUA6wVszwop1hB`H=^hEDz&o6%b8L^w8`qG||??yX%*2VPs1%Ef% z+g7&z=m)hmPi{=>o&E9+U&;F;jXB$1oU6UDLw+@XLO7rN!rA>ve+^CK+<#}jIrT$w z*EgMC`injafx0Mj_w;Qw-=Kck{N<xqo_mK+{k}2nuiV_argzINCWOU*-}F&5c5cJH z@4Kd-WsLpLxHx{}FX^&Wn<bz3Z~VtE^*_mb+GM>m`{jMwww~Om&K`VWZE4B&ef#z^ z@|n4mC%?&Q`f4?8cH#!sZ`+R?yJm5R?Q!nKJ93w0FWlmsZM}Fe_qH<E^zxkdjrZbi z@Ls&nUi~|1hdm$PffbF%4&Ja3S$lYc_T7$mr=LwMc`Bik@;G8wxs96PF7<@hDqsAM zozj$;-&WRS8)-jT?$ou&lCLpuPFO5uf1X^%cuoJvx1$nqO;>p?pT4kDhTUjKm)ZJb z+t$Q-PdD1zXO?#)hRyuq-Pwk_ShKe$eJ=a*&f&3N&Yjk4cW+F6)?A`^xV_}NL0QTd zj$He$r9IkJd9T0Rm~@V(BtFgf(mfxGDL>C_vF8p;JKEQyb88;2_slbX*2M|&X~mcB z#aK*PyYpp&+?tS$y3(I7UH!R1`|;10x0r5Be%Z8Tw*X&CapJDL#Tz-crEJiMjpVt# zX`@AKER*+49Z&1W8}v6zz13}Xo~iHq`}BgHI$}mKecPrT@9PO$kjq|e6g#mj{7_@g zI-l#|j>(}pClgF}ZL->ydU)yT?1b=R*_XC%-JpJXYi7Bu%!y~aN@{IQY;{t0*yZLn z$V%pV+?Gz%oZOq4F8t<nPVlYOj{}~~zIgCiMs8!QWWMM1ZHMOhY+trFw?LzK{<rO} z#nw70J8W~`Hpoi8^|)SkXr52`vbzlIn|5-?-WTn?yFs=2?#okhH>UO4W>)L#qzYX+ zckjmZn|52a>+_}VlYjfZ{~2S%i4P99vJ%|g*e_l^yixnH@{6dJdxa79oPSxGHyhf> zOHWTIVLf&|p-6ez_M~f0zwOr8ww!zX!mjt;=ZJdNzvc!ShJOU4=O=wpopnFqDf^bX zqp#*?NO$-At#-*Z^7#^zlbmHWON_lrugrq^?&ac*e<L%C`FNfk3p#&v-Fg4=FEKgS zmvP&Q@7~5T_w~*jSC_u@Ie%17)+$nP?$izX)>V1icB{qff4TGKR#nNm=V{^Qi;Qi} zcW;uM`(o$KW7kTuqfN?>RHVzi+Ih40?#q4iX3M_uonDn!_LpJT;^%3*+b=X9zG%&L zyDD#6vCZ8}#hc$wez|Pk?A*D2%d7IXRr1L$kBI4csG0%lnJwK?D>=<*=N!K;civv( zEh*&Q_WsDZy*FwFP9NX!UF4g!MTO+!>xPx=m-!8Tv&?>M_+KiTS*PycH~AYs#dlBs zH0ODO7tiv;$9g0pCC;~=teK(LbMw)S7_QGxH*PiBrC0Sjp_ciJ`OyS#p6y4E`ANKO zE9^M=Z-!jYT4jTd4Mi&3Qvb44X?zyW{IAj@yv6@`kXrhMwZ#|xFWT^POUAl{>mOU! zqrWg)@>@!k=G(Y7=1kL<+j3%@!`HjMSG#*U&F^~tu@`)6?sdjWZh!H|Zq5DvW6U=u z^|oie7JPH!Mc}PE9`Bhpe%85fPM_LbqImdu#_HyGr*}=islDa9mf1a**Sc>`yvV&( z$zN?$JHO2SKx0mw$8~$B$H_S-KNVa3W6FNKQT=RwiGFkb%Twhq{w%4U`Ojzf{)8Xw zVgHV7%lYGaz31q$f8Q5OIq9;+*zjAb8RuKyF6Wv4Zsp4lWn^txelSSN_`=RF=}XSe zys)`I<MYjo`m)+uyED(PUl(^McGOAPA&{%vBb(W?c=hR|@MEVhZhdMP$J)I0LX0%G z<T~f&(hD{#eoNgZc=sO9;j<TSF<BQqxO{Wli`;hSNZu0t#jzRH;cMP6t)AJwWxx3` z_64;H(~L4NtUY|eUgoUwh6#I<Up$E@={vk{&73vWlJlIRmoKVb_Aa$d?Csp%-p?0z zF<2YznvfUQbuZOo%Fm}I+dD6sX2f?dH7T;1{_pITO73g#uI%-R+EOge_I>eB1~DU@ z7heKP<PPsUBe(pvfASBWsPjqgd~r)QPrpzt*}myl+q={+YPtJczkV;#|NK4kKXZ@0 z<L_Xd)K8LkUoHMGI!k!NguU-yrZk-6zcGF4{Sy7din)LL-r4V(eslkpbRD~IzkQR9 zwx}KN>shv7_T>fUx_qg2vUleSE}nT|o}_o?8OP_B=1(?ze&|-suIVTLY}w4f*1ce- zhL}-Ik6-o+oz~i!y1v`P4_t7}c71c=U{=XCt13Od)Y90yr!#DCecP~a<Ga*-oNx2H zK2FQXZ(ZuMZCUi~#rv6OStlu0@4j?0@5P(G+Q^&k-;M4}-}cS<yTaYmZxU`<vq%d@ zxhJ{1xnDf{_+odV#%JLd?^a68Z7XY9YI1I2@yq$%vo){jUE1rTw`{Jr!49Ug+8ZZ4 z3@xdWwYr`hpJsmHZM4B2(Y3cXD6^Meym|M<-Dc;=+JNsyRUO;pk5xr~H>z*?Hvh;E z%PrOsr=C>q`pWfd{i2&koxa^$G<o~QO7`EIJ}rEg`a>|cw&&gRUDI!hZ!wpXj$izh zw=Ct0?%h9vd*>Hue4hXE-Fu0@jo;=+cqJ>%`#j&fKIzsRmTa|YMl&asEj#qUJ|k?y zgoj^BthlW<C&j0U-JQpMc<04g?AApa80PAB+;g&+a`kAb{$kaPYR@(Li>qhqd;dP2 zxJT%gcCzN=&==RFUaaqY78P+~p1b<%#hV$wr7m4pEt%&QJ$v!)-gl|bF5laB;|Ay2 zxf_)iH{`u*yf@Ec%Fnoxa{Hcd?!OsymKJKv_5N>g%SI;K{dJt$@eAiRO3dka<{5F~ zLyDCxXues0v+>Kau7{NwbNgj2ceI={`l5Gq;hMO$)sm)}zopjPUr{~tzRPdRW7`+k zvQIPGIXUlc_rKbVd;P6%Y<<-G7kt+Emikol?&%Mix2o9gikIkb7SDXInzN_v+Upw= zFG`nec6lEwbLx-nuHS}kwp;8E21&(V+WF=8mY<^6_FdlVvv2uaMz;M6erk&u{pt1l z@AN$X&FMGtyKGNRO8!4j*stqa%8iN5D_`VfeOY#J;hJ*`swK~Q+%`_EHM@JdrtH>y z=EqvMJ|}5T{`unCp%=F^^qN}V=z6L@zOXVeK5W|cy*%LyblLBQmg;Z5nvq|cv!?f& z)s2Z4ca;=7e=$3>@QnGg-LsRou-=MJ((HZvBIeia%g^{CZo7Urx;eG1?687MalTH< z4*t1v9e&KejjTKJ<og~9X1Ly%*qr?Gjm4L~;|tf!TU0GM*X#E3#J@UsPyfleWzYVY z`@%i}@0l?!+x49P7n!U-;Ph?X!pYeeck=z-RJ8eBY6W-h-L5?E??$`3zr8zlj5$N} z#>9)wC7%ty$Q@sJ=61<<$)0(xzb$o^el(iv{a^G}jc9iMt2nj%i|1}j?CF2T9&zG> zv(;abx#u_NZ$AI>TeyUu!|UufCk{58t8;koE_3Qtz;~lxbGQ9J@N56B={pVc-h7v? zSL#uBujbN8O}ex;^WuEIv;PwmtBo(7JoDmAQ*GqT;O|Cry0<-ZE3dnII*0LAw19N? zqOB5TDO)V=#&GRjTBz|k^~Jj8i(MJ(Tb7!{SWW*YwPmN;wQHC6`q<oxlyCOEa7Tn& z^4iN1?UHTB_MO?b@V0fr4&^B8M0dWj#hdS5yv^LcY1jI9saxc8-}irQF4h0+obf-P zr{CqbhE8gc$=$At_KatRH%{1l`Gtz(^O85GPwm__J<%)r`NHar?^4S+-=^N!A+&b& z2Ia-8Uq1W#;%<ZU%=;eY`A1)vZOK0#B=!Bm&M)tmp8dVy+k|(ipA6pGG#wPqu<LB) znZM{VpVj%~_%!_sZ^I4WEcz-{_T)jXRW<uwq4rI`CcaDkVwn4V!@t&dsdn?e?LYEE zddvQ!L2CCeuAP73zvS8Y4HNd-zj)$b^6${TH9j%YzyB_q@K9xosphWWr1-Spi}$YF zSh2v=wk*Yj`R*K1TkdwF`MvMX^<K2e2;Vs6m{W<~-`32_$8HJjntpQ97Gu8V)Qd5E z+>(0!%e5D8=K7YpP3vx)@Zqf&qNJ^hHrUP0ZMfHFG3Dyn68*(zGpo7R{N6SF=e8~T zWsg}emQB!{9Q)$hych3V(>hw;y!BUSUr-F1Ofa~6`a{7jE2g{2CHk9_Gv8a}%xSrH z^2WrAnkAokzMMO<@XYx|yFu#;Ziy#o_IkgJ`8ECGv!@Z+uj16MUpTi_;!aCiUn@`k zvdh*Nb_)MC%D(s(wAx@T`vzt9{tGu3zp!m{j;xLPZdBE_ZT`_;-Mgm$^xpE{=-B$j zf7zxPRZh;^+x@RPV_$#ko3AgP2$$F$-M8jTss7>h8QDy`?!S&pW4`s9QF{NPuhL~H zCQD}5FaFNYm-<it?%a}<8}HXII(cE9jCZDw^Ycsdr<pB3e5+^I^pieYjE&hgFScYC zGnzBm@40Kb-J8=nb-QwtcW^{?C%N+-yBNv4cWHsfYV8+sZhX1xJHB2m(f@ok^ZwBa z*)7+P2C2QixVHDgeTlQa8z$`4eUai_vhC2mH9706CEqzjTQAzZ`d#Wa>9=qDdiP$u z#cpkMYkHp91#3{he8xMu#=w?u6C=DHS6EH2^O>!l@HXV`=_e+)JPj<Q&lYc(@Nj2I zm7<k+VtktT<+q^K4{NnIDzjg|bhGqDY`=5lUf1tNyQXf-Km03p*Yuxvx770=W4*<n zq&d0$#kI#TwqLAa;=Wlu&2OIb^5)veO4sj3HB-0kda-Y5^~}A#)$bD?GjFjw(${0R zX!iVt-!1u4|FPbk+i>xEMt$>Ale#6vFaNvDwoiUre)sef{#!qVnUh~`ydQt2bHjv( zM@p<%t<=7ynyKEM$9MSug3yC~GG~0!H!m>P=1VQryL&n#@76r_*W54So^fCL=Om%u z_U%!`sT9s#TTOm}I}e+-E6rWga_-cP=~GQh^beb6X6u&N-aVaDd+RoX^z22U$NPHB z7SE1eyq%XX_1)#WvWB-f-_G4Q<(OQF-e23yV*NF5msihx<8RHLP{1*(JxTHK;TK;t zO8SoNJJYxDwt7N^Qj~h4JD-r1wd`Kw0*$%FFZZ1Zx;wYM*?Ysx#J@o%dWZLP{xi*p z>u%-ATc*7|A=)f&>Dt{Jl^0iM{EmBL*YfdjL^VsbQDs-zykq;$%v*SOdV#`h{mWm& z3x23Q%U}54Y}WgP*Umh4hfW>8G3{Z+tzSacMz1bkeZNurF=Om+#?$u=Bo}?Q{+62c z;htT&lFW%`N4A)&@}=5|zV+`t#&cuR!Jd*-HJ!BM1$<kc9qG$C;~y@5U|o;+lD9`U zPB|&GWwXk+X-7*eOQs)hJQKEb@6`g0&sSgm+Lf`UU01SV3w+-B9-FjX*!H9AdYEqA zWA&c-#_RgGWAl8zFT7j3v0fmJeQ`MlU+QO#U3Df>#}mANsb73{GUCJskzGY%+fpCr z?5g4`(>wA)X^Z}`pkv{ewyxfwetC7~bGJ9A->BU>U0A?w_Wno}ckgb4dWOGDXW2JS zaGbr)rZM+s#iVWXj`#J%EqE)wu|l@le8VTTZK?lbt!nx2elJv5>tCWTJ^#|x^A}#f zjx*c8=(VuHFS*ssZ%+MSxB4&odjCShBhz&LFMC|S;hX-qC6+fgCIA0@f6~DxC8=B` z%MQ--S-$k{OM^Lr+Qx~|W@*bd-@G`NnSGO``rVo0(w`Gc>)xDN=xZ*1@YkXYowhRG z-9~X;>vVhnab;NCm~^nKWRujju;cT5Vs}NbH<xbQB)2WKUfJrd#9iM4g|)h+`qI%C zudcm#JMR0Yoy@WK1sBI|+$CL>y2pC%``)j$7jB40Z_2sw(J{hWqWV%#iGH*4%Ts|b zWY$;DJhr8pscm`EQ<I$2Zcgdxi>vwgQa;D*DpoYpJM`-4jj4xEzkDVdv0tcn^@V+W zyN&kETX(nNpKivTE?vnxZtCTUZ}Z-q{35qY!=OSyn?Eu7oBZ<2X1n|!I7FR)8E0ly z^0o3y+{L{)`@F92me|ua_xr|=jA8ai*7evg%9ek8!~V+u&&#j<-m`woy6;oNzWh6J zFllpdY-Ck^{H}Lzl4CFb-dMAjUHd}y2B*F3s~3JxaINAG`(yNf=aW*O`v>1J=hQX* ztSI>+rxX7E;HNKgYj)jhe)`%rqVZm{=quX@-o5PFm#Q};b$=I9Enc|vdqU_}Ii2YD z2UqDH{Vuzg{q*1e?+@PUS^u5?z_*<lFKqqhPXF@t`^L+M--$=~9k}=X#(zon{f|G$ zn|!&q<jS1lH}CV!bj{Ka)P&`n?L4~YMjVHAcjET8r$slSIi*)8FZr%^^!4SFYBTa0 zXZD|!HM}nxU7fg5ejERxUH4``dA--O@!o8aS9?8q_nK>7s-FCa`{#Mp=}A?hY1b2t zkAHhB@#3$?%j93bj5A)>y8LS|`D7^;pB(!B=SqL0>o@M7uh4pPzVBMi3*Dwb-fF$D zFL6@w@8=tSoj0@Vo_O%(-$|F6cjmv?YjAePyLoMG_nrq`u8wBfJ70D0y!YGlj~=`m zarJlbt15r5I}cy44d3|PEbNP%aQJ)e%olrA&d&c)_nqJ5i`~RqQ;Pfl)^dEQn@|$A z%hsGv?8vHn_XDq2TRockvnt#7&Dws^z3zv<zHGbKeeurZcklY!?xhD_uGVJSJ73AR z`Th3uhaKBO_u4O7_ub`4`G>F9YHw7tXjk<&ul{ao@+GeA+3uSCx1F8e2d{X!m$UI+ z|Ac>Mzu%sG&iLE+R0Gqn^drCCNSyE1m9BogVbOPv9aZrT&hJ?>@{WAp9lc=T^Pkth z-1+Bl{<*(&&Hh>zzP`h&=FN}4Ui|4X_s^==MQ>ueMQxAYyZZT+bd_H1=fZvWYsGkN z{r4?<evG}!uI88I{e1re2l*qee!l(MZEAhqvIEyjZp@a{E?&5s!A$J%vr9K(1$#|5 zJWJf5xhy)l+Fj;N-_^ex>Nt+MC%$HRqZ;9P@#^PY(%~1+N^hu^ma3Xp_BPR~Lg@1I zjdzb8w2t^I@%pjhKe1^0<oEt-d=3@I-CeoC(AG@%&AH~bdCy}m7tdz4oo^Z2`hNTM zLkHRZukZQYJ9Y7@!xnWt*LQ48Sbp?NUi6B~NsonA^@Xj|4v_r$+$lry$c<K(nNrHy zERs6Qq@_<*Y%N^6R5IX%+5%Zc-EK|;p@VO^Q?@uxzoq8xRkG4lj_Fb_uleGQyG*&% z>g4Y~sQrA>a{u1^Z~Jyx?tktW|M%p?s!5k;{C=cX67S}3du-vaF5$02&#h<PuD-a! z-y$HtU`^eFH=NI&UXHMB-uJ6o`RkWu?mP7R7FA2yetpsQ>a_2b?%Def-r3h2=e5}G z_5<5F$zLz0Wm`;to*=ql{l6O?wi{nQy(2Dtv6jvD>yEZp*}hj!&$@r^PT9p3{-y!> zS!?R9ys>-sv^m1IXWuVx<*!qg{obkXRkGj7-*(Z$Urxebo1U#V+OB?K#d+g^{PZ<- z=hnEz$L*9@o%&+^ArIS`FRjiUttxrGc)8r^fZC~@RhpmAo_YKH!U}(*fc%s-btiJH zKNrcZe!pP-zhfS@y)Wh7b=SU-bN09GTKG#y_^Z-0_L;ZuF9@8UxZvKQh`%j2nLd9~ z3H$F<-zK-g!?y8d(7B^UC3aP>K5}2ZK7GY>{rd;*#C5sVRy9_APWt*dHG0N$*)@62 z@qbGvR=v6;dq;ftg<5gjuP0hxb$egoo-Tgot^dUp=hrU1XSTR*+r#{s>aU`+SA?Gp zz4P5aaf#iQSyksx8NY~swd%M|K>W=o%y*s_-v~QDW4YbNz$3L)vSwGA!|tA`+#s6# zwfySU%c<|5?JKu$dv$%5-JzWt8y3gu#QzO{+<Yh9eZ$gwT8ryeJ$!vf{nhNO72#PS zi{Gd2l91kfBkX-}zV7pfR&&-I-&Hj;>Q(%!Rq2@mhVuExX8oEt>y_iH74KKnvwyx= zHs@TjbFEA6SH7>m?(lzqkRpHWD)*J3|Nh~&_RY*^j<auERyS{1RfW~7+b`wQ?V=96 z^vqeozkKbz+4m;Q6L>r^`TYK_#p@T(J^pd~#kYq}+D6>%zs!C?V}5+F-Th#@{p)J} zJy5S%cccIC{KQHIcjYbZg@2fK-GBH*B254B7t=R$53D)#&-2Xx&SvwCGZgvnMmOd@ zeZ>6qs_@g(vrjd%pDH$=`kZg-^E}P-vYO}bhRw4Mo40$hP5C05?Sb=lFSFUc+@?IX zGB3XJUE8NSji2uLKHcg3bZ7LbV)s+UyQL~GpL`jid-SD_)YF~Wr;4jj6<?qF{M*#$ zd1pTUe88lmf9T@UjP(aTs+C-Sw50bcuf<=RS$x;>T>UHGB}k{wepPJCGv)BR_YZAu zwO>BIVPQ@2H<{<31j5`mtlg9Up5^(&_`)Kt#pM$xdryCmA+|fa<iF#Y>x%>9uEw6Z zxm)OPwn5-Ill>Dn|5cKD>dgGqA^EB8=6oal_lI7|eVKZ^XUc9L`G@-|WbOvP&=i-{ z`aeVC`HcMs?(A!E`+3B{@<>GG;WzKkoUTtcbzXPeo_DdaL2TpEtP<WYQ%-YF-S0hR z^J)F$y!B3tYho72#H`s9{Y~{*YSUG-FH_ySr&xQxf41+3+%EPD!FoC&|Fi?oY0DdJ zKffr@PCI~4JM5m8^|3uQtgG!8ueS+Vawj<JgrvxOm+yIBrX^O0shyI1`n+hHyyN1U zfCVxEYxek;nSTDXIpS`^zE2hti_Bk!z3X1T@Hbo4siQ5Y_N$!Q`NTdU@B5-aJJkR_ z)v$Xizga(js$8S<>r}JLsoEv$3*-0ny~}^0IbCqy+`lheEW2ws)1%!N*SIW@aaps+ zxlHc!C#N-fj`5W(9y^=ASiS3(xa<2uQ(PeHzx~B|_5XCAm8na;ea?RCaR1i)`Mfix z`zL%g`E{$kf6MoIa*y^|G`-WPy5-HkwSHd4d7J<2#lP94-nO$Z-+Wy%`@VJb$(=FH zS1n)EODMmMT`pdzr{}(1(Dtos`>lGvEzjrNKXd0^pWE+~jo&6Dzm-nkJ#+f^#IFj! zZn5`m`99n3WbH=z*v@(1ik#oxSG%?O?EeFI_I0`a-q`ppA^Gj@wEX1#Yt|%gmG~Rk z6PCD3th@BZwZ+T#UI_R-zw?{T=Z>9sd)$7{Z2V@B{5CqR+(3VOvT6UmZ;HxqZI{)) z>$ZLIZQ=60M*@DgcYfpf%zWmpqsc9Po-OPW+4uFW&+Umh@Ji*^t>fKkH~Kxr6E}+7 zE&8%WTs-T)?!|f0cE|7JIV}FW#i2T5<F~V$UeBB!z2Vh@^|c#3s^eeIy(4aY;jNQ@ zb>zZt>xJG%KJ7Q!&MNiRo%L38*Vgw_WYgmIbzFUa@w#2mlD&(+=-&|!F3DfCeD8vQ z-}ar~YCaj?l;4oP_+p*k0^2zezs({~?D-=SHv7VLJD(+cr+?wTBffpXZ?39a-ke+O zCuN*Z*)h9v(cXz|-<<C>{QlQ(cqz`^_qX)Z^*i5Z$tz#Dcl3$>o#)&)uI+1CzPBUL z_x)<`4J&KgvUr~#+$oXGzi?eGbG796y}lAz{s~pe&lfez?tTBe>bU&*;`winOuKi# z_ukF+>Ye^y^6p*uy`y^C?|&S#tFFI$@psqz9rCirUox3}*>~rfby0rX_oWY&=I9*V zb+0mdwPpF&JnJ6<&p*ms`*QErmEBqH@0b70p4q?d_)D>z7qy$$mY=z2_O8ABQ40ID zujg)Ds!j@j-`3ndqhJ2$tb4y_Rb7Am;_tfu-p>{vzHzB~cID~*-An)7pZ#)eM&99& zXZ(fV<gfkhuZZuKbN}zTX5OJ9`?T{3e~NkLIe)gf<vb(WC+<i=&ppGE`Xi4-efpEN zAKYDg>(u}0PtDG3OI}lV!J&46+u!-$^q&39^SSa|a@GFJ!STy~+kJl2KI7d{kJ{P$ zewnUr`u$K-X77^szgO(5|2b{_-~WAkr~cU&-RL`0_qol=WR2gO=d4b>G3jUKuJ|SA zPybr}^WLQF0+EIKyTgmO&EBARxp&U-@WcYnW#Wtfs+8F`K4m+jf8-_WjVU*O&QX_l zk1v}&=k4(gikB_VJ^p-Q^4$KSK52`Z{m(XSpH}?C?#=w(t5P{9YfPW7?4S5%&-CJ* lT(6BRyFb71`I1_$n!cM!+9>wi&iLd1|33WBoX$HZ830BcDK-EA diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx deleted file mode 100644 index ee73ae3..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx +++ /dev/null @@ -1,12 +0,0 @@ - -{ - crc : 816042942383931012 , - ccp_crc : 0 , - cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl" , - buildDate : "May 22 2024" , - buildTime : "18:54:44" , - linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/audioProc_behav/xsimk\" \"xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , - aggregate_nets : - [ - ] -} \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti deleted file mode 100644 index c9eeb685619d9c4b65fc19f2ffcb611967383b18..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1191 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=&|?0vO*TW2fq_Aug@Hkffq{XUfnh;T zetKpy11kdrFfuSOurM$%7%&(zL@`8w1(g{XK=KQUlM{0ii{dl$N<dr&Mh1q4|NsBj zgR~ejFfa%(Ff0HGGB7hN0P6!uz%W>Vfq~)Q|NsBz{{R19lYxPOje%i7QEFli%rux9 z6xsr6a%NshYI<rBSS>aS<e|F$LoKj{>I1uoiD3Z)69WU74RR5P4OI_z5i%R(I#a0T zlFZyx23~MLVS33PstaZ#N*I7_1cf8WHW-Gg2ipj;#S3gO!-C)tmv|q4cTZ=q8$oVk zW?*38WME)W4^?MSk5Fe&H&AC#H&ka(k5Xq)4_9YU_fcn1_fTh0*Hs7m$s5U}P|A!_ zfJU~TV@PO_qYv0gAcw<Z+7TKwa7V|7xjKjV2Z0qbGAsbQ8{}gyXn2D(gD@=SVHSA$ zg}A!ALi8~pC8c(#Uy4f-OH$)YDhpD<MuUO{lt{T57#NC6JX3N~8H!7SOA?Dp7>Y}R zQWH}cic7+aGD|?hu0=)pMPTXt0ubexoXt>N;tQqx9FwzyOTaR|5H`dRkQhWK$oZ~N zXC##t2V-{$D3X#&i$n9W^YY8{7?Mhh-HK9EL9|n8aV0oj%%Fy(f*geD7m%M)i;6&w zU`Q=0ax6*$>xzZy3W*1Y0gCrQK@jff84@4t=o{eU$`BmlALPmq5ajRd8XWBD=N|9l z?;pV69~u%68o~fp=<4SJQw;K#1}H8V7#M<}bSacx2BptKX?Boe1_lNfC|wDqS3&77 gP}&~k2?hp+cqqL9N*{pI?x0{|U|{Hh(ubfl0GSNj5dZ)H diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype deleted file mode 100644 index 8240d0639442c8d02b45edeef15a77a5e7555de0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 140 zcmc~`fB+T-1_nk328RFt|NjTk42&QVW{@bD%fP_E1X9V^z`(#z4-<x|W@LmZ0y97= fL70(|m4Sf)WDp|{NHYTigEEx1g3^Id8bkpA#*7L@ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type deleted file mode 100644 index 8c8db32f0ebf00e5aaaf4ad67aab1d1b5f714419..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8344 zcmZ={U|?7v!@!`=z`(FVmVsf00s})@Voq@?LrGC-Dgy%>0|Ns$11ex-U|?WkU|;~z zJWwf+IuQRq6sQ}hGpHM?GjI^l50VEN!2&f8s{ManX%0hieg;EvNd-eH2<MkDq~;Ye zBqnDwB&FssBo#AclrZF^F_e`sq@^(=7cms)GZbesq~xSBq$C?Mq$C?Lq$C?Nq$Ha# z<RxY^6j$akq?RNxBq!!Eq~<admnJc!7AG^L6&En17Z)%T6&Em+78fw6E2uN5E2=Z7 zE2%T6E2}f8tEe-mtEw}otEn@ntE)4pYp64*YpOG-YpFA+YpXM;>!>rR>#8%T>!~xS z>#H-sysd7e&Y*6r&Y*6h&Y*6p&Y*6l&Y*6t&Y*6g&Y*6o&Y*6k&Y*6s&Y*6i&Y*6q z&Y*6m&Y*6u&Y<p~&Y<q7&Y<q3&Y<qB&Y<q1&Y<q9&Y<q5&Y<qD&Y<q0&Y<q8&Y<q4 z&Y<qC&Y<q2&Y<qA&Y<q6&Y<qE&Y&Kk&Y&Ks&Y&Ko&Y&Kw&Y&Km&Y&Ku&Y&Kq&Y&Ky z&Y&Kl&Y&Kt&Y&Kp&Y&Kx&Y&Kn&Y&Kv&Y&Kr&Y&Kz&Y+&4&Y+&C&Y+&8&Y+&G&Y+&6 z&Y+&E&Y+&A&Y+&I&Y+&5&Y+&D&Y+&9&Y+&H&Y+&7&Y+&F&Y+&B&Y+&J&Y)hP&Y)hX z&Y)hT&Y)hb&Y)hR&Y)hZ&Y)hV&Y)hd&Y)hQ&Y)hY&Y)hU&Y)hc&Y)hS&Y)ha&Y)hW z&XAIt!;oxfWC0>98Ilc+4M2n;h%f>X#vsB3M3{mIGZ0}8B0xHfK{`x8I!r)1Oh7tJ zKsro7I!r)1Oh7tJKsro7I!r)1OhGzKK{`x9I!r-2OhGzKK{`x9I!r-2OhGzKEg94o zs57W9RA*3Mq|TteSe-$Ai8_P&QgsIPW$Fy-%hegwSEw_nuT*DHU!~5VzFM6@eT_PU z`dW1c^>ykD>g&}R)HkRzsBctfP~W7^puSn1L4AulgZfr=2K8;~4C>p}8Ps>EGpO%W zXHegz&Y-?qok4w%I)nONbq4i)>I~}p)fv<es57V^RA*2>q|TszSe-%rh&qG%QFR9O zW9kg*$JH6sPpC7fpHyd1Kc&u~ep;PD{fs(;`dM`b^>gYB>gUxN)Gw$rs9#iPP`{+k zpnh4MLH&w4gZfo<2K8&|4C>d_8Psp6GpOHGXHdVT&Y*r<ok9JMI)nOMbq4i&>I~}l z)fv<us57WPRA*3sq|TuJSe-%ri8_P&Q*{RQXX*^<&(#^!U#K&vzf@;Xf2Gc#{#u<u z{f#<<`df7d^>^wF>hIMV)IX>*sDD&vQ2(UPp#E8%LH&z5gZfu>2K8_14C>$28PtEM zGpPSmXHfs8&Y=EVok9JNI)nOObq4i+>I~}t)fpJLu#_kNK?MjSq&$)U6(kG{3?eKL zz5olPtm0u|U|?ooVBlb3U;rs$VPRlk1?gjflyQHU85r0Z7#MyqGl0viugnY#oD2*M zpO_(bzGsG%gKwA_7(iv-OJ)WJUIqq+XUq%?d<+Z>kC_=5_!$@&9xyX72rw`(++k*5 z5M*FrxWUZ8AjH7HaD|zHL70Jo;Q})Qg9rly!x?4<22lnEh7-&T3}Orn3`dw57{nPE z7!EKqFi0>kFzjJwV31^BVA#pbz#zrIz_68>fkB#qfng&v1A`0$1H)Qo1_oIM28NZ) z3=DD%3=B(|85ral7#J2ZGcYJHFfhzzW?)ccU|^WZ%)p?;z`!t-nSnu>fq`KnGXsMP z0|P@ZGXsMv0|P@RGXsMf0|P@VGXsM<0|P@NGXsML0|P@XGXsMr0|P@PGXsMb0|P@T zGXsM*0|P@LGXsMT0|P@YGXsMz0|P@QGXsMj0|P@UGo%hlWM*J6U|?W~WoBS7WME*3 zWM*J6VqjnhWoBS7W?*0lWM*J6VPIhJWoBS7Wnf_NWM*J6V_;x#WoBS7XJBA(WM*Kn zU|?XdWoBToWME*hWM*KnVqjn}WoBToW?*12WM*KnVPIg;WoBToWnf^?WM*KnV_;xV zWoBToXJBAZWM*J+U|?X7WoBRi)oqf@3=B>T3=E>o3=GZ;3=D$I3=A#|3=F)?3=FOe z3=Evi3=D1z3=FKy3=Hm|I*6Hp!GnQ;;V%;dgC_$6!%rp#1}_E%hObNv4BiY33?G>o z7<?EQ7~V25F!(YsFuY`9VDMvLV0g;Jz~Ilo!0?cXfgyl_f#EI_14AGK1H(-w28JL8 z28OFl3=F{x3=9{U7#Knr7#PknF))NOFfg2CVqgekU|=}P#J~{Fz`$^jiGd-4fq`K! z69YpeDE^rk7@|P&&&0qG4T^sz28I|={4+5y#4<22tYl(fh+|-2Sjxn}5D$ufCI*HC zQ2aA7FeHNFpNWAX2^9ZK3=GMj_-A5ZNCCw^69YpkDE^rk7}7xT&&0rx4vK#!28Ik! z{4+5yWP;+KiGd*t6#q;N4B4RgXJTN;0mVNP14Aw-{+SpU@<8#=#K4fxz`&5m#K2Gh zihm{shC)#MGchm}f#RQufuR@_|4a-FC7}3cVqho*#Xl1RLm4RknHU(#LGjPTz)%5- ze<lWoN>KbWF)&nt;-86up&AtbObiS)p!jEEV5kMfKNAB(9Vq^p7#Qk7@z2D-(7?dJ zAj8DK(8$2RAkM_V(8R#NAjHJL&<u)qCI*HUP&vTFz|hLTz`)GJz|h9P!0?ZefuS7~ z-;4|l9SjT%Ul<t}IvE%k-ZL^VbTKe6ykcZv=my0zBLhPZD4#PjF!VAoFx+NjVCZ9D zV7SJ}z|aqhUq%Lo384JV$iOg>fq~&TBLl-E1_p*hj0_BuLGjASz%Ye@fnf(D1H)7X z28PXy3=Gp47#P+uGB8XB#U~>J!wd!nh9!&)3^N%R80IrFFwA0LV3@_oz%UyWkBkfq za~K#HCNMHE%w=F;=w@VKn8(1t(8kEXFdr0uj0_A5K=H@Oz_1V$e~b(ai$L+m$iT1| z6n~5i3`;=q$H>626cm4q3=GRa@yE!(upAVBj0_AbK=H@Oz_1b&e~b(at3dI`$iT1~ z6n~5i3~NB~$H>6278HMs3=Hc)@yE!(upShDj0_ALK=H@Oz_1Y%e~b(an?Ui$$iT1} z6n~5i3|m0)$H>626%>Dr3=G>q@yE!(upJbCj0_ArK>3%Efng^D1A`<Z1H&#*{$*rf z*bU0Rj0_BWK=H`Pz_1sTe;FAV_JQ&*BLl;JQ2u3PU^oEEzo5JXice73gYqu}1H)lZ z{son_p!^HUbD;doz`$?}6tAGT0_9&&nGDLmpu7pnzYGivr$G6afq~&PD1Je43(CJx ze3F5I;T+Vg^H4i4fZ`dd7UYLZp#00gz;GFqe?fHtDE~su1cmW6Q2u3LV7Ly-zo0q; zlz*XigVMk)P`rcE8YusQ>K0J`g}M=xM(=_0F9QR^eNg;^(k3YWp?(47p+}(j2h~xa z_-9~Xcmj%lsGmW3{23_z85kIzgW{iof#C%x{-I$5DuZ5u;-7(m;Wa4!85kJefZ`t- zhM+R?9Vq@mc?A^z3=9k(K=BU^Yfu^f2^9Yf3=E$^@ej&dp!kQz38;?w28w?M28QpT z_y^U&p!kQz9jFfc1&V(L28Q3D_-9~X_ydZ6XdHv;=zpO2XJB9ewFCY`L0*1IDnof< zQC?<VIzwtvQGO9aT4H8SX%VQ<gSrE~Uju3%fZ7J2ehjD%1vL*qbuNexs=Gmb9#EYR zQU|r>e^F{;N_=j9N-9HnQD#Xhgqc`SkeUY-1{uNtsr%6F1DOXh3uHd1&IKt2)#V^Q z%s!Ys`30$Y@%h;d#U+U)rN!|OALS+Hrb3w@CqS7XW59JQx_uzKVEzNu(IAB&dqMVr z{0VXosO<t$2eTIxe;{)}YC!547#JG<|NjpvYQg<KP@N2t2k8OH>%4A>wD)}XA4-GO zF)(~^2I&XsN-Jh4C}zkjW+*LY$Sr0lPEBUW&CFxS0M!v7{h&G=WFE*ZAU;SR)J~9- z>OlkuL&d>u9S|R+7KA}<A`l-Y4{AGs_%EOi1huh1d<Q2`YYoyC1MwM7%>sD^+-3vw z1(sd`<pWTBgZT&6Uz=zKX%m9^42FSvr+64ZY-9{-3xM1Y>(_$Zgp8qTG5i5)1K`pJ z4eth+pODQ1wH2`G1E~e+17Q#wSr3Q}YD0kZfzktr55pj}APmw6!XP$C4%EiLr5+>? zQVWVFn0infgB10kY)OiGP@9Dm^`N#5De6IOcThVYG_C>i9}L674LyEA{sx5s2!q(5 zHa|!|s80dY3!;lM(?R1FscE1_5Cf!708$5%gJF<<Q2Gbap!^6D2labEd}#Xl59&jJ z^r5>0q!#1`<hTHd!7z9*05k{y9iIUY1b_wxKm!S&!3AAVF96aO2c><OJ{S!uZ$a(= zl^Y;2P+tPX2bGr~H-q{npsWV!!+_Ej$eo~m7RVo<umrgo6eb{dgD}iLpmYqf52OcV zE)0Xr2C>oo1ZvBI)Pu|b$)jVKJJH2K=>VjUSbIQiT#$Nf_8_|nRDR)72l4|bOh7cc zdq8bsT>3z2LGHw+50rdx=>w_9r4Q8R#-$HrA1JOs`ax`D4C=Fi`ne!;k;ReKB8NGs zZ$}OFpfm-u57~T>7&3ni6QmzbtozoIsD2#@>WRr?kP4iEVLl7Q-5~$KFvwr9{0{1C zgTz7k4HVX({00gWP<{iYDNud``5BboKye4kZ=iez%5R{22Fh=sv<t!@b7A>y0n}cQ zUXb}P46*~p2C0Yf7ZOkpYI}hi1TgiBNK_9Ry8zY5xZH!B*Ff$A`JWW^ps^5;dQdok z^dn=Cn?Yd;qLIZx?0t+3;Bg&X?gFVn4yPj|st1ipfa(fd=A-)?G<HFXde9gLNIf=x zgW7zc^aJuYXbc3GI*>dpd_ZF)xYU8vfYKyLJ!lLCmpYIdkbgnyK;egsi}FhnOEUBG z7|K$UOY)0At#`0AsGk8c56poQApIaaK{P1+gM>kG4C2Gmd2vZ5XiA{Cq_`xpsDz=o z1k_+>C@ulF))|URAo&m^onOFETv800Unnlgg;IHm$=SsvV3}M98)67Z45AY>#sJEz zAiH50#0RxCK<)sI#el>?{U{J0G$sUcGiXc+6b_)VDNtB|#<)QF7c@o&%D<p|56Zuw zF*;D(f%-w9bOy@LAoF4VODZic&CAZqFVAC0DlJYcN=*gPNu|Z0jvoVL%mbtz<OUc9 z*$=WC<Q`By0*QmhB0+pm-2jq@nV(uz1oAkj3zb-u1Q|O)HxHx_qz6QU${mn-AUA{f zFmpiZL5u-1_6m}RVUQXS8$F$a;+quppmsM%J;+{=dB_;qY|t1TG3v7TA>*;2xIs1x z=3bB(C_Z3(T<SsL0#XaY$m)^#<%yXk@x_U`1v#k<#U=SgsSE{0`N^rp#hH2O@j3bV z1q}J6B?YA=3}A(zo+VT<17xfflr})-!7#|pptJ;Xn+;SD)ZYW~L1VcfH-Y*^pfmvL zXM)tj;t6a8)Ob?e0<sEZ4?g#R#%4k4K<x>Tdq88yAoqa!y&(61`e(@Q0i{n+9@@ad z!0>~IfgyvJf#C)(1A_t|1A_w}1H%M928IBB28IrP28ILt3=BW`85lGK7#Jc17#KPP z7#Ma4FfhCjU|^6CWMD85WMGI8WMF6zWMJ4K$iN^W#K6!Y#K5pah=JjQ5CemPFav{! zFatw_FayI5VFrc|!VC-=A`A=>A`A>2A`A>CL>L%;h%hi{h%zuVh%zwz5M^M{5MyA7 z5MyBI5MyB2A;!S)LX3eyL7aghK%9Z0L7ahMgE#}j191ih4haSZ0|^F(2nhy;6%q^# z4w4KE4<s2F45SzsGNc$7W=JtGoRDH*_#nl=AR*1b;33Vxa6p=YAplfBGcYhDfC@Iy zxF4ud#lXNY1Ih=511LN%pz%4N84(n2AbATY9~71#eg>2e3SSU^28<6i{{)l|3S*G` z4=5iL-XOjPsDlI=tAX0<fX2^&@<Cw^Qhx%<hq-qKv@i$70azZ551uRmjgvyf4L}K% z0TN#z^$}1$O#cKl{s}Zbc+!Od66YZO7NCX_5<dftKLd?_1Ih=b50HKiP=N{RWI^q* zfbv1<1}qOMWEdD2;NcJD!`zzz<%7}@Nc{{bACwkB{1a&WA5cCl{0u-D1<CyhP(Ca^ zCqVhI_&WgQgYpT;{0~q*D2;;n63_}1#&<yDXF&Nd`zt^N2$KCP(D*l?d{Ej3nGc@4 V0;OB1xCW^4!2p@70?9|9@d4+&O7Q>y diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg deleted file mode 100644 index e053a033a9d5e17b2314bcf73b99d82645fb1a25..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 83672 zcma#Z%*o8FP>2Z5%vFeRadKBMFl6|#O*X@Ufq}t8iGd-*mXYCx8w6itVqmz*!NB0m zfC~Qq|Noysfu#*3I#}6^Ajg5Q0!tf+4Z<KdgJ>osab_eo3lf_ZiOt5qz`zJKhaHK{ z0aXK{K;ZyW!-=GZ3yIB*#O6U_^FrAmJNZC{5gE21^}-~m2Pp-)6BG`(*r4!{fVv07 zmINtOU}*!1NkPRyY$GJL7ZN)O$_8m8WEU<qAbYDol4#)ovbP#)K8QUT$~ItNU|5aB zz71uA;*FaT5_cfuK<<IXkswqYWS0<>4O0UOACR~(R2;+>L1K$S*`RbJ24y4b9c9zM zd;rA}C{4iP7nW{8;;?)QV#D$pavXugB|ro;kHge}gpl(oa^44}Es$L>b7;i|xm^;P z#z5{-L}DvJ*)TVN(k)26GMYH8+y=9kR_25JiyWu0xB!)Vq?D5|cY?x)R5r*BAUz<x zAT|ht*dQ@bc|t53qz_bHfYgK7#MV17^`Nu|V}s%t#s<|jFt#?xU}zfFL1OD7vGtJH z`bca8D4SG&;ZhGW&JaXE-D8BrHiohl7#J8#kk}zmHYm<Zkk~y?HZHqxiG#E)0TF2R z2uKK2FT=tOR4>EWpfm<!gUSII8<yTcdig;XDzLPH;$bOB0LoqqWrL`#AOh<5ZAk3x zP__UA1H%p|n}Lym;RKA$z`%elU4f)QdSLDmgt`GFE`-E}wFf}rB1qz*P&UZTCqM}i z>gEejHc0&qB=$`t_AMwIWdCg_8|3EKFg6Z1gRF%41!O)bUO{CAh)r(!406M3Q09S# z?QbOZA0+l)B=$cf_J1Tc1E|3VHHQ(2&4k2eMq;xdv00JWY)EW&BsK>Un-hu6g~aAY zV)G!ed6C$BNNj#2wiqaYq3#z)VuQjNmQIkv8PtA*iAy7?mqB97BC+L=*z!nhP`-tk zBY@-{K_s>i5?dIFEdphOj6+TvqEK;A+7LrxizBfmkl2z)Y$+tRG!k0|i7ku7mV>fE zc|jhDt&YUjhq6KLF-KxsK-r+AZwY0C)L0|2ZIIZuNNhVKwmlNt0g3I1#CAerJ0r1O zkl3zBY&R$yIc!N~gZ!ch(gaOcN>DZ^-@(c&kQ!yEIH(+em0cilcc>dcY!4*1ClcEW ziS3QV_CaF%BC-9TY><_(G=t0r*`)#!fVx=~$_BX^R$hVBs6oX+ZibazAaQ@F`5<-x z5<3uy9fZUVMq-B`u|tvAVNf>6N?dMM2T4NRtbxSVL}F7r3_*TGj-zm>J3-<RNbE=? zb`%mj8i}n1?Pr1X#vqBuBC)lR)Wji)>mZ58BZ=!Gi6<b5>p{gqahixEt`8LlxuFnA z9MmU-)ip&(;)Y1-i;=`({aKJXB~WpYU8PVqNZc5z7bM<_ByIu~2dSBgBt8v^JspWX z1BpEoi9HL6JsXKV2Z=owi9HXAJs*j^0ExX2iM<Gky%>qT1c|*AiM<Soy&Q?X0*Sp6 ziM<Mmy&8$V28q2EiM<Yqy&j3Z0g1g4iM<Jly%~wU1&O^CiM<Vpy&Z|Y1Bty8iM<Pn zy&H+W2Z_BGiM<bry&s8v0EvANiG2u(eHe*-1c`kViG2)-eH@8>0*QSRiG2!*eHw{< z28n$ZiG2=<eIAK@0f~JPiG2x)eHn>;1&MtXiG2-;eI1E?1BrbTiG2%+eH)2=2Z?<b ziG2@=eIJSa0Ezt&iTwzP{TPY;1d06=iTw<T{Tzw?0*U<+iTw(R{Thk=28sO^iTw_V z{T_+^0g3$)iTw$Q{TYe<1&RF?iTw?U{T+$@1Bv|;iTw+S{Tqq>2Z{X`iTw|W{U3?V z0P3Ja>orCsHWLz?8Hvq;#AZcevmvqBk=PtaY)&W})NkX0vO(pr7!q3?i7kP|mPBGp zA+e>A*fL0LStPa`5?daLt$@T<L}Du;v6Ye7DoAWqC>s=%YEU-FFIq@!Z6vl15?dFE ztp{a;>M4CBwmlNtABi20#Lh=z*CVm}k=XN**z1wl`;pk^k=XZ<*zb|p|B=}IpaC@n zmNt-^<&oI>P&TN2XAfnA!Xz2W2B}YhvO#J}plpyDQ>1p384}wZiB0YH9>`wg_T&sC z_sm3M&q89)Mq<xFVp|}!E9N4J&qHEcBB_~=ByNQyz5q$w8cBR1lDG|292B05ki>1F z;vj!*LK3$_65os@ZjU6s1xXw<zXWTKZH0=1?AiupgUok?>II1(LlSp_ii6Z#LlVD^ z#J+*VzKO)Xg~Yy%#J+>XzKg`Zhs3^*#D0Lpeu%_=gv5S~#D0Rreu~6?hQxl3#D0Oq zeu>0>g~Wc1#D0Usev8C@hs1u5#QuQ9{)oi>gv9=g#QuWB{))u@hQ$7k#QuTA{)xo? zg~a}i#QuZC{)@!^hs6Gm#AW~ufWqn&BsLQgn;D7Cg2ZM;VzVK!*^$^BNNi3dHWw0` z8;Q+>#O6g}^C7YMk=O!AY(XTp5E5G$i7kS}7DZx<A+g1g*b+!=NhG!u5?dOHErZ0C zMPkb#vE`B23P@~4B(@R~TN#P1g2YxuVyhvs)sfg5NNi0cwiXgw8;Pxh#MVV(>mjlA zk=O=EY(pfr5fa-NiEV<!Hbr8aA+gPo*cM1^OC+`x65ASyZG*(NMPl0_vF(xA4oGZA zB(@V0+Zl=Ng2Z-3V!I)+-I3THNNi6ewilEQYF~Ln*`RVb9Elx)#EwK_M<KDJk=QXv z>{ujr91=SoiJgGNPDElSA+eK@*eOWtR3vs9lnrWMr9;^uzhon^bCB4%NbEc$c0QC1 zs;3H&*bPYR2}tY(NbC(r>;p*b3rOq-NbC<tYzEMPCA5tsfW%fnVjCc_9gx@oNbCe8 zb^(+PYCAPR*`P340%e2zxD?6;so4r;GcrKde}I}&;PGY<gMon|;R$#ongLlJJO&Mw z7kG*(4<46>${)ZY4<4(A$`?Gt)DIrNhRQ2E$CL+;X+z~NK;;>b+z(o_P{4Z<G@Ql2 zpb2v=!heYj3=A;&2n~olvj4#2dr<Qiut4OI%?GuK6h0mV5An^g!ITHJ)nM`xmYDLO zwi!&m!wMpgY(Hq8YQcpJQ1mcdxP$3`koycSLgYWN!t_J<AZLT-wmLwO&cMLXa2KXO zLj@!R!nou?W8ff+OCB`U0>ZfDLHQ7bvC1<d+y|P|f~A2Euc7W^M7R%^JjiVzj7uKm zHW0=o4{{p_W0glrKcMjaumI{_CRq3)(ho?!VIf35fEgwa;e)~-G|vJL{})jIF(CYh zOCIDm5XL1B@*4=_k_Y(>gmKA(x@RDaOCHob17Te9prQ+eamj;<5)j5E51QKsVO;W{ zIb0CNB@dd{1z}wBpgIkNamj=76$s;!2c=sO#w8D$CkA0$@}RO6gmKA(=6FFEmpo|R z7ld)igVHSs<B|u>4}&l+dC;6O2;-6m%|C)LE_qN&24P(CptKLdpt(g@`Zst9(!s#M zh?M?u$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_55l<QL1`a^ zamj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA( z(mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qN&24QUS$n68rxQD<x2CGFi3=9c& zkoo~652|}X7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#; zOCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr z_CXkzJSgphFfMse+6Q4=@}RU2!nou?X&;1f$%E292;-6mrF{^_B@Zh5K^Qc?2TT7F z_L%7(mpmx#gD@_6P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$ z7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`j zDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYbkKBI)g^@uAq(5MA52ORq z{sH9;5C(-2tbOzVNgh-;fG{q3P~HGxT=Jm20m8WCL3sm&amj=71_<Ml2jvYA#w8ER z8z78J9+Wpg7?(UKZ-6i^c~IT}VO;W{yaB?v<Ux4@gmKA(@&*Xwk_Y7t5XL1Bigysk zB@YsZVQlda${QdIQiDw%ls7;ampmwMfG{q3P~HGxT=Jm20m8WCL3sm&amj;HItb&E z2c>-w2Kf=@zX|t2Iw1K2l=eXwmpmx#gD@_6P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI z2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I z5XL1BN*5rEOCBT+!`RXXDD8tVNDVf5P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI2c={X z#wHJH|AW##2)i;cFu>YJ3eK4ABV6*Jv=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#; zOCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr z_CXkzJSgphFfMsex&dKa@}RU2!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXw zmpmx#gRmP?`oDlB{o|4crF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_55l<Q zL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4 zgmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AA~)T z(*Fb=@TzeJQ2hXE`+zVmc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#; zOCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr z_CXkzJSgphFfMse+6Q4=@}RU2!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXw zw7(qI{&C>NO#is#L1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1 zVO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?D zptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFgAJ6{1+(ggD}Vs zu>Om~1CS0x{}&_=@&io%1Cl%_?Ste&et^j@c!<ysO8X#rkRM?30gn*!ptKK?2l)Xe z&+r%_4@&zWd5{Q9egj+{G5-x(nD9Vt8i-;LxC`1X19Cq?9<*PpKpi4~0E;|m-&TPp zM84o2rhagVpaqdvxDS<QM3@iqCn$_SZcVrYkq6bEAU>%61kKMoNST1FVK|ir%26O0 zgnrP%4Rm?r@jD-oawyLD1_})j2cbU-ss46Q!tB4{k_W{f2;-6m`3r<`$%En#gmKA( z;tzyz$%D!+5XL1BD!V`!mpmvGKsXNB|EdW8gXBOMSv~<O&&aR}y#53v58BHGOJ4?j z5d9!|P}qRt50^YBY(Q#o$%DcMqz0EfC~QD#aLI$h2BZd;JSc2HYH-Pe{073HJ!vri zJ%IZUk$yny*v~dQfxN=7!4&3yggj_X_YX0aw*U1E3<sd=hmg}JXdO9B{)9QEe$X0n zn0$c+raWlvJWRgA3{xJoo*pKzfW>@}`(g4Iu(%(z#vCSZV2x=$vi}~y{g)3aHbBA0 z09uO<(|-f5KVKD;Hb5AcJSadx7?(UKZGbQ?c~IH_VO;W{v;o4n<UwfzgmKA((hmsZ zk_WX7Kp2-is3ZenT=Jl{0SM!g2el1A7?(V#Z2-c!<Uwr%5XL1BY8!wsE_qPf0EBVL zgW3ilj7uKWHUME<@}PPZgmKA(>I@LZB@e1sK^T`js9ptOT=JlL6@+oggK82G#w8D0 zcL~C{<Uu(JgmKA()?I=yE_u+JI}pYt4_bE#!nou?>n=eUmpo`)5D4Rv2d$d`VO;Xy z%+J8U0NPsu%l{6xARmL;7l{0iOCFT>K^T`jDDQ(XE_qPi2Vq?Dpu7*lxa2{3AB1tq zgYrHI<B|vEeGtYa56b%>j7uJr_dyt!JSgvjFfMse-Une^@}RsA!nou?c^`yv$%FDf z2;-6m<$VywB@fE`AdE{Ml=ndxmpmx%gD@_6P~Hb&T=Jm255l<QL3tm9amj=7J_zHI z2jzVb#w8ER2_TG19+dY%7?(UK?}IQdc~IU5VO;W{ybr>-<Ux5KgmKA(@;(UTlE;?+ zD+uI&WO-2D2VrFMk>x>oAB1tqgYrHI<B|vEeGtYa56b%>j7uJr_dyt!JSgvjFfMse z-Une^@}RsA!nou?c^`yv$%FDf2;-6m<$VywB@fE`AdE{Ml=ndxmpmx%gD@_6P~Hb& zT=Jm255l<QL3tm9amj=7J_zHI2jzVb#w8ER`yh-<9+dY%7?(V@^uK~Y`bU-rrF{@a zHXm6Yl=eXwmpmx#gD@_6P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-< z9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT= zK^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB3^XBl^Ff5gl0jj|0}fWaI{q=YaAE$PFNW zg4hKvpc;{Zfsv704b(RTVO;W{yaB?v<Ux4@gmKA(@&*Xwk_Y7t5XL1B${QezOCFRr zKp49`7mEKu@dz3p5O9V0p9{tR*yKTe2Vs!;*yKTe2Vq?DAisk!E_smOK^T`j$nPMG zOCIER5XLT#NFN}1P&x(qe*@hAsPZ5+Ao&0{SoomIgVHHT{sT;&p#VPq;KIPb084)b zPEh>}1@Q3)T=Jl>1z}wBps)pDT=Jl>1z}wBps)pDT=Jl>1z}wBps)pDT=Jl@7ld)i zgUVhI#w8CbdqEhNJgDpiVO;W{vKNGL$%D#X5XL1BDtkc~mprKK1z~LRu<>J1nF1O= z{vZuW4*_c+@eh&*l`SBQOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##E zOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*W zAdD=pumOMkBg=#09)xk}2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H z2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H2gN-I<B|u(JqRPqf7pya z{*mQDaSy_{^n>CagmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA( z;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR&N<qvGfAOFbmptuKN zT>3$A55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2`` z55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(bl$nq0*<Bxx2c~IPgFfRR|xCdce z@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf} zVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VPyG){rKY_SsoPkAdE{tDDFWRmpmx$K^T`j zDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWR zmpmx$K^T`jDDFWRmpmx$K^R%y;4uF9N0tZ0JqY8{4~lyb#w8Dmdl1GY4~lyb#w8Dm zdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY z4~lyb#w8Dmdk{vJXE=^O{*mQDaSy_{^n>CagmKA(;vR%?$%En^gmKA(;vR%?$%En^ zgmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA( z;vR&N<u9DVAOFbmptuKNT>3$A55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(bl zxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(bl$np!$ z;g5f0c~IPgFfRR|xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce z@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VPyG&OZej-SsoPk zAdE{tDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`j zDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^R%y;Trz<N0tZ0JqY8{4~lyb z#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dm zdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdk{vJ7r2E#{*mQDaSy_{^n>CagmKA(;vR%? z$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^ zgmKA(;vR%?$%En^gmKA(;vR&N<saO|AOFbmptuKNT>3$A55l<QL2(blxa2``55l<Q zL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(bl zxa2``55l<QL2(bl$nqN=;*Wo1c~IPgFfRR|xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{ zxCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce z@}Rf}VPyG+r}*O^SsoPkAdE{tDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$ zK^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRn>-_EVjmRw z3=9mQwIi_gMGv6+Q$g||B_NDT9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA z_aKa29(8>TY<*AzA9yz&10y5i-ffV6Z1Nz#gD@_6kl#TVmpsVtAdE{M<aZFpB@gmD z2;-6m`5lCD$wQ+b9RINOMGE`~|0BzT%mA$)I)FnSw!SDq0IDD9d|?ly^+gZ35%Qq4 z1;V)GL17ERxa2`$3&ObML17ERxa2`$3&ObML17ERxa2`$3&ObML1haF<B|uJEg+0b z9#pn~FfMse*#g42<UwT%2;-6ml`SBQOCD6VfG{q3P}u^)*yI@)<RK9ay;~KwzUV+H zOjuqW6!##ETs|SogW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9 zamj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkp!^#h2`3aTy z;~!Zb6!##EOFt;?K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`j zDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jw)jt|#UKC3@}Rf}VPx}> z<w0=|!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{ z!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nowI#lJx#{`g0h2gN-IBb$#b4~lyb#w8Dm zdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY z4~lyb#w8Dmdl1GY4~lyb#wCv}{ux^F$3L<>DDFWR*?eSqP~3wsE_qPggD@_6P~3ws zE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPg zgD@_6P~3wsE_rP6f1v|^{3FYQ;vR&N%}168#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m z#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh) zlE)VR3wrR!Ke9Y1?m-yYd}Mi0+=DPKc~IPgFfMse+=DPKc~IPgFfMse+=DPKc~IPg zFfMse+=DPKc~IPgFfMse+=DPKc~IPgFfMse+=DPKc~IPgFfMse+=DPKd2I1tFadx3 zBg=#09)yw2N0tZ0JqY8H2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H z2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H#}@w%Q}D+>vOFm6K^WP5 zWO-2BgD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPg zgD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6Z1FEJ1AqJ@%Y)(`gptihmIuW>2;-6m z#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh) zk_W{-2;-6m#XSh)k_W{-2;-8+7XJ@s<Bxx2c~IPgFtYi`@}Rf}VO;W{xCdce@}Rf} zVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{ zxCdce@}Rf}VO;Xq;(x<@{PB-04~lybMm8T=9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ* z9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T= zj7uI{{5LGdAOFbmptuKNWb={bL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2`` z55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa6_Lf53A5 z@sBJIihB@7HXm6Y6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##E zOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCDSNE3C#J|H$&7xCdcm z^O5C2aSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou? zaSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<gvy7hjsYlA6Xt0_aKaHKC(P0?m-xr zJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr# z7?(UK?m-xrJSgr#7?(UK?m-xvJR`#l@OjywGysx^oewOq8Fb<w=zPl=;Pa7T^Z(f7 zL2(blxb%bK9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9 zamj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)z*WBi2`e<Uh=Uq=y6W_(zrBup}Lv zUKF;%(g&)%!%~QR!4~ZD7ohS7R$!M;SO(F*fdR6<5&3*+u=}9$1uL=ZZ&(h|Z?Fct zyub>G{DigG<rhHZKdi$pzhMR>d;&ILmv?~5Gi<~zU$7El{{|fL467jW37fF%p8%Ct zScP3)VKqem1uXL33=9k#pz=Guf=)aG<!{vZ1C;|Hyx|vq`G(cl?FZ=v;Rm?FAC%ER zXX)YyUyxo9Ua%h1e9-yr2doZQfbK7Tpn{n`5|GxfZBWOQ_eGMoki;(^K|sC&i@YC_ z`3h2)=KCYbpTHs?fFxfajj12xf7tn74e;~p5%Cv~q<@7Prv5-Ac?lUz`A{VJ9delR zVMy``@|f~LNb(1;m>-NJpCJp=p9??VEF4LmLjfTVs(U~fmprKM0byM7pt=Wyamj<~ z9uUSQ52|}W7?(V#?g3$3@}Rm0gmKA((mM!8Ao>3T7XRat2l*X@amj=H4#K$PL4F5e zT=F2lgD@_6kl#TVmpsVtAdE{M<aZE`M)Lm)==~Lp47u?0?QqG1{0_pn<UxK1VO;Vc zzk@I?d63^h7?(WA?;wmz9^`ir#x9S@zsUKU0ZaZymREqwBl1ryQutZG%SS}`VV6hP zk6eCmU@1S5<zHYaUy$W92*`I3kk=p}??FKR1Qz*7r0|)c2rFL@{*OVDpP-B>kDPx! z;Q14wA6Z@li+l)@`2twV*L(&B2H5=@JAxqggU<H@<qZ%9wSPeA7{m^E36V!`A7vt$ zf5Hz_J_|{{z#mgS8%bUw08>5(N&Wy9`CKIVj6h8Nc}VgCQ2&9-b&!8RxB|)l8Zxl{ zrxJ|80P??pwg~vV(F2<x!pQzFLNeb%0#m*iN&W#A`4S}g4oOV?rAYDyQke2(Nb)zZ z$d@C@H%MdZPe<~9g)e6KWgzKSz!JZp@R_j65L}-MG-2uo$t$de$WP$Fv>znD0V=Q1 zj9tIO8i@WMt=Q!o{6xX_7qnoP7l6t)v}2dwunuBAM<;f9hxHKo30*LGC9r*<^aFA~ ztbZ!egOCUH&Cul+^djUzeKT}<jedkYsBeZYzhMGG9@IBOm$#UNkO%e6(B%(IM#zKu zX6W)BQxWp8Jc8`M3)2wtpt=uTf5Z%gJgm$>*8gB8LLOB2q3h3>jgSY`edzKZ<{;!j zbsxHX#XN*OsP03TXIOxchjt0T`5P909SafipuRV{eu2d>c|`dO@}EN(Bt6Vnf?fUs zR9;~jcKL*Gi2fDJvCDse${VbN$}>XLgWLzY!(bUSvR2e0<Uv9pj7uIAe;|xY9u$8d zj7uIAe;|xY9u$8dj7uIAe;|xY9u$8dj7uIAe;|xY9u$8dj7uJrKS3CmJScyHFfMse z{sduM@}T?)!nou?`4fb3$%FDI2xFCJK*T>N{lU`jjh&zyD?t5gB~_5$K<NWnp5q`w z9^^N4d5aSWd63`G<rmyQ$b<ZbE`Q-ZLLTHdba{s72zij-(B%!@A>=`67hQhFKZHCe z?V`({U<KXC0r5X5?V`*7;6ccP(k{Auf-FKFly=eOC#WFgL1`CV{(v?@9+Y;`<v$o9 z<Uwf{T|UAYArDHs=<*$2FnQGSXG1h3Jxurk&HqUI>p=1E5Cf5K*af-~1r$E0`Y%A` zJN9FjPl$!+pKt`b{0FG~jFZ^q8{#1P7o5W`FAxurUvU|``~s-_h8x)B4H6*wcihD; ze*h|f;1PEDfJBJ?6VI{BKY+?7e8etakOa}6@eRBD2Ww?;d!gVrcKHSyh<pVDD7RzA zkAN*izJV3H`~s+a2N!mE13QTR3H;dQ4?yK-h+vlwu!rbhAc<Z60aSj49CrBv2Z(+L z4eas^ju3edUF`A`pz;Am*yR<RAo?TBvCD6O$|u-hmv?Z6=s%H-UH$@8{zn;h`2-h; z{(?&E@*kk`FRHQ2H@HIdC)8n=7jT2f-)O)tzW^#9(2QN)z#XFhL@Rdr15kN~4(##) z9uWOIy0FVXfXW;6VwW%Qgy^@Jf?b}$3nIT^8g}^!P<f4+*yR<xA^I20#xB1BDlah) zyS#%BME`^Z*yS%k<vA8(mrwA8=x<nxUH$`9{>O6c@(Q4fP8b*%3RYp47x0IeU$GIp z`~s-_ht1gK4FVwgGqz!uKLC|~umih%Kp;ea#BS{J51{fF_F|VW2!iPMIDlQAAs8Zm z;1G8C2~c^9quAvYLLmA#9LFxd0V==a26lOeP>B8qcd*M}fXcskh+RG*45I(TGwkvY zp!LI#*VyF)k|Fg6!$<7$51{fK->}OUq(Jlw{KhWNkP4BPV1TusQ0xB*P<aJb?D7g} z5dAy&u*+|N${!HMF7J>I(SJe$yZi;H`~_L;@(CFb{Wp}b%YR6Mg#QC|?D7rC5cwB6 z*yROMAo3p!vCA)j%KtFKE^m+u(a&ImUH$-6eugV{`G7Qt{smsx<sU%hSNLO>FGz>z z-w=Xbo*@GwzatX6`~;}{fjI2)3Yif7Cz7$tZ-B~Q$iOb|kOk3yBNw~;1*rUkBJA=B z*%18|HQ41pK;;`6vCB8)K=gOCVV4)kg~(6n#xB1ADnDZac6oz5i2emrvCAKT%CDG( zT|S{4qJP7D?D8L=@;jDbmv5+m=s&O$DvxwOIH*K`jSpDVL;D9f<P!+UcMy=@KtTQm z0eOZ7{O)^DgI}HlKK_9y-;n)pKtMi%fP4c1`3nT(e-MyYsKf6+4+8Q91mtHBkUu~` z{sjSf0a*MpD63){UpTQ5*8fpf#nykX*ou(H*8h)qgOCUHjX@aHzlDu|SSW*H8`OVN z#yb9C5rmM(*1v8jLC9n4pFfy@kjK`)S6GLT$2LCTa19}kZG2$^Cp7;uD63){pP1l) zkjFN@QBV$(N2GsH_&jKVln)V`A@Z>K9grCydD!_*1s74}L2-mn9u!CT<YDmxvIoS5 znGZTY3B(6sP>39Z2F3;)`5z{KgMd6kBP9Re@}GeiWc&ms5Az?)edzM&{zI1sxgXj8 z=<*<Qk>xdPu-gv{e{^}6{UCEdcA?9I#ITvq$N-6Nm^>_hyr_oQ50VF&jV>?IjF1O~ z4Z6HX7eXH9CXoFw^D8F8<WbXKK|jPjAA+&V3rv8>HzZ+~-vE_2NW(54FcG5vL_T); z4^a6H#V~ngRczyrJM2NJ5mJ6&8^1JgLdatq|6JjMkjFNDs^E^0$5uYh@I=UC8^0Cs zLC9k(zdHO7^4Q9+384siY~>e61VSEL`PC4GkcVbjl=+h%F$j5V<yS#GLLOWCd69^a z$CmyQQV{ak((jElgghwif-rLW49LVUzhVX=eP9d!8}kwJ*y4|4IYJ&={9CL;$YV=C z8CwzZ*wWvOJqUSh>G#B8ggmzJ`Ed#%k1c#PE+OQx#ZSadggmzR?RbEY$Cf^JJV(f5 zOJ6VEA>^^8Pl;~`d2H$1<1a!UTmGnE0o}+A$={%~0m8`XV+A)t9&7vyA>^^fzZ60q zYy2xB<gv!T20|Wd{Ocp+vBtj{LLO`U+alz##=k2<9&7yjAmp*ee=tHGYy3wc<gvzo zB0?T({AVKMvBrM^LLO`Umm}msaSy^M@n46K#~S~w2zjjW-;0pP8vj!e@>t`4HbNe2 z{4YYtV~zin2zjjWzX2hSHU4)X<gv#8euO;M_&<h_#~S}<5%O5${|Z7LYy96q$YYKF z#|U|>@&5`T4~lybMv4EA2zjjW{{tb9HU1goK}Irw+E-ZPpB*8OHU9Y!@>t_v6d{i_ z{$&vISmR$AA&)iwwGr}I<KGA&k2U@+5%O5$-vJ?yHU8ZZ@>t{FA0dx5{=*RRSmQqy zArFoy==qbd_Unoiggn;x&ql~&jsIeVJl6QHLdau{|3-v7*7)y0$YYKFeuO;M_@9Q5 z#~T0h5b{{#e<?y9Yy7W4$YYKF%?Np{@xKcpk2U@eA>^^f|4D>A*7(1GkjEPT*Aenq z<NqE)9&7wRL&#%||F;NvtnvQ^A&)iwe<S3v#y^t+*ju3T2W$LuBIL2gzaT;$Yy3+f z<gv!TJVG98{Hr14vBtkHLLO`Un<C_~#=i|h9&7wNBjmvWg`WSt5b{{#KM*00HU1+J z@>t_P0U?hy{?ifiSmQqrA&)iwOA+!|<G%(Wk2U^V5b{{#zZ)TsHU1|d<gv#8OoTkv z_+NmK#~S}D5b{{#e?3ATYy59R$YYKFy$E@%@qYv%k2U_!Amp*e|7C<c*7(1LkjEPT z4-xWM<NpOh9&7x+N62H1|L+KStnvR3A&)iwSrx&-3MzlF#y<~29&7vyBjmBhzcfM~ zYy2xA<gv!TCPE(UQ1tw7fRM);|K<pJtnqJ;kjEPTZU}j-@$ZX}#~S}32zjjWAB~X5 z8vjWMd93lDg^<S@|Ah#7tnpugkjEPT^$2;a@!y7!#~S~A2zjjWKNTU5HU8%y<gv#8 zVuU=__+N#P#~S~e5b{{#e<wm7Yy2NT$YYKF;|O`I@qZ2>k2U_UBIL2g|6PPU*7$#d zkjEPTuMzTC<Np&v9&7ynM95=}e?}#6w1LVOtntr*kjEPT{0MolLFoBk3?Yv-{$&yJ zSmR$6A&)iwbrAAc<KGw|k2U_S5b{{#-w`2?HU2#i@>t_P03nYx{=*USSmQqqA&)iw zQxWo5<39%>k2U^F5b{{#zZxNrHU66r@>t`)6CsZ^{wE;hvBv)lggn;xpO28o8vn}> z@>t`4EkYh^{BJ?XV~zja2zjjWe;6T;HU3W_<gv#8MT9)o_`iXW#~T0l5%O5$|2aY) zYy7`M$YYKFuLyap@&5-Qk2U_8mBHFT<qy{Q=SIk5jejA8Jl6P^M95=}e+7g**7#RP z$YYIvJ%l{g_%}nyV~u}Xggn;xcR|Qwjel>1Jl6ORLdau{|0sk!*7#3E$YYKF41_#5 zBGJqLe1tsK_%B1qV~zhhggn;xZ$-#sjsG5mJl6Q1jF86~|FaPCSmS>oLLO`UuSCdW zjsFb@d93lj9U+f3{`Vo|vBv*Vggn;xKZ}sZ8vj=i@>t{lHbNe2{69j-V~zip2zjjW z{}CaNHU57f<gv#8e}p{N_-6wjg9093#v1>;2zjjWFM^QA8vil~d93lTjF86~|5^xn ztnqJ%kjEPT76^H)@$Z0;#~T0c2zjjW?}w1b8vmgPd93jtgOJA>|0xK0tnr_XkjEPT zMF@GU@n4CM#~S|)2zjjW-;R*S8vp$Wd93k24Iz&;{^ug(vBv)rggn;xUyYE*8vmOS z@>t`47eXFu{2xTfV~c+WZtym5aQ?y;{{=z_d2I2&KoTL3E&eYkAmp*dKZ6EB9$Wky z=p*E@#eaesLLR!M0A+o~1Y3l>AT$7w=f4iPAmm|dl91&;cq8ONciw@FK$cesM#y7J ze*sYldC;A5==vKH5%Qor<<R9fWFX{0cgms5KgdVOLrxN6K=HpoIYJ(C(hsV<LmfgM zwvr6le+8`wdB{pcRQ(Hj5b}_fCaCfkCL`owEA5cYXPAwUhpc2k)o-u}A&)KpCagrr zLuM*b^-tJ<kcZ49pvoWEj*y3p^r6as*oTmZ^wd%16^<d~AuVZC`GB(sc}Pu%D&KGg zA&;&6*>D>nkFET9@CYG~t^5&qg^<Tq{y2O@$YU#i3VtBuv6Vjy{v+hEl|L8Qu%Cah zAQrNIjbT4{n;^LS!Djw}3y@R;>VJUD2jwy3^n)x9D!)K!1eZLh`~rnBE_rO_*N$%p z`$6Rwy8X!Vpz;gdeq?!2`GsyjvOFkngD|rD8bl$v0_1;?-5`uh9%MHN<B|v24Z^tO zv8AsHYlQuv^o4FevOFk#q1%rv4@zI?_9M%K{0_p%?t5?wQGbEr7hQhFWrRE^e$nLv z?jYo`#V^NGgghvI(e)pAi;xG!FS>liH-tPWe$nL({v+hE)lV<j5$PXW{j@*;A&;$o zijYLeW2>J8Alrz*`3n?x=<YkAh0u?+elkYLW2>JmY!LF;>ZcDb2zhMv(+XdNJhu8N zAq*jpt$vb-N62HVpDv^$<gwLH9R&z^NKQvBpB*X@@{pW>D*vMqArDIX=;6De3n7oK ze#)4PkjGX(Da=90W2>KTEJ4U)tDh#UMaW~TpFFl9<gwLHJB}mdL3tP5e+3s1^4Q7` zjhhI0Q2Bwb|G`6qJgEFYm!I(pArC4)(B%U@BjmBw9~^%W@}T+yUH<_VSp5Z(f#3q9 z^MPK7Vb))TNaq7h5XY1Um0uoWEN!6sfg8N>%QIXu0b7cEz7n$j2|h6W(13)F-@wkV z%J7HDqpm-P+5f;7zx;;){PGn+_~jWw@XL3EBIJ?FZ<zZ8!V&Vw<u^=zMg&40x%`I7 zD?}mWk;`wG{EBFVJaYLBlQ)P($Rn5EF!>#E2zli46DIGFfRIPd|1kLzi3oY*`~#B@ zNJhvb=O38-jTD4Da{htIC!`_dk@F8s{zW=M9y$NO<O?zp^2qrICjTP~A&;DYVDb$) z2zhWqhNe%LJVzdW`3d>><s}NS%fr(Df+DCqmi>L8{3qd#kO##d2!rYY(EdPlc~Ja; zFfMse{DCkoc~Ja;FfMse{DCkoc~Ja;FfMse{DCkoc~Ja;FfMse{DCkoc~JfYVO;W{ z{0YLi<U#opgmKA(@+S!6k_Y8a5XL1B%AX*NRUYm97g+l9Xx0KJOHla=@*9YQT)tLx zA>=`RLziDM5g`xq8@jx}B7{81Z|L$4D-rS_zoE+)Y(U6^{Dv;SU^_w{ly=eOIZhzt zL1`CV-r_t$9+Y;`<uk4!<Uwf{UH-x|gghwiqRTV9L&$^DF1ozISA;w$?V`&k{6WZr z(k{CE2_9{b5CbUwKxr4mL5{y4!U%bg90()JGaQ2Ee;n!KL$d}*3)p-x1Fih}(Scu{ zp%1@2$7KBS0yFT-OU%VDudoQeyvB0;@&;@0%Uf*3FMnYde)${w@ykCrf?xi{N&NC3 z&f%B;aT&in!%h719QW|c3p~azFYyAu{DzPC<#&9;FMr@Se)$s&n&4y&%0FNRTKc}g zieKKs6u*3i1Ah4jPWa^`T=C0aaK|t2;e}uRfDe9o3xE9b8v^mmYlPsJUl4|0enl*P zd4&Z0@-vd~%L}C9m+wf&FVB#LU%ny-zx;<h{PG!v_~joI<ClL?g<n3Q7Qg(Bdi?SM zP59+cwBVO_XvZ(VqZ7ZpK@WcU6@B>S6(-`B*I0yKK42Ms`H0o{<r6mGm(SRWU%p@$ ze))?1_~jdp;Fs?>iC=!gIsEb(H}K0F+{G_%@d&@X!*l%d9&hl=2YkdYAMp*pe8O-1 z@)-<>_8YePrGOQ`JO@91c>xjp@)DBx<rU=c%WEj(mp9PBFK?lXU*5q8zr2Sze)#|! z{PHhc@ymbk!Y}{BAHO_9D1Ld4DE#sQ@%ZH>Qt-<wWa5|C$ipvhPz;sFF+Q-u1KK~p zA%B5@{0{>13ZD4Q_aGo&KtMjg4Zryn1mqVGkUv2{{sRGd2c+>ASowh5f6pKwKY@V! z4g&HI2*`5~h(7}Y@(~2&8({JbEN$4v7c8m~?RRYb_ZM{td2Idv6I&7Tu<%9h|E_q0 zkjFOuu|gVAzGLfOf3QW!W9y#>L?Ps{_3tN?BjmA-4{Vr^kjFN@pl}%>k8ON{feV_y z8Ccq|jc+_~K*(bYpA$6@d6*o?3{d#N-11-+syrx;@X3SX2%kL29&Ga1&IbaGPbzp} z&)=Z)fk5&e1mp{#@*sOb7~Ovh?&J3#x;(o7(B(mPAo~wp9^L*KtdQ{=kUkJb4_|b7 zbo<feL1rM^50l5$|BY~k*bkBinGMqq8$ayuLCAyLhAzJ&7$Fbx8@l|9D1<yn4unDO zgPEToi(meOHh%d9`uOE7Eb+@L*dpYyjX!E|BJv-$@yi9=2zhMdpAvisd2HjS69f?Q z*vdx^VT3%k@~c4<A&;&6`XP>x$5wtZ$Rp&jm0uN#2zhMf*9T>UJgm$>j^7M5ggmzL z>wyMB9$Wf}&_>8(OMe%15%Sp5uZICb9+dV#7}<RXjPT1#Bq7oVw($4JM95={zls8c zJhu2>QI3$umVR#3A>^^8KaMtpJht>}(TkAB7CsqM5c1f<cgAdlJhu2bu?QiLEq;Hj zM95=H9~v7G^4QW>#14c!w)EMtA0dw|eeXDikjIukUYte9gVF{FBc~6Es|b0l@qY&) zk2U@uBjmBh|0{$%*7*O3kjEPTKN0d+<DWqX<R$R<KGyhWN62H1e?Ejf*7z4i$YYIv z8H7C6_*X&5V~u}pggn;xH$uo`jekpoJl6PkK*)mw3T=E?!UG|XHU9k(@>t_P3?Yv- z{$mmHSmQqhA&)iwa}e@a<G&aok2U_Q5b{{#zY!siHU2vg@>t`)A0dx5{--14vBv*A zggn;xUy6{&8vkn$@>t`4GeRC~{O?A{V~zhq2zjjWe-a^&HU2Lk<gv#8b%Z?D_`i>k z#~T075b{{#|1CluYy5vf$YYKF-w1iE@z10S4pvb65^MZ(A>^^fzaT;$Yy3+f<gv!T zJVG98{Hr14!45^w|9S{{tnqJ(kjEPTHVApF@$Za~#~S}$2zjjWAB2#{8vl_9d93lD zfRM);|LF*Mtnr_RkjEPTr3iVf@n4IO#~S}F2zjjW-;I#R8vm0J@>t`4CPE%-{4Yev zV~zh62zjjWzaAlvHU76D<gv#8UW7c>_&<t}#~S}<5b{{#|1v@zYy96r$YYKFhX{GB z@&5uLk2U^3Amp*e|96Bu*7*O2kjEPTta{*R1(iQo<DUm14_1ht|3wh;SmR$BA&)iw zl@Rh+<6jdYk2U@c5b{{#-vS|zHU8}p@>t{F4Iz&;{(TYhSmQqgA&)iwqY?60<3AZ8 zk2U_Y5b{{#zYrmhHU29Q@>t`)9wCo4{@W4qSmVDBA&)iwry}IB#{V3IJl6PMjF86~ z|Em%5SmS>aLLO`U??lLBjsF7(d93k&93hW2{?8%gvBv*3ggn;xzl)H^8vjoa@>t{l zH9{V1{C`5oV~zh`2zjjW&!`X91}a~$#y<x_9&7ybBjmBhzZgOuYy8V0<gv!TDncG> z{Ocg(vBtkKLLO`UTOs7J#=j#%9&7x2BIL2ge*i)rYy5{J<gvzo96}yz{HG%1!4ZjG z{^ug(vBrN1LLO`US0m)H#(xt+9&7w}BIL2g|3rj5*7%=+kjEPT^AYk`<9``K9&7xs zMaW}~|1Ai4tnt4GA&)iw4<qEU#{VgVJl6QXh>*t`|2GiwSmXZzLLO`UKS#)8jsJHD zd93mO6(Nr`{{JB4vBp1(0od7~@&{}Db0g%j#=j6k9&7wdBIL2gzXC!YYy7Jt<gv!T zK0+RA{F@=<vBtkGLLO`UyCCGT#=kd09vqP9`9ByTk2U_I5b{{#KM^61HU2XY@>t_P zA0dx5{>u^aSmVDAA&)iwTM_bD<G%+Xk2U@$BjmBh|15+&*7#q9kjEPTD-rTo<9`D} z9&7w>N62H1|9uE~tnq&gA&)iw&m!cp#{U(BJl6QXjgZG0|Bn#zSmXZ{LLO`Ue?-V* zjsG7Ad93mOA0dx5{@Dz{!3HXSu*N?xLLO`Uiz4K)#=i_g9&7w7BjmBhzZOCsYy2A` z<iQ?6&;OPPd93m8fRM);|LzERtnu%MkjEPTp$K`b@gIwj#~S}B2zjjWpN){m8vjKI zd93kYiIB${{|yLvtnuH0kjEPT{Rnxi@jneAk2U`1BIL2g{}O~e*7#q8kjEPTn-TI@ z<9`=I9&7v`M95=}{}Tv#tnq&VA&)iwuOsBK#{WHpJl6Psijc<||8EfTSmXaQLLO`U z|3=7TjejO1aI}ER7p(EmiIB${{{jektnn|7kOy0Yp8w?$@>t_v4Iz&;{&f-ZSmWOW zA&)iwtr7BA<KGz}k2U_i5b{{#KM*00HU1+I@>t_P9wCo4{?ichSmQqrA&)iwOA+!| z<G%(Wk2U_A5%O5$zY8IcE&exLF$N2R(jT_?e{dTik1hTM9wX$j#lOQVggmzRFZhU% z#}@w!ejwzr#s7u>2zli57hvme7}yc}uaVDRfXN&1A>@(IUx3Lch$7^X&tHJaPmn># zV@rPrlo9gC=P$tYf6zk6BcHzjlUFc8$RnS>0Fw`}M93qbzW|eOa6rf-pT7W;-{6jr zM?QZ6CjY<>A&-3i0!&^Y3?UC%_X$c&$m<InViEGl=P$tY7o;HMk<VX%$uG!8$YaaD z7m5(_$mcJ>^fOc;<dM%`fXN#)BIJ?JUx3LcbRguB&tHJaPv}R;BcHzjlRq#GA&-3i z0!;qHT!cKf@<(AQLLOWB6R-v$kFES^*o=_JR{m_*g^<Tq{yaE{kjGa32%JR7V=I3g zE+FJVX#<3j(?>xEBL8AD-vGKk6I4Ed%m?K$Wc|qUpz;fpMsUf4$}dnD<C4c#erX(l zte*tg4=TUV?MIdem0#%gBg=!zFLe8n<w0Qs!pQFXa1UWW$ZinEB@eP2gmKA(>;_?6 z^4QYX3l_xs8&LW}w;x#^l)ljIN0tYrFLe8n<w1T2VPy9OOhMFNp!h|X=a`F-2gNVC z{DGwid2I1pu?`^*ieGg72HO$xp!h|Xf3Y7S4~k!O`2{Bs^4RL9h>Hk$Z1t1CErdL_ z`su_YggmzTso^z39u#-z?z8xUkjGj-{XxiMtDjb|BJwY``YC}AA&;$ok`PD8W2>Jo z$Rp&j)lVH72zhMvlY=2b9$WqN!xABnt$y0zgpkKpKV^6$<Uwg4J$w~H5c1gSryDT{ zd2IF5gj9q)w))8<4<V1Oeqty`$YZOYcGM%}vDHr+6A|*Dyo>I?2Qv}!*vgL?ixBdl z@&jFez-oj%sQf^e=h%Xf2bCY_@(1=H<gwKs6-N>Bp!x${zri_(JY*LINEU)&c?H4) z-LJ^N082l}VlXy{2I+y6)(i}=v<^$t$b3kNieC+6)e(L*kd*=W)xh!$$bBFTawCX_ zVGtjdZ;-`cY!D4n1M>%n55gcZ5Dmj1KFp8EVlXy{2B|RxF&OZ>*_;S9mQXdYGzCjL z$b4$WDWn91TF3xN3kV*BZw3{Bg#j$Qkoguws2Obj0-c2gbvBp+#UYphB|!WlC>utB z#9(X?4dPdT7z_*yusjUQtH}IfBGi-+p{5k721bGGhp|C4h+hU`AYqUg5|;tQht&r# zagZ2{4WdDOV#)+qy$R9-!XSMh8iql9Slx>(24jP0kQ#cX=aFoeAt<mxfeyuJTyWYj zKodmcg4GzI38HbqYK}qefVCZAZA4_g37SSUF4!D>G(j{jSdB57AQ~5}hM4qxoCr7T zp&5?G1=}^)(wGC9Bhk2EH`}8LqH)1$9MJ^PxL`F`i3meyG>vFnusL>Uf@oZ@8YeVC YG%i>TG4Xzl2shiJ8IHyU+XXTP0MdK5KmY&$ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini deleted file mode 100644 index 67eea97..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini +++ /dev/null @@ -1,50 +0,0 @@ -[General] -ARRAY_DISPLAY_LIMIT=1024 -RADIX=hex -TIME_UNIT=ns -TRACE_LIMIT=65536 -VHDL_ENTITY_SCOPE_FILTER=true -VHDL_PACKAGE_SCOPE_FILTER=false -VHDL_BLOCK_SCOPE_FILTER=true -VHDL_PROCESS_SCOPE_FILTER=false -VHDL_PROCEDURE_SCOPE_FILTER=false -VERILOG_MODULE_SCOPE_FILTER=true -VERILOG_PACKAGE_SCOPE_FILTER=false -VERILOG_BLOCK_SCOPE_FILTER=false -VERILOG_TASK_SCOPE_FILTER=false -VERILOG_PROCESS_SCOPE_FILTER=false -INPUT_OBJECT_FILTER=true -OUTPUT_OBJECT_FILTER=true -INOUT_OBJECT_FILTER=true -INTERNAL_OBJECT_FILTER=true -CONSTANT_OBJECT_FILTER=true -VARIABLE_OBJECT_FILTER=true -INPUT_PROTOINST_FILTER=true -OUTPUT_PROTOINST_FILTER=true -INOUT_PROTOINST_FILTER=true -INTERNAL_PROTOINST_FILTER=true -CONSTANT_PROTOINST_FILTER=true -VARIABLE_PROTOINST_FILTER=true -SCOPE_NAME_COLUMN_WIDTH=152 -SCOPE_DESIGN_UNIT_COLUMN_WIDTH=121 -SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103 -OBJECT_NAME_COLUMN_WIDTH=123 -OBJECT_VALUE_COLUMN_WIDTH=49 -OBJECT_DATA_TYPE_COLUMN_WIDTH=75 -PROCESS_NAME_COLUMN_WIDTH=75 -PROCESS_TYPE_COLUMN_WIDTH=75 -FRAME_INDEX_COLUMN_WIDTH=75 -FRAME_NAME_COLUMN_WIDTH=75 -FRAME_FILE_NAME_COLUMN_WIDTH=75 -FRAME_LINE_NUM_COLUMN_WIDTH=75 -LOCAL_NAME_COLUMN_WIDTH=75 -LOCAL_VALUE_COLUMN_WIDTH=75 -LOCAL_DATA_TYPE_COLUMN_WIDTH=0 -PROTO_NAME_COLUMN_WIDTH=0 -PROTO_VALUE_COLUMN_WIDTH=0 -INPUT_LOCAL_FILTER=1 -OUTPUT_LOCAL_FILTER=1 -INOUT_LOCAL_FILTER=1 -INTERNAL_LOCAL_FILTER=1 -CONSTANT_LOCAL_FILTER=1 -VARIABLE_LOCAL_FILTER=1 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk deleted file mode 100755 index fbaa6f2e436f17809d70d2d4743263d7ab4166e3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1280104 zcmb<-^>JfjWMqH=W(GS35bwiwh=>D(VtCOY3}rDeI51c+@G>|s$T7$=urV+&urPqc zAkr}PF!}})L>xwQK)4LdP<;|01_J{FIxPcL2cto50tta=kbNLFHY~6fA_}7!1R#8n zK2{KO1BhT?U_hfE?&b$ez-VNBVEYQ72BFaw&p{?LFu-VJeW0+}5h(;VcSj~FJ;MMj z%&-7T!}NiI3#9J^RNo1xJ{YY4Qoz8#0Ha~y334L{TR_7TomPNY#{i?z^+iDSq0=r< zeJ~ni2S_ORX-Nu*jcyN&53>hG!|V%y>TB2z2~TvIMH6H?0|T6fa2W!hmZX5f1<EBz zK}=_02!h&&D;_+chQeq_Y%(zD=VT_Cnds-F=;mbRl~(9hSeWUWndlYg>luOV2KgOi z2PjRs`-L(vH830iiNW-P*dh!J;B*d>ztnk!|80-&+^uf^)4w%1>dsng2@XGy86Z6% zH6VjQ(EyEN5SIbOZe?Hq=ShfD8^PirF%aWo>bYDHjSCmEGB7|=9;yl^ZtUXs_^^w6 zGGZ6s#DiV@96NUL{~XxGoB6SeFXO^4K9vo-cp)!#@oXIaT7n~doN>745)S{$g7PG4 z5QBNI7_hr16-Ri6<8Wsw4tvcwv74`iBYq{Au&Y0bBiw%Ah(|jd<_qC)=Oi5BemMNA zg(IDW;E1o6IKtBzNBXhEk$$G*Fb9-JVPzROVxfc*4s%*?_-h7^_<DpxyqOt$d@aKv z{t-v`9KoSJ2S@zs;7HH+am4#M9OgUXFn<n?e4&HGoGm!grznna*2W<|4TpFxj(qVE zhkG1Zu*b^<9R8}nkxq8tNN<;LxJL^|{7T|5rvyhnipCM16L7?@H4gP&INTG8!@q7g z!si^0c$t7B{e<HPe=QvO{Ui?cc{uVND~@<?#9{s~9O1)?BYetmn4^Uw-p}F)&o~_6 zvkXVP@5CWK1xNV!;3&8GaH!9~5x>uIn6nl~dOL>0omx2j`v^yPPQwwNc{uF##1W67 zILyC~BVG>Uh<7<0;ZTF4y|D|2e|d0(&m0`$XK<u*9vtTX$D!U6hxrLO!a)v4c#7Z% zPaz!P5QoD((KysM;|Tw19O?EM4tKuCk$$>x*vo^%9A6yeNehm0dm|2a-o)XrS{&|l z!y*0xM|@f0a8C*j_vi96FepLWLMT+cXNYfnN@`JRdS-D+YEg)<b54F<YDi*IPAWru ze0pwvUVL#$Vo^zaJOfxMR)v|_nek;g`RVcLsU`6RMfu6`$@zID@x>)YrO74n1tmoc zVA<sSyyBAhqKsm&n$+Ur_|%Hj<kFJVc!T(~#Nv|py!`m0)N~M)n4Zo6HZ>z9C%!m2 zBQ>QoCpEsLC^4@%F}WlY<f_c{yu_UN;+*{Q_{8+|qSW-nl2nKukV{JQiZj#mQd8oS zGE3s~QlX}SWKvRdQcF_fi%XLVit^LrGxN&wlM}&4W~RlbW#(lTXQZY;3<6o6mYI_p zUzS*u2?`RB^T6Q*kp~$E(ShJYtu4(<EUJvp&CDw;2FqpUWtL<n=44i-#+M`(XCqk) zmIj9pL{DNKlI_VEsma;#MTvRosqy(~@x?ir$*J-A1(5J8&de>yNhLloi%U}CbMn(O zlj9SMGD|Yz;b8=4WERJ#7M3RFfZdc_l$uzQ8lRF1@)B4C>`F*1g2Yl&kUR*FBvAZ= z;<lhDGruUaq%uCYG^Zr9AO{*bpfF9&&r41$K^O_QKP9s)GX<&;6u59hvBW$$#gu`J zD@)8NO^q+f%uS6qKo|;gVPZ~vMq*wHI0kb{AqK<J9MqkukoW<64Xbsa6bZ5mVlv1+ zv`ER!OG&K&MG82<f}9QUUwm<LVoqWaLSI2qenBEAnIb1-h%`u7acW6?Nk&m>Vv1)< zd|G}{d}&@$VqQvqE<y=Bpg^fQIX^ckGY{ga#GK6ZJg_=wtR>|n7MG-^#HSQxmZcVf zvSMmpdPxRY3D~cotO^d*OmNyyO-YBC1P)QG0RatAP*}tlr<NooCTAlBcS>qvF-Qd{ zi-2v(OifLV&nwMMEy_%e2PHj_Y-&n;W?o5rVhS{kgZvH3f!V3?X{CAKoDJ3tc4BgV zURi2UNqh;YSV+u?FEutoNJ8=*Eb$}6u{u33KQBHhCqFqmGcP?pB{e6pG9Kib#GLs2 z0;F^Zih<<PqN3D1NHGRV#wqzlxrupDMWEPEEdxnIwHG87CFZ7<q(Wl3xS+Hc62u^r zip%0lDhpEM!6isRVo64PUScjJkc&%lOXBnMa$wO1_HKD%W=VWvUJ591<MUE0Km{mD znMNS}B$cK)78NB{x}#`<I5#;lCkGT^cnc0gT%|C`H$}<uB}JKuIq}K)rLf=t#a?o5 zL3~+aPP|`mkbhZfQBGn3I4;0JQ3Ym#QxuvrKv^ZFGA}VV6J#u+R0pYrXabpyH7=nc z4^D<yDh!am+|*o<vmmLnxF8?uBv>^9&-w9*C6HpHDzzvdY%SbIsG4|KDF&7WM^kZ0 z5jZQwC+8Pbf`yUFc32{g2L(7-608SQHX(S>8VIfylmQ@B5JU|qmBAeX&ZC%h9#~~@ zW^QQ?S{V(>X;=&S%)FA+^wgsGf*fd-l#!ZP5RY&>*hH{v;iU|uLPAtxU|H1iA5ykM z!T~Ie)#32GR|YK)(^K<Oi$E?<Eh@?{0+)86vcDKqvnN%?!{Z|bo})m9gL6`OQ6{va zFG|e;Ro##*1xh)1TMFO=11q=Ui!-ZI4G~_(>S~ly1Dfm#@{6El0Vtti&5>vo9!MRe zLLgur)CQ0{38+L4PEct9%Gr>_4hkhiy`Gz&!T=5za3TVww|H<q1SL+89wcj^i8?tK zl2^dyKq{Gn%6NnL3WQR$QW+ruNiF%%qA;~2KDnr@I6kE`w*Xran46fBlb;OH4NCB! z`U+H#l%~RpX4JA3>>{ku1PwQEOR%^gH90e_5?aQmq#%U~v|_~5`T`l0ni8L!SezPP zk({4fkXVG2wh}>QYdlmKoPH3F$LB6ke1erDB{!&Bpfx6xDnf3hqJ?sNF>>99)jBMx z73@Hq1p+*qXBNlj<(D9}{2*~-0oG1jxWhskqtb_4Qj!m<`9UQR!dRFm3qT!=;?$hf zWTd<WlPpS2%mFn4z^y!_#u})E4|NFuHC)guA8?v4$}9%e_8@t%ji5@bqBt`*C9^0V zTyB8Mn#{ELqSV~Pf&y5RACy~R=^m^NRP>gCN-AhW0HwuIoSIjhS&~@=O(r1CAm^eM z_oXQX@wtg5$r+h>NZk-(;}DT!<8xArVaWil8(L36>UDzIJgGFzGtB_CfdG#oXgeLL zEC;m_L9T~~AtW(CdS1v4>-fyPf>KD_rNF9Nu-e>GP=f)rwGYi^DAwhs=7QoUCBGEZ z@dULFz}n&71p5pW75RDbC<z_8F$az>NZhBQmeWwTrsWqUr^Xkh=A?qMIH;)wZ5|h; z7U$=bf*QgQGe8lE)ozg66LXN;Ner3AnYm6ynJMY1pzd#YN>Vtef+}K&kI%_W0=0ZW zi90tjGmjxYKDi<h)Wrn%0~sK#6cAOMS^}by3o03MGLwo@GUK5&dwx-Tc4|>xYK~rU zK9W=t#5xqIWYDCi8H2lzr;~HMk)ELmoN0_;8tIub=$953>1X8Urs}8W6_+F#>ifF7 z>PKYeWad@qmt~eErsNwL7@6o9>W6_j`cPg@W|DqRUWJ*7UcSDb9tf2b6hlPx)02~R zE%l7`4D^#S^FUJISy|9H10w@6WJ2K~%M1-RZuc|8eZ~T3A^Qn5KMV;EW`^VZ46tPc z3{xgfV*{<sxCj;70_HO_+=22-r7}4g7?>EILzX=-z}6?g=IJl&0GZ0bZ~(gg046>G zD$Y>=nN@~~!}tPd;tGYJ8Eys!2{dtksJH@}_=+NkdJQyj?P7?y0h;()sJI21cuEOG zy#t!~OQ^U9ns`<zM1267_-m+m1e$n38AN>on)oNEcm|qySvf>~0h;(PsCWgMcwGfV zeFK^}PbEaW15LaQDn0>CoU00=eg>L&A5?q+nz&3gMEwdh@o7-;4QS$0H4ybX(8T9K z#Sfr~>(oNjpFk5|1{J@6CazTnQGWwXd>vH$0h+i`Jw*KrH1SPP@egR?It>u@KhVTC zK*bpfQPa6eBSbw1n)nW=xB!~CQ4>VH1e*9ZsJH@}xK%Smy#|{2KB%|>nz%&^M7;%? z_#UXZ1Dd!)D@45qn)nf@cmSHXXB$L)1e&-^J48GIO?*96JOfQ!qXVM808M-;RJ;OB zT&WYHz5z|#q6;G4fhK+jDn0>CJgOU_eg>NOb*T6PH1W6|i24<1;*X)?8_>jMdm-v~ zpou3y#Sfr~&w+}cKoh?K6~BNc&eaDo{|1_P6jb~Hn)p1Z_zN^~`F@BwAJD|-L&blf zi7QQjsAnibP5&RJLc}@H#4Dyj#0AjAd8R|eCD6ndK*bf%#DC3zsMkOfPniV~H$W4= z4HdUQ6L*~rQSX2zz6mPsfhKM`2ckXzO?(AZJOWKzYA!^50-AU&R6GMsTw@+YeF2*I z3#fPnn)rzY5cLgc;{FRE;vHz>N1);p(8MhlLDbJc6W<RNUw|h5zz3p!1)BH=KZy7S zH1URTi1-dP@dc3(@dIe$3SJQL6KLWGpyC(M#0{YK-ar!<2!xpP08P9AD*ggZ`~p<` z1Dbe25X77xXyOXN5OIcL)bxJ=D$ao>-Vg#&FMuX)5DF1jKofre71ux$-w*~-Z-6E) z01ZzIG;s&0xC5Ga0aV-rO*|nQVtxdgxWPVdaDy)aO*{cAo`EJF5CvKh#lTR2CZ13O zX^~c-i5~z>P%<zuG@ywW)I-#Fpot4C0<DB(V3>d=&TteWJ_AiW;W$Km0h;)QlaN)D zE6~J0JcNjEKoei^7$Uv{O<cf%9UMLf(8L`)AmS&`#6NgK#4n(UFYtzl-#`<ekPH!j zfF`~m6(aruO<W-g((?X*Cca?}MEnQ3_zs9TLkVhnb~pqP=Rgxba2O&kfF_=B4k9jr zCjQ|(L|g$)Jm4ZkTmwyf!exlK0h+kMb%?kHn)rpA5OD`IafVkAaSt@{fIkrN0Ce$x z5b+2!@d5@;aQr5qi8HW6#52&uKgdGF3(&+9?n1;X(8L$qgNQevi93K6{xL8xbfAep zcnVQJ0ZqK%Ekt|<nz+Mzi1-3Dae<Ez@fB#|4BsH)8_>iPenZ4}povfT2N6GjCcc50 z3mk4I(8L$;K*TSgi3<oo#BZR9FA#)?KR^?|09q)*z`*bVO}s!6qW%M#c!DiN{0Ex& zg#?H=Ln&(dPso6XbD)WT$b^Uspou3GK*S}`#1FJU#1+uQ8+st(8ffAP6CmOSXyOf% zA>tNj;tQrh#2wJY56py!d!UIwm;(_HKoe(J01=Ns6IWOa5l=uDUjh-&Ko?&M5idX! zf3N}~UV$bauofcTfF^!mBSgFdP26A`M0^68_<~&!@fm314f`SD3(&+r9EOOmKod_m z0TJJTCVt@(M0^LDIKvf)_yIKW30EQFC(y(du0zBxpowp|0TI7}Chl+xBK`nPyx=xO z`~{l$f;$lL4`|{S?n1<Wpovd-3K3^0LrwnyZz19wXyOSUAmRdO;su`};u2`$4c{Q* z3TWaJenP}G(8L$~fruNRiEsE15w}1WXMnU%9MHuXA>tnB;-C!<3=9kb=;F)}^$}>| z0W1*l1T^svQ1J{j@d>OD^#$nSY!LAZH1Pm-h<F2<_yeeT2b%Z-4v6{*XyOT65b+sk z;vb;m3(&+L@IchBKofW1gNScH6Q95j5#NC(ULXJwKY%74AP5mZfhK-H7$SZFO?-nG zMEnMtcz_&4`~jMH0cfKHsQgD0e*hK#fF>T025Fc6Koh?J6=x_%OaJK*^&Dv87og$- zXyO4G5cLvh;uoOe3TWa1nGp3F=;DPCaRW5*gi46G1)6w4BShQ*O}wEKBJP1EK4Bt6 zJOE96!AyvF1e*AUg%I%sG;x8I5b+E&@q!Hy@d9*l(1Lab28IfB@dFU`4d~)0AmSb9 z;uj#|6VSzPK*VRDi$8#fFF+T60TEw;F8%=`z5!kQ2Sj`ax;S_z76Zcpba4(y|K$X_ zxBx`_0=hV80}cZN!wqzC=!U)r=;9g>b6%i}8$iTApow2Fhlu|`6F0Djh%;26rhfr< zh&TtD_y<3TxB!}XKo~?^0!@5FEJR!ZO<W)qBCdfZz90u8Zh$7<Py!LRKoe)U1?iVL zpot%VihH1m2i%9K4?q+DU=Ha|MWBf*SV6=S(8L>TAmSNl;tN0<TNoG^3edzaoPpF| z6=>oU&O*c+(8L4ILBu=I#0Abn#3!JMUx13wKog&E0iu2Zns~rPi1-RLaRF#MX9Jpe zffS@1-hn30AOjIUfF>>gEw@jgiEr2oQGWqVyx=WF{05qM!Z(Qc12pjo-yz~J(8L$~ zgouAY6W_qj1MZLfKo|cCQO{6`mj0pka-fNSI0{iOfF}On7(`qGP5i)dh`0ip_<|D< zaSe3wlMrzOH1PwcAmSEi;s&Q7;tpuy8=&GIXyOyjK-34Ii8q{uh)1A_7o3BLC!mQ7 zT!e^cpoup?#S75HFF?gB(8LukLCk4D6F&eI??4k5xC~K00Zn|t6^Qr@G;xP(5b*_Q z;tw7{#8;q+Z+HU{-+(4A08O7e(8M2bK+@F#G;skbi1-OK@dR0j_ysg^0eOh{4K(ow z3J~!JXyOwTA>uF4#2u6%;vdk&CqTu2pou?#iZfK9rvC(Gh&dc+;s&Y^aRD^(4N!3j zG;szsh<XJyaRqINxCWZ|1gN+Hn)nBOh<Xb&@dN{ixC5H_14+mPwg;McgB(OW08QLL z9wHurCf?uxF+TxK+`thco`EL5zzHH=fF{o13=ywD6HkDOH=v0hfQomZi7U83%$a~D z-T)P!fhPU{D!u?s{D3gTzbnwh8KB{|0Zlw117hzEH1URXi1-0C@eNS%6KLWMP;)Mz zi6>-2%(;Okz5y!!08LyV3!?r7ns@<J`~#Z!1*rHBG;xD$h&c?^sOf(KRGb4%`~y^6 z08Km~2V#x{n)m^ze-+Th8zJg7(8LqEAmRpS;sxChaSJqYgC2;u1Df~;sJI83_=aAH z`T#WXf<B0N1e*AS2@vrFH1U9m5b+E&aRJbVZczINO?<*+i24dN@qj51@dh+;fvFJj z4m9x#Q1J<9;s!Gz>Sv&de}IZFKoj3E3!;7nns~zki1-FHafgKv@f~R551`@)(8M<^ zfv7)$CSI@%B7Ol)JYf|?{05r%gw+u72Wa8}Yarq;(8M=vgouAY6E6TA$iTqB@B>ZU zU^7HLLk(*B{{R)|Kob}E3Q;eBCVoJO7u>#*Kof5ehKMVmi93iu#5K^wH;6*S4ba8K zAmSEi;u9nx;tpuy3DOX84>a)!vJmkAH1Pn?hC~Jih6ptA2T<_@H1P%U5OXrn#1AM! z#0${G71SW&6=>oUj3MF;XyOLdkZ|rm6HkDOPe2o&02QBsCVl}bz5q?!pax?83N-Nv zQ1K0D;uoOeJJ7@pY9ZzvKoc*3il0Ce-vAZAfF`a`2QlXcn)n2$_yaWY3sCVFXyO9( z5OY4Di3dQ%8ER3}`2?sq2bwrT1H>EwH1PtcxCEN`1E{zHns@-LoJSKk=!ckZfF^zc zT7FufiBFgYQSX2zE-()w?tv!W@E;-`fF>Tm07-8VXyOWt5b*>w@c<@>cm|qy12aUt z08M-Y3q-sEP5c2XM7#k_d;<qWyaP?VfD<A<0Zm+h8zMdfO}u~?BEA4!TmT}z0!`ci zT0U$*6HicpsNaDmegG<d08P9An%_^Li3cb_%(;Lj?w|q@zkwzmpaBtofF?cxD*ggZ z`~Xz^1Df~;sQ3>waRp6?`3!Za=|2D}&VeT002LQN6F;B@F-HPTTtOQmu7D=apaT)t zKof6(iW{JbUx12RpouH!Ld<bM6A#dXh<l)kUx11SpouH!L)1s0i5Eb{6VSvL7(mo# zpouFOLc|Nu#0#L}6=>oIpyCZ^;vbA4=5(NmJ3#A&325R9W)Sr=(8LR%;tSBkFF?gt zpotrpL(JKLCO!cwz5`9X!4#tY0Gjv*sQMFV;uqW@>Mx*)PY8pE-#`;*D1nGSKod9E z4iSHWCca<?MEnDqxWgWZ_zyJk4SONt4E3n#-{2rboC8h#!Y+uo0Gc?%Ziu)9x;WHc z1vK#w2O#P-(8LuEL&Ocx!~>w>7HHxOM<D7Q(8M=D#XZo(1&%?~2cU^JK*b}_!~>2) z)F+^eUxbKfpouFyfruBNi8sVT))7^piC>6=h&P~#ABcyDcc6(kBtpa|poxEgu2Y(U zCaw?zQNI99yZ|b`0!{n?RD1)PxB}GuJJ7|U?m2-ben0{;FK_`(JV6Q~egjRMK?WlJ z08M;>EJXYTnz(~JMEnDq_yYxq_zyJk1|^6%Lj!6$S5Se7bD)Vgs6)gB(8M2TLBu7{ z#2a)V;tFWu3VIN64K#591Bkc*y0|e!+yYH}g9$|30Zlx>3?lA<CjP-3A|8MyJ^^%K z1*kobCT?I2QJ;V&e!&JJo`EJ_U<VN|KoeJRfrwY2i8HuD#2e7WA3()B(8Le8LDWw` z6TjdA5ubr3egJgf9s>iz0yOajUJ&&w(8L?OA>td*#1niV;ycj99eg3;2hhY7{2<~d z(8L-1A>tR%#2-M#Z=i`E2!N=6fF`~m5F-8pO?*QTMEnDq_=RAI_zyJkf>4M!LnCVX zpAZHS=Rgy02#1IZpotemK*S}`#1kSR;tFWu7Y;zijWy844?@HZ(8Uiy#4XUp4@1N~ z(8LcMfrtm7i3>PD+!KK&zQ7S8o`5EP0XklqfhJyH2<a~rpot3@LBuQ2#5X|28_>iZ zj3Mef(8Mo5#V4SNCzwFg&p;FZ02N<=Cf;BQQNIFBT)+$>z5z{K!3rY215Nw`RQv#% z_yKE(`V(m46Ko*j7tq8LY$4(|(8LYwAmR_u#2M@%;xEv|4?x8~pou%ULe&326BlrU zh%+>yrt=F>aSk-`3GNW}0%+nJ{2<~IXyOO_A>s;X;tc^1aSb$ahd_w90h%~N5JcPp zP5b~<+yPCzAsC|G15Ml^1R@@QCe9EF5syF<KL8a^Kof5WgQ(9y6L$!Qh!>!VGekhd zE6~IbK*bx-#2X?Z>O0WH9ikxO6VSvNq9Nil(8Lcw#TTH72gE?suRs%j02SYWCSDK= zQNIICoFNV(egI8;0#y72nz%wdMEwOc@eNS%8))JV2@v%U(8Mo5#b2O_CnQ4De?Sxe z02Tj%Cf<+)QP0qfn*JG*A>tfp;tf!70W@)j6o`5WH1P(gxB{9uLn=hQ2AX&SRNMef zoFNUO-U3a$0V?i*Ce8qzH}OCdf8Ycu&jZlJH^Ant(8L22AoDv3XyPBB;u&b-6BHrp z3(&+Blpx|2XyO~7;tgox4$2Vq9cbbgpyCtI#1m8?>Sv&de}IZFKoe)sgs5MECVl}b zz5z{qffhvl4m9xsZHV{*G;s$Vi1-OKaRFV3_ysia2T<`FXyOa>AnG5Wi6`ho#9yF^ z8yG;uKcI<!fQtV>6W?G6QP0qVn*J|XK*TxF#2;8f#0AjA7g#~WCD6nZtRdnGXyOVs z5OEDO@dr?G12pjkwh;9eXyOTW5OD`IaRqybxCff}1E_can)m_-i24XL@dQVRcmkTZ zf)hkM15Nw^RJ;I9d;#ddXVAJeH1Px%h&c^t;tH-1@eVZc2T<_|XyOHK5cM<A#2MTn z;tSBkCqTtlpouGZK-6zQ6W;(8-+?CX;0aNG08RV?RQv>*c!C#1{RK4f4^Z(NXyOgt z5cLnx#07jH;xEv|7eK{7pouH^Le&326JG!oXJ|!D{|bH(^&Dv83!vfxXyOX~5cLvh z;tQbS3TWaA0TA^XXyOZ?;s$8q3V{&y7HHxOMv(H{0Zn{C3`E=mP5eVFL_7dZ+#wz! z9)TvFkN^=+Kof6BgotOLiC;*9h!>!VE2KcgE6~IzK=*$&pos_UhxAiA(8L9x{i6wJ z;v1mz<}=X5C*(rv%>`)U4tWsq6=>ogpyC_Q#5d$a)bBtOPbh$hA3zfqD1?ZgKofre z6~BNczM%-B{sx+OLNP@A0h+i#2}Jw_n)m^z_y;uc0?+~Ppm|#~afLF7ISg&6>Hh*$ zoC8g~p&X)K08QMX0wOMfCeBa^5m!JH-vAZYKod`>f~Yq@6BmGvqgtSeFMy7_I-rRs z9D<B1dZ39<fR0ZFpos^ZfT)i^6Bhs-T+hJ3kbowB0V<w>CO+X5#GC>&@qp71@d`9? zfin>C1~l;tQ1K2l@d;-k>L;Ly2b_b5&p;CwI1dqDfF^zcD!u|ue8L5Y`VDB}2Tb|E z^YS~;#2d^Y;s?;g9n2x(C(y(hEFj_+(8Lcw#c!aAH&{Z{KR^?Au!4xcKoe)MhKPSa z6F&eI|A8jnU;|Ol(2kn^9c&@u9BASUb`Ws^H1PvaaS1f>278El1vGI72Z*=^nmB_a zMBD&P`~Xzk0!_TZ38LNsP29m5BJP1E&fo$O4?q(?02Plw6K`;Zs82u>cW{G<XP}8Q zxI@GX(8Lcw#VgRn8$2ND8_>iZJR#y8XyOcB5b+6U;s>DOGtk5vydmlrpou&9K*U#| zi8J^@#5bUcAApMQKof5OT`<AGz;FOf+`%7W&IvSeh5(581vK#kQ1KgR;thci^$*a* z9fBa@FVMspf+6A`(8Lcw#eblQH-tdcGjyP(|4@iH2bwrT7(`qEP5b~<TmntJ0d(OA z=&lDeafb+qIT~o<43Q9V12pjiP;m=1@rEdfdIvOdhiHhn2bwrT3`9HtP5b~<JOWL; zAr_)O0ZrT?4kDg`Ce9EK5idX!KL8c4Kof6BfT(Xk6L(02h<BigGbBO8C!mQRfQrvR z6K_a{s9%64?vMfzUx6mhkO~psfF^zbD!v0vyde#u{s5Y|LpntK1e!QQ21NV<n)m^z z_zg7ihD?b12Wa9BSrG9TXyOc@i+C6q7(SqhAApMgKof7sftbV4iI)CzA>tfp;tY8Z zaRD^(15j}ZH1URfh<XJyafbqkxCWXyLm@=m08RV=RNMkhyrBr9-T_VAp%^0WfhNvS z0uc{D6F&eIk3bV|D21p`KofT;gNSFKi8GW##0${G4?x8$(8L=mAnF^?#2qRj;vHz> z3{?>E325R6pyD&o#2cz1>KCAiJJdkLSD=YA)I!8Jpot%Vitj)ZZ>WQ)KY%9gP!AD5 zfhNw-01>}{CVl`aegjRsp%J3~0h+i&6GZ$4nm9u<MEnDq_yMT+4>a)x&_zO^mR%QG z`fr7p!+|Ew&;}6~KodUz6_-F0Z)k_8S3nbY=zxf8pouedLc|Tw#1BBlEzrapx*+Nu z(8L|OA>tlr;tV|y@c=aO15oh@H1URBi24LHafd#Lcm|p{Lq9~k08RV=RJ;OBykP=F zeFK`f!$gR92bws;B#8I~H1Pva@fm314U-}27odqdOo526Koe(}3K8FcCVl`az5`9X z0d(OJsQrT`?l2u<&IvSeh8Ym?3uxj8pyD^s#2aQp)IUHIcbEkce}N{>FdHKN0Zse> zRQv~;c*7isdWLSa^gkCO&VeS*Fb^UwfF^zbDlUO0-Y_4cUI9(qVF5&315KP^Aw=8& zP5b~<+yYI!VG%^V1Dd$QVu-j0nmEG}h<E^+_yMSR1e$omQi%ElG;xPz5b+E&afam( z@d7mQ15oh_H1UQN5cLgc;tnez;vHz>467jG6VSvDK*eXEi8ri<s9%64?yv?Tz5-30 zVJ$>_1Df~&sQ3;v@rHE}^#{<z9o9p{PoRl2Y=DSgKodUz6~BQd-mnp({sEe}!zPIM z3p8<t%@FYqXyON;;y=*D8@52yGxVUP|E&;l4m5FwZ4hw*H1PvaaS1f>hV2mb3TWaE zJ0Rj3XyObzA>syT;s>DO7HHxPyCCWv(8L{fL&QDM#2NNL!~@X84?x8u(8L?|LewXq zi976rh-aXQGwg?m7odqBfQnb3i8ma8sBb_McQ^<U??4l0I0O-&fF^zbDn0{Eyx}lJ z{Q@*`ha(X26=>oNM<L=H(8Lcw#dn~IHynegKY%9ga2z6j0!^IZ1VsD-n)m^z_zg7i zhLaHW575LNPC>+9poue_hKPSa6F&eI|A8jna0a5Dp%*RvpM{8XpouepE|OzlU=TnP zKL8b%Kof5`4>3mpP2AxEL|g++oZ%uw+yG7d094!pO}ya}M7;x=xWi?LxCfdz!xe~l z0Gjv#sCWdLc*9kQ`UEs_hiee=3^Z|u>k#n*H1Pva@d`BYh8qy|4QS#HHzDF3XyOdF zAmS6y#1BBlXP}8U+=i%MfF|y62O_=#O`PE_M0^99_yMT+4m9xw(1o_3_79r4!+nT3 zC(y(h9zet|pot%Vir+vJZ+Hk%{{T(g;Sogq1)4a+V~F?%H1Pva@gHd74NoBI8T!!D z|5J!K2bws;Gl;kVn)m^zxCEMb!*hsw1vGJo7Z7m`G;xNP5OD)E@dHqC3pDYDR}l3M zXyOj9A>tlr;tX#f;sI#l2cY5+XyOfTA?g#*#2wy2#52&u8Qw$03(&+5K*cN2#2Y?9 z)Hk4sJA8zQcc6(ge1eEiKodUz6`z47-tZZsegT@e!xxD73N&$suMqJKXyON;;ycj9 z8@@r*A3zg#_zn?2fhNxI10sF_P5b~<{05qM!%v9%2Wa9BzaZi-(8L*jL&QIzi64N9 z|3DLO_ybYT(2th>|3bt$(8L-3LBs{n#1BBlCD6nh{zKF&pou#$K<0Bb(8L)SA>syT z;s>DO7HHxPOc3=BXyOjc5OEJQaRwHMcmSIC0jPKcns@^%M12C9xC0wRJOfRffgK`V zfF^zbDqevm-oOD--+(6WzzGrWKoe)+f{0H*6F&eIpMfUczztEq08QM12O_=#O`L%j zBEA7l`~XyZ2by>TA4L5DG;s%hi1-OKaRvd1_ysia15oiBXyOfm5cLnx#2r8vS%S{3 zLKA0z?#KUtCcXf=e&7e1cta4xe1-|A>E9q2BF=#({s1a2fF`~m1fpI7O*|kJBCdcY z&JYF>*FY1$02Mbt6JHPxQE!1J9uNT$cR&+oh=hoHpowpQiU**HCqzNiN1%xdfGz+9 z&Hti_AApKypotg6K+GvX6E}#3h*zMAe}IZNpouStgQ)L76Ay@oh)+NhS4e<}&p;D@ z02N<=CcYpMqJ9OMctR3Hd;^-eLNY{r2b%Z;sQ3Xi@dYUm^(WB86H+1K7tq8N(jekD z(8M1=#UG%FFGz=|e}N{RkO2|@fF`bx2@(H+CjI~_&M*-*{V&LZsOLZvPsoOd3!sTB z<UqtF(8M1=#TC%R7l1A{Wnf^?Kod{MgP3E0Ca#bV5w}1Se*hJCKoeh308#IOCZ13T z5f4BUS15vrN1%y6fQl!ei7zOIsLwzXPbh(i7odqNltRQS(8M1=#T(GX7nDKNcc6(U zltaWPpouF~K*VRDi9djfFF+GtPzh1L0!=)j3L?G%O<bWGBEADn`~g(_0Gjv$(1ptk z3=AjG#1m>E=3GD%SEz%C-#`<802P0LCcdB^qW%S%ctQh2`~#Y}LL)@{2b%Z;s5rwU zwDjKuQO|)Up3n>t7eEtNXn}}Jpou?#iYuUrFKC6R*FY0bXoH9wpouHAL&Po6#2-M# z9ni!VbU@U5pou4RLc{~m#1%jnE`#=Yp@~0$iYK6nFX)DtlYu6l&;t=KKoeK!g@{+6 zi9djfH=v0x=!2;5Kod{shlo!=6IYl35ubr3{s1bz08M<sM2PwoXyOTzAmSU)#1$q( z#CM>HKY)rKKoegu1)}~0ns~xgi1-CGafN9R@f&F351`@?(8L!^hp2ynCY~?@BK`qQ zTwx|e{0Ex&1E@H|WVG}@3!<I_O*~;XL|gz(TwxAGTmnt}0aRQ8O?<&zh<Xh)@q~F0 zaRW4Qh4~P13pDWuP;mz|@dXPY>OIiJ6Ba_m1JJ}37D2=#(8M1=#S_rP7c7RT&p;DT zSOO6*KoeJ33K6eB6Mp~|Z$J}YuneNU15G?(IYfK{nz+IWi1-XN@dr@x1!&?6RzlRT zKod_`1rgtXCa$m=BEADn`~g(_0GjxMH4ybD(8LqgLc}kii7Tvwh~GdHe*hJKfF{0R zJw*KrH1UKD5b+Oa;tCrf;y=*DA3((!rl6(&O%U}QXyOT*A>smP;tE?J;u2`$51`@- zXyOaDLey)Zi6?A>h#R1ZD{O~|TcC+QfQmbyi7(gzQSX5!p0E=l9)KpUunQs{fhPU{ zDxQEQzF;>*eFmC%!XAit0h+kNUWj-Fn)m~#cmtaFf_)J69cbbS`yt{J(8LuEK*VRD zi9djfFF+Gta1f$?1)6xmA&B?}G;xK)5b+&o;t!zW2hhY99D%4mfhL}C6e4~BO<ds^ zMEnMt_yef;12pjkpv&k${a-ZkgcA^RKA?#!oP>z~Kofre6=#@=mi|vc)N`PTC!B_e z3!sTBoPmf-pou?#iYuUrFE|TPuYo3>a1J7FfF`bR9wKgmCjI~_?tmt~-~vRw2by>S z=)ykGx#ei$3YQ@2BhbVjK*bZ##1~wKsLwzXPq+dRFF+GlxC#-kKofre6>mTjUvLeg zz5`7>;W|Wo0-CtO4T$&*H1P*e@daq&3vNQxuRs$|xCIg4fF`bR8zQ~~P5c2=`~aHx z0?<W>p!PqSc*0$XITz5x74AXAZ=i`kfQmmr6JKy2qW%S%c)|mS_y;s`g@+LFA86ta zpyCYE(9-`Sh<Xk*@r1_^aRD@Og(nbk2{iEsP;mt`@dZyI>NU{B6P`iD4ba3DpchG6 zpou?#iaVf*FL(hl#{*3~;Uz>o08L!s6+}D&P5c2=JONF7!E1>63^ehCHxTgxG;xKu z5b+8$@dr@x1~l;n?;z?s(8LqoL&PVbi7R}7h|fS1e*hI<fF{1+BSifQH1UK_5b+IY z;tHQ3;ycj9A3((qpouT|0#SbgO+4W%MEnApxWYGx_zg7i2T<_`XyOaLL)5=O6HoX7 z5&wWDuJ98g{sT??0aTn}I$HYw1yRp|CZ6ycA})X?uJ8vUE`cWg04lD4CcfY=M7;)@ zc)~x3xB;5D!heXk1)BH+sJH`~_yPvVIW`_>;t7lp@c=Y&1ty4i1e*8*sCWXJ_yT5# z`V2Jj1Qv*R0h+i1D@42kP5c2=ya7#o0UJbp2by>SJ4Ac}nz#Z7M0^IC_yef;0yOaj zoDlUZ(8LqCAmSU)#1*(9;ycj9A3((qpouTwfv7)$CZ50x5x;;YuD}Nozkw$H04n|f zO?&}AMEwgi@dN>g_y;s`1wn}T4>a)yP;rJCXz5=FqMidyJV6*DE`TPkAOaDWKofre z6<0tLUmyxmuYo3>AO;aPKoeIGhlpFCi9djfJD`a#kbtQ7Kod`pgop>Ai7QA!#3Rte zA3((u(8L!=L)2%Wi6_WF#0${G6=Wge6=>oQpyCZ^;tS*;>O0WH6XYS{6VSvJ6d>X= z(8M1=#TTH7FHnT2Ux6l`pac=$fF`b>3=!XfCjI~_egI8;feJ+Z2{iEpRfzZnG;swr zi1-aO@dr@x2Wa98)FJ9$pou4FK*T?wi7RMA#DAcPKY)re%tTB7S`hUdXyOUl5OD!C zaRnWSxCEN`1E{zHn)m`;h<Xh)@dQ1HxB;5Df<8pt0!{n@RNMhge1QQ(y$70jf+0ja z08L!M2qGSVCjI~_o`5F4z!;)F15G@^1R`F5Caz!#5wAcKe*hJ4KoehJ22tOECZ1pp z5ubo2u3!NXpMfU+04lx!O?-hRMEwdh@dPV~_y#m_1#5`-4m9xxQ1Jt3;tOmb>QA7F zC)h&7FQADl*g?c^pou?#ia$UTUtkYW{{l@s!2u%v0Zm-N5hDHrP5c2=oM9GP`gek; z=RgxraE6ErpouHEK*S}`#2-M#70|>NxI)xxpou5ALBtKv#1-5j;udJ)51`@>XyOYz zAnHBP#1lLr;y3ak{srCQ0ucdif?!~HfF!O05dxDhki_-CA`s#Ol6Wgb2u%J!5-$ad zKnMxwy_ulh@U{>kFd2X(9t{?O5I>;j4};WeLxjNO0qA*sAaMz>2!wEep6>(_*MJB? z$Q+1&LAT9-<RF3!3>-+}kehKJG6G2Akeg2-;u1*WED#|usemNT3KoG78c5=xu!e{- zFc=_-gWLoYw?Gny+@uMSaX=D>+!P5B_dpVd+(ZKr4?q%!oFo7dk3bTK>@<gnCm@MK zc0fbKGmyj~D|sN|1xVtMmN`Vc0!dsDA_OKIki;P|0TyQHKoS>*2!Y87Na7-35eP8@ zNn8{n1SS_CiHm_nAjAqJadC(cnB0IQE(sQa5Ic~>r659J@&J;!G*|>eoInznfe3-g z3rOO!U=av$14&#CA_OKMAc@O^MIgirByk0Z5SaXcB(4Y+fe=5C#FZdI5Hc4M>Y%iy z3=sj797y7-U=au*fF!O45dxDENaE^X5eT7xB(4b&0+Sj@;#y!42w{LEt^*MQlNLzg zx?m9q;eaHr4-o>B9!TN_U=aurfFy1R5dxDDNa99d5eSihByJ250+Sg?;wE4b2vL9} zZVC|slNCtfW?&Hr(SRgw4iN&A9Z2F9U=av00ZH5vA_OL9Ac<RnMIgiiBynqq5SUzn zByIy1fe;&z#O)wLU~&hNxII_|LL5L6cYp|i$rDK8j$jc8aREu(2_gh0Zy<>~gGC_3 z10-=5h!B{3fh6t<7J(2Ski^{}LSXU-lDIoq1WM!~(!U2(2t;upiF<+spjZG&+zToO zq9l;Sy+Hy{tbio$0~G^N8c5>4AOR>gKoa+Zih(E#ByoR`02DhQi3dQ%K$HiPcpyjs ziUW|ugP>v{DgsG77$gA22}t50P%#jdfg~OZ5`f|YB=In)7>KGs5)TInKyd?-cmz}o zM0FsEM}h>Pcmk4m6jTgE%|H^50SQ3y0wnQRs2GS^fg~OW5`f|jNaFEOF%Y!_Njw20 z0L2H8#1o-nAnF8?coIkeiZ39ECqu<R)D0x@6p#QEKR^;sg^Gcw7f9l1AOR@;fFzy{ z6$4Q}ki;`U0x%41kAcenOqdu00|N(=covel0Frn%lDGttcn*@d0+M(xlDGzvcpj3t z0g`w=lDGwucma~Q1Cn?llDG$wcoC9#0Frnyl6VA?cnOkt0+M(cl6VG^csY`I0g`wH zl6VD@cqNi}1Cn?Zl6VJ_cr}vv1SIhqB=H$Y;<ZTP3y{R?ki=IYiPs~EZ$J`nKoZ}9 zB;JT5egH|l2}%3}l6W(c_yr{K79{ae=4c3vq!9SbFZau%`3;9hH|xp$f(#z52TGX! zU+`!?!f_ZJ4F63}?G|MCuX<*;AOpX=1H*q+5FcD}zkKlj|NsB0hjt4xfG<COc>&Dd z1>%FcsxJ?K`I|s|P#5*(1~7jWh!5(TzFYw2F9PvFUDB5m!2DStKBz1DvH{GW1mc6L zg_i|jeiw)j>UzFR0P~wbd{CG3WdN981>%FcnlBx|{2~w^)Wv*h0On_b_@J)kO9e1L z3B(6=DPIbJ`B5M~s4MxB0n85q@j+e4mmmIt{ObkcgN7ttJ^=HbKzvYF^5q3E-wMPB zHRfI(0P~GNd{Ec%<pwZc3&aO?8DA~{^OZn+P*?Hg1TbF;#0PZ|Up9dGLLfe<YxuGN z%;y5}K|=~J6To~X5FgY<d>H`d|Jo(UkOAr%zH|WdKY{q5F5yc9F#i>Z59$iOQ~>iI zf%u><;7b88{}zZ3>iWH80P`<__@FM|%MX7+{yzocgSvVzAAtFXKzvXa@8tzBe;0@k z>e{_L0OoH3@j+d>mm9$RRUkfS=;q}DFn<w<59->zoB-y}0`Wmzx|a=L{v;3|)RlW# z0Oogr_@JSamkD5g6NnG$(!C4-^Q%C7P*?7y1DIa~;)8}}UK)V;Ss*^BOZQR%%ufRG zL0!3*0$_d=hz}Y<ddUFh2Z8vYF5Sxye?b2C0`Wmzxt9;Xd?yee)P;L_0nE1o@j+d; zmj}RnBM=|dWqY{+%+~_(L0z?%3&4CO5FgY<dpQBjmjdxYU9*=BV7?HD59*S=ECBPl zKzvYF>}3L&&jjLwx?nE@!2Dl31sO6xU9Xo8VE!i%AJpY~X#nQG0`Wmzt(OX5{v!|{ zG}QG{0L;Gy;)A+eFB!o6OCUa|tM&53Z;<~_f%u><*2@QA{vi+_)U|qf0nFb8;)A+W zFAsqEn?QU}SL)>kFn<+@59&g_Tma@T0`Wmzr<W7J{8=DAsLS-S0nDES;)A+MFAKo@ zE)XBoMS7V4<~M=(psvx&05HD_#0PbWUOIsJMIb(?EA-L;%+CVxL0zDi3SfQ`h!5)e zyc7WQqd<I6m**t|m>&e<gSt8|Kl}pu-wVVCb#Y!k0P~$dd{Ecs<pnU`3d9F>X<i-x z^Nm1!P*>*V1~6X>#0Pa@UM>Lhl|Xz@*X88|FkcG92X$FqHh}p;AU>$8^0ENT=K}FT zU6hvzU_KLw59*q{3;^?g?GR+h0Ch=TI)M3~Kzz`U<x2xF{}qT2>YBV%0P`P#_@FMy zO93$d7Kjh(io9e1^Dlw;pf1SE4?jWvKLz50x*jhdfcb|&d{CF;<pnT*7l;q)YP>uE z=5GS=L0ycO8^HWkAU>#T@p1u}zX-$!btzs>0P|;o_@J)D%LXui5{M7#LcA;h^SeNN zPzCfd0nBd#@j+dNmjPgY6^IY&D!g<6^NT=yP#58)0hpf!;)A*dFBQQ2BoH6eC3q<S z=0}0}psv761~5Me#0PZ&UViui^1l~|4{G|qd;sP<f%u>%|H}(tz7>cMYU;l{0OlKk z_@E~K%MD<@7KjgO+P_=?<|~2tpdsj&6To~a5FgaUf7t-$3xW8crv1wTFrN#=2Q}$m zCV=@&AU>!m|1to~|FvC^Ap_Kef9U|`gVd)@09WP=3=E+9-tetw=fyaW&PN{2Pd)^M zxEdZXJn7MEE3=uO;lJqP?Sc$n()9S{A+2JMUR%vaf(#zLtV$rt@<)lNM>p%T?U1Gd z=l=)%^5EwAVJPj<Yy0ydNWJMN5T*Igqw|Bu!FTo^2Y)boFdp-`_^(97qnkAsY5>Ro z2WkBBE({DX{UG->*lv9&$iPsl9qQ3+>$P2wfx)BmX$g-<w{6&VL53Ha|NsAgk@Ell z|6{DZ4+R+*V-NGoqv(HG{{R1fn0p%EfNt*b=-i_szz8yEiwXyro}$74qPttb^f8AB z77r-9+asW}N96|t14B22YJSPcKcz+GI|Bnl*Ay_-a*2QHp-vwala3G-WByiUMh1r0 z3^4s3%?A=ZI$Km;Fff3{AaoDd9*^!o506d(k8aNZk4_H`kQR`1w?XF?u#F%Au)CTM z#DL6r0CELF3dHR+=!OV%Zc({|WJPxYOXn69P(*h_sLm}ab5P`YI=86wKnw&?om*6< zfOsunW4e35JpT0uyQhHl@vpzAdBK5y-my*>6&;6;5*2O!z6K_cM^U^Q0dpqE=^*!Y zadh;k{AU0u>}mlsJQ|OH;@~hy1=Mp6AYCAzgTx>-14syD07wX`%mXBL0qO~;m=8$o z1gcmFNbCSq45Ypr9JC-+-2$2y9r))T>-14k0r^6izaMn;GQ<xcE!`Z=FaPsT0Y#@w zM~I3ve@hEIIw3}N_JBhO-CaAN_CehRcEbi#u>g>}R-lT3-M;`T1~Q}D0%S5sq}u?* z0-4b*&<(Nlh4=UW|DkM<BuIlcM`sT>in<{bNF1bze?3TI1}IK@R6x|hhYX#NXobdZ z^FfdRD2;&hfE?D%0Z{}>5ZyiC<O5OE0d*lLM!I`cK-|ugj-4lb7!SB~akzB!sI)UM zFt~I<X=t3kWdHa7KgeuY$TC7gR-_|DMYu!)66)Y+0XY?vd|xhw>OcwWm;F#tRJD~* zQE*mfouF?HPBb8$AUmNE0Zx%1eIPLq&A*MK`6bBXAWuO30`WB{kg_3R#o5`T0xHQs znxF=O6Di0eATbCHwht5sAR(wSa7G1%Kav<Y;ex^!NerAoK+%jO7U0q8qEdk*-~$pU z011F}gOY}eN&zHYfV6fCfTTc{b#uHh_zDSY2p1#)(xc7M>7$a<?W2+d5&>xfr3)XG zjBX#543M{dR8qQqR8m0E?4y$4(e0vA0Z9cuDly$YDhUvY2#{`&PrH3oBG6(UR1)%U z>j4*qppf-Z3F-Dx34s_M&<zPlW*?P+P9GJYZXXpNh>S<KkBSGxbQh56pm^){QE@>t z9h9y>($L_I0ELJJETMs%YvIxX&fZW3;KCM^OhKAJbhif!Y5@+-E(m#^PDoORrg&&3 z0m(zn1ji}JeIPLq-3?2x(7f0UDI`H<%M?)A!UHN>xcOT^ZNk?K-H<YsxdmLNc0zbi zL67bp6_AOYj4vC1{r^7!6?k;ksQmEgEK&L3(HWxh0_5En#~hp(K#U(AtPjCS-bLjG zi2uT)`G5l`bS{8+7of@9N99bnkIETHke|r*Q8~fc>7#N4qy(hF5u{-Uh_?f-VN17< z$`*)*4c$H}N0@z7Hgx)^tm*bqSpyMX(e0zM0upsgKzeU<`=~7GJlT25gYkkxmjTH0 zi$UTb8=OEk%y8%`Q2`6haOf~VkpjE@22|Jc*9=`QD$ftYLV<w)jSN6pe&qVUd1U(E z5tjb1km~<#AC(i3Bzy#vvLQ+M04P0zlJEi4B)lIa4obq{OtJx#guy}^kdiQ13U?Cr zXneB+)UNE@qp|@^Z&6tRrl+VZU}Ru8-l77%7!TYu1POI}By{$ufUaNYhEV@ccJ`=% zu65{!P^~BVXCCSZQAy_S{KNukRvm9q0X1PjP5N#Kv$I7dgpq-vdk>g;vHjiu|D7!= zpsWA7Ar^M;0rMDtbVB$Z$vt4D9-TcZpqu49y7z$T7k}RW{|{=kSb!Ye-J$}fJ6lvh z*E@m~f#~ijV7-RlUc|it)j&O9uXPtDbZ$`rT^rdAq5hxi+@hiZau$SY{pQF&^8l!D zh;Zp}Q3>bojDmRwdTAx7+ypT@TU0>TXM##fa0odjbhfB~nvmTP>i>z(78MbY$q=fu zMWq1}xS*yRC|r)WsPr&0fCuopA!_~~;GcP@(?unqBSgiYzf%lmbq92{4XB_883M8t zBnAqZ8K6MuY*Cp2qLZPH>TH4ZAiDQ}=@+}+K|%~%b3nTgAVWYd_vmyi0EHSvZVNax zyQhFX_hQpWMA&(BPk~s{y+s9L=|*r{q%*JrX4J7pQ0s*O#`S1C2x_u{TnUb%Zb&4! zbUP-vbhdz#lS?;*cKm+=Bm_!PAR#c_*`iVa39%BW|Btt*)IdWFrpodE0Z0Cs2SG9B z;L_=$V&l@`qhih9`4!v?INqXC0o4l%JD4j##(H#efZPd^hV^p5p}`7jjet@YC>4P; zL1>86J-P!cz+IzGh}R*#BajMk?+BDZKw2OMfjc&xkiJ{@7O;0glAv$~_m4oTJGX#y z5l9?t3b=m+PDNY5i3yro5%Qq^5ja(C0Vgh4>TLlV)7=A3%aHyND3v4kk4!)npfP{n zH+ath;!IGKf&2?{7swtE-Nn&zl7H&K4j&aG{#Jf=P=<yyi@{o&4-}wl@aP7&IzK=g z<WObc#wn!d1nX+z3rDanR!GRUsDN5YAoqaiZi9o*7&^Cri<E8%1rY$<jOmfwq5`Hn zTfpT5C^3V|ix(o$RDT2Psy!+YdW#Ckm7w$k(&W;4z@zifi<2Ow-8~Q`ojod`$pTQC z(&*j;E(~8}y#4>bdk-X6#JmONiWZ2X#v`Dz^swOpZActs9{?3=Afvk>&T2lu*a=}l z1v(++;maq#{{IJS1C>7zZJ>*EyIWL16hs?{1r_LQQ2~`ZFKd27wSkgB_JRKpg`nc# z<sFz5DF48vKuP@N-#-vHgTuJ_0Jzf!8a@DpFoed8Kvq}^hD9M*Gb<!dBO(YQ3hJhS zQUxTCTfoJw2P>%a4iW`5CP7v~)Pg&Aoh>S$jt@u_9L3-sCP)O*lK`0m=}CZW@8)>% z>;=RJ5H4Jsfab-{9+e}I4kd^J$v`youRqn<14%Ows<TH0)J5usP@O$0d%!891>DvJ zx1PbB35a-SkIELPG$_GzL%5EeC)~Pt7(ji?&CtFjh<0pv$>hjC<q)X!QFrMGQBmV> z0o|JWnxU&j1*AZSjep9)4i^>G4j&a2{uWT?M948i<di#nRFwEz+`&Z`OdmT$PO-yB zMS;IX8!U&E7g@1o-(w7n$Vy-(8YpLjLI*@c`X8Vo2wJ0e_JHd}ggmJK0WOJpASDr` zYyrtbA`zB2AgQMXJTwFjLr~&_HVe9^fDMKBKx9B=kTicw-S7YZ!95U=TILpTsnrR| zKiv=tR5pW5?qqx^{~HqVU~8de52zCfatee7Cta{FyI~0r<~ne)go!pEr~oBKaPotR zv4X}BL89P*gp0yTAutUSZ9Y%~DipwdVUQt^u5Se-!GiQd5-iBhZjKkG&miuGa6zsG z=>d0rOS*kjN<bnYO`xuC54Z&DhER~UU_rN!N&%!T2<|0=T+$6534!#Jb0BglAY(v& z2lba>z0_k2OfP@^g;<XqY@nD2sfW<uU;_m*NC>P9p5s9&9xe(EHc-Zci@}2ply?!L zAV-1%6O;krqTpbIWHOK#$Q)3}K|~;d2Qmc`cp#g*IbQsD0&zWr3)2Q3RYFR%AaRgZ zNDzV=oZXO|4hlj@y6uKgpup+@SD7F^Am>5C5?*wGN>hj;P!R!A1PU2gQ2`xQI>x~K zauIS#$_g$bIw7earU{gG!NG~OwE#92G?ohT2S^K8DJy7z1Qc>$4<lL&ATGAn0@xHh ztp$iY`K<*JP)-%DV}H%?lH~^^D!}e+K9B)&B`6NzZinVcP(*;lAT&5}fx;Oi1l9`o zB1&ZdO<bUYAE6SKCn4DzmZxEf3zXX7YN3e>l*vG1Aafvz3nT(bTp&{*i3?<NH^+<F zk03sRaADfOi3?PNbVCvaNF1aUN8$n{6KL{)q#Iae0&*TKaUs<w5Jlkh(hX@1xpaX$ zSfD_H)-Is@d(wyTgi8ll1`=#wEzou($W5TkOL_5)oZdjDf)W~`;u|)A^ztt;#W%>r zPR5sgf6)h!u#cZOGJ<-xKRj6P4uSqND9%9hHJ}va(YXcElIffRZeBpDUQqG?jeQw@ z+Xd=@cyu0rapl4P|2mA2`kc4JM}>#K1(d#CGaPeK(FF}L>B3~WJA72QN@T$@dLS9S z4i^=D@Gz9VN8=HY?S~D&dGxxd7=S7y@PI4W!fqcG0gp~bP=tc{;K8@!FQOj)|L@To zqGI6E#Q^Hpf;)U*w|7I_{vzYw|Nk8>D*O#KD*R0RQx2#wcDShUb-AeUb-1YLy{!BX zG5|CT-07mC04m_X#&?IPD0p;oA^4sFpl%{auDd9r^IPX955|iQU6u|VdsIMK0n{`{ zwieX%e)kt_vwTC1iaaySX1OjG6}b)<72TIl|Dh+J325mD6y7c>pdk_PKqGkE1H^Ly z1r2!E@djwB#YN=;Xr6_CzKhCv(5T6Ihn8;+{8K>#qkBMw-R@E)gh^n%V3TfigT|_# zyk_WjQF(G0lte*hgKYv2(Hwxv--C?~PN2|zb7=3r#y6nu0x120M-w`?fP2Xvol{^P zZW~aNg*JXcon^@Qj|*rtqelfqLF!jfFAFv(^ZX8YJO|Xp?ru>58Nl2E9!uzi@H~>I zKze7LQ&0wKUg+I}j7@-gL7;RCraK{>AW(V%cYz=yK%FNHzk!lW_bpKQ4RLL^7iee- zG!)a_1MZ$doCa#Sf|4T0^&Z_-5g^ZkMzuV;gAza<1$EFs@?f#fDd6rihz}O;oC4|F zB~Jl2eL?EMvpL<c30fBwhZkvgA?^lG)po-MTp*6}=yb{exg8=08CrriL^@A^d=U8n z;RBCu=paC6Q2~ez>3bGcfRZn`Qv~({yuk$ypKeH-8I<wBvfY6Z;Bg7h1lV8(NEvug z0u)`Ka0RP__pZUAx&<7UAW0Ax+{^%}?%V<nNRT+#6mT=+52%N=MFm84Zc$+YjW$6Y z(Om#)W`G+U@JU~A9R(ST>h1y0DnkY(K>Dx^N=U&6CBV*vwlYAj0=WW0gIg4k5QU5k zfr?k;#t5WQ(gRM!9^HWjkPxYWg$UGSa2Ej5MuGHUVS@|c)Vu|pNFjp@ptKAcTmaK( z<BKo0L!%#57K5T1LPKWmL4yk*O)ikZg&a@{M;crJbqqm~3mRMiWt11(cfo@Tpfvm9 z{~b`uXi)(xYCHnUsNhLya86}?2Cf@ni47hM;O;!Az6FIAga(HeBt&4!UNnG03+8ob zaDZnbA&!TsJCFiW2PvoFV&EApQ0oe01ynD11`1TzgTz2)Kw4fP5lG7mWCo<=1+ul9 z<Avf)i0>d=xHd>99@K#9?m_L83qU&Y;JASn0zKfWq#HsZ_0mC(1Giv6O&eH)sz+rF zv>XG4MK^?t)QMlsz`y{SCIr!)Jt|8;sT@Le_JE5oBuQ|?u15t#8UEh~THXn++F$tG z08f8|VjMb)2c7ip>;X@4gS-h!x7`pflI_!>LkJ++wdJHE|I~xv5d{9$*UX4PH%QdG z7=osiTEK%tAQPc+0FHW)=^!x(jT!auY!3=|kV2?t`bNIW_5c43!87k5XMpPD7Vv-@ zxZw>dc)B5#3&??>lmlsaBSk%=Xa^NR-96xv2-2no6+Iw5kOTlx1WijIDTpFS^8?cG zhNT^7uMWCa0F(q^u??Crh79;X6B5K!P!z+IboQt~6B>v@N)EQy;K>1^3p5r1O%V{c zfVw6i2ZPErXrchM{E^lzfD!~q1vH+(eJ;>g0Z0r&Gk{7E@JIw$4K#*bRKWA{U?Fg~ z%ta*wqz5Vh9==FG5&%~+;AwQIiV~2q0ib411}HPTs04s=vWrRrC>w*O`8i(1UIpc0 zFdM869DSf%2F+a{!30R$f%<XXJt`my5)?@J3lbEd%mmU90qHS;=Heka3?%KN;sKgd zhXe*_34o7^2Rxu4^Xp(|!|O&+fP#Dnq9I)*q-53E1FyCq@}Lneq{P$-si;8u!KOAt z%1cO|f)u&n;svR#(LDuhBz$~J0o0I`=Wj9o3GE<()H1h#D?sRkQFjZpa_s?6&2%!p zyz=A!{|$^Q82MWbz@vKz2SA3aK#m28foMo5K?=fd2n7jPP|EDy11_;%#9Rh9#lXo9 z()eO-0k6eDYKno>gW5HqSqD({1)^UpzJjGm)7hc|sZsWT>264|*m+_fsEr6}%ly3n zN)OP6PxGM+kg=e`7Sx=A&_W;~P@V?~LBjS>1xO53XMn^&bT=2oC!ov$%@hy;Q0f6W z5n=$OhJ_}o&QqY|D)55qI;Imq!38oKLW7+EiAuOj9Y9Wi_-zW<Z=lu}*m>P`1s<JN z9^GXX9-T!TAXC7?%@9{ZJT(Qpcn;=jj8Y05z{yj<F#@s&6u=-~fay*b6^<9BpnTK` zX@h`d(EJB70Mc00xd!naSV89$NYkK`Mdjt5?;wd5@Wi4A>w9S108&2e0WXKz2U@fX zsimG>`u`uioPB}^P1^q#z=;mzY7*Q3mmrA_)YJqeb1+Sy{eKSRZ%8~2T>Jkb#NCiY z1t}lkDTQGBAC%L&AwB@5I?y<{$7uV%8{XUm*LaYu3v2R#n>Db|hUCWsSZ5f)ax`!M zL!%#5bb)d&gocz(=<R<{3dh_2zlhZS2bZR}+W)@LMj%=+fE$63k_=W_gF_2aQo#Zh zQlEiK5kx@Kw+X0t7L;=#Wgemd2pX4x&c+SN_Wv1pgO7&o|JBf_hh++IDu>SG!jc1o z#*BJs>kty|ph6a^nZA*)a(ZO6|4+e_1Ec{1Ziw}OTVSA8Eu<F+iUm+qLYq49W-Vmh zGNj21%eas^7f8L{0<PD=>n%XTv9OE`jbXa9|4)MQ@M!xVG#WZM+yBSGO)+q?Bdz^^ z0!x#IaQpuVC_O;iG6U59KaJ@GQ04-)#~}1zZ2yDu5mD{`QxM;QYi``_|1f9;0VyXi z+yBRq+W(-TM$nKrcv!m=(rN+qQb6OjpppqhA9E-G&q9LO9?)r8)HVjJ!;G<C2&4== z`1}Ss_y#qj1T?S+@<#U-6_^x=3m$p~2_Wqk0-FIIdIpUJb#DPL(&^j+9x(zr5h4#7 zdOiahwA-QrqB^&zTmkW*tJ!<NJjl>9sDXw&^bDEItP2NC`apW<9^erZL?sDw*)az2 zWE#}{;B{{xVUPnrH1QjWK!c(nT@V^vMZ<=qpoW732Q-!hQU(<xVUI=&e5?_w7rcoF zwB!V29%!NwG@#Q0-aZ2w(`f+@WP*luS|9^i950#=gAy8y3)co2odqrX>h1w=&H~AR z25!2cqqCqC2i>#M*#jQ!f%VTJ+blrp!QFb$R9X#m??tB%WK#(!6uNy>D&WJSJ}TuP z4NzZzw^n3;hKMqtl^%Hf3Mva8t^$u=K?M>(0|Eg^`g1@69!LTiAOQy?ffSH{1;~Z~ zPzbrGSb&1ZMa2UYHZCd=-5f8n4uJv&%!a4~1&NP}Nw<%R2}r;L5)wWt2Hid?2Aw`C zI^8}hI-Nc$8X#E*@D!m+w~vZOrw?fM6f~UXqoRQ1V|kY@AC+>Kt`HS@SB;DOQ(aW# zKpZ*OmP?NOQ^Cv1xIoLwIQd)8gSV}{M%~PZIjI5OUjoWf-96x})(KtQ*bPbF-5#LP zFL2K4f#fVmUO@^MaC(F!*B0=^HhA<4v>ytwzXX)2;G<tGpwTa8{+2a=prc<PwahKx zJczl!1Y~k2<I6zkavEs-6@Vf`02FEh#~@o15fTuWgItJ`^}u`35wouoK;s*u<(mqz z<r{JtKg`Rw#rr_nc(i=ODBrsFf&yl=e1q<yrC0ezT+;$u`373k4QjuE`|+JyAj=h? z%NUMB2FyTPOh9ENcxVyYvH=f1cej8SV03f9HtmG-cTR(CH32P52I=f>0k7OW_<$L@ z?!0>sc=`E@|GU7Q3(&*{bYVJlSv+{(4!E(M+yhn$+V=r&UqY8nyl~lry?X&J;k);M zXGyxJfb|}H#r%n1fR(F)pP}>G3-4W^<Oy9E4D||VW)I{&5Zx`%dI_{|2eh;)jK7lw z=9w=bpFo;}AST2!pgIw>ZUPc62Ma*X1l1?UTfkHQAT1zikWe>h<vwTz>39odYZACM z+XLR3(9MXpTjwNr#`ZX5<PKsA#6(b=7re}*8zKkdgO`~kw}5MA5Fb>J!bTBa@a%?! z6R36uc@aYIfvi#Kbguv@gor^J?ywpjyt3p!I4i>zv}^(IUhwGL1YSGYy#>6?*rRh3 zsKo~A96<sBGG_xx9FWyHpZEosVDg{%1)CtvNQkM&nm}ziMo>i!ZbpI4z}Pke7KO$Y zWLOH4?2dzi23+TWL?L5<Ae%cqz=s=vn8zJ>z;jE`MK#?V{||!pMfs@MbcBHR_As!4 z=EgxG15pi{i3ghq;({9~psoN&9_$GCqGnJ!>+S*f6F`z6ZYKv+ptA?Eh7XoGz|AM< zBr=GC?lA!A1KR>_K7pIA@O22F22D3)Qv-BeD5T>7T2hMK@qlf_*$!Xx0(K^}hXryK z$QB3<?k9kfI7kSp3_RuyN}eDw2o2eU=g~b89L=D!8#=);3_ZR9tP#Fb0FrcItFIwT zT|n6$x+U)5GiK<nBGB3w*lY|+M&1p|kDZWu6qFbtofgRAAJEu0NE3AJO93b?clUsM z7@(X6njZqC9MIYqP+{?ce;0V{8<Z<wFoVp6ZRl$}0xIOdYhS=24%#0N8BYTl58dk2 ze2}pdvM~WF0Nuv$(gwO|02Fqh(-RmVTEU&h9`IxzL@Sa&XO9Y~lz4d#sudKpFs%^9 zpfx!!RiO=5NT7l<2GaU(uqZStK?We=2ppBLum>xHCrn8ALCZJjNM4HysLKY@4p9s0 zet}8~P`?Wy3W;Sz*AJo=JYx#l69_J^ASQvjHh4RKZCfFQEXXmCYzcAzcyb?PH(J*Z zGQST_Hjs@Y2OlzbLXKd7_5>kAonWVQLmUX2yap)(B~oYy5v0gRr3U07P)vQ|7XW8u zP%w4-sMNqlML+Qig2f@;gDr>#6(As;Ale7IZ^XCTBf_VX!?)WZ!Kc#!yagX5jipoo z`4beNpo|7B1VDy?xX7gfDD#8F!KQ#q1yGnn{n!b~{0MnarwZg{sP{S{{pIc!@S-MY z5JE}?P}zZ8DnJkZ=yO9Y6<9%KEzFr9dqD0(Y|m5TZ*2qDJCIQfNcdpW0v*SIC;+D+ ztSibv1t&BxkV-s|osbSAC^Vo`G|<gdAU8ow1&ud?TndUvkSK@-hdX#(4y1hTo&w&g z1@AJ#HUxe91?@6|)G|Z5o6rqn&>|I7CxcAvWPCaO7bK;EqXb$kG#>;vra&b{rw7N& zcRwLYu)7Q_3Y|J3m`P#j1WZFynMd;h@YD$?hk(2aH4waR4ji}$b>MY#;21%Of!ED} zOO9?LGv~{V5dVN2fykWDc{6B!0#P8xfE)lBkAURNZU}YoAycQ1N)0$$L5E&I&H`mh zkVFY+45rfuvVI7f{|X#CPdIh+Fn|v00GSL5|89=%5S1Lwi~LhuRI)*%7TM5+Yn?tS zBA~@<!u+k5QHChMfdk(t14>IEvp_Uti4JO-1LX^ZJSab*<~LAT1d@lC3JMRbX$~o& zc25B>7=))eNXMAJWd>}R0;HC?1w69V3C*bx3MtK5qLliefCJTH;FC!bjxjL2^!@|d zmDzC+)@br*JOqy5&MDxHao-LymU@F)v<|ua;F1g!l%MzoI6m>mo%qBr=%WH2#DaJV zq7gFM@rhr+1tJGZsGxH2r7*}T`$4CdflhFIF>k~F|F4%IkAFCZ1$#8U(O>}`CACLI z0ZeaEkpR<ER0LQU7>>87fYus;nqr`^1PMWwc*ih-Pm}^t;4S_U5I%^4DeUY36>u<~ z2fSGkzyuku0U722Uy<bj6$R1Y!hiu{J*bTivi^9B3J(ip6FkUBh%#7v&!;0q#hbsg zofXvHJKmzg0XmWsTBkv*>rnxj2MTnMoJVH>2Y6q13)q*4!V_dH$aqw@IY8Y8qQRrp zADF>G^96JgC<B=JhZ*5Eh_e639QbD*?<`Sqap<T~apv!2hq>+tR2#@5h=n~WAQM5Z z1Ib~y4jhi4P0J|mX#EDV0A#omf9DBUSH=c(S}CL}17dczsF;AFW)GNpk+l}wDboQ7 zL87*Mj|zwf?v#O|8g!TfNC&75rU15Yj|zx>v3?z-vmpT%Y*7Kzoh>Q?VE!I3-8}_t zjp4T!f~!I0P!A+{8xKN!(4)cuRt%xL4H1VZSb%zD=KP)3FmJFRya8f%wy698uO!DX z@dH#CM1#9w7a(zS1scf5TU74A<EBRiq72p}Gw29W(dX~{53U)Hx2W8JY68U!$Q)3K z@qihUTtRXm{tFO48R{O;$!K5)>`{5a$iVQTYAqy?9)JZ~R3P*oNHBD^L#ly2P~k1$ zFa)hoWn^H0tl-Mp017rp-UBW70bAa=30!)0Z&3l;-MI-;^g&fM9s-x=ol{gm=?;{J zK(tF2xLXEF$1WXBpdJUP7*YUv3?$~k3aURr-T^5_h(h8C8oAvqU?UM7F;J94Dix3} zXh#ghMCyn^)W9+(<PfsXZvvo<39<&F8r~5DalyGARFHt=A&vv}gg}l1r7h4#O>nA# zBsFl83#7WUM+H{efK356xvqfbT6<JL6ljPB8VeA4P!(|jA`hZK<J=%#3)mRYsvAZI z21t|Z4w!{FF%GiFk-zUNyvYS|COA4lr+Gl#2l6|3?g8RdxPQQ#t6HFmA4Wq{a`!}V z<bu0m;CO|0#UM67L|VYf7GxYa`9jY)0A=s)Eh-=i(l@gJIU~761x$k$#)0_V(Clk~ zk}?rV5ER6qt{6xYv@7-$q_n#Sl1f3xw}8w6twikJ1Fj8Tw5$Pl#XvdoMa3FWifvIz z04Zub0;)`+9perg9st#epwdm75q`h`sOWu}0Y1$DBnyfbBw0|=_wog%Y$wD=pza<M z0|Vp~1CVQ>0-&|3ObiS!xxr@|fXoFIGGKE-EmufM#{ky}Vu21eVPatDY*7J~EiY%l zb%KHytP^4jD9eKniuLGiQE>p}``#Xv4iMcv1yWr$9y)PIoB=c;3bGVbW`c|WIRYGi z-BTbj2if@su3#GvLUh1_w?*XyG?hYh^g#HKt$AP}R!Ht`Q8@rr2%^C!PHj<X06PX+ zi$NQzpkpRLX$3-qdz0YPd%B^@SYf#fe3lPf6x4bG<vH+PQn)DWTn6w#E^tv;!vlPl z3|ti4;_7Ts0c}MAIRIo5q&Eo?0gWZMfDeQLO_#NRPZH|pcww;|v|(@xBzQrsTu`0_ zcgH~5!M#e*IXIwx64d)3r$Bm@prqg31IYp4(*Z#nAi8_N%UnSn#vbq~Fd(OZ913c{ zf)36DjTUr6q#%kwr^bL3fkLUfM+L-%^(Ub#{)-tQrvrlNjvkdpaIiz0Ox;_+Ipqc4 z*8l%IT2zV{89-+_fT)fZl@nl<(4rc;<^jBKt9uG$EVdiG-~im7>xL?8JOqiMDUd-p zgfOHvIR!FQhY$v>l?Nq3(5M5b{0FC+#zUayJxCa|;uuL7()*eMS#R78F|6APqyRMJ z(rpA{flTTa0(F%^<ByKr94{s=g+vo5^&^Rcn4lp`M{q=$fa0!41w?@)K&CiCVhWsJ zd%z_VWPAnG|LX1mk1s<a0W?AY(gbp?BP^<*12`Z#h$_&*CLmRy@al$)9)qIllrQ52 z$1aAB78TIxrCkuJqeTTY)CwAR0_VK$E#MOPMcQU?JSl;b6FkTvW%(4yKE7@k4UQbh zkQQ7eEOJ1-N>E@ygBwzePXP~3BMF2044_y5wd0Y5Aq6{ZH96dD$cV)h@QQJeYH;TV z966vBnvlo=nFNU(kPASO^JVe>|31AfDnFPQ7<_smdC8}D4z#G61KvN-&F#@W2kba) z?#>>SC!i)GgaV0!%;aAWk^r^+x_iLQI7m!^x)Y$vfPsMl5(l8kT96*_h!{6S5olN% zIvD~{1X^6t)uI9k<T>EuYP%s5$DsH+=fn89gBfH9IA$6TL(0TC;0BRT=Nxcj(x-b4 zq}>FfK|br01_^<5ctUi5RD#M~pH4_Q>jTXbAZ4I(*tb&{Bm~g`E~!B(L2WW0(3k-u z0|O{6f#MIO3{(#Lb~3?)JR1*y3SN*(P=N~)2GNjYX2Fcm>)CuD0Hg|3-hxztXy0xI zkPxUu0SSSu@N7H)N;x25P{E5N3@Uj+!k_{VNf=c0f`mck9g;Ap>;(yfiZmo)P~i&_ z1{Jj+VUS^t-4-AwNXW6<0K^2D<=8C%VuBn2N<7;aLUJ}Z@jwe;$8HXg60jNCpu-|T z4K8Ry3?u<I29mQe%3x623l!Ciu=E0IY=Ja^91PFd&@vq)2T3rXq8p?N6lBmM9F$TJ zIU7{=cD1O0sE!sDOK`c0Rs#2c8ZNz4R5ma%fXV_;5!?kSkULtSRpl0Fy?qRl;!r2V zKsgQ+RiKtPsD$z86oU&j9s;EXP)-IFi6CJR-Nn?=qVfUM^noTl4`>+=DilGAAT+ob z0TqWJA+QaIG9FamAqm6Ecu--CBn&I#!Rr-Zb|K1mv?2;t#)Aq7kRc$$AZ0vA1X7HE zOo9|6AQymA!rJ+elmH4oP*gz0K}?VVkTM>0t{SxV1WABQ!BxgXD_Rf*iDOXB3ep5} zEj%TFY71zs3sD8C8bGQ*;RUTCP*VbG8UGO+`iPVOs$E|+fx4g2lCT3(3_(kyjuwpC z8&rh62mrNXd#9*mK+?`-(D~RcDj*78i({l6Q0WXx5unl&6wKh5g&t^)oOYTI1%Q-+ z3QUkP2n|jXpi&(q1lEa26X4DnB9=h~1jqnz3PcEl3J8!exGq5mg9-?cFt|cO2!jd; zkTAHS>xP^_0Z9`e5lET<nFL7_AQynr#LT(>|AQg}$_Ft)u7IQkP`wNtGz3Y2bVJhu zMlAxZ$w3q(mSHtH$d&N40Iiikau8LZ$_HdLD4d{`5NcXj4v8la)zPA|0#r>v+oPV% z2QokfA}B+Fi~!NlVi^=dAR$nwBNfY_U`7%KX#g1j>RuxWgL0w|=%8aHF;G(rBvybV z2FimVu?&zH$P934?4ptZE{a`LDxl>s$W~BD>(7DYK2QP#X@QD^m|)%Dau`(iLhD%{ z&{@aO;0BH0fFgp40XlsJt&c$zBp^ZcF-S)NG^|1ACPV6Dki3sd0>~avxPT5#Mh;>Z zmG~|fmH3Vpm6d224V3y{NUngm8q_ZAa8Zfta#4xH((nTHj9<K60Y1PZ7Ae7l(h}%w z;D9a{l~|AN5S4%qCQwrx6f=l13XpD)D2Rp(1tZl*Nd0_>JgA?KR0%=*`5^reQ$e*b zsG|ew`GQ43T|`hN2O3TQova1oPf-C40>FoYVJp@cK0v1*Kx&y=RBnJqZlEIw5DMJ) z19dJLUoLzP=^BHbg*yEJ>iUAFAAY<;KD5N6`Ar7s%#sAqnaL5IA>cEoJwT^bI)E0s zC3vtFh=RsyT~xrU5EHsP8blcwT2Jy%0UfETU!n(^+;LG!@L;_l0vfa`QHkgdQ30J) z?Vt@lt(p;Zx=h$S&=?hXu((FWqT5Bq0~GKP-99P~ng{<M>^$~j$?X6CJ6%*vx?NOE znqM$F@=rO?>7t?m8lzJ$i9xXhbP6^^dx?sJ=DGjJ4F5ZJ{_Ly)9rtp)vqVLv^IW%& zih@hGi;B#PJ9EJ18g#p;7_=UMtXB+CkuK!~FH&qil5yDZq(?W%Q6(@(xu`g3yQp|H zA7FIsJn$lVE@<%FvGV}P$^?(bLm+>4gB91PM06t*gF;cjqw_jQoj~Wo7e%u{Q4yj7 z)8o+%3LDVUO&=8pkd+A@jmKZi6k`Bs<nZXc-gyX=TR2|Koc90!%lQA0SRx!h4PvPA zGeHb{{5$}~BiId?@v{w75Om^;AC_6*a3mBzAWKM!9~(%#lOI33XQRar*a<|$&!w4& z_yOs`8b2IZ;^*fSlH$ih0yTajB(TTN3US=|M+}sf@WxNd45H!(WC=;}GiN5)T=L^b zdKOyzfSo`@{20wZ#1BXh*7ylnhM9jNCzBLE9FnN<BO!@BelkGuh$H`$fzlG*_&G8S z9FBzY56BXd;^)tFu({;NPxTD6_yIeCi1?W_4G}*eJy_$XU@2z&?3_qa{76Wl#*c;+ z_V}p)#UqaRxi+0p{i8A!9FBzI2V@CJ@e>1yck<)s_B6Ek0Xu<+`1v#i5kDY3SmS5I zV$ArFoIq0iXh@^RkA*b$_~`(}BaZkn1EnRr`KM(vQSk$^grxY{GX-oe`SD{t6)k?i zP9P$Ff+i#42c!pU{7hJa89$YMB*l+~3~Ky%$ROfJ9jW~`0~C)q;%6EtE#ZxyClkTp zh?aj8K<zhqr1l%g5}ffP;n4|dzlng`ZxW#Po5%|pNW7!Pk1+A=x7m}?;s@*m9PtBc zhJo5|9GwSWY?=t_W{A%A<!1nO7c@ZPptc-HKT2GIT5THO_M78Q(7l^4JbM5Ce;Eg9 zz<D&j0d?v?>!Cqi9ng7<pk7wz6!2v^pnXQ5wl?@+9I!m=4`_6OkH!HBb$4*cB4RAM z#2nNZhOCzJV2uE&?%o34ciSDJQUN-bSKCLW0<`3)^Z1K<lR)JjY+G)PN(QI{2kHxf z+yGJwZGEdv0LNYms9BoPT>{$tGzDy?;Yml(*doJ=ls>SEgl-p=6iEC0V5f^p2xw7F za7ik<lR)cr;MSC=6d<?KeIT*y0gh!KP%L}AFoUF?m~I!97(^@Gy_5&sN^d*@3PSK| zOHhDxbrf(*GBkiL6+6`7qvF;PqT<Tmf;goOqUHy(8qoG6XZ{vV_+%<XO#=^-IZhoR zDvtaupkXJ3ce*+_c#+gNbcCqb^S8Kz&4F|rP=c%5MWsO7MWq7TcaWb5PkU3qd)qu3 z4}oF~62g#OkVslU9RUMq55l1H;0uF(Pziye6EsW+jyuSSL*TPAL4NUQJZ>-@QdB^a zA~;dL@ay{j|K+ZK|NnQps3bJM0G;pI>7t_2;iIBl0y;kTEc8Aouo0{uxFi`sy)})V z|Nmb<M$sbE;iDoA*0K*pO9eMX%hzrUEg~I0D#Bnb>ru2Y@IbU2!qmdk;iJM0)&jcP z71U9NIIIJzr5~&Xc{veiKNTo(5TCy)lp)0-QvT{tM&vJl)ckcr3DhXZnZN2lF$9`^ z1TXx-oxhItfO8L?{Ke7@R)LnkJV5!&9W{S}oP;lbIY9E44LE-}fby5ki)+1L)6w#m zHLm>iLK`W~TXlq}Sn{`kMqQEf#f)3xh&*V~5u#$w-=YrA7qEEi>QK0iq{gfxM8%Z9 z#SBf&3S>2q`v6;9!D<NSul>E4`3oF8;1UdL{sQF=P)V-QdGN)BZg2_z(j8L5gO7&= zC%DE#AXCuu8YoKzK+Abn1_p)~3GM&?zubd6cb!K`_ZixdbbqP?BdZ{C7wF1kSonFc zO56fX^dEmQ9a9S;cWp$mWdT%63Z@oB?plPRMdLQa7DKQWl-xC80^#uo2~|jjf{hPp zs3LL`^7v4N3Meaq#y~K~AIy3{^%TDGhn7xo3Px*RV2lreEWz2nP=MqNl<}cGU0`$3 z+7~2@4_SA^(;#H52kZnK?F;1bp`cDs>5kBY(xw1a#GvsZh6Rv99n>&^jSp3}kktNT zP(zI$0X6LLlK_fGT<yOuLh<vY9UP8?;s<02N%12CiFfkjXLcuA{D7T6MEq=ON5l_E z57zj3fhB%!HlfB(1gKsQ0FAGL+K~>OJ}MTVHXry>w*U{;7tr_tZ^sVk?)ZTeKk~5l zd4LD22S^=g;ITVI#R9aITiZv)0@}{|)&Yqh4^X<%=mrngLUNe_w1wx=296(<ZWk35 zNc#;mzA6D~pNqrV=TJ+!eL!QqAnhe82FNWp0Z9Jg0oN=7pqho}MGYk06}nwi6c8;p zZg~4V0(>lWKvxG!InUJ*qQc4F0-A^c)hRA20UoRl_aMcP6gb?$LJyupgxK5v|A*8D z8r>yO$APRjaM5;Av2biY!1!VdSczlj3DBrD%p)+hXrXnZ6%?~z8!!SD6o3I9jmIx6 z1Z6xM6_3v2KHV%TFIXD?|9`0kI%&KctPxgdu4()K|D_eAtq&?XJ(}MTh;IcANPdLH zw}A#CKO)C>gF2+V1l3mP@y!MbqfYQJdW{O!_zr0yD!xIMkQCoNtzdJh5Z@o5@vVi3 zjR`Lx@h#p;Fusp~l_269>=7LC{h}Ej-ymh6j0_J{SbQH?gc{!>_1NQk52)}ZDZV3$ zIKk(k!s0ulh!Z}4B3=TWKMC+)-BHNNfZ{Zy{4E9wBfRmQ(gcndwEQgq%HRAYu*r@H z=wt^X7lEclKzRt-VaaO&w}}4RL0Uu-pkNB{Xgq{)8|VZI9~A>oTSx-h76L7sei2gl z|NrY*#IzS0v?1vq)?S#PjY$8<?S%(gko1pjK8X$FPQ2q0A&ubhAXFcLEFq~r>Vbqa z`SlTZGg^HFb^;Ogky0Zl6+_w!AU&Y80-ApU&$WS)F{r(uu^g0)YgCZi3!XKo^$~&j zz6>4I_^Hsr9zQ2Q@ra|nkk$mLk0L<s!aCo#rJktx0a-#){Jd!Zn@fKDWH+M457-Gr z#7|Q_B7Q)6u*OfuPt5pPS%n%u1nQ3fT}b@E%98|LMEoGv9~(gNh@-u5tN{`~xZ4XN zb>MJBD^D=m3m{8Ksy}=n@lL4z@L+}2{oM5g>w+C%C5XBJ>?j;{!JS%AEP<<VkTOst zfeJZr1q({7pt@gR1t^jbbw5WX(s&-h`u&C;YW%#=!yZ2tAayw6M-7yg@Rs)_HAKY^ z$P$v`XH6~GT*C1KtE;nX3C52^9Y_fxe!z~x5kEFH@c02K!x}#Uzfj{RqZ}oE2$XLQ z29WrH)jt6Si1<Ms4_}~<yZ&hdr6Rob&y^~0IHJ`*7~|m}OK_HN*v7+oAn}e?zL78< z-d%%MzJZ;9qkIEZBcSX78V_Go1#TOBHiNVc;N#&S{h(-q*F~VV0ce<|^N{0CaNEG5 zjFk9cFhq?X0YgOmAh!<^K=Fv9{&`jnDc^9{KPHvf;s;|q0b~iz_`x=wkOPT#wD=)m zJmGZ}TKs^WfFpj8#}k+;K{*G~J^<-Ki4#!K25ukB2W1`9_JL*zYW+hX{~SPzp9?tR zM**Y`NBp>f(h}bMGo_rU_yJi$Qv95$0GmsG{CHQQ#ShpCM8r>0IU;^Qda%Y%z&y<O z=`12Cej1EW^Unk$ME*f8-yYzJpJf$<^3Ru2a5xew-$0h&j2~>}n+_!2(c*`M@@;iF zTKs^WfFpj8%eO<N;POq`2(5er=|_nxjPfm_5T$&>+kOL8#+|1;dRqh{W`m;I1#}Ew z4TvaF0hP1{FHS*17Todzji^jH0P4hv^S6K&Kf*^#GE5+&$u&iwR0VF&2$({IG7&-w zmJp#Zgph?bM93K-w7>=;WPlJlF-r`!GYXm)+5ie6NUH>N_lA#(Mdvw>#$yE_k1&1! zZT6G^l`|5c(9!^nxj;6Ua+ZOd7@`8|NFvMyje>!CpP)|UDVI+0E!i9|biq!5hX?4u zLh#8!N5NLJs6a<|5XL~q!a&Xw1gilJiZmaII1Cz$nE>vO5*Z%_tFXt1RS7tyW5x$) z;|x4LZumgL@J#{8v*7r6uo6oc=9EBkmIv4;;M4#L!w!(;c*5`~NE>Lasz>7?gt^$m z@Fmy@m|@rmGNTh7h6rPj!tiV{%z4l-Bs87{_5nCOZ}@{f{C*V?6Mi0I>fpJ@HTm%H zQvex=+&~6JvJTvVpm8{`?V#{G0aA-6{8~ZUpy7uw7kl`v1UmsU{2W1MAi@t}3{v>@ zg3>QIiy*?!12q2Y02=?b=nMg$z^MTm|5X5`00$4&6(*4KS^~`P?$}|1D6fTK<3kP} ztQ;V9pyAK%5EW4FQqcBM(SVKrLans`=f7@np#pK00&M)Z02~V<-7YF3i1A+@P|?B- zA0P67jt@z6mw-wnkO?j-3fe9z8qo0}zoP&DUtfV{EimQL{Dy%4GR#o@S7C<Te<w^K z?QIJz{u3kUzm$Ax_%E-JbpL5sp!(0k0=xe@%yIkAtPqmzaK~Ru9yR>eSAfNT8KCru zd;RwdONjqq{iPq42>&6s$2~ymaJ2WrKt&SX_TG|Qu>VXz3D^RZ=|PoY1bq3)(`>Lb zs96AA9u8W5q5+y7SBEFx4Deh-f(Pq|vl8IK<a{2aFiGg@kZ6=ZOjoOQgs7;N)I$pI zgszSi$dW1@Au7uJEq&l~VnBH^p{t{y1xdG3M~I3df6H8i0uR;`Um+vcv3a1bE~+O$ zGZhY?nF<f^Oa)i||Nk$2{)5+K7{J$LAf|vbppy&<9;`DOApV%1_5c6tXQ0{=t{yS} z8;MY_(FjqWj;S6o`Rj#H|DhS8-W;r+$o#y(7Lp!d<<$mTM0!9juNXk;aFkbWpor-N zPgB*XNMI|kra&T`P<aK49|sRs0R~9zdoKqT{D>k96#NRH;MV{Le|PTx|E~{%{R$!o zmtO+*sQy*3$L`+(JKXs@ESFIJUXle);Dr1OnFT|54m9nCR6eiE!IHlTl+P#7{C5L~ z|1?1AaKvX>4k7;?$)tw=&SjJCzXk`?_?zH>J^mix@!zy;LjHS_K@I=C17$=K>sJd$ zRR4K6V)x$+P&nX-zinBB{KrBS|M8LGzYl2sV{pRmzW|Ur9R9nONyvXH>C}iny-d>6 z=L#p(_}hWQe;gonIQ;i5gOLAR(x~A-zYNm-m*9-*zXE6M@pk}^|HKITFC~>4{>w`z z-G34;sQ%M%!S25bP&nX-KeKc~@z;_<4gd9}k?y|>X#RVE!+!=KbvXPNmPW{bOOmPK zzjdjk`_IB1HU2!@vB%#GH{A7mSt=p_9Z4d}f8h2^R|i8YQhO7;Sf)h%H3Nale`Cu3 z|F5@$P4HlCXn{2CViNxUe|-$p(t%(?{do`81)h-bfXpv?urh%7pcXl(v)vt{VxW2I z{~^#ypb!<2&Qsk!Dk3k+Qy|`lPA9_F4}n@<29BC19RDBaJm=DR@WuW_a3Fw(QXrjB zP%B;l)QU%5qyX|lfCuY@GY~IuCPP~B0UoR?KyK-DQGtvzff5_2n*iz!TYxPCHS-|r zfk5dA5?+YK4rodmlmGvJ-2(|0I7v8sX853n&kt`%x&Y0?gZi#m!Y3T$1MsLoH)y#I z&hVL^KuY*9?1F^P%S3qiY=8<~LkJ}tgQz-;5E3{J5!!$dIsg@#ix4U}0a4Y35NbFD z5h_OrJva>!N<j!MfCdoZNcx`$Yp&yrq&tcK|G#7*WqnnKFKYap067PL`rQt4JQ4Bp zKaQ06xo{E^G@c3Y_$h$8jd0LBNgx<B2SHgIOZp|y-na0Bgb%F$=HZ8<yaTxvl*O=& z$FzaGgtz~8B^Df1g!(g}tnT2!n!pI@zsbeJe2wVhfO0KT|Lt@<iT$?^X#QpJ$L`+% zkUAXxofZ!%;Bb%6Jc%L2zZ;k!{?&^k=-=CMB>H!SKWhB$z~NsGkUAXxT^2{kzh9zB z@h<}l#J_g21pWIumPG$11fcr2AOL&(9>C+@ZLx&>%R-WW16U#c^@}0s-`_Fl{zV#( z17(khZjX{q9~B=k+oKtD-=YiX00A%oURelQyyDY&>fkT=gRi6+FCP5K3OexIN5$m0 zi%J0aAX^ue5D*PA0;Jfa^ISKNL3fCXPv`Z6|K$(9mv-#D;K=yHvGD=u^b;4&LyjF6 zK{rIXbesg`{>!gG2SY$~hp3n|A7bge)-7VtU8CaT*!jcp;17AngD<2VJAb({9&&7a z1To{fL&s0B#t<wPH9vUZ`2B`U=Yh^^y&-H5LF3|}rbX)|{`m(xd{or=`xk<T*9;H% z^g`yE0-7H(cKWCUbo;1;K$s!jJ}LpA`ahzx3v}QQNCwIUofrgWc7tV_AO3^zHi6WE zncSN|YMP%ha()E!Ax40i9?gd|KqGh&9*xH#M>5r@fYv&KrnMwG4|N`VaXjSze-F^v z5#25-J~MYJFfcHD{|P$3@j&M>56~S2A39xBbU+gnAUzh{H7Yut{~bGj_%I&pwsYzB zQ2`y&BI43{V&?asj-4kRH9>(b;L>^W^7HNx6%o!~py2KfQ3-)-Z$8d~W`axSiOvue zo|z!y1RTHrbkw{EGk^zdK*-Deplu!m$LA+(fvisj*GZtES5U$LHK|||6dyKoGI(|# zc##;4p5;NUHy%*yjhnxv5gcEjG~&?R@n8#LjVl+@90tfJh@UX$FpQ!I<-vw<umc3T zT~q`h%}3B0b{5ba26G8FXsh6B29M?=9^heMhpvu{a->!?Q%8sjBN46W?8yKBUvCBb z!-Mrj8Kepq3x&0!36B?cY$Xt$FSbC!Q!ohZ9i%D}XL#P&iak6*M&Sz2M-ha=(;y7& z0HVY5K^Yn0DII|no(a(KJQhrRcq%+65S|UsAmMp10PG!N!n5Ex_V5H5g)2NKg%b+T z2O(ew5DrgB#{|*DK^w(=5%&N8>r0R>3E}i6@q$43R6K`-&q9B&^N0zbj2GC$2V@kk z@F@x-6g~$a1qj9Aa{`nbi4GrumjuG6-~}Xn8vTe4pM;m#!v|y(uJ8#8B@{jjAO!;9 z@Bx=jT^$!{$;gl0AxQZ#pcYcTnFSD^A30tT2+xd{knlA0B|1DKUSSVUkWsk8lL=G_ z<L!e41cDtv+wimo6=ksc0v4Xn{D=?FhJOUY^TA(8cs}$7dxx0(d*L7U@B|r!D?B#^ z5lWv7kOG$I@a(80BYnOOL`t6=m5}r~%@-*=B|!7l0-*I29EJzFd7F6n8JZt5f|_m| zon0%|FferU7NLl(SpyoO2~pwjXgsum1H>)?v5!pviFaNHH|e(cftz#^uysR_(igmb z2+ckz6te@?g6!i$5er!hvIAn@2>}orVxI*_9BQ8nk@gv$1h1Qh7#6|Fz~Iq*h{K~( zM8%^UgoV1fpdL?H53&d%=EVXPOaTi*G=sOvcSBs_0TPG0<dr9~&pn#op!gTX{t0;O z7ubNsei5)B#D37dEugf=;n8^P2a^3+`0T%AcmRC#nhz+#4&LAa`Trn?M<<VpM>hy_ zb~A#;-8ecM19pJyhln|{Km|j<f)LFG;Nx2%{<i>$cb?zL58B54(gP^~@cQ2XY(Mt! zXV?j{A1(Y@z=9C}uRyZ@1=xN_%F9Bw9<<*Yclc-Ev3~>DDm44IfCVA;gYR{K#{UeE zI4u6%k-U%J{snmKPuPXU|0!TWi2dL@UZD1SfW)EpXQA5P_y%->B<MT`aDwUF0>AqX zbRKAThYKqM=tOn!(W0>Hmsl-WL1O?d;4@V~+vh>2SAuUv03DM7x#tQ?|G4CM3;6aO zP}lf4<W?OJ6I8o`4pJ$B^pdAQF5ki1QTBjzlszuL>YM^L5@JS(N(sELya#Ly!S3=D z$ZcF$Ek*4#Kkp1tDd-MSDQJaun%(*P?|~0a=!ST!`9Xargay6h3nBnI9UQI#bcrPB zKuoBL)&u-g4}#8A;cxX~0^Of+yhR0cb|olSjzjL$gEB#jA3@A+$bDGN4;Z_7IoQD^ zvE~W>sfRjUR3L|?w2Ffb>V_BuR`-MrMIGeuh}QRDw;zX?2QnJT?rw<P-90KGd%s^) zJkk7svExwdfsPOrGyc{iV6ELPU|E<SO!-^)z{J5`>;Zd)6Y4t1JuIzTkd;8MrGvV) zvqc4T+-*1Htexfups)fR577+~hX{aFfCecbin@Ei$Gm{6qbcBo+u0?s8+>gMNFj>2 z$Zk-EfvECmJoJJe#D%8z#$!K_a!7>B|NkD1M?m@Fa5v;GC|rXMInbB|6|@!IH7YsC zqXy8706JE)6J_W@1vYY^!TAfCfV&|H5pE9J00hJ+$QXnIcnm@XbqqoQY!WD~z0Cdl z|35T+LBb4_qF%23`~N>QNr5iZe98O|%m>93nD2(lhb9KFls0Hw1Df}t1%i(X_&gU- z5#a$X4M2yIg3hpkmII)}Ml-M!0wKpCm(4>{9O$TB5EGPvL0Uo}g+Pc(2L7Qk8Au5r z11<qTXY)b~2vG@vmjE>?8AN1%kOR6gt;5Xw0iflqt<bzL&EL-lOUWSHAz2;7>TXd1 z83_>pvAQAtg+vAT9A=0LSiX_sZ!H8Hb-YDo4Jarew@rbX4<O$078TGj!yqQ;<aWrJ z{vdUzxl)q9)e&q;H^dZ}=@R^{mSFMYkQ@Ut=Qt!oftb+Zq`OB2WS`;*)EwE}1GbwJ zBn-+=(3}V|8+012IDaeXSZvTh(94WJ;01OWxH1ap>|s#wfD(8FXdn|gqxh&~bh@Yr zpbgORz;cNIIBkP;cTWKaF5D2bT;ijW0m&j9;4H#}nngIk#(<*aB`C4OBMMZ`fCBVo z8zc*WLIRxbPonanF#(oZ2RelY5~AFY&_skBIHY=1Ksl@V<A3Nahs~e<D_(5=_#Yg^ zU=<K;(2$2H1VuD9h2T;UuZdt45cl9W5v1^?-@pI=p$%@2(fS{hrO2%RAA++Mv<`q| zdC&>z@X{C5AOMxgP!$(nKuQ5n(txS~<)wp=7JxUn;)WKypv(y^uAxj&O$=f}vn;q~ zXZz0w>WiVZ0HnbNL2Fg8x<~&|)InPSpTVvts|5hMNaHmFW(xpxeFj(@>_x0CfE{34 zp}s)m8c-!gd<)<t=q?mcT1K=MK<D3q>Z8u41E3ZFBzrYKU_^)?!P^2@0g~;!z6+GU zUxeBW=_WuHq6u*D1tTQUfdcsDO0-tMe+VBGTOfbGbb=IlFg`Rff~C|)TLI8%qJUOJ zD*$xQ1vtTjswYSZ0Aj%_7f=ZRs&b&M09Xk?%XR?hl6tU{K$RM-e39U9wE<^5+Oz|H zgJ+{&GrUY1Qtbd2NHq?MAb36jh1$zzNIrl!1&*Qek(&amA!dLIqaoN7@J2KRz%7E& z_5irPCbK>82%N>BwLPRXz}Fr)_<{*iHiODrsx%0`enY9~p+f?g4T6iP>V|oPpkNOJ zsI3BOcB3>1O7?)p0B{WnM1W*FukQk%so-KVq#Fd8$PEH$q8n`x;9Nul>1L8OEFcIg z0zl0GND%;H;c5`Ti-2L<B1jxkEdpmqZ4PM>Kr_lnZV`;O2k6=!V5V|=;ORT!+5-ns z)eZCZfWltv?E#g&_}T*;Alc6AyFeGnzcA4mKJ5W$q8n`w42t#uG&V-t1K_g_YE*m% zbfy5MJphfG(e?nt=z0Orf(W!JO3XP!^z{NHj}Nd=xjk_88FB4_ji~B|d3)djj`qM6 zP>tV>YkXh_NVfC(E*8+DCKZ+8(;k2(y3zK)plA<3V`H>EF!<U7(5M-04~(`4z^e^u z-yUG4a(m$DJ>uE}3sKb#^Y%c)KJ4QIE&K3|4`hI3JFo8o-&G|dGkn?u&_p-d9vBqu z0cdQDwg(1ZdjJ|WqwRsw_5hvQ18h`o4{W_gTzg<5s=8s`9x&LCy**&EA76Vw0wmjc zeHZwSViu9%(;k2(y3zK)plA<3V`H>EF!<U7(5M;V?E%oDY|wlGXptM}K6cQGIMAj+ z5Z&ETgSx*EB<R6fK=%GZ&=r@URr1Fno6|r{(9R%A_7{STgqT6r{zA~Y{ca-G6M_!q zL7y*J0$WT3as#BQ2eF{*?jZso^N+Wvfbu`cw@AB)pu70MI{@yS!&b5XhM5mC1gvfc zsyb-Jei^I|=Y9l`-Q7Ll-AkyulFay9SAeym?MgD`Z(RTr2YU#z(GYE~;0$CXh@Ao; zw-Uco-~fE50A#NqXgdHXk#%-G0M+U};5~;3!6%?fyBoTxwDHgfUQi9c1+vGq@z@EF zEOZ~O5f8XV2c>Reb_s&K3EH<r_AWt?0o_x;TQ1?|pzVJma+e?|0ADVLto#Q>9e8=a zJY<D4$QCd^36&4cPhcr`=uQAoFhVmhbUz?S1eSY;^L{{3UPa#zcniD)9=gsSk~L8l z{(-icftHmWZvpSMgXUGxmM;(!s;|2Tyul4M7fJEAYQwSu$OPD$eo6jTWw1ETHT|I2 zgzg6eZ#)AnQim2E1orkx@V7n!d+0U8%N>-j;KO_P))W<RKtUE=g3>m4gCJVkhVSje zc?1_k|H~>!!i6v0zmLjCUb=q-ve*w4D!7*JgF+CpIS-U;ux-u*tty6wF+?FKO0g*f zS<~I4g2zO#3Zi%MrGS?1do;cQl|Z=b*DcuU*A=7ntB;C1e?Rr=*P7$R)vpn#>V|Xu zI^h6(BR-;jopJzFp~CA|4L%STUcXj=WTExzC6>|pm4TtV1Dt_T>Q_ka9j#v>)kX`r z#)MS3pxSY?e(m%D?WIQ?ZaG@NLigR1SHFVJ0Rh#o*w4p#gId3WD`VCNWY@2t7Br|m zIP}iP`GDnooLk`LDgSoJu{hAvaX?(qqWVtA5kT<Wa-aq>Xp8`JBODoL<Gk6Atv<#) z8|MV7I%s`7T+haFI0&zjQO?G3IS8tT;S1e1pq`DR0g{E*$~J%h4dt_O3K9F@AT<Vf z5Swae<A4hTXkCq55)9|M8kFzR`@&rCd=Ki`bwW<S0p)v81>Fg$v7tR)NHl<kA)s62 zV7=SnaV|~{<$Y&5o{QrJ=@5fr2@)^hq3e-&E)IAzJm@}KLg(Xvvpi(r4K%2Yoa;x{ z`FNmm0Db%#ay}j?<Ad5Yc<cXzFSsB{4to=TjKgtWZpYRHz}yRe6jdFx2{2p_$N2zi z0$@2D=L@KkN33;6YXaN=$#!1f#RXdHZt-<Uw*ipO$Ac$2a5I2vhvR_DgwbX|KQHmk z0C-H$vL!H}C*!0Jsg?j}jtANxgGUXxF)+eT#v!=>9h}!k>;DioitB%FYS;hkiLC!o z)eZCdf59OJtY_gYIfQQrpaUe^d3_f*xc+}TeCmH_q8qLMDP7A3%KqrX03_A_(3lvl z{|Ci7HkA4w8a1Q!KLcp}8n}xOKMs4e{_libDhDb6DC+<7P`mzLPGtR$s&1Iq{{e@w z*Z(1h@zwtpAlc6AyLiC$|I^`9|3eeqX#GFn^*=NwM(h8<SN}t!X0-kvt^bj4uSGrY zhT{64m)iCJd?M?ARCU9={%1IXz5Zu8g0KF60j>Xe!S(;$;Zy%Z6WwV2Kj8I0G$uyt z|G`)PL!)N2{vWOXX;}aBQM>-1PGtR$s&1Iq{~K`B|6B0Z|1&_co!58qf$RUP!>9g- zCc4r3f57X1XiSXO|AVjohepk4{Xbg&)3E;Mr*{3{Ph|a%s&1Iq{|QI2_y1Fl;_Lr= zfMh$b?*d=oZE<$^)c?>#H(LJ>c>NEJiP8Fh@YVm&s2Q#QN9%vm>i@g&1;7N?|HE#^ zq}uZTSM9`A|Hn|(4fE<>;28GmU*s6R>i-9{`Uf5V^1|}ykgopo5L*D@cYcmm|Fo$7 zdEmL9;4VN|?jN?bf7*~*{qKR;2MEt2BeC|MFky84KWM8yG3VD&JpT{9ZINmXfS2{e zH2{vHsvG7FfCD%h07vjP09JrxVRzwL>>bh#0F?cI&_p-d0H8$!fTZ>R(3lvuEr21s z{|_29Be4ZATL06n{)gVKNWJ>MoXGkgRoyVJ{|k;|9{?yhj&A@U0wfE&`_^LX@Tvcy ziEgz1AMpAg8WW@S|KJ<{hepk4{XaVXPuloDN$3ASZ&RdR{hv={{g0|{nAiUbC$QK5 zDkt#O{~RD$*j=|4Yllz$4^4EV_5Xm^|InBit^WsK{SS?r(fWV1{-<I654}B+di8%g zk@Y{Sx?x`bU%*lSUjfzdIM4sv0g{E?ZELY~_|*T<L^oRh4|x3#jfv6vfAH1+(5M-$ z|3~Y88rJ{N+Y+f)|Hl(q|D&oK=JkKWN$maqmXrAU{}~`z*j=_3bB9m;4^4EV_5Xm^ z|InBit^WsK{SS?r(fWV1{-<I6&ra?Af8j*d|ETJQdHrv23VZ!;atdGlF9DM6yuOPa zy#Igd@TvcyiEgz1AMpAg8WW@S|KO|tp;0qh|Bu%HG_3zQs9pd26IuVGsvG9@{{tNL z{}WIRk8}M01W2~?`YsM|{ogx$>VIgW8?FBby#9yA#Ay9L`09UX)Qr~uqxC-x>wiva z*Z=NB*8iyLhI#!z;WYOC|CH1C`u`Om+0N^`IKlOQ>+q@np^0v^{vYuA9~u**_5a|j z|DjPcLhFC<eLtOhR6rBcp!<J7N7;a``UTP59V%=L44sJk|3HEstP*St3?7~2-2c0Q z0RoP<sBD3-7(BXNR4TfCR7yI}b@Lct--_S(-~j^zgNx=N$Bv7gJ}MqA9Vfd(R6H)f z>YSniG7@4&h)PNGA(qZ--696vdsKQD7#JKoe>fieA@7JM_k)~)-BQ%^{~vaSsG#5f zvjltsNOud^4Up;`<eF}X=O6+g^N+Wvfbu=a!^c}xK<Ny`MCj}0m2tpUng52F4>F|r z0b@7s4|^1K(8~NWSlw}mJ3vMw1#dUR?(QD2z27e?o@jo+*m0=!Ku3s*8Gq{vu-0yf zt6+DNoAS3VfQf@W)B}z!&J+Ao4|Tez7<7cF=<~PEKvvS(qB4O2T=jz7+6lRVw;Lh| z2?(h46b1$chyaLXc)+I@qNuwEY=B4i6ctb+>+Etk!@$tpqXJTe5Og^Msm4J{JQ@!r z@PW8nz&bn{k7<BpJFj;h>OA<Os1{s{gHre5?iO%rn7LDdfq~)s&*lS+od-IPc^rJk z-1(u?MI{Fs6CT|qDiz%|Dmk719Xo&cFdpl+bLobp{Z1DZ4VTUnGr#|I>^$kH2}*=2 zE}bVYKkp7v(ct_AO@`f5z~KZpr};Pwrco}PCptq^6lS_~`>3cme*fvHc@buk0@x%_ z0KQ!Q_y2!rvH_h+^iuvGm=B5#Fh2>E4~=lJ6zFa<v<wWrtQQ<=tdQL6qLKj0H3=Tw z3?7{>DiPg2DjA)p4*rrq_)41b;=!M+2Olzb`ly5)hum%tO;w<)(?Lv-R*;quNTv-@ z$>_X(5V`S;mSbfgIaUUoV?oE|Knw^`34!O>8kGzpvMa~|-I&&4=2i5|`ff2WFuVp` z4GFRxk^n(0=q0ca0g&OxTU2I%e8|ASaJ)rj4wTsq35D(+6_6U#TqMQcstwBuAX!*0 zlH_ky28$nuq;QZ?#~~R5#Dpfg?jEpxiYHKWP<Id5ZcdOeC<}B$G=j`N)Dfa0!Qc7_ z?4j2TFLzLW_KpZz+7^JNND**~1P9a<u-8FpJEFTr#Rn~I`>13<5;hMwVGE!pY#y*N zpul-q1xdJ|Fb1dA`>1?qn1iK`K#u7F6&&0U?<2wp940-Gg7@QpXvS;)^k4B}^T+?- zzyzy+XoH4vGgu)gO0g*fS<{WzM6e1-T;ewor0`|RzyJS1=j(t<AP=1NYYevfHDI)U z^-*!>@26h<y2k>g-gM{)QL*Q5#jIavpsE|r_3H<0_3IZ<4GOJ-JsJ<qK&@YIfMlWd zt5Df!{mQ`5-2u+PDD^8O_m0-DD&T6P1zc^6)~_gS$I<!~Ta68>HlbYxs@1Pku+^^} zqxCD5>(>}V;_6omRCU9-eqC@DOaFDrSx|=+(#7>?JahrIe(eCsLhIK}d873!sD1@! zV3hh5l6yy5{b~m8N<#agkm{6-`jvOFE+2y-s8b2*fI&OI-MkZZ`53@mO;G2k8`ABC zbv32<TQk6RzXo-PL3V<Aug4+vI*8c~=`llltf+lsN&Z$>ux2Df4|e!~`meTNaYB7# zkbU6p9JF!(4URy2;a~?N_3~g27UysM1M3^dP~JBdK<gXx!1~4l;7%>bf!##*jXA)5 zV;<DLF$dTfaNk%C(%}U~4L2kbIw4{EvI){l23ZE~8XraFLt_IhwF+VgD7+}{HG}Mf z^qN8Lqeib8kBJ}`zw`q2nkT@4N8=m({pT~->h}Yq^*fd8_Zm&&>h}m#b;G%S4>*UV zeh)c^QonQXgShbVX$z1nw0^&oHd?=f>UVGkMycN+xp%aFC#V0+dsr1){k~Ckw0>6s z4_hP8$AD%$TvSHuchK<pV6ER%Sg_1LN3e{}KT~&ne2yY<^?L=Xy5U^EGn~g#zq6bN zRp{{ky8thU3$Nc_Al2`m;zsLtQ2h?hz$o=QB=?TA`h9f%89sH4SqG2KKf|Y$K`T5U zU2f1&2TGS4)Ip~9`1l-b_3MPu`jyK4XB#Qv>Q@O=b;G%S-GHrr-GW-bdZ5;?GeEM? z{_~{p(fSoszk)L`O8pASy`%LjIsNC+^<A*#*`w>bKnqo|uNVVW#6+&|x`M5KJuzCp zQn`M;BSc*Nx&u|+aIRkyE@0`urd$AZSmFKG2Gsi110)NrUyTAr>sL_y3eLbN^(!Rz zj@GZE^{X9d4Sz<bi^^#Kb)fpMJgiv8hZ$H0c6`_YvfIG{yxRfPp$F}DsOYBe{v><; z{{7%37SN3bkToM9*O0M4sfHV+eg)T?rH0`B6yRYB(B7mNR5jpTLZ!UmRU@F?PoTvK zq;FBu<8R#n^95+*6ljqLXaR{X($*!ApE_MsGP+$<GFlJtPdUiH?O>;iN=i2fL$>5_ zUf`b!64U7LQBmh_?SL5x)(TC#kX>8U*t#Te5lhu8auKEK-GN&5{y?gFw|h{z>Qz8n z!Xg7(!UEZF1PTP`dQ8w59e4{ATJs;W3ktHsLjt^tMFw>hiv)NT3n(qT?15}u0+|Ym z^OsSOwJI<^@>V7LfB*j@wkm=1vJYrqQVV297b_$qyQoBfGEf9`t5QI>k4gfTtx7() zw<>|O_`tR*CE(wxBm&92BH+vmTCM`J8?>9q2WhKP0`Xgw(5=JVs^kIM%h-B}fBwM^ z9~EK#ekv{X+QE)(DtH+??x|oQ{?;^b&H!gxkl#V;yP%7UKuml~y#)DNoxz&XmU;>B zw_1b6iCO9eUeg8YUO~$!0!zL4`CEU%mU`ttmU<=N+U4g0T{{O#;sM<?DlVwQ&<UL` zDjaC}gawvQAZxTh0fMyD3v38lKJigW0A&&p6>uJ5LCzy0D&Q;vnrMBg09m7jWuaFK zB(s350%xA%sC;N#fTh+z3;~4}zJ*@UoQ*P)jI?U5y9d&agDhdgQ1x;N%7QF{>#<na zvDC*uM%QCexxf2{8KpkPxu59-sycAJ&EGmK_X{7uR_Pu=t#lnw*JG^!$wK?PPL5Qr zbcg7EVQ>aU>F+{v??~(KQmGO)X24bnD>C4&gh$s~qwcdGU2hFu{|>FPLA5<8yOco< z3CMIZsNsQ9y@QM*dA+p_w))+GePH|B!|yy0o<F<{%?}whp(k$OtlwY!Mo~AM>-U07 zSUS}umry#@6{z)l1V|QIzb9Fa*6*P4Y;Xofsox>FceH+o^n)P#njnK^pdu6hc_6&O zKX|e1r*izkJG!50biFlVED>CLLwedcYGbep=tv|9r;w0Zzc;_}@aU{jaq#FYQL*so zu2Her2O3Ox@!9m>e=yghd%s5^s5-Av(Etf(c(nfKpK_qXMMb@Y^ELRiE{*>WKJg2% zW_;#l*a6bqc@Q*$W#Q3yNCBj?8)S0hF%TOfef$%@0I$_&UIw3DRucw@*%BU|*FW(K zx~K^7Yk;*&fLz4k(Rt0M+ed}NqmxI)r}N~CJD^g#`H071!%H5$B`OA>u{aA4)&x+4 zqxk>_=;R`e<1Q)&puwr*E-E0?Ax`w@X6W`{X@1Dq>7!x-(xm|s;b{K&zxg3!ca4fk z^G^mx{;3C=|AVN5t{f*E8y+w^@^3rQ>4R!b=kXWEOhAF-qGI8}3i6dl=kXVZE&u(0 zne_kvfB054Fv9~&_%eYGm7EMWqPyV)D3n0wXo1{i-~k@8?(k93FA;!*ufhKZuNgc* zzJ~A}Jh~k;K+2|q1_<@|TNi@Iyg<4wjx{J0F)%QK*&e;TpaU#DIy*o%yxt9pq{AOk zBk5QZNIe5A!WMv>0*x>b8!5s%KY}AH7aCy-un3cYMwkL9!UUiZCg9QOqrw4-F)fRK z|6khv2aW5xs6bra?ce}+Jv)Dk%m4rXkv-P!;BeeQ0u)LwHUIzr4~l74k<Yvg9^F1F z79NcUXMiHR^Snpru@|<+{~+>zJ|W~UAmn9X@>h`LIXFN`4y^yL(ZByMmm`g6c{IM^ z-~vhSQDFenTU35<f|?Y2!1O+5P~2TN0!4odSj3~dA)pAPp+#i^$Xw8ROb`u;#2%Gs z4hDu2F_8Bl3cyj>cm!n0VTdT$9z=xps6=rvFz~k?g2pMtnqv(sAkhlpB4QR~`Rg^H zn0@&kDQ2gD9e1n=q>BM`TpHLHjfXTqZtaG8s___zixkDn-h-pK102PBR02RA0cXn= z6%UZ3Ksj>?RCtSu16cZ+Pj?TL*`s2?!N35DbW^i`|6dA&BfSL@kRT5t;=M=39AsXL zEHvs-6~SWvKXf(#>~>a=3qXeqf`a*Yi^>O3(uN$$^##fV%^ZMa!8yA-faUuo#f!}k z867&#wVrh7*rH+vva?mo5_IqvvRV(8)|32G4|PmYF$JrT0ITSR*wNXd(!;?3Iw%Z8 zfuj{Poz$Yz0TBgLQ&d21fJ`d2s2FfCFm&{&=z|S=W&yGeVVD9Z*f5a#&K4CF2oEF( zX<~p_-4Jnz0FsLC9<ciF7h6HyfQ~6DdSDx7+ktF=BqnMFl`h!i?_iU$2bB(3#Z#~f zgzrFM1Ug-hgMoplpwfT@l{(n4&1i;oKmrFER6P(LNDvY-AQs3jPS^p0NGd?b^l>sU zAc9H_Y=f*5^@B<kZ1M)M$=HKR1*~EoSOxh(r2q*kd9YzQXof+<f+|5J2e#ptEA@j) z7Ho2_8^~nrK_vrLQ4Ll>eo#q3f=V20m?xTH6_9iU4XPRl4<ralRUlS(iwZ~_A^>7_ zx2S-{d%)s|R3!$sA<YxyA?jv8QLxE}z$Rl4DiN@X4PX`I2bBOMsQAH#)u9<i%~Zt) zw&8^j^@EBRY;v_P$Ykt6#RFE6306UVP=VSF9X%@SV8hJO45MaHv4L$^7y$ARbyF29 z*kqGHkjdDCiUq7f39N$rpkjces{ibumdppRM-YZlGpPQ7ZHNj1d5F3}^%rdNTd>L4 zgX#}h#ci+(gzreL0e`TA8w=mThOI<1jG9694QzvO1oeaJE7;^^V3V;2)fcdeDPR@k z2h|5iP`w8mmV{;)HG}FM*oHIF)DNn+V3XrxKqg}ksyAR2zF-yP2h|HmP(245CXHqo zHG}FI*oM}4kcX(70iS|RmPi1Zj6JBHfK{-8RgfQ44<JExA8gnautyMvQ8TFSfo(`i z26>3OL3I~w@>j6Q*n{d0SjA(o3WV=SEvs%og6cZhu#ISjfg4CI;Eqryr2PbKH9?wD zP-#$W3L*evK~;34x2vv!Z8(=k{h+!EHd!kjWHR=kx&l@q0aihNP=N*^I(k&jgAIEI z_6Wi-YNo1lU>ja%fILLqRCN|?vU?`TWb8q82CTvqtODUXQd89lNUAyxHjEj~Flq+X zF|ZA}IUo;FH>i$+O=idinT$QCj(}CX1KUl0P#u5-)qb#Hd(aG{W>D<|+u&6I@(^`{ zYA@L2yI_;C2h|?1iql{f<OkIbNKkDD8#WWoFlb>!l|JA$unpUasUK8Z!6plpfK0|7 zR9nC*7{DsX52_82pjr<$>>Su52*aqEs@8#RxLgYI5Oq`4TCmCbWgwHW2h|#|3R$oU zgzrc#t5!gQYB|`j*I<tz45MaHEd$#SS_$$Hb%Sat*yI;rld%WY60nMEU=;}8ks4GB zAVD=BY}gVs!>Acl^T0M3)lxsG=7LQ=3N{&gP|X3W*bG)deo%pCG&*`zrh^S@L^F(< zWz{sW4f7hPA5>GpCjSDPj6JBPfK|Kzs~|t9CO}eEKiIHsXogWURrP^w*xf|^py~yi zEZz(<8GBImfK{-9RgfQ49gv`E2OD-7>=A@v)C{UNuno3tAP-TutZD_Dd;x4S_MmD3 ztJnutf$$xvWmN+tsOrIn^`IF>&7i6S+aT3N{h+D^o4gimGWMXV0jrn|RzZGHRX~EO z9Bf!7nqkx|tIEJOwDnRys7k>mKLVSKJ*Y~+DlULkkRMb9kf6#38#WKkFlq)>9@vK6 z6R00lxnPsUCxT4I9#lDC6|7(t<Ofv-B&gEChFu1G1YsC8GhiCnh8vSW9-?kpl?pc5 zWHQKP>_L?RR-pt|f$$xvWff?xOGl4NJlL=gV2>aSqh?UWfo+JI2J#SfgDMtm@>{UU z*n=tttl~CU1;Tfv22}(kRfU5MTZv{EHG?V)Y=ikM>IYRQ*yK}Sld%U?2w24qunO{n zDgY8x{$Rt}&<vwyS>*?|Vewq*2bC|_<o{rku?Lk8SjAhg3i5->0}@p3V8eE!8Ai=i z<p#Flz<lZll`Gg}nFSz|u?Lk4SOphY1^Gec00}C4uwggA9zhsJ&7iUa+u*bq<RR*o zRkmQ0uYyg+9#o(e)cmc7!732GBekrufCQB}*t&^mhEX%9%)mCtFQ<M`nSxE;1U4Ca zP?>;LEC8z@Kd3+p)Isa3z=q|a8Ai>rN)K#9_bTcKl`h!i=U|hu2bB(3#Z|Bh@`FkP zlB(3fhAl=jjGC!R4Q#`THPjC(Rj|n{Ye6Ps4=NR~ices>$qy<8NKnax4Lg8l7&U`R z4s3(g29SrSTUN<}P2LMO8GBI4fK{vps~|t9Bp^X04mPY3%`j>Pl^ECt_AS&8Dp9b> zv%n@}4=NF`iVm;}@`FkM5>)(P!@|%Eqh?vf2ezSjJN1K#7i{u*u*ukiiU+J>FIWZn zLB#<HDt548-DrkUGgYyHZTPy2`a#7CHo0Rr$Ykt61=>!{-&zJ%L4Hs%K!WN&8)$u% z3z}in461)%8`kXud5F4Y)nBm5j{89-V-Ko7U={jc732pMXt#PtkIHwjVSm9MK^S%c zvW6Qv4|oN_0|`QwD1cbqEh->!hyaMy-J${(?*WS=)`ETm+fZ;6<RR(?)mN~|XTT<7 z52`O<6}!MH5WXX|3HpHzycF#{*su;X!>F06-hplCI!^tddJ8uB8Q5g(LG=c#;tE&= z`9bvp5>(H@hAl!fjG9693~U3>Y3c{nQ?SYN!6su5swZF-y<ipO2h{^eP~8U`7L8^Y zHG}FN*oLF$s2@~!!6rwX2bqjLsP2GOxPeuWA5=FWL3JH$m<XC-)NCwV1KVJD3FIN_ zmQ`25Cij9(#vW8xz$&W2D##D23y`2X4>rsb&9E7ef*e|`&w=njf{;=i!~z{k#R1zm zf}{d;Yas{n#*uSi8-CoReo&nSn;dcrWHR=k0^Nnh-|7TbL4Hu3fCSZXuyq1xhEX#E z9s}DTaR=lf>ZYorV3SM0CSwn(BVZLtU=`#C73iw5jvkf$V8aa145MZS+y}P7_dfN5 zYA@L2m0**x2h|?1is@h#<OkIbNUGWnHY^p*Flwf%ZD1SDKcaq6Z3UYg^B80@_MqAV zR^bI!L4HtefCSZguwfEthEX%9)`4wEc?R+jat3Sw@6?2BKt=W_2Y8cY>qQ6tsmDMY zB-euV|A*>-8FTdif9Ot2(6;WEua2Sck3$ywf~C$M`~Tmgmv!!TUIx$}O^}7hTU2g9 zH)bAhQMrR)K0q*^Aeb)@%r^*T4F_l^Hv>aAuM)`U?iLl0(cJ=u7dv}YE`auKL8#V~ zFh8sT`{6zKgu&Mg-K?Lsfp!M>K=cK27+&aXQ8@w92%%aJz%;G~YupCcco0RS09fMz zh(-{F(6|b$u@<WFH3I}5Z&BHS@W&n~vkMX^DvT`$_*<jETDl<$AqScEsH_B==8r7Z z3Eh3xq5?7o*W&8qEh+_2TaLG=lt7tX5WNr!i<m$uqZ^_SX5k93h3Uvroh>Q`5TAmK z>1<Ikf$%_rkSY|!I^Lq90k!3Li;51E*#*%Hv9OC7Wa04^70|X;P)r_&Y;6TGq4}x1 z2W$%Xphu9ZZb+)??g5(!7KUzt1yLYJgEs0e2RqstZ2W76m$#3AQzj_Afs<oB<dj5E z1c3Q7Ks$+hS>?8(B+ms<CxZ@AL@-w%m}?Ns4G88I1hWF3Je#+Gk{L?!oB;~79u*LU zNS@2U4nGgx+zm>e!C-x;$#VioV~YxiLZq^#V2#t@8kJBqLXs!6ECEpnjZ4@V7)lc% zi4sB{Z&7JL_@M>L>}pW~1qdkOO6|c1eu64IP)r?%EawL?p@~%)VgfW_zHEe?@Cwon z3hvkQpf-Rx+n@;(#Ch597hFzk-HZ|u5l|-_Z&8UsFcT2W6a+H^!OTH0OW+alYZFF9 z1c1V`M+HP7B4RN(JP{Fb3ak$`B0NAETU0<4B0Lv?H6kKnCW=N#L^wb+f+&Q>h1ep( z0^tW6D6^|Y1r#7i5dq3=pwK@K$%!B)G!rYgsDMm>X4sdRf57<wq#YF8;D`XpgE^px z0A*MZ=Viv9|Npxo`H~xwCp#fL=;rh89`FwPZphAdlykyVAf5wLkj&dX1)NziRJ~08 z^Z&o$0grCpkoEiwpo0Ry`_sE2rxSQI9ty|>ovi>rj-ViqfdO<zHG@az7L^&Go1Bk% zbWTy30Ad|-+yOd#;W&#*83O}@Pd7x^r!z!_<G728Kmh0{02dVrm?TIyNK)ari;9K^ z0|Ubbh8O!k{{^2G!Mkk(FWfbrjR!%w*avi=#JLx5KK%Xva`ORjD1uHH0Ed<AK@>i6 zy~hNR0@XyISUKLJas?WT$079#RP+o~6f}4Q3cen&y6+biPc%PZ>^RhVpks<kKR98f z!%BXTL7?L9U`LNiA6O~|CIza$K-B=GV*{!qkv7|dd;{871CpGg0<su(E<p#R9nuaq zObg90P%+ir0#@JIqA~~M$QE$f1u5{M(jak&0Eh)T!58YW<1H#vpiI!Az##2CU{g^2 z*ao(n6;|1R41xKv6)g1&?5yK0Dxg9P8hD_6{U9cy#sC$K;Hm+n3Q=Q#TmTjZ>3|*$ z05Tp_W3+&chgI({uj~iMDJUetal8ytW5D>wAt3>l>V`;xDt(YEj<={Bf%+7C9pBxe z0#e)E16GIX|7K7bPz^pO9b^c|aiI8Z0tpv_3tdn^L#+XY4v5(eNlM*4;1&hggCJGV z*y`>9Hw(bRARVBdEhqT+1@Ms;jUb~9K?VOyUx-Iwwc_iEQ1^p;c^uNX05M;d{Rfu~ zAUi<U&T}#_fUcbfMJY64^gvorkko~e>yaD)b#C_*u=6lfz0Ca&jsZ|qf^Fr1xDLjL zCMdAf+rMB3fg+o`MFkYOosa|qjbl886-Y5c70B@JDd3cf;vkT!moNT;3#;B9aP0^? zH02Y&KvPE^1H&hN!4{Pa4iG~C#t?*5gC5<YHLH-zH+X>tD&OD*S_P;W0~croAl4xt zP#F$B3AsllgAH_W%0Y}W4x|TEjG>fqMbH8eqA5g00aV5*K+3q=@1SMerPa8~IF2`e z|G)gT2ORqFGA?B=3Lm+Q^W6LYzsC+xLS$$tI{<3lqXYwg_{lVWy@UMI5Atuj*f|B< zDkoeHLezC{fs}*S6hAdTV(hrsda0vFB^{hov6h2rU@1z=!30P-7!NjVV9LQbu-#b8 z!C0^q&C0<Tu<`J6@cV9Xyu-@9mvkxzqd}z-W;qxI5~fW#7zr|Zv>XJL;yvK51sUbw z1Xwwk04oO}45V^!DdBQ3095*c%Rvti3tSF@SRS1{Dh@cxL69Dt<sd{8YB_lMCA1to zwG3A|$ngB{|Ch2m!Ra4f4z{E6k;}oHo#4L9+7&2$7Xd_DKm@^*Krm$xOi)V=RE~D@ zMt}?<Vl=}ZoLgPNjUrHYQ3I?GwU+|QyU->AqO)NK*2n|Z2&(=;_&B6#0&)XVGp4IW z1>_4*hl9WMCAfzPZNtFYC$?bI?jcJdYG6=926RdmC}}~eWk?GVR9!<mf=~;Qx|$$K zh=rTLZafYdr+_L1?F|Pp5iLGYhZWq!0d-0dEk2NmU}2CB=&?N@M}t~?Heg35gN=X9 z@X}%jIHo~91ve@_K-wFirW%;f2|BK<m-XH<l;~wZ#2yQR$$?<<Aef+*H`vv@l^{b< zqZicbf;Nc|oltAA6A^t$Pq04J=mj;ypiOE-^jd*RGxSb9sC5IfAKG*RF}qq+Kt2G4 zG_+F>3V4vraY#c7#Dqq+GGxdB8nG`OA>jkk4hkP|UlL>km;>rdf}#Y(dFcSTcmfnN z+>oIH=)eFpLhv-tkfN;{((dY>q5_Ikl;#;o)l2Jt;O1F3ufjs)G6q*)at3l=(g0M@ zfQuXr5DT*}2@=LAUtoPnkZzD9xSgc|X=fEbg_bW_i*S`M3m*Ob|MJCFaH@lsFG1T- z_{impIYf#oeMxn2!ou2yQUgm-+J;hqw4vm|h7C*`N)Bu{);5$ZSc+zCC>gNv@HP}D zB#uGlFgQQZsSPC!Dg!XvP*Naa+O(l0K}L_Zp+FTK*=?v5ur?G*CkouV1Qn<r-J%|I zkjppheMtdOF$ONsI6y3L8w$h%wV@brw4p$HK*bo=z9d8wY8$Hm0kn*3n~STA+jIBt z|CjokP|CEIGg0}-Wn3LZiYjH?e^$`yH>_pcKd=;~W!w)|@Or54V8aHcjQa+*8*3T& z6)Z)wGVTl5cz78Xun`=`^e*E*vobK0VwQ2AK*F>s<356n9xdZ2D&sZ~EaP&f6E5Rk zfUfccmvIk3EN~eIVu8xI8?4yJ%RqW?mT?eGsAb&h+t4y@(F|N=+>IN5|Gz9-2TuR+ zcH9G0K5`j%6e2~HGVVG!7h)~ru7RZ}E#odg%DD4j!v>~|I|sHKYZ-SIEJd?2?hM#? zco{c+EjW(pUB;aTl{lDX+$oSSZOXWlAfrdiIEu=+9R$m`36ltyaR)#p8@P<y0b+s6 zI1md|#%;h+#)0(UEaM=WP|LXE*PvzGzR9@CxG$Ig{(rf56*&FF%Q*GbD178Hjt3$| zl`?KUI2U3q<JN(tC@tewK+3q~V8aHcj9Uh_8*3T26f8xvGHwajcz79iZzVWR>0QPx z29-FNW!xf=Fm1}Xg&?Cx%Q%Y4xB~>sxGlYe%eWb!k_}wOO#rdLWgLhFD&snElyM+E zILkPQCe$+S@kMAEcdHLq87FZ5@Bf!9%faa%UdH92@{!B9V2Bh|%D8rLF2q{KwSlE5 zE#n#>Wn4Yjuz@M#>cDnmE#qpzQZy^$YQV<B%Q)3#;5ept8CMM|aWKocDv&U3%D769 z(W7M?MP=Lxf@R!|4#H(z0jOjHmvI>&7PyQ9u|Q>90**2cqz7ji2hoID#{EA7E#tm* z;ws~GPW}D=(t9yD{lm++t*CtDGHw<`iYjGXJUAC(E#u<AQk0f)5s)%29BkOYlyPBT zyRnvWp<pSRm2n|p<Kbmo&LVIe)4Pld29-FNWn2(Qm^NixAjs&^GLE7$?gGIw?oTt} zGR^~3vVqGu2M`Nf#(`L%GR^`=83)pXvy6jiLM`K@Pe98!p;laFoX63>|6fj;4^IE^ zGVTv5AGwTsIG?`j*UZ5=6>B+Y29~0<95jHGgZf~@2BsX;1KW+Y9MlC%(X1TQ0UHl5 z2m9xN(*eE9L2Xc}gjo)1frM#O4r+po9xVqcDhF@C%0bAoHGvit2m`Tx?N1%ya!>(O z`hm+q2@nfh4uV*qa!>$AISA5&vmAtILM;b{4@1jAt_ED?pu>T`|6gvM4Nm{?a!`H_ z3Lm)~WSIkAzxK8fWq}iDJrrmW(D4=(64tNH02zY1zzNib>_*>>#}Ce}i1lk3V11|y zoIrUOWBnQ*SR=L8uknIS8)EC%c)*T^uV1?`3mmhc_yVso!Lfc#t^p-_LCd$G(M!Vm zwVm}Co2am^U*iTl5wU))6RZz4da<rw;{ugtBXs@Rf-2-P2G{zv2gvK!7(fLLxXAgz z!objpxqb~Kj8VS8)~|tdgCvpHuWjB3Eniku<0@Ys?Ed@zWy1_`s)Ls=uTc5O<;!`9 z6sR14rZ~_RCJ?jP*1U<AfsudG0To8jjmnTx0{afBt`_hP0?>*@{?@;+6{nywf|wmo zptYZ%4K?3cKx;$Jp=pOEMbMroTzj0bZ)xg+Y=we2iW9t|lm=UrzJVRR3+(9E3@^DL z;R*6OIKzL1EXV{cSOo<t(gNN_+ba#c3=Iqn4E)pKK@3s{-QWXN4%$5oVs=4R0fT%~ zYD8eu5jSLaGIRsb%exRafC>YUn_eG=h8KwQ@+D*)C}@Q#4Y%n$fvp2gC?_T#eL&7f zFF?5moR1!WSeW?;Bn-+bC~Kr(`3R&NBni$((ET>qyP^3gsRCC%nz7^W|Ch<rQ1j7Q zR6cS(+60lpl8><G7<_vQ?}L*R*1pI+uoR_zksB=FHB#5Xh7C+#<Qmv+tbLKIU@4mQ zMXrF2hxbKVrh?-bo?+><4)ih$)-_U>K*F@?i(CX5J=zzcs4wyZ))&FK4z!~PxqQRE z4)g@57y}n*2S6-vUj)Pg^+k5DU|%Bz(gP~SP|7&io<fKw)V@gjHfR}FSB$HS+p_uZ z|Cg&Kfzv;{tspWPg^ygu{hUPKF`4b)oQky^+y<7Sv>e<3DF@es4I7wpa2?ogtmWWZ zuoTV8!8Ksx;pO1+iQssrcR9EkR4QSP$*cki)219;2{L-L9HgimWXK0?sTPC{#b6ne z>BuEq4lV$de&BL&28abN2SF@QIXD4FISA5&vmAtILM;dDH$uz7vOHYn;F@)R|Gzxj z2TuR+a?rdVg^yeg%JhTBWFiYtI_;o7KB%Ei+L#Or$PgmNWctCm6)`4rB_Gt;NA0wO z@-D`hOdnVywZ>$6!KMwdF_|8)qv2yRFM7c-3yLpr4+zJYOjjOC^pZCwqY5$vHF`na zFpNEg-C!po#$>+cVn#2tBMhPtdkVWirP&A_lhMgUE@N<w$#CQ&olnyMDrmq(P6ddC z*_Q+fW0Wtjz9dLDND|zagzhPnUkfc?#IkUeFFvdO{(m{68=UIk<qJ~}3Lm+Ac@9yE zr7ziRySa$IV>0F7ggL~<WXiyfhL6c8LBbPMJc2VkzA>4A!jU&7^Cp#;d?bOKk1{~H z2b_-*KrGCB1QG^i6_ox8EFXb%gCxQE2s$QneI+y>olC=&k64!f{r|GM6D8lge2U6P z&PS&pQdsg4>0>hS;3S2$FA@iqqO>m(0qKi`gAE&)zDO9@ZmfNgP_Puu`XV7<<Kcag zIUV3QhG$qhjmZRq>Qc<UNDxSvHhqymkkO-k5sLaE3b4Ki&M_I0MC9@f`<RRes2Bqm zXbvD2xGw@?f%+mAIQk+WJ)mL?rHq4($v`xr_C-D~ftGQv5^<Gr3XA^!e|e}Coc`f$ z1)Vk&K5`i+*hb$m8FO$>#aa%Ufu$%d2Mr+Qpg!2Jfhh;|z;<IT2X(<xG%E*nz{bPN z!Tl}Zc&B$cs0}KWFv~$LkT7k^K~0d+qvaq)<)8+v9E2>7!7?Tz5<|EgQ~;HJ;Brs` z!~&OtAQq?`6u?mqg7n}l2O*kJ%fZ+4q2=JC7+mF`#N5CCUp{RFr+;`k=--6GM=l3V zn!sZ+N8?aB?VvtB>0>elAVW|)?VvU!<~kX0ZbgjAIDqvLF($(Y)<~@}8D6kyLu^cj z2kdD0m<$6Xra|!q?xNusllc~d620V&$xH+pf*QS8$7Hy{PDG5!B!cy!MlWbE2IHJ3 zE>LMULdRrwgd>+RxW;4*ko%Ghpn?Wm<osa9zD|Z2G$)4R%wUjiP~ijaOG3wFx@SYn zm!=3@<;#v4fB(PSP=`{Uyp*a(;UkwX{~=02<p8umL9CO}Pp0pf%y;IYxK0M_X!w|n z6(l_2O&fe;GG`J;-k3~92r>D{0XZMN0OcNVK6(IRVUEdwgh5#arN06jlL6@lNrLkc zbWFy11~ea8h2qLb1yle2e>u4ZCEvXKi^@mNM~@&<Sn?6+V>0)_NeXLU<Q`ax(!R(I zX2?1juwet!7r6$u8*5+WDp-nUeUU3*<KcagE!E&ShG$qhjmcbQ#=cGlButyW$VHIR zqkR#I`XU~%z6j1SnFapH<s0@fnG>L53|ycc0I|S*5fBU17XjTX;M0kD_BKcls2D>j z<6vVl5KX9kk@(5bGA=9tR~gqc;qU*Kw<^HtAKn*nsYKx;mvNev^c|Dg4$i4q%fW46 zDN4)14UlqhJ=m~;DF@eq?Z#RTt_4fctQ=eeHXdFMUM~m7JH5-n)u2)db4+FxNSHR| z;7X9uqvaq)<zN7;9K<;$v%rgRIk*5+`hm;A86Xz890ajI<=_Nx-w3lD1nI$94nj1c zmV@Da&~nhv8&^5l(*5`U%fBVy^baow(@RnK$mO6<DR@lA&=;lC4(j7WyHF(TUAp4~ zS`dM9RTHQUi7_VA56-QKF_|@BeW;ywP~OE{Cj-_<tudKiuxUeVOr{6yX!w|n1SF<G z@r7?pCdnHmddVA;`Rj!dy;#R&y1`CFjLDn@>qCuRtYb2uOU*DY)B>H14NZ!mTWCPc z;du78iyLwogKJDC0=X~Q04iv}MNS2Xh1r(`31gHmu)ZWnH%JoPmxPYVeD8#oFYnxN zl`ksofB(NcQHWBWyfi98;UkwX;zi){<)H^k0tBU3P)w4x4zC7e2<o69*7BtsoB$E! ziw{^IY68SszLbGAQmcF^1)Da+%9j$bqv7St;{tHZg5nEb`SQsPC3?v#U*>`gL5*Il z<x4TxiHPzg7pxC8da;%-MWEKw2p#i%<48>Tl7U>lWPnN)aQTt|VqumqAYo7ug3^zJ zl`kOOAW7u%WqK>LeCcz-RlXc)0*zJWfm0p4{qh%;k6gYyf=GeN0ce4O81q$kqVJe* zJUC$vv2}QHU`NBpd}Sfw2`V1J86Mx5?-7TQH|D#>j+lH@ft-&5K)DB;k32vu%zOkA z24xkj`3R&NBni$(&@o^CCTKo#v&WT>YU)8}E9ao*qX(#b<a~4#B84R%kv`_@4o*^7 z$2#1=Qk0H$I6%fa?7@Z&%vgsV*lw(29kyU8nvHeXfQ^Tbbxh9&$1yy^(rL^WbVD$f z^W&{RRV;1BIxIm(kB)UvG}h4o8|%P1=6l5wxqQPu=4${d#=r%d28ab7>j1GpV;u@O z#yUWHK*bnJ83!Blg=j(@>&UK!mT^f|xXQR0RiLw#Gr;K|-d0f0MByWsaXgvy9rKk3 z=Txlapd46=(sED&QVxoP4I7wpPz-E0)^bo3EJd?&Py}o|yd2z>4vu$vmxIEfQmGo_ z-dQ1#Fm1{~L6Fg-<se1nU<a%m#5v}B#e{G<$N?(-z~vwVhy^YOK`c-?_=5?w9tLyF z7o-PgISA2&S`H>xK+C}>Q(WcXgwntNUp`0yr+;`k=#`4XM=l5TQ^8|0w&p0Ec2FN5 z+Jz!v%=d*E#-Jdm4T&-4`<)529tJTcvjeOTwbKsDyBK4>-@qEFH74^FY}ybTllcO6 zG<;0vcQQC;LGcCdqTyJFmuHF+z2uF_aDogWVoc^U*olZSnVTk{WPuvJSjS{OF)=WV z)G^-*1LQIW*O>1F<i6w!CI*I1aFO!>#KP=Lf`l>37uc8#NH<6l+?Rxo`7)P4%a>mU zxXKrU!oUAtu1*A}I(YdanuNkfE?<5@l!D3uXn}$llbLKl-!Yl{;DkBE#$@h+9St9o zF@=ODsCWctczk0r2Kpm!OvXZ&n0&MVIUijB<sNW8Issx~<|B|WD663KS77-Fq#Gm& z&PUKOncW4@e6&dyS3Y`?`}hCLzIc>;^YRBOA2}c0g-Bt^N2HI*90w;UtbLJVU@1!b zA_thj>+tr24I7xg$Ud;$So<P-!BRBqi|hd#5ATbtj|0asJj2pyOlCKzF2(GN>;ehX zrZ2J+Wb|lXgrdI43RqtR=a@`_26Fj^eN1Kps2BqmXe&T0a9;$(0`)}};OL8h^ni*n zlrj!BCIiug+84Q=4K3r&Y2Yg3STg_qe|a?;oc`f$1^XBjK5`kS5<}lHnfc(HinSb^ z2bQ9=9Gn3u2d9G#8<=u%8rW{E<=|AX6wS)PDPZH_<=~|#aJ<vI9Gna)l`zY}Ng!d` zl!FsNMvs<*6qSP;VC5jrF_{Eq!sTEGsPqGugAE`SxEutrK;>Wsj&cyB2WL45(S%wK zo==08gU6I{m4knh|NejZBOILm;pJdb1PULy9CVKWkIAf5Md`GI`uNZ;6bWN8As|Cg zJMEx0B*vIbIXJf>#$;5$`cOOVpuCGQCQ}C1NUbrMQm|=5Y)qyE>}dFyj4&joLGcCd zqTv{mxuuK}z2uF_l!6RFjb7~QWWY{DjLEoy^`S;D)-jnPP-!+o*U7NRBbPC_#$<LN z_a!qx1r4~!NdU1h`;s7GjPeE6mjvksNrL;5&@q|(Bxw1PCXcIpnG^r_|I5Q6DCNmZ z-B1)ha`_?zkph(i&;kW9Ci7p8zGE`+;DkBE#$@8aj)srP_(FmnR6K$+JialRIkF>f zOy-C*G5P2Oay|+G<sNW8@&K_g^ASiGlvPmrE3kY7(hZUX=OgHtjCwpYAIZt!%10qF zfB(N+7KD;-UJ3-G@R9S;SBO$9`H1u}8Fz4!!rB*c14~ib7jb~}MeM<b4NPCe4s18p zzKAVYie`Ng8?f>4zR2-FaGb(3ES<(=tU+}tW?#e#ButyWh$YDA(Y^>peUS^Wz6j1S znKxp{<s0@f83Rx;1}@MvKrC=y1jGXMMHF!KML>E$#TZH%2OE=tXhQ9aI7dOtI4f~n zWn4k{-~TV)`hn9wye|^&kHSYT<81utJ0>F!&Z$_-K{>D#rRAUmq#P6n8#XZIpcvS0 ztmU96Sc+!lpa|G_cscmW7aZ^OE(e7{r4nX2C<GFwO*tqCGJ3Qeq^KOc0V@Y_j>)_c zBwP-1fJ#4bImiHFfy+S<3sesNV8pRb23$U(ohJh;2O*kJ%R%c<XgO#kgsU9P2>Sc~ zrGPg${lm+_DpWplIT-H^9+MFjLFu%E`uNZ;6bWN82ZTWjA_(t|{LYBFPG$mFA8Mx^ zly@=4WWIqlQfo}+E7-IlHYW20>}dFyjJ6jzra|!q?xNuslW`M5iC*%?WF87)L@(Ac zna^M+BF1Dkg7u+BFV-=cPmCjXoy-JY<T3`=n9Kv@zT^u=_&OO73$riz09@{%l`pWq zBuF=?5eDu{LdRro2SCf0OT4(s7Y@I_|6ksA2d6rC`Qqw<!bdJ&v>;Mc=}X=RCoHUO zsC!^3O50F37{T-V*TIGjOdIMN*lw(CsH<Qpnzf;>fQ^T@q5imm;}ld5gG&}V^(8NZ z$^gtZ)FqHGZQ4*5K}L_Zp(tuYeSo#0aP}p4a3Gg&*!z+vK*boi4Rrv-0=J<+EKnP2 z2e>T5Tx$%{11iR__9Y>jP}@-7y`g2?I}TiBoQmh)|1XtX!08`e#`U1`k;}M3h!j=I zxb5Ivh_#FZWljE8O3SznkTPyP*q(tY<JN)g##+X$1xwMaj9UXX9u$zT8D2BIban>E zF}=&U)u0jwvy58>5~fWVw-RLZXc<RQ8TW%=8Fz=7a2dA%RI-7~xEUZ8xQqj_KxNzn z9Az9x56&_Uq6xK(6LyD|aa=68$~Xs?zyDumI)KwZyo|ey%118ab~u3hiLDYS9SKms zgY<r)0mu;4$z4#{i7|KI56-RXObiU5+}h2`0M>`vkpSggjDBJtSmQhJRl6Xq5PTeR zb1l@pM>s(Y28ON{6_77L_u2Bdo(5~_hA4zx2iyxb4O9_<w?Kie#_eoT0bNwv-J$|A zrn5z*2b8oRg3S*Yq0+}&R2rbR9B)x+fik-w${-ek788L@1u2AC*aNn(6YOtLFmW<4 zbhfB?K&${6)7hfp1L1)LAr^vI$6Hh!ptc-uQE`DXyC8ZY7REAzLbDs95N2UF*uo%W zsm>M^1&9?OV>(+@R3JQ%AjCot>v)UG4Gu^+9&b^(17&tW^g=9DV*y!syhY^#RQ7m_ z$`vTHyF~?*_PTq(rf{C<gsAFnQTYP0tp{u(SQw<E8=?{9=tCV-RJy>9z7BTuYlfGL z?7(Rm6qn%kDj(#sVNh&=`Rf0`3HXXAN&+UYC!7y51QatI3=G`@h8H_~RIv7hJHbvw z^n~rf`cM-v)}C+&sJy1_>V){e;P3(k04RK3&qKP5`Smtv(gn%9jQa}?xmBn`L?57W z2r48H%pVBm9|W@m%IxMf1sQ@GautxM22rgi9r&jnbLiNj(vB8#tYCepAy)v>*rEcW z5ciO^VGFqcXihlZq7njScD1O0d;m(LrS{-!tU(zFl$4J{G8c#mawsPQgK~=s$OLE} zd^zn8IOIUuLE!@qIgmV<0}44%9t3e-PW=N8xdzbqRwra!?0AdH188U*Z&7)IV7@>w z-yoPVP-ZvpZ_p49$U%rSX8{U;9u*LU2)S0YkUI_5hZ=GQAdM|5APNz3E!aX%0~%b% zTU2zQ%r1xzkU|br5`cp1IHZ&SF`)&Fa*GPc1ZZLM^6YPL$bkX?6h7dP1IdFqppXL< zCLqqsGr#};2VG6g!N9-`$@Yk{0bCaJKuV4&;F6;G(|^T_%^&|Of=UpuG(-=yVCkL$ zE=Vv`y*&Q=|9`^+9^JfJfA|=Dx_eZ9a56A>bmJO*VJJYl!L0&ReS<6V0uT#x^aUi0 zQES6SUqHG+lHk!72L=X)4Gb@0?V+`H$R8fKYdjkdR&aoZkI#E_o_o<{^Y{PDdzRo( z1eKiNu=21%;e#?HCj$dmN*5vps$D^`0xEi;vDj?;_BRg$BmX4$mG2-$*ys1VT2w%i zpcv<G{R_Tf9$Mvgwy4yA(j$awJ-|QZApf?5oh>RLH+MrQP<ef@qemqloG@v#B8ClI zZy$%$tWckVs$>uoQOSUgSp(N5(7GF1$$*Yr0}F$6bVKTLkfTABOdi<L+rfT*&G0e< z67--(2RM9juZU^3?fu2Wki|b89=@QO=y(gbq5wJTc#Fy&Xk2tb+8dzoEj0p{MW9Ln zs%Q>W5j4cPAtrRTsDRYGd;qECK(!CZL9Y)ZmEteo`~znqkZ-vm-hwtvpq}i;or#cw zqPs^06mZ>BR6qfRl8Hd7UOxW^%|vg15R-`nkTX#NDC>YTQ3QyEnTbHcpnQVTy@zEY zkZzD9I1@pa!${abGZFt!T$#w#^6&qbN6b+&%}YHC6h3k$5{5{DvH&zrV9Uy|XBSYp z1u9^BR6y#!UsOEN{D86JQ0sw?DJtRM^n|s09|o49w0j=_>E8Q;4I7y5y&u?atlfKG zuoTU@_da0b;obYEX5cu5=T<rmVS0lqQq1nX7f6^k-Fr`v(WBjaNT$PESiSfQF06Wc zz&$fqRsV@!pvj>CdX+TJAxzOP$mJXMAxsBQF$ONsEI=%9_a4Lob?*&ubniiWK*bnJ z83&sNhG;_V-kX?1%Q)>XxXQRhlfVC8a+`qDKfIw(ipobW<Dwu^R4L>1!MPA?8K(!9 zqO^?DfRu6SV8aHcj8g;KjkSzZ1xwMaj8g#{4=>|%jKOhC?=nsqRN`QkaY`Uz+LUpM zAfrdiIEu<R4}xW!<$J<qoCK(31DA0EAQrfc1F=A590!gv4x|TX83)mXTE+z!LCZMz z_qfWqTK&KOUj`X~(?7h7+k?tSF5?y&fP3RsA9)x&5WR8GfDyD=N5WE<s~>n6JWzY% zpt2KV2$LP0TM^rjmV))6_QpYZ7uvc<^u|Fw8va&lEp=f9J7b6~bzuQJ8otyeS05bH zp!foHbzb6F>f-$#C3->QThQobf%c@1x2SL+m^=t3sM88k+s*s!9Y*wmx>((iaX!Rg z3p3b>hylK1V12033+ks~T+PM=D$Qs+z~>0*8iE1<6kg!L7Es#=%mEFyfQFnwoR<!e zej;cDj=M#r1~S|X;&nrKc>0MT#Ryd(6T7E?N4in^i6B)ktzrGdyRVST7+ixb0m%Kt z9}KXiE+0TF%zh$B7^8fF^%Ft5L6YEpB6O+C2VH3S^86L9@<mqr@Bf#wy5Lj?FJIbG z`N-u<jxM--abrRmVZMP#Uw0792MFd91oH)g`3AuRjj%wH<!MHY6<AnHo%al&1$Lk< zS)iGZZr0ggeW=M2YpL@NtPwHel7*sC!0<vRWT*l@LjoJGeGArzWyS@RZ9tAk9qs@H z3TU_kX~qSl5H#un8Y+4NHVr=G0+IraDS_%f$fyZu+zG-1CDUf`j0=c$95NgXwXg&l zhFvWxAW4XYk73g)ka1)FsUQnqgDt#)EY%4aAMI{Y0U6WTqGAHE5Hx5Cu@J;M4jJHt zT8K163X+6a_!~S!(G5`u^WiJ7g&&ZmI$Km$K*rKQ#&ou*tby=Af)EQqtm7>z3!r1d z$6HjEK$%?-y$}n9S)ns7GoZ4^TU6#inTWwKkSX9%FOVw4j0?y_urNqRH$)@I(V)Sw zmtaR90Z-_>W_Zc24bB>%xC9p?&>0s{Y=QaE8JDpCC<XEYXrzE#k6^AqFxMcM8xYJb z2qvPK-u(}wm<E@&J>bDHL^1sW?C|r@QM_)}Zm>So`~WH%yIa6RU5HxvIanj2e2+rW z2+0qi;k9na$Rk4IGX^Y689~J(G^K!M@j%S378Ou{fFce$4FO82AerNkvKPechK%_s zL#7j<IpL)MWC91I9TeQ)@*QLYm;)-`K?xkhdC3o%z<K)@B_bjaAsT~VCLow82xbO? znS)>=rge-!Mx!=|Kr<rUJ>ZEGL_|CVhbJN;n85l_BLXxJ(+!!jM1<!Putr2gT>Jxy zd6-5>M1ZDUpz{t0jgPTK1Zc(t6tKrzRBWK$>1t5{1qf0^fO0TY5h&|}nB9=#MY#n$ z=K?JuUjBg0YJjwZf*TwWARE9OP(;9{I9`7L4Xz<tK#oVWKzBg%Ca8!+Fb^P@M-a>t z2<90C6ERD)@;4}4AX81ynMu&>Om`1>HWv{QkHF!Hh=@wCK3I5ifD3xiWK1_?f)^2< z55XD{5#fQN5fTxgnO@K!C<g-rLgNE$5wQW`hb>TMSBnZLK#(E=RP2F5|2U*b1Tmqd zsd9@7$OLG~`SQUpaDfEU4i0<JlqN_X%mGCNsLTU#Uf%x&9vKG}ecX^T4m6++DR03A zAMTNHurx$ZcMrHM?w$fJhf!KQAXP7K{Q?g*b@P6HNKA_-0lCF<1Jup|cd{;kSePvy zkT9rGgEDFaYw>_|gCxN%o(RbF(mxewi|6Y@TrD1LrN94QhN*%>5#HiCfXYX1@hpW% zf!ZLTSV5XzYP9wL#lx_GpMimnGfbf5%->3z8759z%`kzS%ijv$bA49@9QvTv891fl zo?&XVee;8d!C?*q1Fa{S?m~JJu!<dZlIbO+^8l&^X*kLB1lD=jaF3X*RDqn84uCQc zI4kV{u`sg|NEnn`ux2HYZjdB6D?uljHY-B2(yDv7veE;&zyDvFDT5;uo|P7$@{zMr zt1`H1=zV}vM+-n}C(`Z^vH%%^S{sA1D8}FfXj+rM6)`x$0oI3F34jtJ#^A&@a3w&k z!HKP4(}vjK#1^ol;e!)_O5m6V#TPi+;uxIRcMm0cL49**!AZj4L?p-%)aV6eO^m^b z&0r@Ys%lNJKGf(1H<uxE$%v|Y6Sk@v)INr~6*LbFVh+bU$|7zNQ@%7HmoF<or3$!w zSpZ^TmM<V-P!WQ)d;#eONrKB4=-@=KEVO*_x`nHJX^{H+|0SaWIMu<+muyr%a`_Sf zkph(ipr}Kts!5;3o)1n~SSNVqfu$&&;F-Yy-iI?CY}mj|@PM)ne=F7ro~dAG(QJZe z3fOq~1dozDIF3Q(Ft}u)(<Js}P#J(Z!7~XYOq&Uwi6Em#CwM@kOaxZk;GV>uKyZSm z;VN?ZhJ6yd15}KG3$z9h3p~LCVtI7>s8nFP>>8v2RED7xaF7}75ReYkshv^@Xz7-7 z6<6uDNc8Xjmwqzfln*c6cA)Z+OSicYDJqn1Au8qkt)bw?K4`r$C#VYx8YA>kDdTSi zEnfkz)&}K9&=@47#|Rpr#lH5fdy2{#P6h_f3;a_LcDkq(boi*`^S6SgGLemeR>B~a zoh>S$1z*tl0?4o*R2n1>5dg8E>%5?4Ijly8>g(<Sn}WRbC`2WXzZJB98f-Dh1kkA7 z!44mlT>e(bxGmL}&xNSu@VA0?^nga8U$#htqZ1T#;DT%`q*npsA8!E%6<BH(L<+QG z4(dVBGJ7Z!)YgGAVGHP>!_nP6;N|$J-p(#91$!A}0?f-<rFjIF*+Cmq;E_;h_=BRS zy9c~z4=fA{L(l*zG>IJQ2vNx_g_K|M5ch*z3i8kEDbRI)AP#7h2ev%3@;_u4f)l*T z6=Wc27y?uRK$Ag_3MhD>sR(s|0Yw$artT?Vw_&Jynfo6c@Sp}9$N?`!Ag+V)kGFsq z_JO5-{slXT#+|v3I2Yl}AXt8#xIn1<Dp5%Q-5wC46480cr`ts(0#s}TU=~{;DhW8t ztN@S<xJ3r-@f{R~mRUP4;3~7;3H<&4@~FiB|DX5;SZAK&VF2wuWavByTV&+Xcu1oN zw7eKz=z_QqMaMt!3-G3%<6-dWWi@4BVDRXyQStETy#9$_&_%_8U*n)hcL+$JM8(3R z^O{e$4~X_rG4Sa;`GO5pRzA7=@4w-t{h&zn*tdd(fq|WYf#Jn&kW`Vx|Nj%fz@zaE z$U2YCJu0AGfF7M&R8}y8T(k#F?_&Y!F&Fsz-=iBM;?ceT2FS^sdsH%@3c+;ifBq>4 zI$Bih85tN#cwU2N!a)-MA3)e()4LrsJRljaN5u|2Pnrdum;g<igRDK)U{K7!zzE}d z^z!n9ecl1KvhfJWsfP^@7@l<OJP8Uzu%0H69`F)v5Em4rd<+a8&4)NVI;W_B)`P-S zdNdw-kP7nK7O1e9N9Xm<L!Ad5cYv%p&Y}VuZ+yWi@b^EO9UhH`5|9i9`3uR=fHa7q z5Mk_wZsEsfDA+krmqK(;0SCKB;~@zm42{QXDA+mJ4ecPpP%eH@WVb-v!TJIeV4W@C zxCYHLfl?C#0|RKL2Fe6Q7R2og-5lKkEZ;9FUTl8I=+JSl^(1K9hAlXr4}+(*kkxvy zw4UUj3YtT*0jt;uR?*#}0@~Wv-J`OEk%58p1pm}Soh>S$<#HWURLsE&7b7d|Y*7L2 zcI$2dtM6=4nZn4x0GhL5WMF{Qj8JKiI79%%f~x550jvLhu@z)d#}pMaunqsA(^(+r zg3}ah1SsGr3I<cK$)CU`gUp2m86p@=z$zYqRbUSW(89ltDJqb~beE77QaKnPYx4Bi zK$C`$><bBo1E64NKEMH5D+BQWBxQJXGjwxw_JE@f)pMPYh&<k+0_p&Qk_^;q9^F0Q zB-TAqppbz9Jh=ec^9YSdgsccmb`B(B!HTA+fOwo2KvT_~Eh?bJf1soWS^c+y58`Z4 z3!@uiZ}SUA{wbh62nvv8g7ToCZkY`(d+<3;0%kebR!AB{I86p73vvvonFVqon$tjw zoIp+!2ie$q2#?c1%cVMcRQN#(TU^0T1Ni{F7lrQ>q=o~<!Y)uCc3$s1);UE5v`VV; zkV|(9n6-i7g)T3sPJ)*CPgtR4{&D`l|6i8=`u`skH}HbE+ra@|7=za&wSbgBq8nM! zaR<;jVhk_CAyX-!><Mzh%RtDUCm0`EB!H#NK%1PpVP%K{DAjbffD7H@Eh?a}gf`Yd z0S97&+yyDAv6mr`l}}CJ$y#K!h|I$ZT08^kgn}|W$WTxt2ILwBaDf1FW_J(Ra`2WQ zkSb_m12v7og$<(k0F@D-iV9Rbfz}*B8*xV=(|RBufJ}Zp5$YWf2Q;J32;E~-25H2B z?BIqJNr(&zG8!~X1S+6D{s&DIfmq19mB1<>+Mq6O1}g-G7D`hNst{xieiOkepd}?F z3~-nTQutEzKXi_&{5bYx2iiykNp>LC28I{gxR8?FHdwL?;Qjmm<qot|qXY>;P;@{; z4OGyBm@nBuQ=#xwGlAk%^B+8Ff-lwl1C1}xCe?uUL_t%{G)NGPlvLw+6nm-xExCfE z8W3v(!;1zEq*SvTmTIK9|Nej3`4duDfeJhiRs&Gm3tE66XMad@qxB-F8UPit-@y?M zn(GCXoG&?1(i6-OP`w4}DT9(6q$LB&MxX)%WHd+?T$?pNWb78bcZ7$b`4OWg*oMv? z70{A0kVT-yRHY7(q7tUVg9WT*J(?EKIuno<(6W(IUT_x^l*vH0gF4qB8sZAj4sVbv z(3>X6TZKSNNcdZOI6xI#H>89Bl~SPPN|0p~jW8+DZsG186_BB@Migkay<>{XeQ+QZ zBP;A|Q335t1Z@EWt=?@>DFM}F5J5<r2`UW|hX{aJprsEWg*{;Ph(;7>+J?W?hX>?J zNEkt)F#{Tnpb&!=oFETF3r-LdGX`P~qs9Pe78?|t*FiZKdkpBJX#vfOgS3FAf}t@0 z%Zk|30jw(T0k<-chYqHwK&EE5bA$W_>gh8wFu-EqEI44-z@$L23~gV+V&DX5410>o zaj?R9$O=IVctAl3iBr%p5`+iZ6AB4%5DT=b5>#1$*S6IlRCM>KfOv=)I0m*Mh8N^Y zL=1Fy>;c`^*`pEy?zq9K=MBuD&LylN2cyC3p+R+WH$;8sse`}d55AISym;^@>%oW2 zojocpjNpy{C@?{hhZc`ey&y%M=el_ex~G8c?Yw^Qzx=`X(vF=M92tK&Ha-9)OBc;U zjvb&a^PrhkmyVO&Q&d2#%da}8fNN|}x&WIu1#D^aA(qZ--696vd%#9IcK&cY_(R_D z;0tNT&R?#Kha4LpK}@~w(D4&&2&n4B?ttb84;;VWaOphId962u?P2E>a6`2lLbYDv zpAX7h`$6H^9}G^>(9{8m6_DSd$p9h%vIuXgYJR}j&D(Z>hoSi)qb8`=(b=K`nr!Zv zq5_(GEj0&^Oo5C6t4u&uxdD=b)`L<%MqvVS3|d6sD@@jbJk{y~^AxBQgeB#*U@1$O z6v$wBBMH(Y15HtNOi@`5R;Z1v5RsHYo}f4>F9X}~a_A*x&<r0WDT7$xR0s;kflkWc ziMoCZaClN9DevBkl9a*IbW>D7({$)bc`B;P36P}RPmQG92TmlKFi#;T<zBFq983xn z1!zebG*i(rMWr3APyksWm6LKC*oJe^KH$r&Pv9|FP`?<IYhMO@L~8SN?>GT!|MrS5 zzRts7_ziU79K#F!|9}2>wy1zMKzBo^)&q|GQx3Rvw5YT)Fff!jzh*GJWO%@_^PopB zZ{~H-x<BZ6UgI%v-@Ws@N9VbnphgGkm{;ikKmT7dygd00*$E9GE!`d|tta`XfI31g zAS-UZo(t_UgB@-55$p+2a|2}R%f|00{NpX)CJ0z6?K{G70#y;HtcPV3k8W^P<N>Pg z2vtQ5Ad4W)DbUdsAQys+f$Al#Dgw=JLGmw%1<t=9<KgC!TNQyEMr>6Cj_E1j2!~Wf zJ}UM6{m!tdD3E&~)eeZ&-J$|A8zKN=9dA(qwHiR709rW=4I9uZ5lADVoA=vJq|EE0 zQqd8jQV#00AdKqfy@sl$pd&;jAKHupnGP})bTSNxMh$t;Sr3p%gEUSM4O#HS6WkXd zSxChLo_Mkb`wp~fA7Lb@wF&YDXiYW5NRWrY{dj206x7h|?g0k?cqRs<1GG*b+CBr# z#N_a|{sNC6yk>am_!T^<0cr@%+zA@b|NgW20AuHY&SM@2pD}lS=xk8|ZNY_xl}Gm$ z6>u8b0~YQ4@7Vdnhw)gqoeQjS<f4+{(s^R$_n(fPCml5}cKWEKxOASp{Jc9vC4=)< z=M)u?dqLY^z%4Hn)0&U7U>fMsd7?8!C1IvZw~tDS<M*GAnipZ_C4kKX#qUed)C@H2 zL1FdsIAj(FWEY4JI$#PmBC!UtBo7o?+>rJ?qR|fys~#0l9)=7HfC3X`paHA`qOH3J z>=%eakSnk$1X<IK*F>-iNDl+Qi6Dh9ePB!dzHY<Tw+vB<0d*{0RAM$TywLjf2Qlq& z0oJQL^5@V0mxZ6fg%hY{4ho-_VP8=A&;cW`l=T-xVTIZO#olWJ6$_A3i=mqX+!36$ z4Y}vyqY~lJQKAxFY6WSJ!_-21jfH5613-%Xq1g-+F(5}lqZ*VEpiv8ABJu<%+K_uI zAu4{*iB6CVEQ|R<Cptly7o;DxXaI!`G(y1H3#1C#(?rf*pgarhjeyJrWiKC4!2voC z1ro@xL<pYfgmIwG26^TsbfObv0ceLAq~i(VLCpo7F#;;5z(Zf48XYB}Avpl*TpTG9 zst{~&4|uKsq7cJGunI_tiQhz!Lc|zZ_7?0Z(gTtrJvK1BnDHGcMP7rYNTXkW{=ZE8 zL~J<(YPf*PAyC5U##0Wtpp`=ouyVr%TyB7j>4uC^qnU=M9I^qILk_6rkPX;8P#gc{ zOGrxwWHvZzPePIaj1TP?f~D4d#+I)@g)8oS1(HRK$vACB%~uwntYQw!S1`5Ed?ksd z*Z`zhpW=L_hb3R>;>}l}uz)5j<a`ARJ_7kl2b!;LLjoC=l_<$qAjd#*0my!8<SRTT zg0c&uDFAXl#A=MX1Edg~uRvufc*F?a2oBqbXasA0!PN+MQPD<i0n2YBz6Bio1>6Fj zjnW85Z2^a<XqDV~y`Z}VJnBH8-2e&^v>b+6&3C$}sG#Ln1z3Jn0p~A}F(l_#8E}48 zK+Uf*VDmr`{*ncflt5;KGvyOhK5~9N`H9l}I%_>@ew6@aL2(@UwGd6Q07x-E#rc&F zOMd00QGVrt=GSkKo)IX)g93R(<=1y75c#$01Md9FjhbJ#pCCTJF8%<{uiHK#rO$5k z{K^H&ui$kqFv6qp4QPl0wB7|Y00CO>0_y*RR=0rYeXOAMF79vtfY-Z#L_E6pClrGQ zNNZFoJi0*yWTlIXN`46^s4eHBQt|%*XnoJlRVeFwz?vHmS%5TmZ&878kAb+LRV)mh z;PpMNtHA4fEWoQ=YE%+n>w6-g>w6MBI!janpzC`AK&zHKK<j(dKK}Xd(Rc*plfxjZ z?N;$HK-L9);ur8yad`3c{h$9}fr3x`0xl{A{NX1*@gF+DkmkW(ccSxP^FzkY9u-i( z7StjGwE{ttq!1BMFNJ}D;rk`nyh@3ReW^TXLIqSVgT28D@`Xp|@fUI*|NMVh44W=2 zSPf|kfz@<RfqMB+KrZx%M92~>gU&;aJD5OSMHUsv-n0<Vq9vp&DK;>?NPP!tGI@0K zsvw!U50sBOzjv0X=yYCl>2^`k*}(9^`W?up0<6|6c^Dv5;}=1vHiF#X*?17-P#>sM zJRy+*R^-@u8MM5LZ6!GPRT#jhDS`t`fnVdGPv<?K&Rd|+mhkDk_+rQVKmT9$g1R8E z)l?r~jkw$ofBwG&ZA5~luTaNu$1ulG$B<x9N|OgAoINTN!1NZC4iMeF2Tbo{1EsXP zub?RnEVKUsD6w?zQ8ADMttf)hko4A~5-)>TSp`z?AG)#%Y&!DFsyG=22L9Gd;Jz+6 z&g2;wjx|`6Kv!0QUD3-c2~KkzU@IGs%#debh<1!|jCG82jE@B;s+Y@A(=*7FCXgxM zwN_wL8V~INxfqnZK^|y42I3+m?`6xu;R}ikP>u_b0fh%>1zU@X2gs42MQl@4Ai`Tz z9Kh1oK+y+b_NZ9MfMVw43)6Rh{=W?U56SCr4<oN&FbA2}!u$XKe^91ufrL3IRJt7; zU@I8jL;A-V@(c_Hpaj^Wk|PgE{M{`oAW_h9uJYjJ0w4*{k;?MmDUJZBGSFeMP$pD| zDgy&ULuZSMjvNC+SC2}zJOjglm+UPk`CECwOE|hAcEFTrK$PVplyQQUdGzj4@sMX= z*asSm0H@Fwn_hvlK<^e62YJvjWCFYy%fPw3MMXglEC6G~9sI;E*aB7tF2}%8aXdnR zfng`eu`g!4`Sbrc#Dlc=b}VdJ5-0?5dpioO42!pSzeM+T;Zjn(Jpu0Rg|9(*s|B3f zJXmK4fYM$IG;6_VhEMzgppp|7lmc)DFV|8YhBSV?6a3RbGeBmb<kfxxyz~r~r^qmL z>k_b`2O)-<f(_ja4hnby3yM9~1X5ia12GutS`)Crvmi+eG(bR}q3jStp{_Ls8`=t8 z6b2e;_UPRL&Nheu0foK?bb%PCi~`9(Xq+J}2uYbBmEbak^+XsbrjA3>?wyx^{_n`x zCeF}#-0%Qo;Vf8H<DnCuK+3M|0!<XY2z>%eHK1$^TAl{66%zZO_yrm|@<4e;5FWoU z28Q(xA3^3qt&c@XjW9QY%$oo+PXd-1Aq;p%gjnstT7hgHFWfu}P?W%<W`z@I$tq-F zodCG-Z&88Jh;ZY8Sp;DSwy2<*u>sklB`;v%cF?0&)NC3%1E@0s2|92o4Js)>VdMjK zgJ<VM$K#Hm1+WZ`$3Yb>gHJE-zp3mD;LvyMJmk|Wdy*9tG<#Guzy<YpAJDSnDNx=N z6$NlveF=2rmjsx3(zo;G3+?BB{=c046FkfTD&Y`CET~XzJ^`B00I^<Pg6zNdkq4h_ z528RFEqMk8h?)?228NecA!_iN(+D{x8e{;p0LL_^1#-x9Zx5u<?41Hl#*ixd6Td(k zJh?z(RiH%$!hk0ih*FQnV~`|&j04n^ghbgEXw;c~;ul~87tN3u6KGL^FyLv#)OQiI z$plUfkd}c*<8e@v$q`(Sf~rjc83qQI&f_2pIAmal9x;Gehd^x`kQgY?e@KJs%8QOW zKx?*-!x~p}R6yE&I%8C@?8KR)0x||9318TL+(pFzv`xpOdyWdoM32rG6^r98Dh{BU zX#>NH(@*~Ve;GVj!cTM|5#jei8XkTxq+#Lr0K@`^A4m)oem8K0A4oeWh_Hqq$QY0$ z*6;(Fh#r33kN^CCDF`_Q0#EuofxCDCmvD$C7pR{1XeKlL-JMTF_+3DR-w9BtfwzGj z0I|T~2NDB?-wqt%2ht7-BCO#DG6p1xHT*y(qKBXNqd)&&HvR;!P#pO1TRM-3@Y{e0 zzZIZR1Bc%N5DOfBATdz*&A<_UAnl+a!Ww=cV?dHv!w+O4diZfa{PX{%{SQb>8dM*2 zchtONVCd`tH(_BloCj;cJ5X8QqQU^JjUY65D)xsg14B1NJ(g8LpeF9|78L_f(-YFj zHi0rhhC}s&6v0*nftt?vR|QQ01t54;5QqhyiUk=DH*bmx$ULM~K_DZESQP|vSoajL z$I%>sx+>^#=M<GMAWuUm$W&~P%4BH<2L66yG0-Y2!%LvX5Xe>C5WhD+U<Vxr1nEtH zEP@DtSfCZ;ppf@yJjme(3gz>V>HQB65DnJujxCT_c_Rzz3c?x_E1<FR04fTh!Lf1! z<iH*kka{e!azz&0k<oxg1?cVuC=(QlP`w~Uuvh_`gLkb~4?I>tEO4xVjE9>yMFnIY zQmlZCBqCOHKw&lo;t^B_pvDSlt=0vQr>CfZC|Im?gJY#s6cH;RSAk9k0<|T;OXr{h zAd4Wg<scSPtYo-=W95bZZR7}f1Bs9m(4Ys$AnOBYgdBj1LTGS=>;So~M+KxFON4BJ zM2G@37C`r8K$)Nbgz5z;f<*|}9MteYTRhhSj}Q<G93dd%;pR<I0hxysAs{1(h!7P> zgn(2N%qAN^o}QutqF@oy433aJB8Uh9xvING1w=t|1&Gz%q5`rAA^>6`MTmqmI6@%V z<m6rCSW$ToF1%Ji!yghW67NCkTT~W6MIkgeR%U=4*rNhck0n;7Kw?D#8WqP|RAit` zP$)w6f)v4G1#Ax9SgC=>3Wx=c6_D|8^QNeP%tMM5kdZ{h3dmvIQy?BebpU2InE>+i z6crE!i<N3{to##3#0tn&-7P903X&^8tZqmMKm<TmBE`x9NUXfzxPcrYF^~x9fCfDz zLIR)>(f}2O(BKHE0J*J41*9HJgp@!cL;xBKphIt<Oi%zq^@0?^A_Qy>YIvY!lM;A@ zfLP!N0T~ZBZ;A@YJfsK#8A(Khh(NLlNHyMU0xGu(K%SnW0-|6MQVfm|SEL95xvING z1w=u@AH?czQ2|*55dg7}B1FIyl<d#HD8GgrAyXg`k^v2RNQ87iBP0PT3ZcOf5&?2s zj|xaVmIw)fL<k2o7LK>5@IaZM0EFrVDS|}^*c`kOk^_$r5DOe3AmicYO;G`vhZG?o zBZ-I*ki)vCKs<u#0Ms1vsB?-+0Lar23Kk*R;0WmvLgWwzPz<-IfE?HSfVmUG>V|{> zL;!3hM7*;_1*#q-*x91O0?`c;geV5Fx*_5a0gwu$=-dH`PDrVD86}gQfyAi?H1;5I zdH@=y4p31D4USU_koS62K<cr?sR<-bf5<@wLyxzp{DCq-aRAi|QUr@rusNtfik8Vz z;Bg9Kf#VcpJlwn~Dj@Ta;uK^g5pfD~Soai&M^GJr8mFL4W&rZ^6crE!i_>IqoK6r# z#3{&C-7P903X-QltZqmMKm<TmBE`xJcSt4^x{RF3SU!NOAq{BILn7n{G(r@hq7WJ! zArc_B^{9Z<V~G$ENQ8WV#scVA3n&v5fKa_4MX(3~n}Zr2Xb}<vj}Q<G93dd%;pR<I z0hxysAs{1(h>$OG3=G{<ARa+=0A_>;fIK}#1w_FjBpMtc*98y}0&*4TNLo4A241KD z$RbFDfLKToBH;yzkj9J15n=<05DsY2Ln6fB14wC$3IkLWLW3jZhYYw=15%GALcYj= zo6j$xv2eUa<qebx3P7k{kRn)wfXzV-53~pgfkz041&$Dq@o@8|sDR8viV%>IL_`S4 zVck<yK&tUpL(e*=sC)o<8bZM$Bp4haa!3&Za#eSW3W#ccVBZO0bwfe`A^@@yDMAE% zK%snoCwMMZ`U-Nalt5zT1!w>fI`HVhngNZK2T)N64UUx?AP4rSfYf7&l`D`~c>s+H z(B*khCMXo4dO?a{u>v*+Z>;#hV+F(l#|p@JxOr1lK;|LE3dl$zV&w@Wn}Af~jTKN= z^8(1zQ&d0{ELOb1v68@#$R;3Hb+@R1C`gkT#OiKQ0a*kQ0I`r_r2@ID*?I{%R@Ojb z<pebRA$es1G*%8kMIkgeR(60K*rNhck0n;NKw{+vG%7%sfI^v|P=x9QDT2ic*c`mE z;sTEq5DOeDAmicYO;G`vhZHLyBZ-KWJCIlbsm2>CpxR^u$kS6)Kol%ioWZfO2)v*l zG5`Q_73h3ic;N*V09gbnyg)3ZSXmJO&MPmDoI#F|Cy)qP0S$Ucgxr8e$O5P+ga${* z43OJ;R6y#nM936Kgj|5e0_dDKC=(QbP`w~Uum}O0gEvBK;1L31fg=QDJlwn~Dj@Ta zA_Qb45fO3)5+NYfcq0T<cufF#dWs5&f<=fmI6@$sg&+|Eauw*@VQ_?i3NNSt$RbGL z1!5sZ$bukngg^?fxbw)dBJ&Yk$9F)(9}+79;8~Xzl?JFNga*e-1;~LtDj@Y(Vx<HU zD<_~)0Xpjl$^?ZXR4+&oELOng;Efd%c&va};8+0}4>xa$3dlU9SOFPHM68^F#0p3? z!B{B(d3uTph=Rq6F*sJV!8>apv2p-pV2cXKagay=vASDSKo&s+KrGN1qjKQcAgFqf zU}uZU5r}S(AVe{U1-f?`9?2jTNO8&$3W-zjl8dghh&c7=-oa7A!0>|Y#Gn5=S8Nq$ z=sa(D5)@pJxU~Qe?i_<mF@8D@o?`5TP0YcD<iKMS&?!&IASp~n<27jLt_M1VYUt5< z9MY8MIQs{D#b^&?YP#_txFg+skOMRd3>qLsa(V*Tj43J*VIz;u^PmH3UK~AxW(2rZ z3N->UC<r%w2Gj_MFx-eHkP(*<o&_g-s6RmCfe4ukU;}zoAfjM@fU9-~G!wwV3^f5V z4h9PejyI5yfQW)k0LR6@)8H`0m_`Oq0Ygm!4TXW+0-+Jpp=gUB;1hE&I~_oFc0%WI zr=I@ve+M{%LDQk?IasGdL9=&wr$gUovonBAflP-Qa)Xl99u*B~5DlLW1@oq;D1e9a zA=9B?CU`nj=G346FMIBQr$a%b)VNlWegHL<AtTA4iCa+gckfXFiK4C|1)0&=q9Ovy z`N$hkdQ=3!LZCe;Q^3;T<)7dI>M1IaQRpq;R0TB_WBE78WY9L0DJneD3=A_tTU|g# zIwEaGfk=T32ATNs`wOtYK;yi){B;K6FVF}q$X}o&)xAdrB#P=UkQty3HrNwL8*6%0 zSinLMe{o1NFo3NGnFkul2T!AJ0jE@`u^9dWnGEq41I%9_BT@YYk^&nH8X11M^(EL} zpaD=^{#pa^7ib_8<gXnd?j9A8D5}3eW^}fw{E-4pNuv1c2UrN=FOW3YdXRbDQ@{hG zXvSjr3uH3HUtgr4@dYx{5hcDrQecBY1FSFeUV;4u8gRtruO5&`AcKM+2Y^RF_o#qG zQT+unqq9Zj1K1NN{(1uzg7^z04YnRUv^WJkxQb>hhQB~2L;Upu<}Z+usQv;;fei)? zQNGl94fYpkBo3Fqav=T!ji`Yf03Jx(qXH5|^%uyD&K8v?U{9d<>j78@;xCXi*m{t8 z-BZ9LbZEw6_zPq*#9wz{{sI|^>MxKK*kI5|-^-^Ee}RU-aQVvz;xEt;703bL@wh!I zAW>9*fz0S^QMm#31d6||fQ2Cb0!f3d2btGB1w7P;W-NxkKqf={bphrtkddhV0!e`l z291rqoc9JCU!Wl%T>jF5_zN^R19AX(Olpq`NEFpyATv5!RL+1sf#R<dU?GUVK+<6A zLFRQ&0S|Yf8H?dBkjW5#9fA1^WF)G;KvH0XL4!swXT1gc3pA2~%U?VYe}Tq0Kn?&8 z?d(wjiK6-oWCrM>C9o$@{Iv%x1o77aDQI~JGOv4z3S^{Yiwcsl82$p84Dr_vn7=?q zqWTLY1@;%n#FxSE!2SXaG2rso8&ES4GE@Na7kGqZj|xZ>)n6bpI$Kn>fIWfYuMJ=! zh`&J6VCzAH5ZzP2gD+^tV)zSWGQ?kNVEzIbiRv$q6xd+U5XMWM_h5g4I_bFlbq3-u zP-h$D0Pq;Y9u<%%s=q*HfbJjxdjiE@OTa=9f31MU7s$NsDd5gKnz0!E0+|f)*8-To zKt`ha3nT^h7ibXR<td22K;2wi{#pa^7pS`masarOzDETlis~<r8K47c!Ja_z*9@=_ z#9wn@{sNiTJw*l5>D{7&WGsfiKqf={H3jA`kddhV0!e}W1v2qv#|LnHfqIL${M7^T z7pT_<@)x+XyGI2iis~<r8K5(|z@9+yR}WYS;;#uXe}T;Fo}vQjJ8n@yG8V&MAd?~f z>VWwRWF)G;KvH0TflPeq_z~<cP=5=Tzj7e{0`;3f{sMOw_o#qGQT+unqq9Y&1?&kF ze>H%GApQbLgRKXd2Rd37yy#<#3X-uH{sNf{@mCGZUmzn<{RNT&8w~2Yz5EZ|Tm|Y~ zb$9f<0e7cC?MzVn9wg|&+5m2~<D0n!smIct2CV=&4p{^Zn#DNYqT+%yF9mM5^njO% zz`E05bMSVjD?lLxUUmXvfxFY7rPv_Hg3X%(HV>&g4Kk95?lj0@pvEewZ;R>x)FJaH z*q5D@OM#Z1@PQ9-f^?@rt^(b11zzC558As9nQ8)A)cgP>0AeBaDjBRnJ(lx3nL&FH zD)%8e#N8c7Ah7~!C4)Q)iIpADSb<F2wx~d8$RG!(0_%aS*1$X>2($v^IAozJG*)bo zVg=ml>H#kkfyD~QUbHa=v~lwSc&va};8=mJg9V#61#BKttbmLpB33{S163`u3=C)v zz>F2pdXw%cD9cXr!Lbs+ju@c@xvCrDcSs~a1wa--1VAjLSXqG_E06ae$I2f_tbp41 zh*<dmjTOk;ZHo$o2FD7x-A8Ec38*s&jTKP$4a5W)4%G`<D*}rZkiBSuf)*<o@K^z{ zz_9`{9&R4FYfnH9>z)ExM1wD{Jnw`oI_ZW`u%V}PaI8!MuUUuW6_BgoOSJ0ImuP_m zKvp8fN`MU{uYd<Ee0L+qipg7W2?pw+fIJGxD;jS>>RVJGv#&5394p{fE1|U~psp+^ zD$tf_LG^;xiojw8WH0_$Nr1-+hy{)nknteLg3Tj$?Fpz?Ow8I7P^^F!ophtFJ&6a$ z$`$bT1W2raTm@gE1&Rcy0LY@|2Ot3u3n^B9Ajit~orqZRV2uDrz;W<aniq4nfk&7+ zTT~#EudvafglN!+)E0;+Y?LS;#heMq=7hk_0kx$;0SJlhh**d@U{RPk>L})%KsJX5 zZVqJb5$2wPaELizQJ6XRcOdx-I#e0G8SEj9Av4G_V8{*v*f1o7CVt3_0ptjn<w-kW zI|%UZytusy#XX=^58%cF#ABeH67Z$W1V`+^L+Q{FyT{vM=7MTBSb&4fyMcRYG_q2( zofnhg=7EY~Wb-b-%!4e>M_#3mtki=QWrKzDc3AL$Mij56uw&a`0g3>;BZ_lVP&Qc1 z1Ruq>M<qZCREEGt6d}ARDjrgx1^(a>MF%hwJfe7N>!1HGtxkYjjGzVxt`;L`>=4>W z0JRvwEf_o_iZ-C419e2v0xSe+F@mJQ4LeYfcTWK~w$O~lXfc9Z2Wc^yz*>wTBT-w7 zAStlHpeD;pneSkKfvRv^{sN61LaSMj1Hf$nJR^z*U{9d5gmu6|5PyNB!PbMKzI%!a zq>A36f@Ca)zd){o_)7!kFOZR_{sKvX4F;L`@)pEjpn4UTzd&P$(25G=FL14lXGBp2 z><JWqDS(9_{sKvZtq0eCh!J2UV=?>%avj89GBAIEj70SpND6E)sM>rv;|DmtKs6IC ze}Tphp+!B&U*LKO&xoP~*b^xJ5&;WA`~{K*TMw=<rl>%wi7hHf#$xyj<T{AI1YrIG z8HwsIkQCTpkcltDpy$zo3Vi|#=0QXCpyCBWgX?|J%nP{-=An8)-5XfF56VaQ>wO+j z2!VSpAQrgZ2gN(cSzz<X?YV&Bn3$dmXcYsN1@qkCdY_^N^A5<X81`>O)Q<!f%!4Kx zK;DPY;8+1oMs*YFxqy-tmev?lFQ|J1ixp5QfIn7P;IRT?fnx<^JjhvK^T_SFfKn(i zJr~d_1}r@nW^k-fv|xS#biw?;)yNTocftG)=n^al4UQ1dD(!AUofgoH2sA=Ka~L2d zC;*{)N$a%ykz`<i9PtNYfg=QDJls5TJ1roG5z}b_WfRa!d9+T;Z%I%GpP~ix0g$EH zkoo2r>yTpw?}B+ys{rJE2n~)EP+uQ(R|BYDjM>2l^+%3F=7&I0fwo{Csu!dPmQ6r~ zFaB)u1s*FP7C2Tw(?uX>fz2bg(*l|rBBs*<$|hJkEuX=$LeYZxACOpiaeW1Hgy3B; z4{CRSd=8<(5d!MwgAUij9wDH$>&GFB#-R}cnmPh8LB>G!f)v3b1T+tYKSJKXBLu_( zM+j)13vS*3Etr1|ju46#%zHpqSif*vjvOI)7tDhiOdy{_XmEspdivdDE|`bv1ubxg zMF?mD4S$3@fkz041&$EV1Rcm(VDkoO!Te)zgbd(<`45ojgp_)VRwBnK-UaiZ#v&;2 zAT&5mL8ArTWG<M8>IE%uhs7yq@(_QV-hsy{hy{*Q(Bve@Szz-9Xu<q#aGX-KU|zuk z)R{g1;^AWC2*JBx9z2@T-2$Gsgr5Hk8W`y&bHO}RFKB@~EJ8rDp7<l=3OqtUEO3N? zW>rBE0yb}e7R+A;M+ij=<^!M$<^vWXM+n{p^Pu@#kk27BIER2%X?OQP)|q3r3qWhv zk3;5vL3Iz>f_bQ3kRn)wfTnBlN5~m?gn(G!2mwt8gPa96Z-5rep9V(=MGNLVkQdCq zT7n!aco)orW}iXchtS|y0j<*RCUe0&R4-_OJ1kZ}lg;>J<p?}hKrC>qfF`p+&H|e^ zKnvy%gJXrF1@jw_yP6>=g%{oh^Pov?koO@pI95PQwLv`_(3u^Wc?Gm~{dkKCXs#TZ zS3tAdASTEds9umFSge4i%JIj_9(b&PSm0Oz84ovafELW}2FD6T3+5j{7tBwdi=0jH zE|>?+&Vzgop}`RXTBQx@)L@Sg(AxFmEh?Z%eo!o+EtrSu1u23>2xyKUe}rs-M+k@o zju4RXAZLNi8=wXAo52x6(Smt_U~u&gSun4=06A9hE|>?cEdY5RLW5%^0ko)r%mwpM zy`Tl|uvh`DP{1E6Yv8d0Vu51?v;qR;EU<Y4v|xTUI93L5!Mp?Vg89gKh&Y8Un7=xM z@Phe+Gr%)Aoh{&H#-K0-jh=e2g2yJHi@QOikq8;sf_aE2c$qlppvV{3=c2842X~~Q ztKA_ZdOfhU^soi<5MlUg_bKQ`fLo<dBS52XNOOy@1@jPLxDkOMBajx%gA+c~ACOTj z$efo4D{R3$L=@}~@Pc`MG!wwV3^f5XfQ1MN*n)Y8DA)w>{PWp4;4sCQ{R7Y3K}~{; z-L$AcXyRx8P!`Om%t2l-|IG&L^cHA{8}IbiJ{znH<`+nUiVWEF7KArNWrietdTRoh z37+11J?qc^m(gp%(+Z%0Z(P#~(CID6F!vS}P!fW!Y)4%X1u~<vMWqLn^HHa_I>17Z zX$6opc=R7+UN>U81j$&8X$6qUkZFY$N$3J>kdclk3$Q^_V1q$J>0~UJ2c=2q%63$L zfrp=4R2smZK$$M70SiI=1(F6^4;pv|jpRcY%p)0#;V+QM5Pwy``~@-+)n6bfu)(0g z=$E7~m<J{FZamXlC16jW_^SXc1o0P08f-mi{1$1!Jd&{({z6P|<-q&}G7{BaAStlH zpfO!C7R-Z3Eb&ZlWq>__;;$625X4^~X|VO65zubLf_WrkG5iG@VTHt30?c0^BT@YY zk^&nH8WkmD!8~{%5zm787_cW${1pKfg7^z04YnRMkchNk9?4h?e}M)nA^r-1`3qzu zs=q)|V1q%Uo@6YT2aliOSuh^}_5_N*e855we}SaI)`Q05kQU4%8H?dB(0CujUmh@j zfs91;7f1?hFqsSH!DC2x7R<YVJ%Qpc2e1&tUm$6)^`J2+qy_Ux#$xyjH0A~ImkrEc zAR|%z1(E_AOy+`l@K6k%1@jhQPoVhA1S|yc7f2dxJ!ohLX~8^_u^9dW4IM%JWdQRR z$VgOwfuz6&leu6XJfeYT!MqOG6Da=D01H9<1(F6^4;mo>%?*Or<ZMwvG8V&Mpb;2| zzf@rU0vU<wFOU@2U@{lXgU1B$ESOgSdjiE@GGHNyzd+Jp>p^1-NDJnXjK%O5Xbc15 zFA12xKt`ha3nT?Ln9K$9;9feO1@j_cPoVfq04xOY7f2dxJ*bzCv|t{|SPXxGMgbuH z;(_@KWF)G;KvH0XK|=~;ESLv(PVp?5=Ky;G#a}F7A&9>~(qQXBon52_^GL>G_zTpT zhWLvC<}Z+usQv;;fej{e!92KohiAe39|_D2dSD@lzd+Jp>p|T`qy_Ux#$xyj)E$NR z>x%@mefUFyfdSQDAStlHq%N2TwFp4{2M7)BPJ`wQ2`)PUt>1zUa)4G`ftVm;pn6GL zcJcufLf~a5AQreg4Kf~X9=Xd-Kn?>nR>@p2|6T$#pMC*pX*S4J(6w-omDo@LkVOyy z5DTeGXo0*m+oK=RAttb39@L%zc^^W9V+AyENO0K+X!RK=Dvq~+SD=BIAY-6<Nn3XE z0v;<M7C2Tw#>34cci9POAseV_0nJ0>Tbd2p#(-tn$#Zb52qDD^$W_ple2_?h3V<wv z2!L2fvGM>pRyOq_#|qvB^Ptuk$omi)94nxyKhOv*$TL_*Xd&k@fjWbrs5ssN-a!pw zf{cOcC2j4=19+@}Sm0Oz84ov)+_fj5ekCz$Pe4nvv8+A04~~@(N*2s_ATQ09=|PSa zybI<*ZAp;#Av8EvK=Xj0VOs350$NsbyhR1nl?6ow+JbqgUXUW#fFP)6gnvNr20T_k zEO4xVj0ZUjY#zC5Pe8q5V%DC3Vg<|Elk4DEnMTQic>`O}n9KQ{;9;b;E<~(&pe~rt zZU>Jrf!1+DS7<>-?Pfq1%!69Sppz^>+jw5sp_qfRU|tz+4rI;{Ha0c^x?mnG3R`Rb ztrOWjC=2Fqx53;4YP*6W0^*((ptb7VkQOc6J?oIofi9TWY5^P2%gX8lx?Ko<#`=K> zP=FlU$qw2BRoV$#&5d`l`@7~p|3Q}vfQEq(PWg}rGU@n>3lJ|u2j{>Czmv4&{X+-D zrH~sxVCI9CyffrOFE4-`LXUhJJ*eh_DMefIz5s3>s33(Y0-5)NtR?RO9k4(GEqQ-r zigip76f}6p1Xr12UGjcH0u+d_F+m7#ipl{A_?X}hFcUl`c)jh<|CjDFi5(M!1QC1< zH)x~~b?gi@atGRk49fhdV}cvNLXbA!776I)YLIzIOWwgvCCEy8j5Z(0WJsHD4Xn)v zG7`1T2a*D}`9O`1mr;<V;h<5snK-VdMO*R?ZWZ8J^1cG>36wVa60i`&Um$6)^`KOO zwB#MhSPXxGTnF*j0+_!*Mxy!)Bn37YRBgYMfGiCMjk@9T7uu3{aJ`CWOmGg^6Da<g z0TzPz3nUG;9#pR)jo~60i{USj>mdG`0`nKhNK}7;q`(G)>e`prApQc?Ex7!Jw&WdL zli(Q>oB;L&iobfmLJ)s}q`}sMY9>&?ZFWGsfiK(2%Ms{`gQkddhV0!e`l2Gv$C zrx3s79WvL_0zP&Hx?Bf5pxgsFS{<`J1?pDdJ|iBg7o-SQbA$2`{+hc56hh#>2Z#l( zxk2#`D)qqTk=yqG#W5(53H3cdYk9FOd2a^S-0YN`5r4rKR5C)(h(FVWs3{37dEWu8 zzaccZJp~>PCv(X=R4-|Lj~aNafLP#I0i{|{tbolUx9<T;p~Un(Kx=ui^gXJ<vEoU| z8Sw_tCGXs|$Pt2f$vb314n8plYDj>3>jb+Upg9d_0~a(40b+sz5UQ87Zbu0`LO?8V zgn*2Pn@4W91LQDbx*ecwf~DJ0433Zql$;U&0Ca^?Cp4QZtw)X(yi49e)i=od5E`6K zK)rQ>-44)<3@9qlmb^ptlGg3WfyWAn1&$Sv@gQe`%_Fzl0h-()rrQC^CRn;1+2B~Y zgmff1$W@?qnc$NK7&{>g|M8s>-vM3n{-hE)Lhvqm2emsuK8MiY2my7}$zAde)l1rv z_Y`=9fLP!N0nJo_oCP*-fR?-`gCj%|DMCQ5BI%6y8_;qqxB@vs@Gf}=HJCs?htS{% z0rk<zUGfgqOWKn67<hz$Sl|c&O|gNT1vYPhmb^!UBP3zq&WP7=LuwqXuR@Mfyi49e zjYUx4L1=KCf_nDkE_sLQC2h%j2s}<fEO4BHrWZlZ0-HBLOWuRQahgKO8SxR&CGYP_ zkRt@|l6UZU2<RYs@Hw*J2muXvkh|m^s+Y7S?>_Jd0kOal0-6^EMF`lu0b26z4UUl2 zNJo-`Tt(6u@g2}5@3F<m5rTKgJ7iIHiwcAWM+kV9k<2CUP`#urd3S+F2#5ua5YU7! zC_=#I4bYNzXK;jkLOP8c<SLTRh;Kk%^8URPIacs4d50{jZULVp2JLEs=Saz1@($HY z+LCu0c&va};8+1oM}uMoY~BDZdAA0~iV0GzfLuk=8Sx7KNVQ2KO5uff$vb3abqn|$ zG-#}VXL!jxBOa=kv?cE*@K^z{z_9|FOb5jZ*t`K+@@@={l`=}sh?fWi$I6QZdC1uW z?~-@OqUsj#0dCL;0Z&bnc}6@`FKJ8Ob>I;KVu2$Bv<d(eAz<?cXvw=aI70R!okk9F z6-j5rdmt})w<<)A6}(H{cYs&nw5UL6aFwzFbe1`pOWvV+Nn7%+0*@6C3mhw;H4LCw z0h>2KOWu{ivGSh>aeNx|P<N2yAg8>8ShPDMz5;p4ds;psPGL*lA7v6=@_sH8GK0fA zSBnQ6y^xrO&3%E_w}US7JqOvM`aci6uDzEx52U<%3Um$oA@CaZ&g&kX=fH=(-+(9s zb$~%by^ROK%}eOobx@=sO^L(Su0w?3YuBfOj6hnu4o<<)_2RJ6Tgbc`Z0$Nk6uj~r zymmbp%>;0GK}`S+u!0g0#01#db%-d~1n{JzU>;JSvVy1Ip!y*rlr1U{+F~E*7V2H# zmH%tAApr`xXPmexHSno;&{L%5<{~d+*XP4JWd({Pyi-=+d9f~Imq3}ag7BuO2!Q9Q zz^62EfSKSaE0vr-|6fk2BX-IPGIYH~1r&z(ma(&dax?0b6$4lZGF1SQ29M~2%tM+2 zK{6I&ssJ?94w)+WBMx1r1TxYQWf?n23T!ZFc>JX@<a~6{q!6wt5a^T@Wbk(j_+mzU z%h-R2V=TY=0v3Y!3nUG;9%LS9bRLv1x>1b9@E6E+5PyAu`3qzus=q)|V1q#e%r758 z`~{j6!sRdMloezQbqn}lOnl4O-+(=VGPUypEClfvNE&QC$ULNF?BJnZ$V?c9zd){o z`0EMGUmzn<{RNT&8w?t!eL0KdbKk+Eka(u79)LZ8;;%bkA&9>~(qQYs<A;c4>`2C9 z_zN**bpz%vkddhV0!e`l290#SjDVbv4q7OVE51M{yhF#!K&MZE2lMbOW4{9S1d6{d zfQ2Cb0!f3d2M@y`&V5HR7Q<g4*Foaz49s63BT@YYk^&nHUdAp6IUgOgP#l-PKqtI+ zLoOZ!`3pQIhG!Z339u(n{B;B@1o0P08f-mij16fSJCd;&{sOrU;;#cRe}Rld^%qDA zY%q8k`zeUOKnulj`3rQyJ7`n{+;Z9izPPO$&ocHsU{9d<YX?{e;xCXi*m}@76Vftv z@Ng5vSPXxGTnF*j7MQ<4Mxy!)Bn37Yyo~)A$>+X<hga|{W8VPw1d6}bfQ2Cb0!f3d z2M>%O&V5HR7Q<hNW$Y_p{sI|^>MxKK*kJH7_Ii@feFu+H;917L1ndbEe=PtDLHq@h z23rpvUzh?v_Z`Vt41Xb(vCo0|3uGj!zd%x8gTc$#Eg<KkgBFV8N{65m-l1J-Q2qk< z^YJWWp8@s+iod3Sg&_U{NrSBi_s|jNz9Sin;V+QuAn9-d%wHfQQT+vy0vilo#{LcB zFVI49T>b)`@D9DO4CF6xPZ`fL_8zb&Q2f;a7J~Q-Bn`G6)bmDK#*SnxhQC0rgZQfj z<}Z+usQv;;fei*PW8XsZx$oc(C!S^O4PZ~8_^Spi1o0P08f-ndtB5%F9m!Y>e<7B! zSHS!QG7{BaAStlH;AQN&B%k{ZYUX$2S;k%h_5_N*3cx}Te}SaI)`R<2Q^5Ccfsz4| zu^9eBEMw1s`3qzus=q)|V1vQS*tI|X{}1aQ41sgsGe98(UP=ODfxF0{C0`&PfTr+4 zx1EA+8au?&d97Q-08|~xF)$Eu!Z>Jo7pSpHXekM3!8DeoB<bQ5FJnJp1s+L)ocrFG zfas4ASjG-&e}cRZp}{>{&~!Pu%h;iMNn1*i0FM<A3mhw;1#2K@fz2a#DG6wC8Zk>r zK(T^lDM>syRw!D=UVyxe{dF92tl(Y74r)Dvybqzlu>zViC%B#j)ER`XTmW_7KunM^ zP`#wBCy9W^3Wx=c6_D|8^T=IK0&*BJ>q$Thrm?Ii2?xgtMa$SFke9KC#v;cG-ev5d zwm-=G5E>jSpow#W>q$UeSx{7<En|o3C2c)P06bPeEO4xVj0ZUjY#zDmNkF|~V%C#@ zVg<{35`S>4P_&Hw0CKGCk4D4_+A{Vf5#WI%jC0>FK$o$DdNS~3<K-ykpe$pLftv%F zDTfW^oq#T52aCd%vFoFlgR+cW1a1zfuK|h($Y7ZTbQwEX6lTuzC?tPDm$4^@f<4sB z>L-t~jNKp-RHQ?fv9F7Qt<c81j9oqS4|o|nsG3JOMIs+$((xCZkr10m8FNEExm_~~ zrXN;og1ib^cCJCzGWMHr_rS_~n0X2?^GG_my)zOPNT6lx((G8r1VKTAcTDgx8`fp) z4&tCdge_x-@TRC(h{Kn$8-SVMF+qWdKmT7gWDz?i2no$C;B!6jjS1?2GC%5=paxh7 z(&ht6gPUd`^N^OYgPS%4m$9qB+I%1*QQLeVDX_tyb`BZK*uk|po@ML`U{9d5Wo5uZ z5PyNB!PbLP3DPolBx5n!vWPK337Ee?Mxy!)Bn37Y)KVa089TUMg$&-IZaV;t+=1@y z1bYI-Ujkquh`&U{q1z5X^(xXBE|Rer{sNf{=~MB*`~@-+)n6bfu)jccEg8$$!8Hk< zF+mQnCs6#w0v3Y!3nUG;9#k`dn#IsDTqI*L{Dl}3WPte#WF)G;KvH0XLABLTTgLuJ z41OfF7y|>i<_5((sFVf|+7Hk&_TOTlz6bS|v9pFCYPcb=jQtBdRzNIptbkH2C{_k? z8T)5&tWaecyNe%kgbey+>~G)^0%Cz91T<v=iV#pAwVT{-2WWbPm~ID-W$drP5kkFX z?DqnYV`b1UV}AmV6%Y#?E1(G<P^^IZsNLjtJ3x~=#B@7wEMtERjuombW0&<tj*vmW zjQtKgLO?8Vgn(wMKoJ7!o^}t=GWOfx2%*X{_8w2<2pROt*ss7N1jGVI2xy886d|A< zY4-pvW4{cJkO5rAo`AfJ{RK)U8}!TA&%omp!~(}DXnGM8r=VUvsL3wNz<_iiC1^&G zh~Z+;RAToO6_9GQt&gY|Qi4i71JEs;Q&d0{?CQhQ;5emd8T$n2GIn)0<V-f`m$4s# zM+k@oju6niC@4a}6QTpOjQub;LMU3segL|ReVPk$gbey+?0eu50%Cz91T>)wiV*Nj z?f@-g-wlosik7kOKwidf;Eo(CgMJzN7I>_HSm0OzO-F-b1w0QuK+D)SgJXrFW$Y2i z%h+eRBFD<0U&g)$9xEUgI95QD>7ZBv&!P{|GWONrSfOYcdjND9`%Qb~2pROt*q6W~ z1jGVI2xt`mC_=!~{{ys)eK9yfC|btefV_;o*a<mS@GfIt0bY#Nq5`48Gh7QOSjG<3 zOWHE_Iq+BkvB0qcTEhT}6|i{&w2XZ=I93L58T$t0W$g1E5OE4y#x8A5co{ppHDm^d z_u6l6aP&fA8aDTZzKq?%0lJKRIY>FqW$c0wWr$_$;N~TC89OM_uq<PT2*a1LpR)%$ ztQ+PMa0-SlB8Mzkhsoet#@>Tw0yw;&CV&Q5K?w*nz2w1)YZ<#2Qt{=%3Z8z0>feEK z;D!a#GWKUSV0V*rG8FPM_G@;?%h)sSvthez7!*l(r>qR_voY*gu~nSGvGb5mudE?A zXsT+D$^<bG4V$up@TRDAh{30<8o*5OlvS+lpZ_n<`V%{41sS>~yo|jDl$%kftSZ1l zkf{QYG<ZZGWFDwL1)VAYMHqA@3}dPQv5dV$47!XRWTYd?GIo#@*kJG!2=$k-7l1v1 zG6j+Y7J~Q-Bn`G6G?<Ju1p*#ZhRlRv_zN**l>ze?$VgOwfuz6&gQq~Kzl=Qv><JWq zC4hw>{sKvZtp|<ClDv#P2Ieo2k*NLxNr4RpjnmR#8G8iS6Da-)0SiI=1(F6^4;s}( zI*lDXxCxmF!-y}$lvM!CUmzn<{RNT&8w?uhq`@+FAFwA-{N(`_g7^z04YnRMIEZu_ zJ9vx`vN|5aUx;PwE--(Aj70SpND6E)jh3-HfIWfYFB`BB#9tt3u=SuZHj<aITfqDU zG7{BaAStlHG+M@P0`>%ozYM@a5PyNB!PbMunMhv7t^@NI$VgOwfuz6&gT|g-Qhyn{ z2G|oQ{!#%8LHq@h23rpru0cAD9Xx6SSsjm&4iU@P6=41X8HwsIkQCTp8ZBd&0eb?) zUlL#;h`&J6VCzAn9-y^`;0E*-74YB(WOY1-zYxpVMPU8{8HwsIkQCTp8ZBcN0DA(( zUp!zTh`&J6VCzBS21uu|gNF|wtK%{Jg;>VU0rMBgNK}7;q`(H#Xc;>T*b^xJVgL(4 z`~{K*TMz1ale~=mk0`Xg0WuQRUmz*4!8BUN{zDXV+Z<R3;xCXi*m_V07U?v0a6cBZ zIvyjw5X;y<!2I<^6#dk4kQCTpGMBM~n)&#avA+R(0wum)fQ2Cb0!f3d2Xz6FPGd(h z7Q<hNW$aI2{sI|^8ebqOu)#xd8T$iJ2!WTegIM4$GHA&csD}ey>qYKT643H4P-B(M zW$gDwK}$&}I*lD=8T&3nL??F$EMva`j};IL94nv&YoJ&GuT&eLW$f3%u|mCN>?#Jx zu`=kFv0s443Wx=c6;NLj6f59$cI2)n0re}1Sx*95FpXsy`+0DzP;VJ~pB{3o4Ekm4 zC*ZLHVu51?)Kdn<3V4+ux$8+ly<%e4lYn9c%QE)k;8>yFGWH)jh*&{e#(q~5JaB}u zjGZHf0Xzf*S~LJ(#=Zf?9F%43Q{m=-7p%hv&=}$%=72?E%g-}V%t2Yk?h7{uG_?(i z2*_X=)@AGp$mT$ovCmNhd#IOn`X_D%k8b#B><f^Wu|L;_t<c81j6FdOvWy*6%_E%R z0A0rJqy@2w+-2-Z+A#gFS`*||&@%P_*s^nyPGc8>n+GfJVdi<j%p++T`ynk@Ac2;# zhupwACI|`|ykmkgH`o|<WNd?t3C?5&jRo#e*&zy|VPk?2-V~J$qVO@n6<{WKOwd#F z&;OS@t%)5IgoGyHW$a5pnICmbZ~<5d(&ht6gPUd`^GIICJ_pw30~v|h<^xHA4F<Jy zXt0cZ2G|oQZP_VcA&9>~(qQXBsf6TZ>=R)A0vU<wFOU@2U>YrB?*V%P#a|s@A&9>~ z(qQXB^(xXBF1UJytd7TMqa&8Fx4`@bG7{BaAStlHpt_a@%h(&ho<Q+e4Oj@`FOW3Y zdQi;-Y8FGsroj~y!7;%Kn7=?qqWTLY1vVH|TMe~k>?NQO0{1;YEO5;Yig!>c4IZ>7 zx9<UpV^AOy>U)6J=VIAHTMVwbske;XP8Cse4}oRuIq+BkvB0qcO0}R^0Z%!Q+xGya zP|&az=$amUH$j0`s$tnen+=W?sw`u#l}C<{LBEVW1s)+F7C1scQzoDY8OUYq$>0c~ z-ZFM^CFEEc^vl>|;IRT?fnx<U;RA{l@JtW6-44*?4l&&hP&UER?T7})3RRY|hshvE z$e>@w9s-XL5DOe3pqVOAgn*~0rl^2UcSl-b3!0oFVudYeRtmISftYfu0J6dsM8Q_r z27@DnD$Cf9N+CzcpkK!B1CJ083mhS!DK=1qfO@0@wT#^x93ca^jC}_3GIm8-<TxGl z%h+AuaSCFA;}kT#2#QlsUmw(DABbh_&fqwuXc_wn=rZ;MapVXY^vl?7;1L31fg=Po zFA9nf@Pz09En~L^M+imB*ndEmv7Z-3j*vmWjNJqtAs`kwLO>I`pa=oa<POj>c4Kga zP_&Hw1M)KVObO&z8T8B8b>OiAVu51?G#w3!74SUt04-zJ2FD6T%h)F%FJr$fh8!z{ zei^$8JXSy~aIAnP(?PK^kjvPW!LdToGWHJWGIk+B<Omt`%h+Y$5dva?BLuVx02CqM z>Hh&*#x4zx5Q>(u??7J0zD5{1R`4!kp8;Nl)1m^Q!RwqSP_T?0s+Y87>>}`30kOcb z0$RfWiWRVV1GJ1?7#u4DxQzV;@-p@tNI4C*j6H;h@G^D>9>@$1FaKG{GImHz!<LAn zFJmthgf3%$a0WCrh3hnSFNiY4GIntD61t2X6lqwNu|tI6%h=gLMj)NW4o<<)MdZXS zV?QbY_8NR14;)@l6F>v3pacY(Uc$YMy;%T}Q9M||({E7yJ8&*zm*)f9O7=2#egWiV z><c$wow5Q&65c7R%newVv2&nISwVPHR2abXRN&Lte~5r)ufS7Q)A;`Uf61mu?35K` z=$h~{_AeqB%db9wg&<P}AZhT3KFB;!e+oKP0E#fe%h=zDK$n$)jC4d<#txDK8w?sA zr@?9LFTkEanF4tN7J~Q-Bn`G6G?)w;orn4hJf=)=8T$j6zd%N!`U@llHW)O(OoP+d z?|?ml;;$QEA&9>~(qQXBW3nVKW4{9P7syCde}SaH2GeL6`vtHkQ2ccUEClfvNE&QC zXjGHrW$Y(l{sI|^>MxKK*kI5|Ck>Xd9|3y;#a{=&LJ)s}q`}sM1_w!A#=ZyUFOZR_ z{sKvX4F(MuzNG$X>^s1oK=IcWun@#wAZf7mpfNU*m$7ev`3qzus=q)|V1sG2jC~E* z6Da;#0TzPz3nUG;9yHEG@-p@%Fn@uJMD-U)3T!Zqma#7YdjiE@bHG9ne}SaI)`Ny? zNM6Q11LiM~k*NLxNr4Tf(K7ZaU{9d<3p5Gj(h2bwNE&QCXw(BV-v@3$6T6JP2j(x3 zk*NLxNr4Tf(K7Z9On<e2g&_U{NrSBijT?}>jJ*NoFOZR_{sKvX4W`jD_8PD!P~xis zEClfvNE&QCsOL@cGWHUfzd%N!`U@llHkd}s*bBg(K=D@&SP0@TkTlqOPzRReW$YO+ ze}Rld^%qDAY%rP2*g?&Fe9PEVz@9+yR{~fF;xCXi*m_VG5NR1ZlCc;|WDv{PV_^OQ z8HwsIkQCV9p}34a0u(~vr6eF0xQh&0@&)SQ4CFHQa1rV*WB<&A=#LG7W$Xd)SOKxX zu>xAK28xw|T*mGXjuq-HV~=G-j+H^bjNJnsD<Bp)RzQ7CP^^I0*$vP#c6V^BP;VLg z@&CV(?vohw%h(;@u>xX&V+GVx2E__^l_0t6NkF|~V%C%3SjKJ-juq-HV>kSVh!wPD z>|(!v|2Mqkfw7F;0oyY6mw%DXL0QIr?ib7)@Pc*t@RtR)W$X)4%t2Yk-Uc@ZG_?(i z2*_X=)@AIG$mT$ov0wcTc1SPlo*kgELijRvfhf?rY3OO}3V(n92d}N}#Jh}r=J(&= z)7U}PJi;jz&}Hn^kf0-J%nkW8_St_RF5RL6t2IGh1ubLmfGs;GX&JjW+&ox$4>PX; zW*$k)*nj_q1rlf(d+$oDV}hWd!8;}xx)SR$b^{SmbikIeLwHkEG{6Ia;4wi3FcUl` z*!1i7|CgV5h#eDzgeKu->@uLtkGhOq0xSe+^MRznO*4>rBrjtZfwlQSMxwU)KvH0X zX|#-80PG2rwk!`=2;wi0G}wAjDj|6pI|s~PAR|%z1(E_AOrvG&EMQNd_=^E71o0P0 z8f-nNUPT(i1y`>Gm$Cm5hK}Kaj70SpND6E)cntU24{$mJ9Ug(}=B^&lg0vPDNKLXu z1zeLr)|;cAG7mDNvqj~HFvi&Q7qAe-Um$6)^&s<*#&D60#prv0Oop5?{{iMNkddhV z0!e`l2Gv$WZ5jI;PzZth9v~LD<_5((sFVf|+7Hk&_SeFoz6bS|v6p{C)VM=n8T%7> ztbkbHSOKM4P^^Hb90q6^`(tpdP-PkWwhzb=GU%7F-+@O6hy{)i(3A-%LI!df`)zQ9 zfQB1iGZ<d-=-r|Ma#eQ=m}-8&4r-3dGca_wsDS2SAOav3(gf}UCr|_8Jmk9WfX~RW zGU%7FUxCL8hy{)n(1Z^tRt9nz`(<#fP-Pi=|6Ak;8T8B8&%h%D!~#bMXr>AjAp^OL z{WLg2sIrXx-)rOu8T8B8kH8}Y!~#bMXo?LKAp^OL{V+H}25=es1>|MyQSXrBbkHwj z-vf_R5DOfqpy@?WoPzrLpeFl3EMwmdj#G-3u`_sr>!KGkUm!=wpkKzm1s)+F7C1sc z^P->#8OUYqo52x6(K2=eZ%Bl2JV%a@LBEWB4Lm|XEO3N?CUij&GLXyISA!#jqGjwF zzTgPi$;!aM@M6(R<X9Q>%h;E|V+F(l#|mgV8Wbx7xr}`=I94cH#(n~M89NV3;Wg-& zvCo0W3Wx=c70_flC{_k?8T)K-tWdO!{Qz_syZ0mHY%=JVu}^_V2#5ua5YQ?BP=pNR zGWN;f2%%^h`v>G@>`$K{#|qwM>>c1$I4vp=8oZ3Xfr4f1P`#urWAA~-3Wx=c70?<6 zP^^H>8=z(E-QZXmz-8<TVUP-m4OAfsK1ReTY#Dp+-QWLrf{x_wJa2ds6kMRm6c1L5 zcOZSob{zoetGo-D!Qu6o2U*4riD_KR*jGLJ{on9_M=!4=NI7VGGiW((<DrDtATzIf zbe`)x)OqklGej9;89TUn30=kxiZrBAkQpGY-BVN`!tiD64j?0tma&6VFmw?)am(2M zJp_9VK92_uFQ^Hi0aiqa;5v<c&qJg@Wd%>aLG|yzxr{yHKG;^0rqqy6WA}LY`#<;` z^-j=~)vZRXQ&ylz!aHTPs1fTj_6A{4G{L5<AiOCm6~dsk>)<J?0x%OiWp)1k@Bc6D zKmGgP-J$|I?;O`u!3W4x0c7Z!@G|xsP;N$@vdRDpL8b~o(%=z&ka?i~6exXlqZo@Z zRRD4wWU3$qHdO#J(h+4DJ4gy_Fn9`t`lqocfIWdSwG#stg7^z04YnRMn2a<90v=N) zxQsml<}Z+usQv;;fei*vfl&W6_7JcqQ2Z4D7J~Q-Bn`G6Jo-5WJlN^cy+s8)G)r*G z$_M5zkddhV0!e`l1`V3h;52p*uqROb<pLIh_zNTrwjMO9N%Asw2bjM=Mxy!)Bn38@ zM$6c3z@9+ymjze|;xCXi*m}_5Aj!+vO<?{48HwsIkQCTp8ZBct0DA((UpinRh`&J6 zVCz9+Y$PvZ*MRv8WF)G;KvH0XX|#-81?&kFe<^^4ApQbLgRKXRGm*TET?XbakddhV z0!e`lrqMEX39u(n{3QYwg7^z04YnRMTto6Qb^(~bKt`ha3nT?Lm`2OkdBC1P@fQbJ z2;wi0G}wC3s0Yc**jZry0vU<wFOU@2U>YrBX8?Nw#b1AfK(qP~e}SaI)`P|kNM6SN zLkPMw0c0eqzd%x8gK4yk{R`L=DE|5Y7J~Q-Bn`G6)bl2J8T%WUzd%N!`U@llHkd}s z*k6D>f#R<xU?GUVK+<6AK^<6<m$5&9`3qzus=q)|V1vnA#tv%c<6Fjl2kZ$Hf878J zLHq@h23rs60wOJAM=}<peTZ1beg)<)kddhV0!e`l9*WD@FMvV_yp#mQ0(X%?OTIuo zoPk`%eqIQ)l!SVxvFltx^v8z4GWHYjSOKxXu>xAK28xw|T*iJJ94pjY#y;&5a;yyc zW$Xvwu>xX&V+GXL1jPz?o!tN}W8V*s73wWxXSj$QD}#O+`wn=lfLP#I0riwYu>xKt zI6%wTw}WGaddt|e&Ld(4Z5g}&8SuanXx$KWa0xP8SAlIAyAp~yD9hN{;pU(&V=utA zjQ!R*WcQ#fW8Z%o<{r@0HYg$>?!mf@eG;-c&}Hm=C&32vvVQGES;pRgyo^2a9BhR) z-ev5UPC%BigQ|IiQx-s%v2THRnWQl{<Ynwv&O%&@xr}`SY}q+U%h+4s=E2H)P|$&v z#jk*wN76EOle4fu0*whCtHL@a2nrg!V}gBESeLP{5JDakgz%=QED(Z^3C;jB!DE8E zPyhb^Qu`jUV}g)22;pVyQ$U#?bxd#qSP0VQ14)CMW+3xOUdG-7Yx9AOL~Zkdq`(H# zXc>D4*b^vi*%q)6#9tt3u=Su+Lh>^92AID<Mxy!)Bn38@M$6c1z@9+yR|QxI;xCXi z*m_XCiZq4`u3ia_36{Y81u_!VUmz*4!Qe4m>Mvt20DA((UpZhQh`&J6VCz9O6Vezi zxPpSLj>qVGAeOOb!2AU=64hTIDX_udG2AIX|08Wct9i%3(AfhXfkza41>h;178Te; z2!sYt&w*w(x*_U2PaXUvfAEzw<HdtNSr0yB?(9*~kYivt-l77E7zPF~6BLvnCdhE8 zUXY^BbKN`!AU|RrhTiz#0Rsbri{>H6j*Fc=Dk-240{1;YEO5;YG9GT;6tJa8=jVck z>xno&7vwPP4rqSx!14PHm(By7*Lp+PaGb`T46eDUw~T$maYRiy1eUSKz+(l(0>=s{ z)q-LLJmoMz%h;pAu|kz)?C%dEN64UG#vTHX5D*I-A)qM}P=tWzO33YYfTl-?xdaN7 zO|V=76%39L>MdjMJc1l6gMJyi4?I>tEO4xVCVW7#GLXyIy}_|Um1XQF_8~{epkK!B z0*??73mhS!nJQ3(4CFF)XK;j2Wf{BqUgQWF^vl?7;1L31fg=Po#RiIyfn3IJ4UUij zT*l7f4(c{RH}6c|j~u6iei^$7JWfF@aGZjs7eR3f>g$7=>;tik-54CF6fI-7fG%Ud zv=cc(2K_R29e9L*Sl|c&&5ME}WFVKZYl9<%qGjwE&}Hn7JCGw}&@W?Gfkz041&$EV zgf1vT267p@GB`pgTE?D$yo~+!F63Al^vl>~;IRT?fnx<U9Sw?=fn3He4UQFxma#Jg zAk`+WD23OcU&by1j};IL94ny7bWp4e<T7?)aI8?YjQt068GFlS<ZLqNm$CD}BLu_( zM+j&Y04PERav3`}I6^2|#;y?p$tK`EIC9&NV+HRr_6q1Sb_fj~Co7;}89P)jY0KDI z;IRT?fnx=<h5-~SVDkoO89OsLRt9hxdj#?_cCRgnIE5`^KemqWGWJdDATv0;jrove z?2wqowT%7oX6Q2ZAdqsL%h>lolp&U}gPWJoW$d6x!?KJWA`D-~UI{V+X&E~>1w$8+ zLq=_3ZoB|q2j2r7(=`NLybMm}=4d8>!wYHxXuuYffI!nrxKCsMx(O*zS;5n9Q2jfg zGmQ}1Vjl+s1H&#R(7u3)8z2En$_2;B%h($?AunSW4#qlV1&SoRQ&zWwur6c&AqXl4 zV9VGcyeTRl1mROwFThOjloiLu-~V4$942<k3Nmz!vfdo^#2e7)b!Usp6G710IFzY^ z2Vfz{Q~^jDJfaUW57eI`X&L(+LFjThkdclk%h*9uV1q%!<1{#p{RY?*DE_(v7J~Q- zBn`G6G?)w;orn4hJf=)=8T$p8zd%N!`U@llHW)kwLj7gzXTY97@z)8k5X4^~X|VO6 zF<FvNV?P4(7syCde}SaH27|_FX|Rm_0N4{K{@Mc;g7^z04YnRMs!8%P_8l;Pfs91; z7f1?hFpZY6ZvlG(#a|o1LJ)s}q`}sM1_w!A#=ZvTFOZR_{sKvX4W`jD_7z}Hp!jPE zSP0@TkTlqO&=?!Z%h(sd`~@-+)n6bfu)#E1#y$t^2^4?L01H9<1(F6^4;p78c^Uf@ zn7=?qqWTLY1vZ#Q%h)G?J%Qq{9<UI^Um$6)^`PMzl9#b}!2AU=64hTIDX_sbTE^Z2 z_5_N*8o)vje}SaI)`LbpNM6QX1M?TiNK}7;q`(H#Xc>D2*b^xJDgg^Y`~{K*TMrsH zAbA;k0nA?@BT@YYk^&n{qh;(lU{9d<D+4S9@fS!MY(1#wP4Y5!(2(m)m+l@FkddhV z0!e`lrqMF?1h6Mi{1pQhg7^z04YnTCfhBnvdju@LKt`ha3nT?Ln9ODDpk_Y4W$Ynf zPoVfK04xOY7f2dxJ*W$aw2U3eSd674h-K_PFn@uJMD-U)3T*IDT*mGJ3L)@P5)cdA zMFuVT0`+hPav8h3Aa$3qr!GbG$A-W%b_aN@fLP#I0WDYq#mYb~W48y#3iXz;pI?j| zD}#O+y9GQ}KrC>qfcl!CSOKrIBX>Oss9#CUdJ@pN-&od@n1f@5ddt`?7a_;WpkKyr z0FM<A3mhw;o-!y_z^eoYXc@acI98~)jD7I}M694KWAB&?9yr2S#=ZgDGWKW`b5NGC zJHX9BUB<ow+cI`x6mw9PvHzF@a}Q{08x#?c!7{AN*iX+#@)vX&yZbD#0llnx(J0H< zKOiq-pEMt~LL2WgcJ5h_W$d789^sS|&}HmzAzmhX89VQMh)Xe-vEP6#J11!w``&pl z^I+vYDCj`T*e}4$BWW3X?mSo^ftIoV_r^LV2nrg!V}i%Mu`Xj*Kp7K+@TRCpfCmD> zM_UMhncy+OFLQqXf0?qL*fBv!8-(yOb{<gXM_tCw0TzO^`9RX(rWwdQl9#cwz}kEu zBT?IYAStlHG+M^a0QLk*TlS9tX!sN2FOW3YdQd7Mc^Uf;0q9sK$VgOwfuz6&(`Xs{ z7qBN#{Ph7W1o0P08f-nNUPT(i1y`>Gm$AQr`3qzus=q)|V1q$*?Mvz}V}Akm1d6|& zfQ2Cb0!f3d2h~hSW4Pc7ir_N#2QYtuj70SpND6E)cno)lEn~j}3L$Xc1H=N?+@N>| zmC~SDmhJ&s#(rA>)c2s?GWM4<5H;KoSjK(@9xEUgI95QZ78EPsDTe`C#(o(bD^yv= zt}z)oLI(XZ_A~GZ0kOal0-7=bMaV!dV?Pa!5b7;sKQt9NRtEht_9O6E0kOcb0-Epv z#mYb~V?PXz6{;*_XPkf>A%lJy`yP0NfLP!N0nJo_B4i+!vF`>)2vwG`7xW=V$e>@w zz6Bm3AQm`6KvQg>2pPy_?3=+6GJwn29gvr?pFzoFgMJzN8hD(7Sl~DXO)rAt6x7!T zHQ5JZ89QjbG=D#6;skax2*_34Eh-?Y`2i#7z9i7%vlbQ5oFzm6#6nt3Q~+JZ&fSfi z$p-y0_9gHL0kOal0-6^EMaV!dV_yu;WT0q)MF_}M-7P903KISxR(FdEXtEU|0Ae9U z$O7mx_Nq?g2pROt*yq3_1jGVI2xvkV6d?n-jD0pZLMU3sJ_C6fyGRdmtPJ{P>{H;e z0%Cz<1vDKEij{#}#y%MwD-<nbw?JOTUe|>jD}#O+dk;KTKrC>qfF{#Hu`-a$*t@~8 zLeVmIgCNjy$@4Gvwjf8ypkKz`0*??73mhS!RREv}8OUYq&EN>3Xc>C~@-p`DcH~&W zyNo>px{Mt{gO{-<fLangDxkFiSdM!Dtx!MSq5@iE06OF0c#8^XSpbL$4n>GwkRsS| z4`6eyAN((W@V&HS=LJW`AC73pJ=DNs1;hf!3dnf4c>}bJy&4=V1GtQR0`fBU=2k?U z!j`fBuP3~W{dGNL#)Ws617sOHB&K0Y#5F)m#5<3Bbe@CU87I>UUB=!GQjT*O`_~q* zGQ=`=aPtznj2)DKkQzF$)7T-x@MY|qKt>=fV+W^T=pu6Bma!M0nE(zis0p9}R!{;0 zO)ueI#;%7{e0i{fr{AFZci>#ceyR}?pyV!N-_?w~jNL~C>y#BJlJHJhiKt**#$F%* zDwko)*de?rDj5RsW$X!HCV0xqvFZ2!mm8-LJ7onKx+c7gJqDDUQKzgTz(SCz0+2L# zL?2`xs6Pc=?hT5t?ma4?qu()>DS;NqLr!B4flU>FjC4d<#txDK8w{QTq5f&?0boy{ zOo8}-g&_U{NrSBi4JIQ^fq=)92`*#zfcXn#B&xqaQecC@Qy|n|#_j_41d6{Lz(Npz zfuzCKgT`b@UdC<%^B2fSRDXe_zy{N38M_786Da;N0SiI=1(F6^4;s}Zc^SI_%wHfQ zQT+vy0vk-DW$Zd&PoVfq11tpb7f2dxJ!o){<YnwCFn@uJMD-U)3T!Zqma!{<J%Qpc z8L$w<Um$6)^`J2}l9#bd!2AU=64hTIDX_sbTE;E{_5_N*1i(TNe}SaI)`Ny}NM6Ry z1M?TiNK}7;q`(G)27+E5d;1@B>xPCb0|TyGH+UeoZh*!uK-VpRhgbHffJ9NZD}l`D zY*FC=djiE@EMOsszd+Jp>p|v`yo{Xz<}Z+usQv;;fei+Yr@X9x2lf{S9)G=&0pFPm z8Ku~w0v@H<qXH5|^%uyD&K8wF{GfFRDE|5Z7J~Q-Bn`G6WFE=O*uU^YmnMLWMD-U) z3T!Zqma%^TdjiE@Z@@wje}SaI)`P|kNM6SN0_HD}k*NLxNr4Tf(K7ZYU{9d<>j78@ z;xCXi*m_XUo8)EecVPYk8HwsIkQCTp8ZBeL0rmunzpj9VApQbLgRQ>+>T*p{0WCTQ zWm|ARc8^Mr3<Cp3J8FdtIB9oIQMmx~7syCde}SaH27^p|nfno(zd)ThT;)a%B!5A= zY+F=7&3t^z*w27Hf#R<dU?GUVK+<6ALFOSXV@EO;!(SlRLE48$VEzIbiRv$q6xd); zfAyvIC*%_md){C<5wQWh`Lsm^)Pe(bB_K4oiws^mPUu8L(3&hzzxa5I3TPD-hzT+V zs+Y7A5f6Yu2)vX8!~%DbLB_+)BX=nY$YG$yD&~=ls3#&m>71hS1ynyksMbsT^AC3P zsO;wlEhU-G&cFa01_!ySyF~>=H9z3*gs{3HApj8oS&7s;JYfauv7Fz@4B8?*uME-2 z9RkbPcfex>!~(|(Xu%pNRt9nz`*v`w++;(<3dmL6Eh-=i5(ywycZ&*W6&yqW#6pUd z0&ApL;V4Cpl|jFZeFHpJKrC>qfcl!CSOKrI8=z(E>%p-ijT9>&S9L>9PHlcr-w9!L zx2S+Run++d3n^A4Y#^}$zMikB7&%r3{WA6y@K^z{z_9}ADT87Kyh?C@ma#7f$4V?K zBCmj4)!m{3q9Bm~Vu3EKlV@On2!J}`NU?GNIaclzB4Pz?8T-LJ@W4@LiwbCY0LbHz z!KoM6ma$JkF$ZNCdnMc))Me}suq|WvK`{qq8M`6e9MIG@!aZ1*v9lnX16{`6kPY@w zFKfCE$})C|cu+Duwv!!Hq@ON;t<c81jNK(0vWy*6%_E#5PyjON_zSgsh)tx7xgnp% z?p6TP52~2>!w-Do7lc$z2)infHHgABfJ(|w`~s|tHMtqUx<CR5T^o>f-Oq>l6|@?? zP!{XZ9>|AyhxROGv93m+!4C=x*w7w?H$`OvKYVDf1Iz>u?WN`Y{{Qk?1F=JUpg;k& z9l=c#$QT@I*9bHK*V&@d0?NavLwgNiAxP5=Bn@t1fy^U$HF^!K=>{?qwdn?u0vil! zJG`9y9o!^+gQv@P2Gmr6)OR3%fh%Lk7#ym<KxTBds8oPGfzl)`0SiI=1(F6^4~lw{ zSECoe`~@-+)n6bfu)(0(_hsM@u)j{=@z)xNzd#iS$Y0><46<w-)n6bpI$Kn7z@9+y zR|Z%J;xCXi*m{t8NJFtm#$q(JK_)|*+9@!9fs91;7f1?hFsS}|$^R4VFVL~&xVjKM z5PyM6T9CiM6#``0II6!uW^}fwB!E4E;;$I65X4^~X|VMm^FXaz=nylKu^9dWnGEq) z1k7I`BT@YYk^&nHssUb}9a@K~hk!x|+=T$Kz!fkk-a$nfc<i0rE(9o!L4i!D3jsPU z8cP=<7+e9niXkdskgMR$B6jp<5l8@JB~k@^0lIJle9BOC7NP<k0;|z|;IRT?fnx=f zYC*9A>TiPfr=u=70~J|B9CZ&$p`g(zSq6qq)X7)Wj@?7hU;rrhO;NcZ%fJBZ*m;9v zrCk&eD<D_Fn?;}nXHWr<MUeGPAQn=r7`TAytMe~rr65PhpkIyd0*??73mhS!nGaBe z4CHEbXK;j^6G21>$W`4fDj=%)0Vr31Slun)>vACipotZv2zlTHju6N^uSq&`tPJ|q z=r-_J0kOcb0-Co0#mYdgMz;pXiU3lqfLztxq5`5IxdO!MZczbE20;WsETmZJa0bW9 zi{eD&2pRON(LrmvT)?#nhy{)i(1a5xLI!d*x-mFH!i5pp1mvpj78MW$34ai)yF~>w ziv<w?v5+F<2DIFI6ptJsgMKx-4m^i|Sl|c&&6I&61k~N^o}v<hT9IVPGB6NPk$`5! zx~HgsRO78k9(7Jp34l~2APQEIXoDkUo)98J805jzF(Ah^KVSw;BtZl~7C{6+tnL;S zkc!S06{vcUU}uX83q&zU5TY2w>V}9z1VAc~qO-vjoWCHYo?Q}hoDTZc=qm6y1+l<! z3YsMZ#VM%E4r;Qa#;FH!aSECd?4F_mQjIrGL5%|gNSuNwSez<@<8+ZAB2GcBB55^x z1!RxFi`p3E2pRON(PiKf0%Cz91T?(~iV*O0=@b<a)Cd91l@gK3KvS8Z?V!Z8)&w9C z0-|6MA`Om^mjZ|g0lA8#)#xjr+XLQ2AxFrdUyUvTj}Q<G93h~2Sx|(4=Xs~7d;v{_ z9b)Oc)-7Vty+;K!$xB3pfaYMkr+`)C%^}Y^r>J}Y&3{2CScC|JBLuV(1U4@Ma#eSW z3W#ccVBZO0b+@R1EP@DtSV-m84aoL@o!|po+hUPpWzeri=Yhuxhy{)n(5x~jRt9o4 zIyX30iue)P1mvpj78MW$X)=RY-7PAhscMJ-h=mj@FZ_^dlMm6zu`=jaqqD$c1;hf! z3TRFo6e|O{8l4#&D_i&wu>x{ccZ&*$g0#>;tnL;S&_p{#0K`Iyl>*2fffxE=$PqH= zSEK*oV_<-^p+PKggn%aVK@kF;#h;=w1-0-3&EFGIc!4JFyQiptR1++`CO`@=5Cto| ze)EAQb$|0BA_P1Y-=YF?9HguQvASEpYYrd+U@IXiI$OYt!=cihkoDo+5J8AysBxfq zc!&Uqg%q7PAe#$zg69vqBaq`1?^^Z<=vsCN4W6hE04<Inw3hvV9B6JHe0AXwC=(P1 zP`#wBW&Z+?QxFRrry%3u=8?OW9po_3YHS$-<2j%TsQ?nEAPN?zpTTj8yq3KI(vSu@ z4iX6<R(FdE$RdaUh}GSq0ut|RQGu!l34&$<Ai6<<5XB%?cZ*63c#;fMzkygtae4u| zKLH%4+mZ4qY%RNSAmO#_@_~?P9Nu?=khScPn8vl1Js=FcNWGVLKS((!^gsi_jfWDz zYu>MWbe`)x)OqlQCPW!xEjzez3SG+%N(4yWfURYR2*cO1KMMsrtQ+PMa0-U5Bqwey z`w}!0z~Kco0W>@dN<fh5LJrWfl<poCh$#3_ckq00QYa#$c(8)!;Gp_<;9Sf8F9_`J z-Ywwh1y64&$T2W{;umO9fiMIiF^{m`0c3sW@tyLZ$-Q?W$ZOdfzOpiahVQ}dY&^E3 zn1R8gdyfhzlJHJ=d3<GM*s)@(ID=#7A)j7ZLvBzVyGP{(ABcucctLnmR37lbC%kTe zncxYp(%|3!Up`GGcESrZ#0+vNC=8*C&QT{Cpc7tKK)D%p!s`N92r|(Ck_OKQfXoB+ z#z5(-8)b<+#zX_iWXMFr89wM*K#-A+C~Mh4QecBYBi%2bz5q|4fJT0CO`t$0yg*~J zAb){}j`2)*odA0RWdh|0SP0@TkTlqOka?i7eW<^XjK%O5$aN5Z9f0`@WF)G;KvH0X zL1V=)7rzAi3pAyK%U{q5FVN5?$Y0<QSUeM6d%&JR@z)Np5X4^~X|VMm^GIIH4r-!+ zkF*6DiRv$q6xd+Ukn78sS73jErj&5`3p(Ki8XN@q3p|F2XToa(*b^xJS_2k>_zNTr zwjN|2$!pnHz~T#JB&xqaQecBYgO)F)UW5JBfoFm;2h=%*jJARN1s)3AqXH5|&0ioh zI$KnhfIWfYuLWQsh`&J6VC%tSc2mG(c4)?8q(hL&kaRc)<}Z+usQv;;fei+Y{k^;l z@fT=_3s-#kK>P(7k^(sZJfgNo1tf~<FOV6XEh;m>o<Q-}6tED)Um$6)^&s;|UduiK z<}Z+usQv;;fei+Ymc8tG1CB4yFb^(&=|KDi8ovSg3p}c{M+GE`>MxKPoh>RoU{9d< zs{<?q@fS!MY(2<4lGn1g!2AU=64hTIDX_tyk*k*-RA0;90QLlmziPlj5PyNB!PbL^ zRi=RV^n-#6Jmv#gB9D;{K_fqqbXWoN7syCde}SaH27?BGUOG~JEqe*r6Da;F01H9< z1(F6^4;q#L%@%?i&?rmfG5iG@K!Nxx2j(x3k*NLxNr4Rp4Qjmn1@YGl8Qgmh&VZV% zkd8PgV8NpVdsIN8sOb=7MrVsk2G|oQ{z?H0LHq@h23rsA&QAe%=h2MC@E6Erh`$nG z{sI|^>MxKK*kI7Gz{?%)!TIY19)GQY_zTp51vvoR&)%Z~5=HeF$c)Ywl^C!mQ2Z4E z7J~Q-Bn`G6WFE<D*+XFd0vU<wFOU@2U{J^UW!VR?zd-#*T;&F6d3iUazX$RcxVs8j zbdKsTkQtpVDgj_mp!mxNEClfvNE&QCxL--?T6PbZzd%N!`U@llHW<`DeW_3MT6R#M z2jnkMGauhtb{DWGQ2gZp7J~Q-Bn`G6WM20aaNi2eSd4UtSj%n$^B2fSRDXe_zy^c5 zWAs?do&jp^Gl09uIZ!63O8{Ol)}un&T6POi2!W>#K`d|=8Du=%yeVMwnh|5Rpe8dB z!yX`qff}oX7NX!-%We+tB7a?k81?|Us=Gx6L_rowgIL`yDj<s>0w5Mr?+|4z`z3co ze~d<J*+J{<KtmU3I}E_f-Fj56fMyfUb@Ld2N_G$%bsY%WCVT^UtbkbHSOFOiiWRVV zQ^4jS#R{mwPeiPMmh5470O~>%P^^Gfpma|`S%{(!jumsHSOK|;q#Xt*YuRnwkYj}= zYuQ1o1fj74TGt0+f<h6zs;)<63n(U_u>$J(ppDR>#fk<zRzNIptbmM%n@8?ic96p; zIFel*94nOz5qSmVD)<fq&{}q=0LUW9s%a1lDX*ZcWnbok94j<g%MR+IgQDU%WPLq| z2?|B<n!p~FDM+yb>bK#K6$N;#fLP#I0T~ZBkKDEFpx!=c;1=W<)Xa*SS3n2lPXM*t zr>KA^*dU)gI97HqK*S2jRq&;Bpjd$lfGmQ<3W$XiD=2H(1)LGFg0`0Zt37z&2xBd~ zMl@*5XA5M7J8YEbgcGtkC~Mi*!p%Wl%dQX$F$XLPGp7N?9F(=}sc>^ZT^LYAfCr&i zv94veKsE=umVJv2*h9UnoBwiwN3Fp68;>6l0u4ePgA8~5cLXbf4fAz3oB+$VsDRoD z2upuRLL3hkg*pB(L~k!^5ZHnq6^I1~4WvL8ocHL2+A-Vl_kWLGR*(N&@B!@OEh-Vv zO80n+N(_RTfMBK|n4szsl)SrnKmP;y1>(GJ0mF-(J>YS^ZV1(S(t&^KF^7&VDsrHN z(;77&lyF`%bh91?>+1n~t2>ax@B*mG4H@wTRe`MsU>aq?8Wo`$Uo(Ip)U}KZ3=D>s zJbJf)-HsGE;2=BxqS*%Q&jgTFpcbMWxN$+AKe<7MbQAWc4A{Bl^I-nG4UGy=MB(+P zG+3h@R3pfjuNesV(?A#$ug71^wg&sN0%R4X{!|7TLWDo1z|NgA7v|5;e?aMgi1?HQ zYmA0!B+8!|P=9W=0{e3U$SO+xX$>-j2!Bd|ox5=k%%9v~eMI<E9IUYds*xyvE`a*; zv?bV|D}GZUe+GjLA;O<xVCSBj4fCfmSRWDo6a{OX3e`xIKW{+&`Pc&N&jY`x;LmK3 zAw>971nk_`vta(T2J0ijpTb~`o1hwr@+WA~rbp-T7r)KH{=D&%3jS;c8A60Vg}~0` zfI7FEH5jaq2!9HKHJ+ad2_T~U=^+9shlC;i{P2Sc{+tamgb05MfSs!fb#6CnHdr4K z{^SR1d^3Xte>On<scnYb*kAysW=PF&95R&!sv3_&W-38UP|XA?|2?`Hx;eT7SiWCU zyx9DZ(V^p9>q$`E$Om>x8rUhwYCTw5Px4Pa)G<Yc7px)#tfCuYM`w!)s1^q88kA#T zXg$C`<skpIgPkqlo-Jq<J!o2b3V61Z^8)`=kPHVO14BoT3Om>^B{ajJeIC%9YA0kb zHM|D|T8a;q26eR{>mxv{<B<JNAU__5?DPdOq58Uez<biaUsOEN{D86JQ0sw?DJpDW zyLrIT1>LgUdVqf_XrnhPSc(xQ1!~-Pwtx?70<AIwZ5%-O5!5jRt!#ocP(jUpm>(G+ ze*DkNz`);n9_B}=Vc@wYh(kfa2Wf7A1R-7mu|VMoo?r%f8Z?D;yal}e9ptg&kd^Ns zCMbYG+Iv($YEb?74{Y~Nm`6YgV1E1ymRbjs0?iS1wt#!RptTIBeuU1qfvG9rCHF8t z{@?}2<9D!OHE4!`=P@7-?Sw2rCcHHHIAkR($YaM_R6qm!ASP5_cMo_{1l5n<z;>sB zix=ohXP6(qf~BHiQlR+<(0UC}RJEvpx}!*G71UGhZUJ{#p>q^4KYoDt@jciuO*F&6 zWB(9`f=*KbrF4Sl6d#8ioD1^UambOmASQH0X?G9!RAW>>z60AW46fO_A;%uW{P-3u z#Q~E7joEj$s6b~DK@^f7L4CdM78NiB8P|vT@dd<>&%uUWgZU9^7<gP6;!x1V-4H*5 z1R-e^#DcFP1Ep2aaPe`-iYAc9jziWmftb+MXWc#EL26V#J_Fl*0Ok>pAuvBa1xsy( zNrA?LJ6piL*lq}g<VWaSBbb^3UPJ}+;{%8v?}H6%Ml%e$N(VF|jJ}8m<Rz#yXz32b z(;(Jy$Z`>o$Bsjml7N`d#XsFW;G-f@{df;-cP_Xk*4+YD0Q2Kruv8LE3N(h=*`flP z-vf2PQ1fF6Bn5*h$T%y^k2fHGybd<Z0L`!hP%!~<XlIK`34{j{gm?+W>V}9z1VAbv zof?o7s5$`m;#hY;E1z}x;0|IhtM7YAiyJK6c<={!M>M#T2kIBS*k+7WNp&|=goEm6 zNG%026jUE|b3l7*Z~+gN&K_`;0m>q>3=ANXj<={(AbNf^P$r@l0!c!b<#e{FfaH(2 zsDRuKikIUMuYs7|tef9)F?2%Yx>;92I3VwKLu}~o0c$fnf#?c?OzZ9ehZ<NIG#CmU zF756C50Y}8;GcS^vqj|sC=RBmoCn82&_-CT4muqhv|RcHBmWdo6?g)qtViWINLkBk zaB`%HS3`KX5nlC%aH!?g10X+4QP~gjLu>g4TwdJ)Qr4rg9i*%!ly+XN<VNyp0fa*> zuWkVOVT#InkRMv-tjFcm6(D6jD$7C2TK0nrQkul-GA<;q&V_KO<<$isKTJ`X5As9n z!F9O2Is>GvM`b!lSqr3Iq={Egb0T^55QIZ5uTB8@VTwvW$Pca0*5dMN2S{0uN;^nd z%VcmfhbCVAz=7n|=MWCHyxIWr!xWWzkRMvPaeB1^q^w7!9Hgw}FSyl86R(Owd<QC> zyIFZ49BO&B0OW@$D)}Hkv>L3z6{{H_Wj!kCAZ0D-wDYPFJ5sD_LO9g&Y68d)Q&i$X zerOF{jmxVMAZ0x&;UHx#7pH@i(Ii&=*^s>I2H{Z4s{tTCOi}R%`JuIH703^uF#+^? z)dQrgN5vhati_skUd>@e@@g`KLoKg5fc!8;#UA8`*7+-OdDQ}>tVhKhq^xD>G>|fy z#A+uCl2;ob9BO&h0OW@$D*7Nlv>sUj@&mS5)c`5$QBemeYvHGzSJyEkd37m-LoKf= zfc!8;MIPjb)|bn1c~t_WtVcy0q^zZKDo7bkV)Zl=l2;EwIMnj00LTwhRQN%DXywD{ zRSuA{9u;<wvX;kFXy(-yj7VO+58+VDs|+ANOi=;tHsEhHUIy|5wgmix2QpH^!@$7b z;!8WPvO;_ZsaO9nfc;G^uYLgeVT#IokRMtjmg4g23y`uNmFFO3E!!u9l+h#sD?xk* z@v0<*LoKg90Qq5x%6*U@T5Fep{D3W1Z-A8bs9XmrYf+?~SMC2J^;gXx9BO&>0>}?j zRL+C^(7I?bF0Y;dDeF-=4pP?AJqe_YCb1gx56P>+5DvAxdI02yDJuIxerP=oUS@+W zR(F7u^{8wIDQo#Uk!D`4{EOt(0tkm%Uflrl!xWYEAV0Le0k2)b=G7G-Wj!j(LCRX< zY3J2xe~`S|3*k`9s|!GWn4&Tt<cC&4oL-#)Qr4p~9i*(~<OG_<>gL}_UR?#@P|K?m zKz^8_(hu@Os~LFh3$|G804eKHX$L85F{YhYFZ@FC>Tw8%T3&4c`C*DmJ;)EO(eOnV z=*|5Kkg^_?a*(o?x&1VY)we&9y!r&fp_W$*Kz^8_k`MAjYXf}U1-e%=K+1Yl(m~2v z*lFigE{N|S^(rHTLoKf+fc!8;B_8C5)}`>J7wBG%04eKH2?r@_DeR+Jtg8P&id8uX zhgx0@0Qq5xia*E?t*5|a@!0aJ2S{0uiaSVI%k5s8dDZ1Rl2@%E9BO&h0py1%D)t~h zw7v%q@M80-1xQ(siaAJGi!1HCn)nULs}T?mwY+Ko^1~DreUKkoMR0mm1Ej1+MIEH9 zWql9LVzurol2=P19BO%00py1%D)Jydv|574va!Xg1V~wria1DFizMy5I{OQfS0_O@ z)bgqT$PZIg_(6VXjROzxV)H5oNLh~xJ4jheb2rUmb;oBUudau1sO41#kRPU~{O1NO zOK1j<dSdhH4{k_*6{M`?Z5PeFdi4{MSI<B=)bi>FkRPU~ya)NAbp?1R5Sv$DfRy#9 zJO?Rj38$S`KYc{<>PrZRT3&qs^1~FB`yfBGo&}GOVe{$@kg^_?>mX$<2RmsNtNak( zL7EV35DvAxdI98zDJthderWv!9v{Qz)e|6PJu1gR%38E(=T)5#NU^F6;ZVz~2S9$9 zqOu?4hgNZ%Uflsw)}yi=q^xCX2hC#D^F5MR9UvTPd36KG4^vdugZ$8H10Em47ON{j z%6e3mgOs)WZ>O18(;#aCAPG1Y!l9N|7l8aQMP)w753PydK_hHlodHtTqcR<&tR<6n zUTuDh6suJb4z;{G0py1%D*Yfow6=i<jj(yO1Ej1+r5&WK<#HR%Vs*hAB(F|~aH!?g z29O`7sMLe}(7GBtl7h{v6(D6jD&-($Ew;4t>fYB#UflxWP|K?YAU{k|$p`tN^#XW! z0h?DdK+1Yl(m~2vmbcO@R&TvR^6Ethhgx1u0Qq5xN<7F9tzW@?ZfstS04eKH2?r@_ z5u}}0zrRHC>N^OBT3!tR`C*ERKgbWQQaHWp0aDhZ;to>QQr$wcSQUZz4pIVhLpap( zssqRmQ&j9herUA^4=-SgRSS@^9u;$tvX-aKH1n$E3#3>zfN-egRRfS8rl{zH{Lq>L z?sH@Fss>0|kBT}-S&KjIyc+f#$*aB)4z;|h0P@2W6?u>!T06lVQEXn704eKH5eF%2 z+1W(1SS@~r<kc()hgx100Qq5x3O~pXt?R%YQEXo204eKHVFxK|QKp?&Cp<;+YCD8O zEw3_w{4ho3KNqOKdKuh1!{*f=T#)`MNLfp7Bh6xU?Gq%gE`e~U<<$=$KTJ`15As9n z4{(<Sn^#|el=Y}Q2Ptd$-as?2o_dVr)q@ZYwY>TO<cBFL_d$MWmBs1R8z5ypD%U~E zS`umJ)#s0pym}A9p_W%Kfc!8;<vhp_txn+H8MatG0aDhZavY?r<#avGVwDBrJ4n6y z`ytrh)bi>9kRPU~><9UwH67e#!RFN+AZ0x&+d;}&Oljv;MTqYpUX_4wsO8lSAU{k| zSr76<YY(^`kIkzqK+1YlmV=bF%&((atlB*QM<m3nrVtLbyt)A7hbb!aL4Ii62yWbB z^Xd$cvL2P`AZ0C_wDW58eI&02K{(X%>I9G<rl|CT{Lp$0+_=T&)eexd9+h^GvX<gn zn#F3vJtVJ|Lpap(Y6Hj*Q&j3ferWv-Zt-FBY6VDHk4ia6S<BrTnt65ZT_mqgfpDnh z)dG+orl{nD{Lrd^)2kUEWj!kCAZ0D?wDan&J4jyL2;or6s|g@KOi_sk`JvSn+~UI) zs}Ue&Ju2ZKWi1=4X%?&3ZzFm29E3wHuLgkpFh#{5<cHQQaElL{S3N+=dQ{v&%37pp z=hZK_ki7aD!l9N|9YB7VqGAv7Lu)^{8HCNN79eFkD&`<%Ev;2Fi&a60?;w>82ZTc{ zuNr{-FhxZl<cHQR;I;uauWEpl^{A+Wl(oFCq?uRsZX(61DuhEVuPT82FhxZk<cHRq z;0hC)S0zBodQ`+g%3306=T+|;NM3b<aH!=~0gxZ2sPKdQ(E1NtVPf+t2S{0u3Oh(y z%i#)|#cIZNB(KIpIMnhg1IQ0kRQ_{<`m4$~z50U_(q9EBYtf~hS9`A^d9?+?p_W%a zfc!8;<vqv`tsdYC6I-ml04eKHc@9$6GQFH;vAXIil2;c(IMnj$1CSr4sN4tnp*0s= zi(vEW4Un=PmFpm7EsS8VzGeXJX$5bM#k2Pnv~?Av4!ju_y!REf;S_a&EvUQ&ZC^#+ z$qL!83fsyG+4KtC+<P2ym>*OJXum3m+0D9rGdP$)XB&01u7hwuvfa@Ausz^20KuDF zp+_x%0}-;x6)X%oZ~^sb1^C`qkpHKsoCo>8bsEl1W+y<(dQ^^sl(pz%si>&r)rgBo zUiF7?sO8lIAU{k|*$?tV>u#Kz%yxj3^{8wIDQgj=omXowAbGU}!l9N|H-P*wMP)t6 z53LVyZZcZ|Qr4rg9Hgw}GnR^qO0l}?Jd#%zLO9g&>H?4-rl`yZ`Jt5+r&niyl=Y}g z2Ptd0N;|KfKZoSiV-OCtygC8ohbb!kAV0Kf;oMKx0aDhZ(hgGAvYmEb{c;w`tFIv( zYI(H*<cBFL^&mgA`s3VBR{>Jiqf!o1)-scJUX_IS4pIUOKseO$Y5~X(Q&jRnerPSh zxt}frq^w6J9i*(Kns#0_JA)Lfx)2VvyqW;=!xWWxkRMuS;@o5w0aDhZ5)M+<5=%R; z2A@XqsuzSqEw2WE{4hnuALNJDeK<Fnd4QDlsJMfawb;|ns|BZ!yqXT-P|K?hAU{k| zu?P8~^)b#(W)>i2Ju2oPWi5)d^J?!&B(JtWIMnj00mu(iRP;f9Xyw4^RSl4`9u;+v zvKD6Ad3DnXB(JW7aH!=~1&|-6sK|r-(5j1bKb-_fS&xc1NLkBMEWIKsH6hL)NAl`1 z2!~o;6#)5RiV8o-53NBs_tSBJl=Y~vgOs%#r=3^d97FQzV+e;@US$CJVT#Is4p3jW z9Or(z9~_XrE=XC+O4@mq6XH8ay~+ULP|K?yKz^8_@*d=e);TygnY{oh>rr_QQr6N< zJFlu8MT%8f2!~o;eE{;q6qWlRKeQgixykGXNLi1{b&#@_T-tfn`3RC%tsop~dG!Lw z4^vdmgZ$9?4Cf}Z6Ch<hD#t;}TKs9})r7-HUJZwEsO8lIAU{k|*$?tVD>qKB?f@z4 zQP~bs)?!FIuht$y@@ff$LoKgv0Qq5x%6gC=S`BdSr&|G1)}yi<q^w1lc3z!z5Xq|( zAslLXbpgl^Q&i@I{LmVTb3fe-kg^_?=^$k-U%}&2v>97EfaKM65DvAxIsxQ|DJuOS zKeSfi+)vj5Qr4r=4pP=~opxTmvLDH-ry(3_d9?xLhbb!cAV0Lu$GOR@0;H@*r5vQJ zWhd>t`f(qUS6@Il)beTp$PZIg@<D!RJ%V$SSq4a1k4id7S<7tNd6f_1J4h3P6~dvG zR}(;fn4%I7@<Z!OoSV!dK+1Yl!a>SfYH8<H?Y&5`ss!Os%c}t(KTJ{a2l=6u52sf> zK+1Yl+(F7(;%Vnqk3C3UwTE!1<y8leAEv0-gZ$8HjB`Jo1xQ(siaAJGizDs4nz|dw zt1%D`wY+Ko^1~DreUKkoBXI7g(*P;!QBemeYf+}1SDSVrd9@P4p_W$_Kz^8_A`kLI zYc0<GbP^zCJu2cLWi70<^XmMaNM4-=;ZVz~0w6z3QQ-&qp>+|?O=cV*Wj!kFAZ0Dj z%P?p0sMIyzvjfSin;{%(d6fa=hbb!m*+Ko)<2W~&{a}amS3$~JPSVb+H@72s^#X)L zEw6q6`C*F6dypSm-{9P2_5!4=N98$4S<7nLdG*^iB(J`OaH!?g2OvL8QMnKDL#rT8 zuigMD>ruH5Qr6N-JFf~ud<SVla6vfK^6CYUAEv0B2l=7Z4Cj8j6Ch<hD#t;}TJmY< zRfDZav8oQ?P|K?aKz^8_vLED!)@Ypj>2`pW^{8wIDQgL&omYLgAbHgV!l9N|H-P*w zMP)t653LP2_tUKaDeF;L4pP=)Ogpb;LDmF75^y4fLoKf^0Qq5x%6yO?T9@M7WHtk& ztVd-!NLh<0?Y!E)2`N_VARKCWbppr_Q&jpverP>~bCX#INLi0cJ4ji}_fneGt4lT_ zd382~LoKg1fc!8;r5@ym*7v<2KVVxOQvp)eqf!o1)^d|}UOl)0$*Vgc9BO&B0OW@$ zD)}Hkw2I*LY6eJIk4id7S<7zPdG+3UB(GkDaH!?g1dt!5sKkT(&}xZuKV1Y!S&vFM zNLkBV+IjW&IwY@tf^ewi)c}wmrl|OX{LmVQb3dI2NLi1HJ4jheJ?*?I0r4HA1m=fu zsO41$kRPU~*n|Ag+Kh8Qodrl)kBT`+SxX}AylT4^DOOD&9BO&h0OW@$D*7Nlw64Ip z$xH*JtVcy1q^!l6c3zEIgXGmf2!~o;RRH;6ii$kQ53Of$ZZeYqDeF-Y2Ptb&rJYyH zS0i~f55l3AR|P<Rn4-cD@<Zz<oSV!zK+1Yl*g?u#*lFk0DXWmY+701Q%c~3^KTJ{i z&j#wRisSU^4>m}D6{M`?WeKSIrOD|2#+683T>;@x%c~ziewd>29^{8s8=U*;UVxPK zs5}QLYdK9jubx|h<kh1P4z;}c0OW@$D)&KtXidbqpY8@oS&zzfkg}GwwDaog<w#zA z1mRH2s~13in4)qX<cHQaocrlcfRy#990w_D>8G7nIUv4+)T{rOf&EP_uO0yTVT#Ir zkRMuC<J@Gn1Ej1+Wjjb&OCjyNstWNP#H%t84z;|x0py1%D(gXhXuW`Qli3Q8vL2P? zAZ0DVwDYRdQgB2<ylM&IP|K?eKz^8_G9ToJ)~`4>nauzx>rt5wQr2QhJFmtsLGo%C zghMT_P5}8~ib_Ao53N!-z1jg%)}zu6Qr03)JFm7ZM)GPkghMT_Hh}yvMWr6(hgN%> z`{^n`%6e4FLCRWw7SpU=UAPFzt1}=RYI(H)<cBFL`5-^Err_L9mjP1Nqmm9%)^eM6 zUfs74$*Wr-9BO$r0py1%D)Ascw07d$PZt4F)}s;*Qr5DUc3!=`0LiPDARKCWH2~y? zDJuRTKeVpHxyj4}q^w899i*&fKJC2vV?L5s-$OXm@~Q*K4^vd^L4IhxjB}Hj1xQ(s ziaAJGOC#;PDhlx(q_W|GaH!=~1CSr4sOW?I(E0=CCNm9?vK|$6kg}F!+IiJz9#X7o zLO9g&sshLlQ&i+ZerT1&=~W4kvK|$2kg^t6+IiJ~E|OQ>ARKCWRRH9NDJuLRKeRf5 zcYt9V9OVEh>rr6`DQi)uomX?_AbB+z!l9N|89;uRqVk^=)L%^puT;n8)gP>o{whdW z3n%ToI&n6VS34jaYI*eo$PZIg-h=$m+5=uZh|Q}nK+1Ylo`aONye^_y6Jp&gB(E-o zaH!?g2OvL8QMnKDL+eKHA_r_<y#Z3zqjDXjtmQ1&tFIYA_s6ob&PKT(Rzrq?!J`v= zKkNbq28I{Y_Wt_6Lt?i$L+5di?j9BJR@ugb2F#2M9-ZfQtpF+6yz|$8!%H5Wh+AX9 zs#w8BH6P#r$=r}-Ky0;T4PatqKr&6B0A%NJkIr*DLD$B<P}&PN5OlY$JOhIVYX?+0 z#1KP|&f`15Zu_+dRowxoI<WPP2M?ebdm2so4^-tR(3H>F^XtDyFYD6%tPHM(Cw+P$ zJ8+Sly`vc9r!6X=ZNVPhQy^gj3c4vOCs;u~Is{S-VjgEv0d4Pnv2)L_|E`87J$m<m zxAB7Z6ocK$Dgkl|G^h^9GJtN{232ApO~+fn7ubTBAoU<wk8S~%&T}rECmnZyZr**d z2;|c4Jz$5t__`e&D7{<Itl+Q&IqCR|sZe=Vo0)hce(vsH|92cfkN64}q=?@IHEIj^ zLSD>ho*=-$;DO{Tg!>sj@e43~;umaDQIG=#VhbejP?F3Jq$Kl+U!Y+{0Ru#f1d<kz z#0HoIha5<S0K`zR>7ZpVAX_1!JOi9+k3$mK-d*5uf+w;IAj$3?6^ONnL^c(o4jP`H z_yt;2Kn?&K4~ip%@f_f=ff^qN*9O|B4b}#6o?wd#NCctH0a=^+E^wktKqNZQo%En| z1olGXL0F=L<OP&OcL0&-KulPobJ+z?bOxa81ov?Q$j8v=SpZ3NAO)b5cDzN!1e6sS zAdv%-MNf3PAeVOUQ2{yRMZ;EbVD@fN0hxgC8!XW&K;>D#Ps5w&G<N>_zoTFmT50ow z5h>BRLX7HcQGsLyNYVkNgA4hf=qEZ&d9d#I5Aq(oP&<(i&LQw(X!Z`6r$EUF9EG6N z3XXY@2*R5mKn8U}V?G6<4Ia!I43NSOJz?4G07v!FHSqEUlAPe~fkidMpD0ni0}<6A zCM>EWcfg|>HH|fZ9Ecj#AO+B<1|1myVuCz`EvlVBF74g}4)7OKH^V{&WCBPFIAyZJ zqS|!xum1>91{YA6wt#aL_WQm;Y9T?x(Cxv}{E)E|a*;Q(ILG&k%?}y7r+{zx=Dg^@ zKlPXc|F&zLQ@{mJH<a1~mi~Ud^%qD%XOGGjRt5%#jx8#iLA74%k^)c#53TjiPr;iG z7i=S#4)<;XheKzJ3M9x7>2L+%bT|X4Y{N*03((S`@HVhby^vy-=yZ4ol2I_yA;fM( z0k>r<I33!qB9smx{zOTKD-h`r#Dt~8i(83EhYgS-2b79HiSKxe3UWFG$)XoIyFf1O z-UANs7rYx`p#m}ilv+^J;o1!lc~+6hc%ypj7J^ZI31U=diwY#{5K;Xjk6@7l@d!i< zMpS>u17#0H%SU4i#L*;1^~cR%Z5UAvu^SQ9Cqa3$mo;bwp{R!V6D6t_Afg(?ghlnU z%|t|X1>UFz$)ZQ~d5}xH_kaWZh0=Pk_j<RW)ts;*=g>NcJZt1cyit8>6Tzr{4l$~; zMFkRekaz>tE((NmHN+zjEf`TPftIUnA>{|Dxta~44I`={b|a$t-bQe)PG3eSsv-VF ziRu}Ms0J}%QT=-(5mB9hH>yFh=u!Oy<kIdv-~fMNz7`UdxT5;{8i+jWyneh<eSZVN zsQv>ns<TA}5_XVy14Xq5;i!gq1fm5asvXdxItWsJkQ&v}5N#My4Y3;$)t}dcqq=4Z zp{R!V6D6uAAfg(?ghjQ$1|p(50&i4<WYMGgC&;DUd%ywy!e=!kDse^in^h2b*2leg zqx#D_f>A99v8uC01rm0UcmqXs1L3HKcm$#aBdROVqB<Q?evlf~1`ur+Q4O&h5!D>) zz)?MM5uvDt_!A|nI}lL~V#1<YbsZ5=?SMC`L9*yk%?EO6_a1P7zerpOiAr2i%?OtV zwW~kz3$U(F<AQYdKmv%${em*MzJ&DPenT>FFYB~+lmybD08#`V!T|MQUR;K$3qw_R z08QQMHOMX36V4!0T2vrW0HYbYIl2Q_zF%sEG(tPJsI-F{mn|8f#wFaLh{MD{+Hp60 zL9*Q*EZw4;)43QR4cpEhl?G_LwH|D=ZXV2NuxgIZ9u*xq28M3l>U1uK&K?yF5C<a0 zzaGNv>;X57k<KCm4fQ}86>vAQUN8jt5ZY^52gxF^6o~2pgdPqfP?u>7BvfEMo}$&D zRJjLiCn)lMrGVlCmSd1d0YE+g83v(2qW~PA_yv2wqX3`yBOyKaeF~saCEsPRGy_Qj zdmuvujmImD7#P3_05srGz{<ez;uEB#K)781<jPK1oWUFd=}DuxecLLeNbhdA0S*&1 zo!t!+z)7V=1(ebW#wN&vAcfrlEbu7JfktUII7&-%FrxGev_}Be2#VtivJ4E}Jt`n^ z<{lLgkGNQU0P5qzV&=w5Vtn@ktc3#Kr9gd`4EEioZ0h(9#~FDo;BH<wuS_!+1MFzK z7|`(M6qRVO{k~xPp(o~bwy10Xg(U0CCh#Ea1P})z#@wO;;&nof;zJr|gJc#+Zyc6* zB)};EnpqlGpaiP|SPqhC2&Rs1QErgK!R1G1k4gwM=z_scUz>$B=(>3?gIo_u2IM4) z0I&<8u0Oo|*MDd?ct;y3r@}`Zewczv1VqU>bNR3TJ63E34aXQB=sXA?<ZL`-@eZW& z+O7kjtSYn!oK-P0oCK%{g^oIa(lsb!Kssp}uR+B~4>&)0bc5?RCXh)Q%YXehJb*OZ zbpUL{K@N}39u*647J)l90c^$;sIZYo=lRY<od;h$1(h4fM!awbjY2|<P(U?e2Gj_M zFx-emAR{gzT)Q9$WCCO$TLNqXELB_p8_=Ty5e55$737ZuG!r;N5hgI8n85J{5)u$m zunBA+6XYN!KpPSWx10z=nDhfQR^Q#C0--^rDWuMXj8fqq2Xz412`eJDE``PML62Th zcQ;lBkM1qdvi2Bc2x<?wxc7mUub!O`9gjP5fcor?$3f%I3_iWQ{BEoa;FRLndB~?% zmLUU_Jocz~fZH73eLAoCbWefurl>fuGBEgbUIND{n0eB-^X3biCBOc^T(j-(|LzuW z1vhgis2=$Kv-tpH=Yh^+9tWQ>cYf$>QTYJL!I12^1>8gI-UAlx{O{QL!-w%$x1CEj zq(ba$Q88g<U~uU?G4uOR$Ig?Enio5JR1CmECoezmo&uKU{M9)HJX+p81w7Uc3II?K z7;0?uaTZLIT{=&6PEpZeWnh>IvJ7OT<M*GAnipL<AqIn_zy^b6dS05w{0I5#jVuEL zE`ObY_zN<cu?5^l?%tyU5=FHgWJYI;iU!ydDE?9b3qh<0NrSBinb$o9JhlSz7pM;a zH5S8PAd?~fQh@mjWF)G;KvH0XL8CP<KSTU=0*}AeK>USV`*!b90g0me3uH!Ti;4`` z6Da<Y01H9<1(F6^4>GTNii!=W?&^kA>QG}b`~@-@;x7@Hzd%N!`U@llHW*~$%Z;(% z_*#L-Up)|iK?c0HfQzB-Jt`nkRDXfY=xk9D0DA((Up!zTh`&J6VCzBVfzl<^U!Xz{ zYAlAoKqf={#R2md$VgOwfuz6&g9h|p=Ewd2|MGpp|Nk#9CI0{aa%<B6|1W1G|NsB8 zI_3ZWmvO28|G#uh`~Ux?O8Wo*$6Hhy<QW)1S^VWXRQ~Z6l@^%P<n;eY1HKl*pq$?V zo)*AffrH92(4>J!H>@$O2pZjW=s4GU5;R)N4z3BC7+^IaOsxk?>q-8phdQRHu(2{Q zloq}Q&60sqH>e~+8a?cWIJ+BiR4(U<PRN8yH^j-^kfU<J!k`q~4H<T9JpeJ96=bv_ zXa@DA8^p_?at0IxuO~vi1L7QqOv-_nFU$UelM2Ws+z`VMHiB*F0S9CE6mXC=fBLU@ zvH9bFMUcC|(hxmR=R!*$3{@|4|AQk0l<L8@GD2Jj;~#GU=LE3Svoz!gDS$=@Xcpmk z3wVA88epIV0b+t81SE?qLRiou1f~`dA<UpqgG7i1sLRCwp25_CGP_$;K+f#$0b33Z zd624Zh?Bc}z*9|NVUP|`=`YW~0E-YNkkOC`nVAL-0#F74`4b!=Fb;IW3M3AT5Re_* zEh->0LB*v!0|V6P?jDGHAcY4?P=gdBRDleKW<?BDun19rWrGli>tOujEh;K7DNB?H zSpkiZ9gse?1T>V6x2VWKnV<*($>NF-MzjclsYOHx11QuW5h4IJ^mvPk2$b2~q5^Ve zcaI9la&X9lRCPm~+}#6q2v``TqZ^_T79syx7#K<+5%MM#93db>KmiYq5EuvQ9gsLI zLO^zKLkt6zhmZ&X+t34X&lGTwp#(Kp8lng4-0mr0=V7RVMF<Bh)R#kC2jd@aQQ?6} z^`s)_kO$BR0nKk5Z&6`@hSKpC6&5HH6d@p4ToLk*1+=CHQDni?A|m83DAXVk@<R@k z>A*SU50u&6q5^VecMsTdaL9vHbwixo-2-+ASQw<EyF~>=!6M`j$Y@A}*h9Pw@&PE| z!4U%EK)nMJheZg;4sM8Hoh>RL9@OaW9*BFUfP)Mrs6jR(RDlfdo&t6rhALQue2|0I zA-__<$pyv-?Sg?x-A_S|5D5`T9Rg~D9dA*20S%?&Eh=xIOi+Y?WN}5vZ?p)3sYOJ{ zFHopKBIE(o(BmyCPoT{178Q^)yL-TvgF_yqs=Gzy3uLqf<PfkhNJlqBBP>FGf{cbl z$RvoDK|TNlJUBvN9H@6d;;;w-*})Altg}T0#Df~$-2-vY6tMj$L5<`9sB^ogfWrwx z6)Zw-z(U;@;yM`rc#Fy%n3NGpgakk%1T<H0yhY^#G?b3Fs9b?EK@kFy#T6kx&>{q; z77-!eL7@hTkP}ctkGH6tfik;WR6x$`?g3j44tbEOZith+d%z9>3xjlYLo~u7<QvFn zNQ6912B$%g4?qDAju03J>K%|cEJ8qba6=61Y*7L6phkE1K-@D09Aqd#jpP8RbGxU2 zorj?c79j^<p}qj(IvD?Wi^>t0RBJMF4(WhK2&grG9CFP7s8BiHq5`^^0K^1E2uK!J zgnUJd5SUs-gnR*o8YDtMBXA%?kp>jITU0>K?Cwzk-Hrebd624Zh?Bc}zzzWmgD)t6 z4BEgV<TJ=<NQ78GybLk~6!72(fpMVT0g1yR1Y`#{#4yCY3Sb+0Anut04l<OW21`Tq zK%Lt?1?)TwRp1B#jsCEv=b{XZbi{*7B=EopGpKjoFbzE7(aYLhfTHdIn!1Q-zy80R zIrl$keOxbV9;#w=L$zUsnxU#gH<TG<s0++cO;p9`hF+TrbtxyRI&?$VP5t%%<@-5M zm%hnI@fNzFjW9!xpsGVRG!kU!ESRCoQ5B;bssl4LA5|T?p)4RnU15eMp(;i<^!gO2 z_qkBjp&Pn>%CG+~Kg@=DpBGgzx}i-lL$Btc1QWWUQ6NKS!wgM8Rg7+^F3ivwVR#K? z1sUoFGc*~$p*JQ&z0ZuQ4&9|2Cja{X^5ZP1_qX9s{LL^!*P*IIH#8b#=p2}#iKvRv zy{`u|^dPD_bVJ!dhPuNH4MA0mZs^TPQ11u$;SJM`lYaew`DrH9``dF+6r&s30y9(` z)pB%~#()f+3o|qczoGgtLl2><LpPKiWT*$sP+nBU=q|lA5$gS1RCVZvZkqV(|I5!a zpx)n+jo16FFheEq8yX8TbRNvmWc-F2zzmH>Rfq0U4v?XqFhluJ6{CCq_5`T+*-+J? z8@hSIum3N<Oow{kG90h>+hB(N^+r*LZfG3H(D^V!pP=Sxbe9^!3|)cWP)?AcUNA%Z z@f&)lAL{*J{DyAn|Mman*J)7i-^xVs7P?E@VTKB!T8{4hc#xqBV1~BhH`EAb=-yBi z%h3(x0vYNJGt>aTp?CYB-p{~q=+?ep|6hKa3ibZ&3=|ijd%pu_s4#v*6F`P8gc*7) z0I#9OFhl)NEl2l0H^@*Qn4yLE4ZYV3_5ROv6wA>K-PZf-|I6=Fpx&3kZ)hjX&?Wc{ zO#~Ub2xjPUe-sy>hp7q7P=EY}@_-EWg&A6e-_ZL#Q1AarL$Ms)``ded{eSsmGSvIB z_zmrX8M+j|p-CV^7sCuafm-*Vd*2jhXaIggc|nHy!3-_NZ|H+=sP})T;`RQH?qC03 z{+tB$z8ro-yJ3bd!*6IZ$j~J)Lr?mmxB%VzW-vno@f*qqGSnYtXbFBpA9g{#{|CGh zp$9shbr3!FcXs{y|MJ&FsQ2aZ8`=XibUA)QQ$U6;g&BIv2e0?dVTK0bH<TY_XaLO6 zQv8NK>V$g#Z!(JI=-%Je`Ro77-xHwTSHN#*FU-&t_zg`38M+K+=xNlt2R-&JV1@?c zH&g&*XdukcQ}HO?LO1kr2h{sz_``H}$FKh{|MWw>Uy9$*KA543_zg`18M+*1r~-aN zEn$Y9!S8)RkfA{^Lr=%y_5PD~sQ1h98@i|c*Z-G)`=H)0!*6Im%upr#hNgoIT>&$6 zX(C>iTEPt6=!BvUJ)H`H3=M`E8i3!>r)^O0$KW?~Z`-f`FaPyIy?;3Y#Rcd|Y68qq zY1A?T-K7~ILs!BKt;27qHO$ass5uYaP+^dvAuvNV@f-TA73%#${D$sp{q_Il{~oCK zui#Io6JdtR;5RfAWauiGq2c%=$_8fWW(Sn8NB6!6$k0%jp-lJ<ecl4~ejI*7_qY7| z|B?Y_=u`ZOe-g~lR@AUZcWD;L(AC}0Fr9$kP+ORx2KWsX1sNI!Gt?Ttp)Z=D-oK3+ zq3A9>(ERKFOGcQXRZ%DrfS&j#!wg*)i=qzQ&}@*QYr3G`SH*9r9n8>3{Dz8w3=M}F zdLa_U1?Vn)*#z}I3w}cnHvRhlk_l#LHGV^<zzkg<gJL<l_j5pouI+?+zX??_x=Zb0 zhBl!_D7vBIAVVWyhSs{ExB%VISB+5bmwKS6LpStL<FEfOnPG-%;5T$C%uogVhUS6{ zUDp8~UI#5&1dSKJT;hkyulGmgM+KnrO#@N+0zs(!*J%7>!Km^JLs0oOp{V@uFjT%# zI4Ykv0+s&)jej^2ReoL+D!(!sl^+s=%GZxY<#WZM@}Hvd55%L&&rU$)mnEX|1Cvns zI*`$Iczm!!W_;lMM`--LkO@S%{0zvXHk@A!S#<#C`(>cor<sY$XURh4-$&!`%0`u+ znuE$O$VKIQ=b`e|@=^JW1*rTxX#DMksPdDFQ2DvVsC<tSRK9X4D*qoE|7IDg{FZW5 zet!ijKdTaz?^=b*SExqi|3>3qt3j3DSc}T<sYB(b*Q4^C8c_MNji~$|X#C4fsPgNY zQTd%M(Bdx|wM@-OfX|<>faXseYM~{SF{-)+XzC<j>WWd--9V`01ey1t25Md~sydHE zxV>O?Ct&LAEl^5G0W@_BYJUBHd3PVwGkp;#iqSn&3^OzhRUNu#ykY8=p{hgoj517J z8>%{V&-|{2db-FQ#eL|Wxm^A0|4RXwXA)7zH_<(_3}z_WI4HVj>R{?_qMC>9nJ}2T zov7;2J);Lxx6}-;XV^fVao!7!857iDICRh4tb&FR52`wJ&uoONLv<v&XF6f(-k^?t zqI)J0rtXF*Ue8!o{rdm1Y!B2k(?d`cqkD!2W@tXDI&{xGsf2pk9@UZPp4kIaCy%NQ z-7`~Q>bUWHCa3b(|CcLwLp^f|HN??9V-GWQ6{<RP&xpg+6``s__sshWsHcNa)uDUl zI82?L2})q2=b8Bxzy80xvkU4OUQ|QTJyQfT^eO(B@q(#aimDFXGfFUZt*GkIJ@cy^ z>ghsbyq>vK{_Fosewb%GPz^=*%u<-43aIMPW2P3S?nW?*<>;OXg{j+tst(;Vx-fN1 zj8M!&j~P~wXPkCIW2PC^P;}4SD1(MjG^#pu&uoCH<3?47?wJmly4OJ{jzsrN0!-a? zLlkxBp0OzV_5Wq*4yb4Lp&E+r8E%-N(@@o+d**Q|)YEpT>d-y28>UVURUNu#Cd1Tm z;rC2->97AUS8RuR=4T*^&(S?&2Q%~{sycMfh{4otL{*3GnRg{nPY0r^L-))vm^xbn z6!)RW%)F9c|6ktT2K9^%s-ftfDTEoyf~pSPGoCPYZ&2r1&^@CFQ`ds34&5_9i=mz_ z(8ueUi^aeGzvP2?CLYyLbk8h-8ETHI4&5^~Fm>vv>d-wC0#mmgb#?{aGdeJJi}mn& zh6Usq$F0zqnS^R6x@WEzK|?4DRUNu#*2B~#p{hgoOgl{7E7Z9XbkD@Y)LqlX>lyQ+ zU;kg0Y=L^_D5|08p5cNSIu}(Px@R60LOqS94&5`mVCrO1%|rLhB$zr*{GQ1w{Pq9k z^371s{6HNlMfZ#?%+L#{>d-wS3R8CjRUNu#-WEVT9e}D1-7`mF>TGoI#?0J;U;kg; z+648CHmafMo+*GC%8aTG-7_9Ab;79X&^@C7Q`d|-;EL{<ANf#E=WFBj%!T}4|6lUL zJQIg%D7t4B!wfY;Rfq1GYM44dRCVZ{35KcLhB_I9?ip>Ex<y)eJ;Mz0jKfA~%uGZz z6x}n|@}MD<iK-6WGwWdLI#Jc3d!`Mh?xh!sBhft*2UB-d6Ga_*J!6*l>;KE*4N%V< zK{XWJGn_C(=b)-X_sqjwsHf4)L-)*1m^v9$^Uysr5vGm<zh^RYfBk>CY(3O7-#t-$ zj_w&7n4#xU)uDSv1g7pksycMfyvc!j+8<RNx@V5S)LCnwxDP#M=H&eP|MKQKsAsfL z4Mq1%KFm-iRCVZ{afhk1LRE+E8F`qxCe%?^bkBUxhI%?r9j|B3XaD;Dk_YCQSX4vN zJ+laAs41#Cbk9`5)S-<xqkAR@rf#b{iX+iIqXkp9Pz^;Lddx6^JY&BW8Z#464Mq3N z)huWTWuU4<_sm+Dx(%r6&^^-%Q}@CR#gXWqiG``VqKcvp-7}_Hzy7~0S_AdWVN^rW zJ;MPrbT+Cwbk97<gnIfhsycMf?0~70MpcLInF%m;?D##Ck@@TY%cZNKp84jA;&XJ* zSi=lGhpG<UGr}-+a;T0(_sr`IsHgo<%|rLhVVF8A6%_ZO$IR@EU;kg;SOxWrCaR(6 zp2>q5%805C-7{`5b&;s*&^;puQ`d+(J%jF<Z|P7^=PKj%%(?Vm|6g*$JQIUzD7t4B z!VEP*Rfq1GN|?GHRCVZ{352QJ;*8=*bkAtQ)Gbg#QHLHgj3Ce0t%Sx*KdPbVp1G0+ z4WV>Yb?Ba115<Y#RUNu#T43s)qb@K&_e=~--DO3*o-s-L_5Wqz3aDoep&E+r8FrYV zvryHcd**&B)YHFE)uDT4J4~GvsycMf^uyG#;rC2>>aYJVmn?^R=Bp!$&(S?&1vB(4 zsycMf2*K2uqB;`YGp|yhp7upG58X3|VCpOtP~3-}%x0zh`v3C!GN@-XPz^=*OfJk& z22^$Eo^ge#%Rp6!?ipE_x(3vh4CtQunhf=Hjyzt^oK61q|0Ng9GtsDqqI+fm%ur)g zb?Ba{fT^2}st(;V0Wfu&?NJ<w?imf3y7_V_>d<3`0puCmrO=q^Lp2oLGnbQ~A(V!y z4&5`WVd}1-szdioGfdqxI}}HvdnOvD?vgBuI&{w%C;j^WvS10+GY3%(MfVIF%+Q&r z>d-xNFA?f#PW+zP22&@AY96|0`e5o<@p~pM@z?*Cix)#Z^Tig$=jfiXgc*7URUNu# z1YzoIP#uXLGcOaMp7udC58X2dVd^YoP~3+eGcyx@{eO9F5!5s4sD`3@CI@Ede;X7- z(LLh=Q&)~^9=c~_VCw2o%|rLhmw2eBv!zk&Mfc2^_+S5Da>6_lg=#3eXXe8UH9}Q~ z?wN9!x>cy^&^_Z1Q@6<)#gXWqQHQCUCxxO8-828=e*J%Gvk)3Hy{Lwwd*)IcG=x%7 z)uDT46-?b-RCVZ{X@aSHYK7uRbk9V=)LoQBQHSmsBamnE7eGC80M$@*&#=M_oq?(j z-7|M%p`I4N@0qPIbrPuNp?jtmrj7-_XHsK-{eQV=KGZXxEm3@q?imZ1p{G&Rp?gLE zrp_7Fk?1k=A_nSdZ&dTpJ#zr2&Rhb;edsYWBj(rtmsjUOJ)?$dD7t5|VTS%gU0i_f z8E2TfGF0=>JtGZMSBGjIx@SH|Lp_}(j@L7%qksK>$pQ0BB&wn4o|y+T)DTr2x@XE@ z>Q<tvL-&jyOx;G*#R}-2QG=<QD~8uI|Dt~Ve`yWd1lxmZD7t4ZMnOX;1yvooXI8@0 z-9c4{?wLlIx+kcM70^8s2~&4L6t8CtL7vHjZE4+)YACvASYU=uM^%UJnLCkCPxIsV z%odnBaa8lrJ<|hI$Bf@IDUrYazg!60p!x}Q(Ez$<%wdL}LRE+E8Ge{LCsaqG$ISBx zsHeS9%|rLhewaEl5xg-oJ>u8@mseohO;u41MfXe=%+SB6iwn>_;{;PzifSIZXQW{2 zYEjKY_spkosHZc9@p|S|_^<yj*<qfEKs6NIGjm~v8lb8}_e?2F-3nB7=$`R~soQ|M zSOMKLsxWnPgz$RiZ`iN@FRfrZVY^WcMfc2wFlY!RqpCyq%nF#g+o<Z$J<|YF_ZW4t z0=j1+VCv2b;`NLH$TPXHeXaXY4Mq11GtAIwsOr!?b2}93X+Hd(*$h)BhH4(VXS!kP znDBchIrP{6mkVIKR6n9F8bJ4q8O+d=sOr!?!v|C6i0Vl6n0Xcg^|U9ddFY<m2UBM% zfH!8Qh5Y*e@-l4CsS2v0=$^@h8TtoxaRIt#9AWB8P|ZX4j3i854XSzQp7|II^>hY5 zUeBBi{`LPQ8_YA|sD`3@W)93yeN=Vmo+*K;TaKy@-7`Kgb?Z?VE1-Ku1*UE`A70P= z3HtT_r6p`TY!|Ab=$<(r1P!4iRCVZ{Sq@Wo3soJuXX;_<9-%H)K=({IOx-zNyq?hq zc_s(8xpgn9q3E7rf*Cp$RUNu#ZUsU;&5PeNn_%ihQO!g5OczWYBYw{$1^)W~az1RU z>Ic+C1L&SHg&BGRRUNu#cwy=sP#uXLGfxAcp7uaB58X3+Vd_kH@W#y4fM5S#UV?2r zRYo-w-7^_5Lw}<#E<pE;158~ps(I+1k$|bIMl}!JGavk+o=)e+>zNb&zy7~ug?T0n z)lhWL%!V1NhpG<UGsQ4<%TU#!d&V24ZXN1k1$56S!_>{<!t0see!u>|w1Dl2?L;*c z-81L>pdplqst(;V%V6qmqN+ppOdU+!L)66z=$;9KsXNPw*E4z`&t$`Pxb8tU6x}n7 zFhi%Hszdk8O<$;|dGLE?BTStLs(I+1>4d3c!0(wv-(UY<&V%h&eUG|m0NpbtFhh@{ zszdh-4@{jssw2^3=7|r~)9$F|p?hWzOr0?Y-k6!<^Xvc1i?H3NN~ngSdnO%b=r7d8 z1?Zl!hp8(<H4oi0;xKhpsOF)2=Dj!6(`oE@J#*aq*Z-F+Fwcad8j9|jSujI&QPrV) zrU<5PDXKbj&v?Pqtwmj|fbJP3n7Wy4cs=vW>(~F6=CF;i9jJz)d*-YcG=vgR)uDT4 zDNNlBRCVZ{sfDR~fVx-#-7}#ub!S-ddPW!InXDev{WJ+^``xV3_PdFp?RWc##y^9$ z|7|(i{<kKy{co{o``s+i_PYtA?RR^J#y^R+|7{7{{<nIx{clld``t{@_PYt7?RR^P z#y^I(|7{`K{<j*m{cquD``wJt_Pg<-?RR^D#y^a<|7{-H{<liB{cj;?``z@>_PcSR z?RR^M#y^0z|7|wf{<ku;{cnM2``vWV_Pep8?RR^G#@~y!|7`}^{<mVZ{ce6}``t9r z_Pep5?RUG6#@~gu|7|MT{<i|O{chf9``y&g_Pa5n?RUF_#@~*%|7{Z5{<mDT{cav; z``wh$_PhN<<KJvS&A(e(QThFCsQj#URK9Bmbg211>S_+~Rl1<7WsgB_zGZMDc;}I< z)35)ai)KM5CW3BCfgBPHySN|%>1tNUX|=F>3~^i|0J)T9hXbS#g<T~BIa%cszd+*! z*iCq#%TbXoZ~(i!8FKpq<P=tj9*@R@;OjR#&%^I>dBMWK@IuWMW&`NpZSZA>AQQot zZh}NW=UR4v&PHcNxpecr3s@WUiUJQ-*rl5gE5X+)fUgWb?g9!G)&NWR1xlcEyOG=r zx$$5N_`*u~eHWmRpQ7@B1+<wEe5o&p3A;e)i3|J!B@2+l;BG*^bQ5&m>2b(8*r1Kk z(Bry6Opu2_vgj8modvnHdk@$lFBIV6j%o$$2D}6Izy7~e?nOEx6mfwM=;jUFw~~Nl z!8Z^Y{^vy8Ky-%%a&zWw@F}63tgy4#!KyjnHxT{&$H_po8;EqAQKAsx0mx-Ru#}7S z2BHs0i5+wUQN<rlr0b^1yn*PnE!aWuD>$&<Ky(3=Y73C;#~s$-blcs~fO0z#<m!T5 zphHeyR6?Q|bbdU_B_WVV-vYTV1$J@AA4il+Xpl|C?F3L*f}@*F2I<17GtlTh4UTS8 zW>|ECRfD1%wBfs(_nb8N!YR;QM1(G(0i|lFcVTxYfeeElp$|&;&?EFg%x=VuuOLOp z_a+^Iy6iC6W!soQE<?T92b6cgx)?hl_xW^_ak~%7rAdn&Py!aml~5F4nzRS%wcTK^ zePaZ94HRr(&%gp5BmmEJ!~{H!5QZLq%lljsacR;P76yinDJq-6_D=-cj~cYytXm|h zcWIK3JxZ{Wcxlo#ki%h@Car-6-D<GYKf<qyKnc2T-gzL`Q|Z#AKD%H4U!Ir-uC75< z>VL?644|`xLClx3zi~=^_yd*#)m|W_(1Z_SzAX3)mcnMB@jtK>HeC}TQrL8vK%9k5 z>gPnTf!L(BOvWiyJ_Rg=%^6oAQrHZvn2M;1x;uK_Fo3EF(5-c#^b9T+SR25X5Vfd0 zfL>w*p&39;l^ZhPtIt5{J5L?_C4cahG~>mCKUohxWQNu@*i$*kaHw9;rFfm^x_Jz` zr+_aL>b!pNzx=`X(vF=M92tK&Ha>X3z`)?5dC0K?bRp0Z76t~Fj+5O}R6wlDub|ff z!OfcjzGScY5KHH^ZV`j-Jt`olId=YVJorQ2@!$(-$If4_jE5W>A3;pL?$GfQYzXM; zAM6fje(=EY`wf@Q1D)4;L)e~lP61!^)(xRrFY(Vm*wLf17?iyG(>XvT1L#!hEs#r! zAorCuKj4SnT-M#90<s7q0AhiPL~tNC9%QfvwOP*Z1fN=c${LXayE~3RVg+>R56Gin z4Xit$v2p+!D-aqSD?1>u0#c79R<1y9vjDZGKt(#zeJmiup?X1zV6g%=2XCy*fyWAn z1rAV<@o@8|sDR8viWQKNM8pdC)|DwLAk}Ee0y9>?MeY>v^?R^bnGKGWmF$RE0lBIh za?1=P5}*Pgiy#6Z7E-LNK#mm?E96-D1Bn&Tl|>+rLSp3uG*%WsV+BHkV`T;;RzT{p z#L5;(tbp1N&{zT8ZUkb242S9kDT2ic*c`mEG6fzhAQm`QK*qz(o1y|T4=GkaMiLP# zAcu8NQ30vO8!OK{r$BD>gHW(onGBAV*KCMb0l5l#^-S}F`c6pW8+z#sL;z$ZQmh2n zK=KOs{<V1)$gyJb7F>dXE?fe66cQ^MZ$au?R2rbM0-?dNQUQq-ka{e!G6fPVpzCEo zQ31WX2E+sz4%G`%1dA20Ie25G2OcXR7C2Tw#>35<q5?7xDONy65)mt)JA%5WsDM-x zj1|yjirrJdcPheSr5hY8x=67Ca#c6v8X`y}Km|Y+K?Fc7q*(cZ94j2=h*<GpjQ~f$ zaY)zntueT@4!YwP8Xu5YN{9wsu(w4e0VE1*J0CDZHfI8|IZNT@c*uct!ulN%u^`?S z6|gAGoC*|kP9U2T3pd9B#hik0h&f<Um^lW>=5+7iC}CiDvBu!n|DE8=7tb4>1Vtpo zKaiUjk3nu;oM8a-G}u}2u4e%F+C<2Wg<y-|GVq%h!HxoVjKMcAt}{iudGQC>2uQ~r z)B;6{64=d)5MlVWiP`8zD0qPGDufsTy2lL32-wYw5Mj6x8XzN(ZeA1!2AKfy2jr4Q zSaiT{UWAB({Q<st@tFxYMBx5)K)QJma!Vu31lY}s5K*uR;GDk%VgkI|2UE`gia6+P zmXO;TTT~!4jv*89m<6bHgor!`a6t~ehtt{w`R2v5S6Hy!ya<X{yf-gSy~2Wg^Wscq zP@%L(r2#xx0lRq-!keN}!2-W|u>i~j-@JIp=-2<3My`KBHxz<eHn?smM7wzr+|<Hz z^I{IDOhUbRF#{}g5`6O_NE&=G9jI*yz4r`sLm`r}7&qR5TnD-FE`<ep^CHMdN0gfv zK~i9YL2aRzhrfZZX9LytxcmjWV-Z?^gB$>Etn9&k^I`(n6DT+N#ejt%{sKvZtp~RP z5H~L(8H?dBkn14+ih%hGWF)G;KvH0XL9L0Gjo-ok0@ate{Iv#hLm{Xl1o;bG-Q&4= zF$C-h6n_PPg&_U{NrSBinTK@qB9gHf{sOrU;x8YVzd%N!`U@llHW*YNzqJ1W_7|we z!R0T|9gEO91mrJpg^B0pMGvqiQ2gZr7J~Q-Bn`G6ToX+J-$sXKEQY^8u7mi?0p>4| zk*NLxNr4Rp)o3sO{vf(b1i8-@ey=O2O9bj2!R|CAwMzumOInx61{6ZzE)j?YZcu>o zDX1s|n>RpRB5QDiLf#J1%mcZqo0Kk*1#;tiyB?w;K%h$mDwjashtS|=9;kQJ-2>@K zV8#llcXAxkEdaIc(7HrWy&y%fW*(>%Kx<{8byQ8@u>xX&V+B;ofSd(3Z-BZ)#^6{< zv_-@U$W_oH719HO3V@0(hyaL%)F60(94m^t$gzUAO9U!aLEeYZ;8+3mj=FmwJqgTM z0rgIBkHkauf)v4G1yqLNj};wwtbkbHSOJxhAZLNi8=x+cHaJ!m+aO{E<SLT7L><VD z?{016Si##R0@Vp1??Y&Ctblq)-93<=1ZJ#&dMCJVCxz+-DT2ics079zD=P3<0kOcb z0xID_&H|e^KwTnbaI8GFM#KupRnY1T(gT7DfT|6M0EmT@R}5@HZM^e4!OhjLnuu6I z>k{2k1Gj}cTU0<*B*^2CF2oFImk2Bh>w>IBF$bkfGzo4FxDy3$TTg&?iNK;Tb5c>v zLFp2C!OgJ%_dZ}P>lL7ub@vt(uqezNS!8pdU80%FU=Q`OHar2%@4zQyB*53M9oxwc zIt%oP255>8QX_cu_NahL9-m%F_a8nX+C2q&C&Zx$@P+D!I6OM1sDQdk*oPLoA!BdN z&klel1wraOx`kZ2xg47x+PiR`>x4*mZ-I=&p{ep>K_~;YN#Tasqw50+fK-4i0moJ2 zA&n4F7YO1$Pyk`CQM$K){Rwp+NFBQSK*7+x1$+-Ux+-M%fr@3gVIb$A=mQCWR3N$U zM<Bv|kPaa{Xc+<!QlO3=_F@+l@$w7|&Ch;-Oa-ZfJDnX8wBTZE3;2R#bX8!dLzRJ= zk8s1-JGVe?`Gx5N34m09EP=RFXrBNB14HK)6&X-T0lM}U+-I7iA^_rdo^sp;I;+;B zvqyykEO5~gJdEnoJqN79r&C7dxQhx0Xyy(i22$kH8KWX_+(ktKlr=p%T~ri~yQpY@ zI2#yV%vJ*>OxV;pJn?>zW?<Nrz`($;lb->UuUk|YKvRmcVAZf>%-i;mlfk2R3OJTM zdQI6ui-~&osDQec@a|#bfdpR$2G8aL96q2aL^%e~4cVaf5w!UMN?9N#=)!uCuw(PX z10c<y<m}tc;My(V*!;-emGh!YXAgL&8Ke-T2}5B33zABZ3Xn>uk@i@0gT+AVK-Pg0 zwrAsk3~z*6K?xQ~%EA*N1&S*qsRb?wDNtHLl5+4vNP&h0u;&zzOF?PcvH9T-kY<od zxaZlSo(CxaDFmf;4259N!&HJ)fK-BXI5t0I2YDXE28n^>U}7LP$U2aleY+Vz2?pdd z-)?D-?m3W>uUp!2Cn!aFbZ$}k!OXzm(s>S4D187kPdS21H&0Twvv(+yDuit7i= z3=F=V9~^gr#&UeR_o#q0`E=TV6DVlx-=}+t3P_PpX9^^NLQ?G#6_8;dL2yC^>+XzE zF*xp`VgXX&(HW!SaNI@31Hy4p2{`Ve5&=!mr<HN1=K|#Pe1n;R;e{_)H7q?7ES4eT zHjrWuls*Sru?!iRg18Tqda)-qcu5MbHbCm&WgE2G0HqaL6w42g%3e@H0~LFqjOWpK zXoKI-D3-5)(mCjAU+|FD6qOSoK60^q04#u1EQ3^_7t0_;c#CBgMcm1_0XZ4(043u# z1(0f3GUk1M8>LvT_z&V@6w4kyh>{6+Vg?l%&@yxYi{$_>gj+!g7F1|~5{_r%0nm6Z zympagU_g@ca7EIEDg_!yhUo$own(}_!_+V-&@e3aOauxSP&R=T#2}SKmDq5F6qVQ@ zH$zKoNZ}1Gu_1X%8dL~_Ldmz=3{iM*0H;fE;k^RPJmt8P16;TFs4M^roCKB8AZ4J! zdj`1h{^7V2RLFt~YLF(MP8FoWdyNW6kxyq1QsLbh18H4Abj<;q3`*$W!W&eQqZHmg zoh6XM8>Af6GD$e@qLKkE$r<H9i5h#$WCC&)m;lNG&9WfXuq;5ZB(DIEz(Yz(+=(CF zCI*+3Aa&>^B`9mqqJixJo@0Qx4-}Qy6XC#><Px|W*b4qbqa^PECHn3j6%Y+-(lmhh z$R&9NSOBRc2dO|W$w7)h2^?nw+e#XgFtI0N(CSZk1G@l}jQ2=^RKt=nuj(~W(Ji{~ zCy0WS;Gj`pP|@enc&xz(6w=2yKy5Qn;>6w@ae)p*IyOHz0MZOn>CtWG(#;3z0J?IX zaDgt_04W4DhcOiTfxC)Ol^_)$m7tXG*!;jAi*B$ONFB&Js9QU{5N-t}Xe6l!@QfVP z8{j2|&_#vaW<K3hz|BzKZXw4Vux4lmI9`r{;w%BoJmk0oG|dKThDLw|4uXnvkenlU zI0DqJ1xdi-4qOg(&rtyx1S*ffaR(}bd^$rA#TTeN0vB7*@~BV}6gAi*aszUC6ab3I z&k`Wju!tmB9&H%p<x#^RFOLFnmq!spq&)HgCEe~G6%Y+d+YTT;a(QF{7C<VGKq_Eq z8k~GVoi=9BWGbEx|0*$1!o;484<ILF15h&70jq{3W8SG3K?RYh-d7NXQ6B9WLgmo` z+~v^(63ZhEaJ+!aBLy%MsXUSZ3xLZbkQ|~s0!hH)4ptt43?jZfdMJWBA}=6EqyQ)) zlfkNC5lOH-dNIh$qaB01JnFz*9!(e`<q;1k>2~+1fM`&8!~o(Wmq$OCK*K>u<q=2) zEKS48BakAz?IS56+{qZUauwb_`oP4%@M4Z2NHr`O^Rk`=6-1)*K7c5U^60}5Dvy5P zE{{%-SRTCq#|yYTdH`l3l}9(g0^srpB!?)EKoYRHgOx`hgNQGW0tG-(gS}$;fLtD3 z07c|wevoQdL=r5I6bAW($cI5*9v#449-SB><<S{X((Ue10nwoH=m3b1TpsNJ3m}z8 zAQiAQ4J(g8itv_4UA&-#i9H!JFd|KeYyc%=9<XXyGUhEk0V;?@`QCsijPgjs7tsd- z^}+^of6c%f;a0T%8iOZ73Nqq^zrVHu953MVXaSgsR36O$3xLZbkQ|~s0!hH)4ptt4 z3?jZfI>?PXA_b5masntKox!SM5lOH-nlQ-Aql7^|L2D2MN<)zGEl3)IPtaN*O%i~{ zHV5kjZ4W5vg2s=SKog`>R2o2h<npKjEPzxVfmFcKG^{)VDZ*PG{o=r#j1`cRaRDe9 z*ML>Sk}>bo!=Qo)K0%xI9K?l`OQ0bV(AbP;<AE7NXo7YD?g`og+!M4JxF={ihS3CV z1~|om$M_S#Or#0g2(SQnf)=C<G{zsmgf>A7(u6)i3sMA{i$x5QbuUo?83qz0V}e$T z4R?ArKu*sdp!B?k6{H%Lo(UGq9D}^ux&W!z1J$a7ZCHN=QrU}EELRMX30fCWI`8gL z0nwmlq6LVLTr3-a1(1qmkP7r-8Kekrv7F2dN|@N2i4MrgSOb)dpE7||!;&$t-#$<| z3@?_igO|}@6w3lbXo6M(_XO<=cf>3#C`}<%JOa2UXb(6ebb%&2k#tEQNr7flv1g(o zH$kfaPM6@qTLR2PnxGW`3xFqRLCQddHwU=zMw*}nX+oc%1u4QmK?^b&l+eL59pHvG z^6DDA6SN5ophS&53j`o%0R~VOc=Z40e~(^R7J!uG9=)QM?}4%cB<&;330(lUU!ikC zc-D+T%)^+mqU+Sw4RE0jaVzdZ9W-YKSug;Zh6Aa@n1%x>04W45%z}9et`KD!4x|F4 z5~Kq*4F_U_#6WT|F%TOmTvuS}yMbDP9*xH;+(Aups5hX~aG>_L2V@!!lyy<2;eIfJ zhX26bx({F`Qn&5}SODCu1IfXbfXz_>X+kM$J429G4|Gpa0qKNK!+~br(5K;E{RO2X z?5QpRIn_O2WMFua16B=5C9rk39=)Qmcd(|q8AB-5WelNI_W*aQ+dyKfy8%vF;8b@3 z%tT6cC%^*WR0onnq&ko$yr~YP6K|?3`Hef(6(Fa&1E5s*?H5QjEBh_vR0cm{3VdiE z^z<pvFc4@FJ%r}m1)AgTyygfRrD6chXYYV`$+PnSWM~AmULGXo(a8c{iNnRfz_5Yg z#Z|C{*fT`~vhy~8oEHvO&6;o%tMkCuO`tgsQbZgCA4>?8f)q<V;OTjfZcflrK8Ppa zE11`S+}_=y0-`}Ju>~N0=OM^~Wsv7)fCWy1JqPL!gFFWkgLw`-so&kA0@4U72Ebhq zsE;521O+j6A5TE`F^Kab6|9;y?*>*MgHK0<dJEzQcwB)GY=lY?_Hhr$L)|SZAR6Rj zP|&*|`?vz6pcB={ATe|wgEXT1_~Q@UJ_dyle4+%D9$yrLRkOa?4DvB=?sX6)dTAGk zf>ySj$2>bvcy?a&>^$Y!dD)}$^j=Wn1Fa>=U}RwEd<XIXs6g#}wU-mRPAmc>@e;JW z45S3q3IWygzMUWTf}+o-vj@B;*Rk^@XeAj)3f7qfH7r1j$UsYWLH$N>Oo9brD=r`$ z=qg_j2Q<(JUgZnofYyP4+ycs@;MKkm!8s}*7lE2D;Duu#K~Sg_9CuLxg(@g#?Ea2B z)Ij4g@K6Ia+F#g$RKvHDSR{Z(c3QwYFhFS&oC{bdfcJH@KodTUX6WW<e#i)2q7G6F zNi7WB9xSaV`KKQ0n4)6O$iTqg8o>$LWCJ~K2ej}Vq!`s?P*sb)Q43NFlJ)2|0PPw9 zRrugTy1+}UU#$Q3^M5y_Wz`Hml?Ega>I#8&GJpjjtpV5;7r0NrwS9{Uq_$`1<~aD0 z8R8kxCI^t6Fd2|%9QmgnaOr4Ku>*T&8TcR=<fG$yS;en{k{R!hD<DcVaU+O=>_ve& z8n*Str?&^ZTL7E}PlFbnL-L=rN9P_D&}e{9=XrRt)BvSEaIyrAdm$xD36R7~=u*W_ z5pcl>N(-P=12F{J$N&q%mMDTb-E&kx#)6U%xCIPO$K6|0KsJCHzu*)I5!|B!vd5>h z2C`%kq#cw-F;gCBY5N9-7mi<Xr##S6eejeA8jOB%_{-1#ppb^71O~K}2MHC7GzJp} zMGGX2@q;795PS{{)-(n)8InAaQt!o=kSGVG8IVID3Xsz;AK2_q;Bzz3_KiYZeFB;@ zAStv3axz>u+|{te1d&EInHOyG4w%WH9Wkgm17<QP9pc`R2a<*64A5>hq?|GJGdO2} zL?Jl?#Om$=Z>xp~Kz6giat6#kP|p(-7!VpcXJ|lARfNfaq5zgNc);FagLy|s9^@GY z29I7=)=Qwg0NKal(JOilyqpHIOA3@VKp_Om8X(t!vIfW!pWZ3ZEOOZcR7~$t0nI}B zbY6$&iyxp3L*RS?nr21H7cW2(FG0mLXd>OW^8_r5K=ufMQZOisfFwZ$E4)w!C2PdU zUiTcRjnKjwlp8=f6=W?)B~ljIq5^UODBmCz(tA`u?f~T*a8?0p?{rZC7t)};OdA+p zh<(DHRX(sF&AHtG?WLRX5u}<`a4je-d6~eJ=;jL`9;9l6ZK1jXI!>#*MFm8IYO@m{ zK5}&oTJPl2c@i|X0Frau32JeGf&?Vt11(8loe*&FfD~+Cc##Tr0`}I213Qv~cYqxH z{KL=xFXfp?J<0;Sr5(>v7F)pHK{-MIv<}gw6LN$ANE*D;AGA^v=_m^%V=;~pKpbVU zh5>q%1;|L$BLqNFV1q$RJYRAj1Rsq6+9r<cXauyQEWn$>@f>Bb0@Ghhz(NpzfuzCK zgG#Dy#8DPV#$xyj<T{tm6P;63Kud0Cg2skGMxy!)Bn37YR7<`*3Go+bqctvnp&exb z-d2t0D2q8@PoTut46qQyUm$6)^`KG!=_m^%V=?>%avj89Q(*B0G7{BaAStlHpmOzP z`yp_Afwn5+@)z1s77d_tqwpMM0a}djf_kJ%50bw?(qQXBrCK-QC<`QGG5iH`9mHQ9 zFn@uJMD-U)3T!Z_?0)Hb7;=;aqTYhkXW&FS;~P{G+|mJ6J@6(DI8fZbL*x+^Dw5{? z&_gm1CLess2$2V;l;2Q!M7;))1e<K}6Jj!=o`Bm7sux~9|M~y_1O)JCe&gZMS)=0M z(OIHm;n7{AVzCdrfw=hvsC`fa65F3q0&17msAz!XH9T7X^G`X@;i95m!uguPquWJA z<NpKD$$YHrD?l5PeN-$w8V`1ug7lpC=sfm9<HgVaVEO0E5%LX6aCr`x{Bb0C3kkUV zi|0`N%aP<65b`Hr^6g0S4-oPzVDjlm@&<}<^E+Vj?nv?r5b_x?d37Xt0fhTKVDjup z@;4CrHDL13mm&P`fzZzZlV6V{582HP3P13c#}~q{p@FanNs)m*+!KeNLFJQ><QMS3 z<ria<hwNDgS@NP8Ca;8~e*!05e=;_C4N<tfGfe)`QiLZ#eGd=NxzWd7C}WeKAP(2h z43j^Eq+dcECJ#Oz`vu=Ca7{cPtCC#`phaJxw$F=aFTv`{v8!`{tJ?=r=Z{?-csnxK zylD`1>e$tR_s4<N<-LSP!rvu`KzN{r>IqMnq6*OBoo+~Wd7+J}NCl=S7)j9vG)4bm z8Ak{-?G7^ue6TVoP9b4-3#RDpA%vS0j8U!I3RCpvI6@JJ3S5yrs97-qrsz15A_p`@ z*)T<mkQ7y*DRP4;szp+?0Zox2Oi=`qq8Dh2{y_6iiHZS#_<>LSf-Wispd!h_gY^bD zb3l(7y8>0mn!Fg{!2q=6wH~I(4oT4tO?W7P<G2f^ND@g=f)=WxRG6YKixAe$KvU!Z zQ*<6l(FHU`(lAA<kQ8yCC4_IV%+rdbr~}P+7h#GLkQ5!zLv{EXm?CQ=ML*CKwZjyN zAt_p+i)vC5Owq@Mh#)9HQ)CNMbOuRLgbu1n5->%}krXMQDf;{rlJ&48gcD$;(1dUr zx4H?)>J~%Qu?itss-X;X*^A(Z5c^m^EkJlL0U>V;lb>}2A<uv)3cxwa4rWOyk|GN< zMUpT@9Y{9KK-hHh0n{bP@(Q4{MIa^bN|<~GlKu$@`A(R;2a-JKNCimA4Jk#YJb_xG zjHKuU!VnjjA<Rhf5eRt|nEd1Uh~OwNfd?lGO#U#E{0fBpllxHn7bD3_Alev5VDj2X zMPUS@lmiF%`NvR0;*bmpKp0XFGsF%_{s3Ga5)xf7LnM(DtpN4T!NCntlnPVyWgf!2 z4-noFh1pbtR4DI&HwkuvovQ#dBpgZ62Q)>$A3^<;g=CWfqTXM94=V44B%gth?}o{1 zA<5r>%Yz4vUQB~oqKc%*LmD1?ZZJbwk>q#4<so4b2s7lsTtt{;Ku+=T=sX7Q3%}5T zDVmL>XaSlcc9^0vBt<vS6g`4vz(6EL0(LMrL#*2iQ>25W$OBE$445KzBt;Eqii%;1 z9?e1cZU>qoKbWGuNQxeS4*r3p9Z+xog(ghV3?xMX5paito98SrMa4*pI?xo|hh-{1 zBt<{a6zzg3(nL~}5s7NuRG1<bBt<vS6cxY}-JgvJ0*@$Ele}Syb|ER+fu=|erf4dX zA_+8yGr|-VASq(tf?4-s<85flk3f<?foRcAgvlEq$rm8>7sBKvkmM~8@_{h<53>;7 zeSnZRgvsAPl3#(47lg@gK#~vOhP(gcEvWlvAjwN0<S)YH3y|bH5b_&g@&QQl2N3cT zVe$q@@;?yrh1ldTfDaG`wJu)-!sG>z^mBkZyx_$A!Vo6^VJ0Gcz<pMTydX^e0+M_M zLjT8`Q2%d0lHY)kzX+3`fF%C{A-@qOAAlsUfE52Qc?%@@7aZ{LFNDb(Aju<j3j<;D z0!Z>m-9kf{{D&C`|08t^1!3|RkmQlNg&%MH{13Xu<>WL@2GA5NL+3${?hsJt`j7*t zL*5PQus0q9u_4mOKk*Cj&Ys4};M2=$0-iyuQIYWIybf*^3-D`zwM&3H`Wzme*L=Et zR5(03c~pElPreYn`}4m?^AV53(6%$kP>;^zFKX}p{QvqHVz^)e>Ue?S0gwX?rXl>d zgBP@@19aN4kBR}<VhxYuE-Ii!L=0fA1c(b-1O(x7cpPU@0Syd$bo+o>?ws9%AeKev zM1{+sBch>#fh<r_6{sj^h@l}7qyiEO9G5{Sak!``cyylcJk)vcMe=Q^kB}TcVZsED z#y6k=E6{WgXq*T%`v@A=0gbtXX;uMn0&r1@INqWH>ezvX(vP>Ow18+(n0C9UM0kMa z7`g*knjbQDoBo={$zb@g`4J=M#qXC|L6>tJcj%~5(dKW}0^cSA3Q>@bE)SN5hm0*J z`CG-o;!yKJ!_%PYLy-B$T~um7bT`E6?jEqU-!CejXnw%haj5k`M~I3Rf9rejRUh3D zN3|Z{pL(#vM@5sr^$A4WMWv$CMJ1%$MJ1&50RNPO{M!z8x~K$ngK&sS4d(^^sUQKB z4j&a|{?;SNni9HQRBAe1R8qQKR1%sWFm}4AfP_*Y%oM`|KD{6jAC&~q^gAfPI=e1h zW?<;{QAt6FTmi??6cv!XN8=#|K~OYpfpU-S0LgY<2S-xgm7o7T8jpZt<nYUHkPAIP zfesE7i2p#$*N;IV1Y*6E16}O_ooxjL7g&(<KV-}cWMOy57iI>AP9GH?M4W<-cLL4R zI)LKB!K0f2#Ifl1QSrezm)=Lk<hY9p=-?)X<1Q*Cpx6Lu0x34>1Ye~QqT++^$VDF& z8PFvfAu2MLUv-A46hL%`sF=Wyov%^xA$aC|h)PK}rbVbXGd%1JQ8DNaQ88!*-<08_ zBF*0q8f1RW(Cwm90Ex1KZWomj2(tuq)CtHx$6Zu%Kr~2Iw~tB*YWkAmZ)F6hPmoSn z;*#WV{RvJd$6ZuFqavWNNr9SC(e0y>!Fd9jRBF0?RC2&PP#Q`B8E~j0L`8zX^(@$c z*9<SW{r&&H+eO6(`)II>iVnzO9-z{#yGBK)^S@)~4<E*3-F7bE2y;;pK^gn?Q4xSl zK!m7>aQ*^?cz1|O30yncNUx8I4``S-M1^N2$T$Jy0p1W59<Tu=FZX~_qKgV>%>lzp zP~rjw9Vn%Nf(}H#{PGX%hXigHl@zGYQ@VXrD!M~dYMMX&SG?H#@xLM{Ou(T43Zd>0 zl^j%=m!JOq|L@WKrUEqnS^z4RGd#LoR5CnRIY2q4(*=C!SOh4TkGrVEfM}3BDE)SG zz)GKzNmxrCb^g|Ha4f=fAW9z>usG-hGmuZPL})~}k4g+`qEO>+l?Q9<c2S7|#p%Hg z9~D(tNd_t`KqUa@3I3^vK*#-cgs8~#w;I88By_r{*mS$7*dP^07Tqo?7TqB#DX`*5 zqQgf;oWGR`rU{&MK-P%yw_3sk6JU0W^0$KKiC!~!^tz~ks)m40A21yP&iS1#DjJ3d zK;jzRg3XT@L9C3<i49jkC6SMcMt2|!LbL@cn&HuSa6=YIMTts=N8_=KE08Kh16HLR zI1jE;Dh|Iq21y{G#UP-p5CaOy*Dpa~31Yo`4Uq;dAOT6IfCaCCVjJvQ-u)9eK_!$& zH}6ge%Lh~-X}t9O|NsBX(;#(_bZ`QGKOuBf%K%*Sbo!_SfZ`R*^I+-pQ3>hxQ3(J| z0{Ex|bo;1;K$syfxBUD6A5>%qc=WP*O#n?;)~I;w1dWq|PSrcq3CcX(J}Lq)mR<l2 zn1-l$cyxxSXn<V9@pAXS|NlYteFi9fCV<js1Zey}Vjt)frx(c}9o;pc%5eV+P;&&d zP5~qz0G}W5hs_TJK<5XZ_d^;A5gv^PIg;TGEshJ2hR%jwl!i`(N8_ObAd|aGR3bbY zkAc`bKtb6FZs;`if*U%fph_7sfdOggSU?*(;28}AXhX-qqti!41Ju&d230xDM=}nB zXC!>FyQu6u#6@x>x#&X=ii;FrO)m+kixfa%C;)Yl0LVogAQ#QN{PX|I%>U3kFAw)& z4WlRLK+`dxwg)RH96)Wehai21|52uMCOC#Wh6Q^xzL~%Z3f?^`9bkHkN&|@Q-UFuh zftFXjSOhi^BI41#Kcf_)VFBp)&+a`cU>Y*h)1qR|!oX0%1Dfn<0ZaUU0AYho?{?7e zfaJ>_6*JJezR;o`RR4mkJ=Sobl!1W}#`Wms6#>Ut2iVHSBOs?nJH|Q29|kohH+Lf? zf+=A49%}*_zyNAsfXwq~Jmdg!Y&X<bjmJP-q?A$64UPv;YXH>F(*XGh+zM_{Q2@CQ z)DWJc0ukP#A_11Z=F{B+W%fW1Ha+>`|HYsGUq-<i7vK<d(D3MXaDe-lA7oyO(EtDc z(E_B~!Qr?AXoWljxUPVEo>c&x?jgZ=yhWt~)a7RYpHW%^WrEa#L%$m|ZwSiDJt`nM zWO0t~7eS5BDPRdsP^0sh1OK*bom0RDf^I&98g{%zr3A|ChDdhr0c-kxUGZ1*BSwdg zYps`{hr2p-Y*Aqcd8oCL7qn)qy9KNg7Po9*sXUle0W0`^GLY$zf**2ZYsVCo|IDCu zX9>s(K^G5!)I(g;*`i_t;aRXUFo2pTEh-=u=w2eY07wOB=?&ENp!<QKOwi%oAniS1 zQ;=(_DJuWKc3TKQ-48MZ=KjB6DP5S90mKLsRt5%``$6Z8c1%(E4pu0Stgy31MFOP0 zMFph3vqeP)!UG9H+z(=PL&PBhAQi`3R0N=oKHj1t0%dkX4eJ4$g6jTnV7o5~LfsEC z1m^y)V5#FUDUiY4Jzzs&?*G6HzRU1ESm91&g`F)bH&_@Lx*?9}Y*7K-ZQ2bHgg6%} z4HAb4fLKr!-92FS-!Ha;YWR*RD(}EHREvRJ`I-S#l5PPfJWvMK>fi)*?I1}TlxD$s zmQ^DORK7q5;oZ(by7mZNf7(ICUJE$Cdq5OJZ<&E)1xSYR=my;~!|{n<ut%kUg@NG{ ze`E`kp>v|M2keI9kW>q4F2M|(fo%JUv!JpRD%IVv04xWy08;Y3_;L!(g}h1aP=7%! zhPglxVlBd_J3!r1*a6-#XVJ`IRf3rV%K8Xh31C-1!$%E6*PS-x@Bu5PX82q{wtdAJ zl<>I$mO~4lD<{$X#p~2YWcYkQ4j&t2yI2o^Mh`k6RuL{7K?`8JMXTC588pEqU}q2b zAYV{1_MDl4q0|;qCPNYsv@Gow^+Q$<It>`4{ys=O?`zOe(V%DnwK8xNqL6gm4JndQ z^X@%xu2?Ao$`xp3=UuSWJeU-gvJ-SXaK{vt>tKZwkQLIc?7RlHJ3}1me&n+ADp)EO zCIu=xJ6lvhx2%CypR+J9z?=3hDjkr5224!>mzR(>ev8TlQ2ErOavp4$Hkx4!kP;PI zzOq1gAVElR1Y&i!sDQ*F0w7j*iwao02P}>#pU#18NR<Zp1d+waNnIkXNT~~SvMwmK z90#Qq?5XQT3zB-!sk<Qc`$6g{O<nuIe)=vC@)KI>+6$I?1Czp%x<IG)c1%&(4pw*% zSs~q0*EX=-+mxX0M^0T^!BVSXQe>ts&`Gi#Ju2(LhE<{&22LjM+8ZhDKoS#F8YB)8 z0I_hTu61A=7O8=J@|pos$UrJNa#Gl)CZrStI`kHlVwQta4E7Y(hO8cRkS<95e2{w7 z6xNMaQo-u(d0^K&XoFl2s;|8okjiaHRSrr(;7jKrRh?jq3P=QzkqW>w)X=)B>KIaO z!CC>9f|TGO4bZ~(6TbkYQ2;Sf08(r7hoAh!f9M25ng@T~iOz$NrY@-bMXJNQA=PU) zq^S!XVLb-&^IWh;I<!C@L5>8HoB$d!_o(M&NaNQ#!9N{z-|QTavF$lvV<Eu-auFz` z6zZWN1rh*x4if1Xz*F(i(8xLZ6Vw&xWwi#c73zUBXc`YfZeItDi}!$gP@p|nkg(zW z#4o@Ixg`(O6X}L)0f#blE6@z66of`-0bR2O>I+Uij9wkDu7f%@0@6-`HCF^$R6v@* zEhmUn+zD`d3e{5!kQdKPKY|>^E5K4jMlmRS9qPd0dys$nLH=zQ5q%U;rv}ta!%@>h zyDMA3osjR>6hAdTV(hrsda0vFWi}{|TJ1DJQIA#@&H_sr!=yk7m&lq5+>-$hj<={x zfz(u!!G<xS83ygDfYcM+lR@vZz;fdxunpGW)b^U;<<bw}i>5)Y2DL$6PWgnwhxX>c zQk9?n{|Bw%PhbVLg9TWtYM>F`!3-AYQ2{Ytcpv=vA9QybDCHb)Q3-(dL5{bmgdms^ z2xbhF2}<7}J>4EGplw#5>X`TOLQc>X);{2#aEl5=nx}h;iU&w~iwa1B^HewQ;f0(G zoaZ<{f%YeKPElzA9pu;1qS6fZD`<Zltki^<z|k$*yAV<cPCwS!qf*1nzyQkN)gbll z)!@NDgmXB$MdP8WCxKL#KvfrmR5$sfs^;hx)q*Mp8=V7HoDEXku7aWX?*fQBz$T|a z6(@rfH$eu#K`sY36CI%81lpJaWp+b?x4Q=%w5V;vB#;@c+reYt-H@<{_3IMBQlLfd zV1q%a3z{oHbtH%hx{w|e!aXV=TNO{B*4dy9SKv-8A~AwAf{X|40s|dOT$%%N_sg}A z)CEcd;3Q-U8gDcJ&8R?J4ibbW3=s3BC}>>c-0M59PrbeY+8@Ts0NM(|@G=ad1>_iz zI%p7pm@lpWgI9ZlJi!g=<s%#ma#eQ^xGrh__`iD!cw_=;OdO&fItT#TR|Xmj04sC> zu@J-RaD^ai@S6x$0Ucd{1Rum|3==^LU)}-@+_tEI8X#cbTzwDDelR|C3<4~*>-~T5 z{wYWukO7_+hh&N4Eh-w&6mh&oMF+}+C1CIfE@<pVbY~SLtxW}`;uvUJiw0HX(25_F zJ3z`kz!Qz4y~yfApz4D`>LC?B$WoBgj<={NKrKDqqM`z2c0*hRx;~MWfdMsf27wHz zehnHP067<wazHg<AV|0noG3w~8=#bUyahaF0b+tK>w+XokZs^Z2~yP!X=HWxfNkak zrB%=%26SiyWHcyI27rt<1SiTH@4#UUG6dxM*At=c2XUb82QgokK~fgT4sM7m5Q8#c z8+yR;28mu!F9IcHfmJ}Xb@zb72ci%ZJlGV1tm(#UB3MNWWLOBVi6DjGtOOkm0{g}q z;%m?#4u}sO9Re|5D!fBZSsmcsa*N6Y7Vsb;2Q)g5x2W(ynV^&fk_9CdNS8Ri0x4yI zj&}x?rrw|+ho&r;a%jpjMpo|vO<B$$^^lYWvJ~XB<B;waDE5y-x?&(^cZ&*00qEpb zjFjaBF5A+<<!m>^$)F?vN?nd%DbUmjIN^a>;6zr`ptd=zOKAhCsI9?<K_=Xx&Gya~ zl^2knC`f&0i^>}a4<ra_<AYe;5OIhANX79M70_l$kjIX<s5}8}7-IkroPkyMfK5To zJyu}5TbZDZ5s)D;KU#vNs=!jmA){?jgF&NfAZ9mYgs{5@Y%4eyK}X_1qlMi)U>AUM z5lBZjWZ({DJSZ1|4(R4@H3J*}n&GA28*okng(N7pUM_=_W-vZ9%D__H;7O(yNb8gp zmc~FABp+{4`2qFx@fMXoP$npifn*VBjJXUcjhR5xj4>$9K+_mZIW&!3FGW(X168jL zQV&UEAWK0`JKmxK+JOfOo8v7iU!eAPLtNF}19lN=_-la-fs|e#=Yj$Ul)f}U!jRGn z6m?JoL9qj3LK8`M57;(v0E1LPM;N+$z&3-0K{}wR1Y|TQ+(GANmqJRfvwy*14stTc z_2AMA#(}yYBn~URKz49Lk{lv^f^F!5jATp!k7S^fNMLD*9;kD>r+}S@q3UHWq+$jo zV6d$n5ZA%@&;$*Z$_35BLy{ynBvo}nc+fP~-2)!FhLn<^w1{FGQeuQAU5G+Ze!->? zoTl-b2vz}g0VFfvFcGBirNH0+;I&b$g`i2zJt`-_ogBdy70{vQpZEoOz>F7u+kXBx zJPB(4g6{nG=w`Jo=4JrR^nhz^=yaGz<MDu8(6sLq@Xg@+K*8hDxkm+bVtVIy&_wAJ z70{99ou@oH4?2QIQW%c2sFX2)w6uU#f#*w)yQl~RfM#-BR3u=MAZ;K?@a9&~wv!DE zFHUWR%q^ZO;AGeZva9nV%tfA!2SHO=pbeoQ2YNy#y1<GYJ1>K}Qd0}SQ(IaLphNf1 zgL{n}pfX0|pik#LpUzvLQ!XH<VqbjWxc%q<mvf$g<`wsY?D5#=$jQI}UOoB^B<1=7 zoOI!Rjg;3YeB{1{=WEoy244ZRuK_x38ywsq#*6z~sMpu{Kb5$?#{H=%eT^@mgR44P zR6a9-=Af|lHC9fAltkbT!5bz>U*k1MJ+{6^HB>dYNALuy`Y}i~mcE7?R594-J5a^9 zL5i{TH6)>m!6sjUD!vR-OkrQ+637hfeT|D?DVp^)E-*1LK>HfCFTts4w68Hmg}A=P zQb=~h*4OBIf!rd54Kjkd2gLR@eDfe_9^BUe9cT?|5uOGohOOYn8K{B>83?N2p*6NF zvYsQ*^m!PprxC0N+E52or_hEvh>5SGaR{tC7_7S+(l-Ltsi5lmAXo}?UJJN62<i^N z`w*aIMJ+0On834}yTJ;rU}k_6c0wCbkls!wWRerwvVyeiK<#Yk<R?TxgcVnF8mbRE zwTjx**afz`2HfC+8V7Sf=tLC$)<Uop&UP{=a=UxL&1G=g0@O4^beKR5day94!3u4O zfQ$z<IY0+A@we)NjepJXlKD9}cfq=RFAE_}Jy2kPiu#wkQ2Eg40ZYwCY5KvIBY=7Y z$05BLP$VMt^FS#BBnwIzpk-&@FDZi79yoNIYdr}{Mcct)(gYsaKvwGkKZj-;s8oQo z#6a-?H6IiMAZ9nDy9!!<!OFk@ZUKW-bweT(bRjKla08?RDbzv1vK3@Bq$Q>Y@iM5l z1@b4jB?jX_y#o@5wZuSna6?iGBKd%Az}uMvtAJ>Ox){<-0rf9Y8a_~kAZze<IKe8Q z3AhK`oy1`xNFl5r3F>fxeKQf#!h`Xl-9oTb8Ki{=N@LuR-dZQ5{{~H3(C#g0hdlc5 z3Q_`uCfDvM;Ql6x7eT6C7XC#lo4VnX>5$g{9u*M%V)<%NlLs`70B)v1NB=?X8QBc* z&@gD|9Wpcw>INY7J8<*{rhxk>-CH2N0hFQPE#TyM8JrxuAsrY{9s(6Ho550`gEzoM z45*pj*`ksI=@x=0q)E&Sh$xtXw6<YA&NZN7s7GZr*sy6Zd!UAa8mHZmZVSbWELMSS zI1eu9UNgL$_7t4uK^B3d*9y|shw+g+8}iU4OQ1%iM|T5k0w2-_-UDvsz7SpojT6Xh z0DM|NfVVRZ94Cn3dQhk3IHUst%CI<k$WtKQ?JbZVGD@7R1m#jl4-M3rfyD>tc>PjH zxc~|`sM(;d1&G-V=?Fr*7vORcqzW3k1bW8HK{i6lg}IP&0oDfsmkTfsa)$^yz67!Z zSBD4`*4X-9ASWZbO(4U&r+_=|DA@(13fx08JODZwasy~er+13V4lun%<p79=c1b?* z3$(Au0}bN~wx~d6X#`*l!4?#y9*xJr3o4qAb9i*_Q3-&W0-^0c@e8nndkG$}oCjeD zwm|HK+UL=D9K31;YKQ~W5D1Mh!~$jrgdy0X0`^3=?YmS&w+eK)4JhGxHXZ<Vs~o}I z7m(9HH!QexUI%Tt0NsGl2|iP?gNcEm^APC5JdiY~`vN*7&8PDw_=Y{uQ9_^t>m54} zz`I;?R6ru2gMc`YyIe)!{@5H9kkKGXaF+|T()GBDiUCLxbc!6vHqdE77ROyw9H5dO z5Xn8@^YlTd27!+f0!eOQcp<w2luHCyWs`At*5-gmQ9K(DgZge@-$MFoFOx9(YM>+Y zL5o2<?|DG_YLJuBZ@!qi^5_4TAFd(w)%;<7HLq1a|G)hA8=U#!eKoNMD179;+VA_Q zeYK<{XkQI<*gCkc24cK0UQWHfT5tz(eKq3_l)hRFw67KoF6glK)&4>k>wr5{A<#Bx zFi1VNzS?=HYH*Lr2ddf|q#8?KZ5C89*k~81VrP(IEPb_HsA90mHc-XZAjK5+)vQ2f zVDGD0f~D4gYZ%a-6wu*b(9w5LCPni?7N8;$GB2cm51g7t`)b7Y)xz(B0}Rxh2i3*M z^FlUvk=jG>)xMyv6%l>4?f@3_=CwIE6?lPL0ifnJ$Rtox9MQZ6jsNnuf^Mn@H)}wB z0_ZFcY+a}UsL3)#MIUSwe2^TZ5YhAnP5jWX=cEU=`!2Zug4BiwHOoMq8va($papoU z3DjN%)&J1pY7i4K)dXtif|~*$RmAn2big+Efo*=x@KXH_IO;&22Swe>Q;_BXj1Qf$ z152$(=_$bm$w7S~>^)yl^nheR(F2)?xfX}i?FJpp59%<fgEAg;kQ}BQ+T~t_tRA#y z5~N-pq#iOz4(s1x@2MerQlMEa)Sjyx$Ph>q3?vC_g2{q}Ax$t)_W~5y(5Wa86Va6i zb)=BHW}wtUplc=rG8)nZgAS5|3;_i!xCsX1kk~Z?#S^4|1abmO`$_~f8%cWC43CMR zAVCZVfLsi*8l$rZQi#ztGr-+76QxVn3^Yg%N|T`0m<Nuo8P7aW0|&ip#vgtXx*&>5 zy)tQVCdAq+lLAXo+A9+Q6>&W(!eGN_*((zQ+i(h89=~RI`R_WoI05wxKuP;0g}t)c zInd}p>6JmEgNhw8K~Ua=lm^HhGSIec8g<C{K{i54gGG=M7t~Dx1sS+BfN=(Mhit<T z>X4;I5YZt6U6TRrkb$mAfON<}*C#+aWFTo!hYWH<0-{3(y5|73Lk1E7^|`Qi$UsJe zB(ZkLK(^uRkU=bl9)Aq&koC+0We@?@o>0;|WD%hl9Wu~C{U{x>3yh$dwVN+k=KTEs zGX5k|hb$D<A=?R(V*d00KjgqM$WqpqhpvFsdh~7quWte^7yTFl?RbHDZSZoK6}+qy zwmrml_Rs$>9k0T)g4SSyw5|(5-t7U_0p0BZY5qYL8X@n7fs_}Ku*IE%E#SQ{2wPDW zsUDy8^Z(0;tKgCg<Ty|^e0lyF3Lm*kx#b#in-;eI6VoY>CB6`+APp#agn$Q>5QBW6 z<$}jsz{>?e%?#+$GAI)?TLNODE-OS`{|OotD}|I_pf!iE*33CZ1_o&P1zOGpG6iRE z3EYYVsp^L8Nh7d|?kvb?Ncm+52`Jd=H*om{;~+1EgAOQx?7+7aj<|JjpmS%@M`=Op z>A(X@h-gM@q=Gsq&`<!ahypP|YGJKTNF&uS1gVh<x|jmg=sXVcFZM<%E3$gf^%EfV zpc&>;NFx<wDJVr@@9!Ym@*o$XHc~-T@BFQwnV~CbKnh?{3p((hzZG<l1FlXEXagu{ ziv##9>{~E1K&?Bvb#k_W?cM}Fha0Vv1Da*yZ(R<P0`+5v9D4@O3PG18gKpCRZE*nG zQ;KF7XaEtq#;UVL<p^W|8zcys@dB~BA>t4LBo*B~VD*R%H0!`N2y=sC0+eFFO-T4U zA<)8gVz+1A4n#^_D;OcO?aPr;7sxPBj|tiZT92$Abj<`Pb<GE<homl05eZFQprQ+u zq@hI>h>5=G0daE56qR{kKgIBX{Dih>d@fij5GDmOxVs0uJQdc323-@<F-2uMSfMkr zLd5!3k`{!MzO{84*luZlsQW>=AC^0&f~5ptQlK12<XSpV9)rznfJWdtdQ|$shTY?X z+5<HVG%*A1aCbtcRiJCKAc+Yo4O)N&5dg8ED&VWOV2j@Sz&3OWgKPk=0cE)eu6sb4 z6%@BGrT(Dskvrx8f1?g>Hv2+rS<n?G;NeXW<3-3M$nYj;{)yCO?;t&pW$&Q=b~kTH zF?e_r)Ncmo1yIiN;OU+MUPjuz1+vifR5x!(G0N~}4e0KbjusWr0(SmZP~d|6iZFqr zTU4$Xk~zR*kR{N}Q49(lq~T47b2z$1KNLY!g9jmVpsKS$s*#2_!KyjBMR!9LgN+8A zKmp1}$sol@!<#V0eNe?<lR--|L5ib6iqVHRC7?r(&~7_4&w)k`u`YX$0-1q*cry|# z1s~o7b)i9~QET`CbT$WcDT?tgaB2cM5}dk7T=ov~GFTsU**lC64Fa%~HDqxz$P>6G zl|hLcGJG)TMlPVs-a(ZT*f-HX!2t&2BTp(jK#vzktq?%z2>YT3SaJuI@Q~)lA#X@3 z1}{Ykfu>^6k`UN_U65fQ<<JUY8nSvHsCsWig#a1}1i2df!d1jNPta^NYI6fLsLJ2! z4DQN9=fq(JswX&c!pBs>rCSepwi#9-fR54Wn4$vG4Lj)zTHJL)RuDrcpF1Ioh`S+z zkg^ff+wF#kLj*v}CULG02CIfF1V(kg6WEdPF;$QuF!wuxrQl<#pt>KLxj?l(h>2LW z4Vv);w}wHgpbZG<j(@N)NC&jx05Tr5>;iOm5q~RuOm*9LaC!lS5-28MW2!JdbUq&} zg*v7RTYUnWnZ`cV4@y5ESx|z2q@ONNr1WD0O%K+f^Z;$H!jwbPPaLv(6R3J)ka|di z0ycDW9I{><6gEhc-H2J}FD&TWCO`)qL3<$}Nl@T`#<LAUW<$nQK~V=a5EMHgCNz<B z_kgF%!DFf*RnXMZ4Ow6g4tJ0aXet324GMSA<wB?nk3n<upnwJULSP)I`$6Kc<t`vQ zxFJankv_pT^nlk=KuRW1rHwMC3RVHp26Zt+A!yVHn?jH^-FQs|tAJz%{3e1F!p2lV zNfhiG=$I;ue;l%M87u`IQw1erZb&n?6Eaf{O}ls&vLL1K?jFcN8B@R~UZ8jpqzb(7 z7&3pq0yIh9I|VWY-n#{TJ{`P)5;~s_nT&@`&Lig2F=zI{ivggM@}NZkGYX)K03g%$ z0xc>K27Kxra()j;=>(Wk$mG00iwcAx*rEb<YPW5K8xey-pxddSgF>J?sUU+wpo^#= zgF+x_(4Y|L4k_eWdJaYg2Gl_zkO*kp2kW2^$Y_ux)<GeVZ8!&oAQqzz3YB+228GI< zNgot)cE%VK0&Q|b859EDJmk}P^TqqlpZ{NaZ3m6kfcm=(9{W;ZgF;KXe*S-H@)cYT zg1QgjB)Rc33Ln}}0ZUE$jM|Ld;{<KSf-W=yH)BDJ7rpI}W-Mr|h16y&NDrhL3mR|f z=3ScsZpMNJOhBb7L>knL1xq7#Z}w)OG-Ka@u2$)2QF+aPb47J{2Bb&^w>3ehP=cC| zk3s5@nz0c3IJ!kOp{l`+%{vT`9^q|}YNTc?ST#qt=$CXPqe1s7fs6*tBk&_NV_}Mq zLluKfJ_9xRG}vUyc5j{nnSs3-dlD=KZ^nW~dqBlJ&T%Jji4Rgm+_)2HUIf~VJ@pZs zn#gU&!iK0u&hE`mknOIZ4lF3oA<xoZ|A0KA2^%#7r6cU4R<I0%XomcDfFw+CGZu7+ zDkxzd1}6s4SkG$)WG&F9$Z2Ffd!XraH&_q3E2?*ab%UDE;Bhff*8<d70JTGQf~D}S zsNTW=UQxXntPp)gHK_FuU4+{S*|`U8GB!V8?1XOlgNQ=}K;u-{`v6dVST_i60^9u- zJUb6<d&1nm5iIoxEQPai4~pDw$lfV%qY2dJgbq`5_kj0OfrUX08)y>?WIU)X1v)>H zzjYqi_}2_C10Y*{LA^9kVxilLYVcOzZFWeB2~?JV64Po1#2H4g5nE_N>OxizI`b8z zelbWrq)m&|MFn+9p#>6Xf*i!ecmCKSkRgzfb<iv~D6l|jX(31$(xwHa3y>+$ULlBy zSa<-k4P3&3R6$b@bR|7F9zcl%DVjm?0J;SY+NRwLnK1_$0*V80n-<1_jthXqVQpHF z9oh?*erFStf>1O82JP=z3C@S6x$0nHM4H@Sfn!rHX3e(OsGh_7LM=(-oMM_D23 z1VD+J8#3tD3Ec<@;o(`rjg;b{`J)@l-a?S7mkfXZ|A(|`L2Yi2UdT#b&{P(vZ{z`O zj(Rj6M{d(Xdg*(>3%otL5p7zO175&OPmv7)HB{kkTC|BGK}ZJ-W*<l?yiJSQQU*J< z+qTD=h&C<gd}(N#7If%0q)iJt9um@~1xbV2w4jrx5p7x*l?vnrZH!6<sKJW0H48En zB#E^(3$h1iYZhW9YHN07Eu=NO(t`BXY^epfHLC*OALyb|fYg-rQON+cW7X?_{(srD z2B{sJ32VpR0ZC=Of*&gC(fkJG{8z{cq~K%PAm<Z<wmgCkxeWmo1fWxC;pY>hu4F3# z9SJN39&3TCf~{c-QIX?sWe3ZFPbv3N34si=xTr{Ugn*6()`m-Vx~Q0RyQrAJ*Xp~d z7<7Yhh)M`#AlpSnpu<OnpT8A!H!b)uY|uIA;6u{+_*;eHCPB(J9~EByR!|uPJxdsL zRIr9eH)pqCC+IlbZXeLCcbwgUES(`L;A46fAO{O8Kn|*p=$vS96|@u7M@69<a!P$f z=R^~z5a^)W3^R~4<Oo}dtB@mw;iuH+mV=KJ&NzJBMFn(!HN(r_pw$sj&T$tN&;S|3 z%V(gKXrQx$L9VkvaUGb4<hqx!;Kl#oc=7<n6L{j-MaAOfK9C{c<Lg-)kR&v~r(SsU z)~I-ZBF4g_TL46B_;fSu1QkM^=Q@2<I6$XmbG)dlKsqK^0d$G@%Xgqtf)QuzV?EE> zgCBJEql*gY=zo;s!$B5#Alg*x&EeIckBW&yM~R9tsAhnxg4TXL$jWp;%Ct+lUV{=L z?8If1L<u{d8hP*xe2zZo+FWq@fS)z3$=}KXPamLzlwrwGr6UA%SU&s^?0`-e6_;)o z6&Ivr=+Nz=;?Rwh3}re%XR^bN>xUf24^D<s{H^!F%X&en9hM9w`CI3L1wltzM|AV< zG6NqH9nsCZ1;UB}?IgB<q&^GeW33-RQ=0|KvDQzZqM&1~D?o?Nc0-P}J^@XG7O-Qj zUzUK=U<D!#{==3AUxJcPuZv1VH?KIvK@r`&f)EzOX)hE0|NsB;-oO9<U-E$xVK3`9 zQ_%Ko-sfPdMkNA#MPKK&&JYz5kIqxwJ}M%fod-ZkOXP)e86;^%fKH&c0C|<;r2yLD z#>Cv;&;U(qpu2x7KxGo>mH-e9N<|5XwB~Gzl-688iO3n2*1)QuX-xrHnGHypH8ib( zFMJ0z@<9c>2WtZ;h&w^|Mqs%<0CfKY_`ddT(bFbK_M)b7uqvp%E0C3;r11h!rUV^G z5Aqb~Kzb0}?V<uYhra-P@_q*B76;JD{$O5Cw~I;+D3O8A;n(7C1<eJ4CZeGCPk?kI z-ERRpcpP+uKWb*t<Zms4<qNP`po7^p_*-)!f+*Kjpk<j3WF<K7rI-S~=>k@MLo-eg zOe^T}4Ui8&wo380g6_2k_jW+FCrn(Dzx5Y9`&4xERvUw}PenIxDTGx6N>d(??BfB= zK0V+|DLN-kxCSbtK_|#V4~B<HOaV(kcpi-hBT_)>;8$5xT!R$n9<Z!5uka`QJ_}Gt za=WPHbh@a3A|wav^#I_=>Gn}609{&uG}`E*lJhbelnWt23ySiWQ&IWQNCQh%LGvca z-$rnMLs$@hzhnmG%~DX_Tn$#t8f65|o8DlmMx_Ez-fS;|<jo3D-t+*4Bgf0lkaFLn z`HcnWe0T%U+2)Y@VfS%?E{k*q>FEaF8N2@j=(z6A8Wjc5`S1$x^Wnu|=ff*N&Jzb8 zat}XD+($)>zZKMn1$CueR5Xq?c$9&U5qDA1@aW~`VF1-B9bluIk63^&u7Rpycp(NV z(m)r}dax#dqO8+JMFN!nCBXR~yviPYQ_C?>h8E>-?E<G9!vlsV9Xn5gF4@uWXgmat z?B+upAWtj61i?3^c9(#>V&(z4#l&$3Kj_#878UTh_}oRH0{~y@fC@lRyTk)@JUZgY za32*B{uYoV#8(C|Y1m=mf}nHYq3-AvU1tDV2Uen@;n8>uY;)&#kIrkLt5Z-domTks z|Lbm0E$q?w26P3uN9P_DPzM*Z*$Q-jHK?2d)2xt_szBvb3;1qoX#NLvB0)@0D1pl% z%&op9D(d{LzTo~cTos}Pr^esv2$t)Hn2RT?gY1H3b%hQeP{U9WMQe)+s72k~q5@Lc z*`flvP`Vo;2pMaDN`u590wC7$7I1C``Qmts3h4S~5EH7ey9aCvYOyBA-wHan0$i4W zOn{Xoviz+dU=9M6?l>#^DPU`0et}+L0XhT$Y$Q}`1?Y%e1_p*s7nK@NISq0#sJM1f zsev$S&~Kapsp|GosX=wE7=J71+(U4Sr3U6yQT|rYA%$SU0z7Tw5||^QZDY`Bd2me$ zpu1j(zV-){jyk&>t|PAffrz+V2NjM}R6snB#zQkeN1}CafpU*&fMg*V=0$l9xR?Yb z)WgRi$pu`D9fb6RL9KBR|2QN8fS50rLAM!$4QF)#U+xTQP`0RmdT*eJKHj1Ny3riO z1SL;Mvl5)uk@u^YsPL6mLh>G11tJ0Smga%?pMcU9$dKcZbO&NWlXQ0v*fQ`y21pe& zfp+(REd~pNQc*WVBPfPIRW1*xS@D|TWh}&VAm@U7^m@v1h}%INsM|rzmz9vE1Rx8z zAtrS~l0MYj?jCTy?VbY89Y}QsL@`1Y$foWoV7FnY0xuhcW*D%oLJ-%1k}QZ1%|IaL z%kO_6W2KOw=YR&i1@`s>DCjK^r7UXDbC+g8f*z~_5%gT8@!;k=N_(vvlAS^4p)!Du z=<fvO8A!f{UW^59-hi?cI5&X8nzK|7)U<iI8nS)})II=t;q_vuV?i9Gdh>NRD5r5l z?!2l1x0-suWk@$9V<QC{B=6QhUDFL|ub|4m?f~UukQFcULH4_-z?y2Hl|2kEqfo+e z0{B`{7ZnZkrW_~)HE@L>M`;`+1i>m0A;?}D01iQv-WBK`CD7GF;BW%xaZt++%uDHZ zQ33aQK%vG~stOJ@5s0h7*B-sz1acS1GoU6Wh<?2Q)R98F@CdWr0yY_BE9Qkqujftx z-6w<5Uf>3`7lgAwXUsy{3l(Lcx}BW%!gO#&4i3U&4HvMq7r;g%wHH8YAa~d!n(%m< z3ZT`+VADNVHJ}X(1rT2W5n+gig$QVI061j*aJB}7`CCAekVwU74H%=e1{P=_S_2!v z-3{!mfsRa2YXH`o=@l&?QeQyk&;Kt$t^qY9pcq^G4%GB|k(_~uFNSie#8*T)X8R6o zG*Wzl)WG8l+SMDZ@%2Fs5nnIx#Mg~<)c86;q`n2|SmLYk4P?W`9u?5u3Q+qGw2cBZ zs0yO@@q+T&@-$G~?NI@Vcy#aY07u^*70|*-kU}sGyZQ%opf2p{ACLs3sonxH9n`i3 z)pMXJ0zdGQBhXe5P-1QY8-A?e0VGZ#T#sH}P*d5Xvjc2p;}MWk4};pKAeTTCgXhga zTv*e+MFrH;1}TEj4B*SpkPia)1=|c-Q;e_~p&R7r<1H$njUAx6{CJDX6;N>o>OO$( zS!4t?TA-IhboPMdKz4z2f%7!%q8*SXPVhAo(2I6J1|Dxw0WFw^ntKLnZub<hZQXmo zrlDQ51DcNm&DOYs9i0d3;)0Bag`^u;3ba-e+>8X-(A}c~G9A*lZczcPzv`Hx0_q6! zw}!&Z04eNjQ2{Ly?`{FB?`%=o!pHzR*A*lPYHh-$LE;br5UU$1-UC*TSO;zgb_(bm z2v9lpn&IVtXgds?xIod5=-TwC*n(8IfRsQY0$CBPgYyu2a^Go1Q1^3>3TOuoc$xsT zwh6rO0mOJQB@KL_d1ni_5(UKwBu#+t$nM?(5$!w<xhVU4I#_WpYnw7C$Mt~Io=4+B z1#l4!zN~gh^3VS-?I1^{fWiqBRWCtDAwi=M#6J!RG!XM;3#gOa4NbPJ2f&wZLsBAW zogPRDsL$7;atFZ#C4Fd0cmfp#xf_;h!RKg$wo7#LD!YSMVjKadJ&38Gv<6;N3|6s4 z1tbSLN}ItQvKYgGf9mnhDJnYP^=o@nK;z;3t#iOJ4+(3yH5}cd$K4=fOyKnxphK=f z2~HUlIqfZAEhz5d=oXy@)c{^NAp^E!i;6TzLlY!*A#3317L9|d2U{QlRSz0j=WkcX zuz;gmR1c~iY(8k%733gpu=zj1H8v!59dA(q?c#t2;vQ%qf-WHfCE^}%U?ca5rl@d% z%s?#60L2C@7jc56K$EH9W<4kaKn(^Zco4H2l6$**z_x;?EkUZFd8fNa1>^#7vmT_Q z8<Lkm#)GCULCc$<3p4hE+D$Fslniq6OXT&%pyUk_1g%tKgdU%bcC0qY%OEANg&8pZ z@fNTHz*5ll#UST#L)?ML=wQe7fD0nX;yh4Efz&jGsE0Zq>RE_FkpHkL1X<IK*F>-i zc$oomF%A<!3ZWAWdsIN%IY8Un&dWj<JAqbOf%80w@nS&|WU&*d*$hf>kQ@)od!S}1 zNE9RsS=Iy^S_FweWI$zjXOBt`G*dy8@pMm70V(U=0+!%Bg`%DZ>5%$A&}B})!K)4& z!25@ex2S+dOF?Pnc#8^na)AL<T|f$@ZVn{Fv^hF^R6uL|L1JLLk!7tp_$M7|c*MxR z?Ns*`urli{V54EepPGL%@J~I|{Eq>Y(H%H`K@@lPfNkxD7_Hp{)&sSu8zR>U5d`&C zK`8~<eICe8(Dnei5VVa2?m`rKYY!ARf)tYKMvxwa8$mn_H-btmP;f#n)_{c<B%(in z3t4E9t^!I6@bdQuSgHlwLLs&Mh1KNX@)uNTck}kUg3Dh}oeK&KxC$Ov&AkO&bA!s? z99NX`_X~K@&K{M|;8X%i5ulO_#So5eQDax6@)xvz4piKM*Uz<ss((m<4Y!q}Ta*c^ z0bD+!ETC(WgqNo<4IJH~r(BRMKv_c94zdQ-0*-FcSy1(0^HEmOHSI+zf6+@?*nXW$ zVBb!KsszOytB4G)n${}j=l_?NAS=Q_5&R!g=}uu}V1P0~DFey`r3Vo6<?Fxy|G%t( zD20`oFLO}&$Yp3C<Z6P8uTQ-`3krT{5(2lfAvG$rVh5!*XvGd<g4DvQO3We7Eh-nm zUX=o8e`K|=uJ#m_3t$zXQ+IK-&Oj><JEo|B7aq3$f(;~sS{<E`3JzMsbV3UFZipbH zF}4F#(?P@`0-!P)ssdUgAzEjUWr<-dpnX&z$D#%TD7_GF_+kqL$eKpb)-cqRga`!C zvPAw?C03Bl-H^t0H>B|lYodad#C1$jfvkuVK~{(e1W*Q~I1mnj<Kqx`GbFiz0G@QC zN9!MT(VsaxH2gpT0cu!)`caS-eL65HP_jX5{i7_~lS5XB2wG4Zfa0KqEY8d20J#!W z&Vkzhkb}rTt^!pzFMmPWJ}^Esr-7yJL&v)ysd5K2Yl7;=<1H$nW-cf{9&Z6RbwNx} z<bh;CO<`=Q60#l;anLACEh1HdW;{S6G&tLlAZK>>fGr0%_n^5A6j-1wu#DhwMo8}K zhESkb0X6s5gBHL+_J8L=ybSUI$e-X<YA_DeJ0Nk`Dm9QD+z`VM(F4xacw1Ot6%cJu z7eiWDppF4bn+>WEWDWi{8(0OTtif+0NFjKY8mO(NECT7LLE3JDkkNW@n+-Hf|Kd#q zq|F9tgTeYs;Hn(F@T5frv=I*!wGi53ALtl#&^fvcF9aeW-8M)&5_BBsH(_YQ5VRHs zd;=MT5qA*WMFce_J-T@ph(gwUffH6YbQlA2h`UdB3;5c0&`xp&kIp^d0sGGHo!1<9 zfo>82ohJwySa<Eb06k9-eDWZ8wN#7>%Gxf_5$+&KkaC~S7!~l^E|A+{=Lv$QLBZz; zf&@1(y!aIU^Z&~`khB46W`NVc1IX4x7#}+L0G2xX3o@(@_5~{}dw}XD!ZjDHyaFX$ zP}qWWfwK*4v;ri7GFkyj`Pj2v_Y`o~p?eQ_!~kuy0yK*TYP@uVl0RfwD9C6~t^k!) zpm|fg84l!eXktUoaG>&zK!)oC*$BySOpri?<uOV!9LPP8d<1d=wtNK6PLLu3qL4s_ z!($>SA0eu4kn?ev2vP{oaGL}m84lD60;hV=ECx8kffz5ULm?RsQu<-baImZhp^-D( z{1EgE*D63vhBFt!o8drCfo8ZGl?vqC=Au%7oYi7fDnMBYOFoNHDFDe3%w)YGKmWfh zg=|j+C24R@y7mLSF#^U%u7h^}z>~>9F#@W1poKPwiISPHOdjT!)<Bv>up9@gd_q+6 zp!0{I$OIV$?Y@JUh=B%>mB<+Zl$r2mgb<Zn==@<g#FrowK)wdgAHq0LAArPR^M@d3 z4B={L4KGSAa8XJ4#4q5ZlJKHBh=TMVh>`wziAn$V{KTjK2-Nf+fSUdzK&c#S`VRof z5KjMrKmWfh{sv#33z|=h0Ik0X0Ik3A0Ijd_*vAJN<3H>N8si5q>fA3-0hyn%0Lfdx z*WU=k*56n_2K8N30>H~rAf>yHiV%OR614Z}qT+F^A)^91KjVQ|e*-qU`A7tK{S8zN zcz(vigY}0r$Xf8K9v2l457q}#5WWUz#tdx&Q;@$^6Kof#oe7uc==4!h>2^_3={(r{ zvYvnbq1FQq{4<U@aC~aH)aj$b!{7Q1+$jYqX+6L{AGAP>o4+5l_3Sl+;Q?)sP8Sss z(Bf7H(2>8O%y`^I#RWuzEHUutHUO<cJou2M^VCiOP`KR=1g&*)QE>p(?-Jn4&AY*_ zzrh0<`YBP7@aR0g6EuzSq5%{chrt8Iu%JVXhWn^+@wb2^LFEOKG;ADv1xQ~nWDT_g zs0$2o7l`imQE_N|`2RlxL#L05L${BL3!LWycGJsrP~Z3P>w_R)H@^U_D-n4q30*4b z(fH;B7buwbs2l*(TU2&{=<YpWdLP)~%Y8s;10v$ly?+KcdF)Y%fGPyju=$_;91IMw z`5%x3B&oDOOb1N>KvGMO%03Rz{y@<E^&lU&fUP^$@Bxx$AY8=!57^4aBOs@O=YK$M zgeV5j|A4q2tUEYB9%)et0J{rDW6uBV1=|d|1Q}to2P<SDXbad^$6Hi3fL5hJ#_YF1 znV`ZR<Rwtc3D%+l$svn#z*<xw36vHU$iU+*Dl4Fd9dA)t17&tk0o&HSM+Kw_twja$ z6sSeD9qi~&;J!O(Y?cdrhxSxZt7;oq>N!jbWJ7lk*mPLudIJY|<JNkx!kfqnJ6lv{ zaDiuhK(6U*QJDkbfdnA~3LsWDL>wZ3qyn^*g$uOJ9X9f_4s3%dxO0shxCVTXsDT6@ zB#=SD2<f-MrogwTtOe`u!_Xf9E*fC^U^Hgbt^w;8dIRZ!f+7Z#G`c(XFf)K|B9(xq z43MA)E9e4f&|ObxjsgjJa56A}?rY#;VCXz`@R$6-SJI3Z5B_95_>j4?M@57Sd>IHR zMnNUR@fL8zftVm0p?X1zI?r|U7<5lj0omJm{osH3gYTssJ1;mg{%~x3@BlP|ta-?> z<6>uz$_fq!2A7VL-BZA<%da{iX##HE6tJbuhgdqVb&D8u?*SX>*!jcp;17AngD<2V zJAb({9&&7a1TpoxL&s0BA)pk6-2u%H9yor#;nI1a^IC5R+r!Q&Dn6j7hfuATK!szE z%5qS6_J4W@3Qy3S9~T1yBoTo84o!v-0kB1oY}4HX4iCh*(=w1Ltwrxarhv-pmoGtw z@^m8=3W##BM`bBUbqh!dBn5%XB~Z!H?ce|_4NpT207qnZ12}nfGkA3KZeizS@Gv~# z0p5xLYTtOYp5UJX>X9!28w=UBh*;~;0#e@%E%X|Xd4SUy_GJ<ay+A89K`{ccb;kja z;$Bflc1{MvZ#zK;4!-#7`SX8g3nU~VRO<mp{wW7sI$Bf~gB|b)TmczgGCbhedC;Sm zml@RoJ3wZFR&Ac!DbK*bfVyl`9?b;}Ge8rTV1LhH!{zTqU~54O%|KNgWW{Q)Xbwm{ z!rv1>27#=_vRHJbC&=F~-9Z%)sCxp=Lk*yi>h?%!JqZcjg<xCmg4PRzW=RpD)By4k zlEMXGg=V1D#otj)1i1l8;e1d<(*DvDGNubE)IibsQW`R#1mi=CQLq#Pa-v`bhfOD> z7C7FbvH)7xf))uvnIK0(>Izsvg1r1}i^@EZQ(F-ONHDdqHvANoxg78V1VP0ls008l z&4ZfX4JqwG3-Gw0H`Rbtbwf)2?jDsTT+jg|Xpst{K-D8Cxy}I@4e8JJL;ABIAAtM` z?$5$FQ15`mVFO4YJGdbQHllO~8Qt9ju6-b#N>Fi+(w_yZfM|od7@`p5NNfs0*5Ef0 ztO8oU^nfD(hlwDC;QlNqMj)9BDQO>M#+9^ZgOZ37a<ZNZQcGO2zT^f@))CMHilEk_ zCBj)C8*aXyw}WAmI78=g&@Jp>g^dRT?t^NybGtyR9A7+h26q&osSWN6))$}^N6>LC z2XMZDHf&fWK-xif7K23%L2X1fP;)ZI9n_p;-MW>T!PW4jPcNiIMY6X5)cES&0&V0> z0XLgGI?sD_PEnZvZvPwtDF!i*vw*hsF}$dB|M}n5@FeK&Z&0p5xbDF{1_lqP>ntEu z8b|>&6@wB8hzU{;lJ)2oaOphf(s|Mm)Kz47kpyyS_a3lAUTk#&2SD!@a0&xy0S6PS z0Ju0i{vy-~)PjV09$Z{^!fJ6C4a<ax)<8cv0}8_@SHNZ>m-hP@aV3pDkk*zNV6BMK zz7M1xkvu?Um<NthZ?g+1dBA<r-2g7_p^nDiKJ4~j=@wOI<Ya)f|2lhAIye}>H<Y)7 zT-6G?#S~Jtfm$Bjpm|ns)yw;y0X)xW0;-35z?BGdj|zy_*#mANqBS%i!2zy@48MW4 z;esk<NIg{Q46cU^Kqj@QfG9*g)CO`y3+VndP;UiV4{ZTk07^PwQyUM0ifxb1^H^%3 zna(I7!}<Y~$e{s)2(KOB-di^;as>>(?F3&XdD{u>GO&lyYsglx%L2eNV6Ykzv;YB= zM;Z^_0Cfkuw}2by9^Gaj$APj8Y8Cm%3FJ6P=z@L1DgjP{5O?5@H&BC=ymmc$3%>Q2 z13&nnhX!cG*Mof43Obe&)c%2o5^DyL?czGH{%ml_yk>a$_zUDZ(`|n^7(n^DgA01> zPXPyrA<&}&V!Wt#gk5I}DSTMh{o?{(X9^A(XcrIZI#ZA;=)l|_l?)CB2H16`2_QDs z>r6qaK)p<iF$$0$LE1o)@L`G#3@?5={QUni4z=b7<wK;JKOW@Smij;c|G#vEjE{p7 zHmI))%>W=KcqR#C3B)hx&5Ss(8KHmw|9>d~U4jNx2uemsmc@b<o&+iEgf2Bp_>Blv zxD6O(Ie4r!02baL#tVK2Sa?GUOjftQ1jE~-Tgan(iwZ~sq+kWjLU@3B1D(e~t1%rw zL4OWZyjy@+kVpW{O+Y6kKn?^c2Zb}%NC0UANrEE*lm$T(5}?@%kWP^4AW7tjiAejO z|6fKT2O4x!REr8Ifq=Dva%4AVfo%>7%vR70>uUzkWRTb|L`Z_eO9K{OAjXUPcChdQ z6@(t$ta5+wh8IW`JbfyFf&+Tpt^|k;2^wZlpB-`CE=U!~gII$Gqzxnq4jSmROtjt4 z|1W1lry{{g23~@I;t1q>2#x7`aj@@ec_G~#kV;hjAm<Y<fgoLC)Rw3i*kpF_5vw3` zK_)>;tJahJQ$fu|QLu`yV7s9mHPChUkn$hY{e*8kZUOfsLB|b)B&UG8YLLx7Eh++_ zae^Kdez0MO(F_AMIiX#?&K7Xn6|~O<-XnxcgPV)s6JJ0qs0wIX7ttf+1KV&M>>=dB z8o4eZ98|noQk)Fk0^J2HhNn0`fsXuk=(z6i?RY(SQB=ns6<)A4Kf%@@g<F>g%eRB| z{NTY89<Y+zU?or+L5*o>7-Do~xxvbhfR%$xgv2n3&OR)T-`QMXOEM%u2?=BgG`f+U z%?VcG1y(|YvpK-Zjls%6CX(gs7zzB&W(QlcQUi~(*}zJ=!Agj5HY-?p30OJV&R!~p z-`Ol+OC*f(IGY))<d+dh2@%d_0xN$ER!+9F=MhLGj9^O+xq#dRvII*aVE`*x0#-tV zv;VV$Mr3=z%0VWQl}N6O;1BJ8U`q`B@i_Z0Scx!L2@%fz16KaW4`w1+&aPm<@9f`T zOJ+yHEWr}mzradr!Agj5_D`_#G_Z2AL%UNLzq5aUE#XSR<LvKXC2x{JN{DdwH?Z=n zVC7^x`;icSXMY7-Qj!O96UY)Qq5TD{Bn+&C2xor=E4K$L2boA#BDpMx-`StQmOQS& z<Lr-MB}c(Zh;a4?u<~_a<zzdXK^DKW--9jjZN}s5cVH#@U?oI2`z=_x1XwxQ&OS;Y zk-Pz0vZoi1vtNUi%mXVS!r8CD%G<%p$#(WEY5bx65^Rau3_Q+$0an5RRzifcpM#Zu zoDMUQth60ZAZ<SbTQYSK%n~eF@+nwJIamo1&VB+`o&Z)(c4(UrXaPP3TXJn99%nxS zE7<{7LWHv)f|V}>D<|98t&;c?$pf$@u6yt}`#xBSI#>x2&b|j$E&x_ewzHl1@jLr2 z*pioL@i_YqSjlOy5+a;^8?1Z_SUG6u1V4W1^a-+l2a*Lq2W^06^EANSRoLhwtg)^D z6@}0Y-5j7L1Jhen<k7?h4nAV(gv{abZ<~T4UBJTm3Dn;JEeB?2VCbBpa)BK*+P6pL zJUatJX#i+=8Z^~|7|8kn8j<Rrq5^T25p;SEbjl*AGj)Jpy9GSE2RbC?AnNGWJ>8%G zUoM86>k1kZ0rgZ~@_qs@XM*veV<cdyPalzk12!W9>cwN9i3TMMkSwTgiLD=c4jdbZ z84;LT#Ei&Uke?w3KY}`hpaEp)6a|Qh=v9FRn!#J8K&qg<O8BHTqI(JIL&9c6&VY=D z%!n+71OdnpkUzmQA}|i}j1crnC6FE5kS=Q{WF`o76a%RH3mI4KhV+6_W;sAMBUFJ5 z@16plQ^!yRJ{tiPa^OVQjWlz?|C$5GWaMdf28I%pnTyA-I2b?!L104~kAdbPa1BjM z=z+#KUmk;=NekA|-2l>oG_G|DWW&wZ^IjT3!U{|Bc>Q?Ci!GpO4Z{PS2R*u>a|w-y ze!K!rZCu-R05l<ytqC64??ep#fbC=502=j0nmm990P6ve_U<0=kd{X`cxnT@ilkBR z=YNQW2R(X4na?mI`xi2;u?IZD1X`2}vc$9Vq2qB!&?z|#j-dOc7#Mtdc~75aW&oSw z*m=mOSJsjN<kmeZ2iQUMcc0E{KHXEGyeTR>*g?0mUGe~ppM#kveLHWy-~i>Kb<lfv zK^Ap)e0hmweZY&CATwK_`4mPofJzilnL%)U04ULbQav<9f|#ICg6bu0eZU%a*!lnv z3p}+CG9GRox$6T!vpmoO2yKA^>iU4k*w+WF1{DbXap3J5pnX1&`5)-SXY&L0PUsXU zWXcmF0J0KkqJbd*6w2p!GJ#TCtPWzHsJlbw6*yLSpan80sytW~K*_7KMTG$>3ZcQV z@&mGJ1Ee0ys*Nw4;8h!-!T=N%NW~H;6rp-SieRfYz~<mxwXp;qD<Bp)RzSwX&6}bE zG7o9h2FOSvR&9U_pD8LJ)p%nCv}yx1Kh!-1JWT{!wXql+E1-+AKr=j`gIPhYf{w*O zmTN!-Ko&s+KrEzK`4I??l^1i=kRv1o5+QFmK}*|U^=Sk&LLNXxAv8EbZa^Xgq#jFz zT!BOgX!aKxA)q;E5EB%DP`w~Uum}O0gEvCvz#|020!IkQc({2}R6yn-MF_}9A|eFj zu<j`;Ak}yy<XPtw@N8Q*gn~uLY;c4;hs;J^0!0YORo#%e@#Y8isPps?0g#nQ5uy<S zj*y+8`;=aoX(GqU97wF3frdXMn@oVl$^ob-ga*gT4oIwk)MJU2Es$7gfG%PH9e)F5 zf<h6h7o-RlD`0c*#>y0UtbkbHSOFOiH*bmx$ULN20U1d|th9it@F^-F)dXW@1IW`; zR6rCgRwjdEMH4AjDnJIdsDK;?i3AX<yF~?L5kvsQ0v!VZS{T-%0#y$Z>}*k~f#?Pa zLKK5opqt}B%{p)-gH#~JX+#(#PC?U{FOt*|aq7{%<3I%i!;5>0KmWf}|N8$wv~f5S zJiGh-XY&EZ&I6stJPtl%?)(6{t`K4~q(<1H0<K~9s0e@@`QNeghY#biZaWuPebu7U z1B#E%6Ena6bnHCos0mts)&UkedHFf$C?j?T2F_odQ^0fi&{hwqX@X>I^Kq6=43k|t zPjpUEX<=tzm<h5BWTfNwpN^UrT{<BKgQUO)gQ|d+XMTeH1uEol`RfBH(jYB1kORPF z^d1$ED5~usGdf#T8o-`F@mCF42x2`*8f-nd@S6gj!ay??!(SkiA^xg>`3qzus=q)| zV1q%0=*zBOV1I$i3S9oW0Pz>75CAy<T-5DR0g0me3uH!Ti%JRD6Da;F01H9<1(F6^ z4>AvQf)}`E+@gYHEQY^8CPVy{1M?TiNK}7;q`(G)%A%Lfzrp?j<u+XYS_APHDA$1e z1uiW1sDMOK{RJ|kvqdEX><JWqrGSMX{sKvZtp}M0IzkKTFC=3z`~@-@;;#glzd%N! z`U@llHW-v~UowIwnh!t2)rorjc*hFx;vckDa>X-H=7qMBUFBe{WXR+otZqbZB}2M< zFc}As_U;~N;}X$Ij#mEpA7UY>m7Kc;YbzOK3Eoz+=@w=Nuqmjm<Oq~jGK4opB>>zs z2Dg$uz)Wx}`4On>>9~aGKz4VqJO#JMK+}ofvKtg1tUsQB!l4CR=zv5)rG!T}xS|0y z&0wVt&Q-LaLJL&qqqUNudP!>~yMR&;coi*(1+Hj7#)F&%HgAAh$<E-42DBCg)*b`7 zilkPugC8iAp{-<dMMPE6-C+ZX6;Me9@+hSGH+TwC-=YF4GC`sc8XPO2_8I7I4N&cX z87rU$EAHizP`w~Uu<9RVFIw%3wzk;@9xEUgI95O<FvwY8^9HDuYz>Z;L*V_;kiHAZ zRV1~N7r1~z`TUDAY2*kgfkX(Xhz0o(5+NDT2muw!AW;Ynju24O40MqjC)UO0pav`G z=tpQH3~7BS$QY<zkRn)wfb7K|Atvw$0kOal0;)RT=Fz&9{0Mt1*%%xloJbJ@F8E=s zWM=eMGDrYaGeO(YpauY>9Rw2WgtXeAZMEhHAjMGQK&3uJ0K`ISk8!wzqZ85<y(Ehq zr)wZ_3aVZ}!32rZ1<*JJRY+h_a9bN3rwc$eVGpEfikZnk&0Wxi$cQ+tfigkHK=pzY z!QvETFa9_M9RL8?KmcNa;}q2Vgqufh8yn=XZX(*)pspyWaSd7u$H~9|Yh!DJ<CGV? zp#zf1K&}E^y9{m=gKh?b3V<wvbVWfdq*$@=1jot?K?&ptc>;-$Ip83NRjN0j5i$WP z3ZcOf(gBGOka{ego)$=_rvMrYpqtmAOi%zq^@0?^A_Qy>-cFASJd=S~;0OU34>xa$ z3dlU9P7laPB04=Kpfo;31*94+MWBw@fFh&;<mo9YAPN>C%HRkIK^n0ExvING1w=u@ zAH)LPHU^I&kd;UglHm=BkOnd22$6XPZX8rVgC3GY1VF7E(4lNl(E>0Hj*twH+j>+$ z>aj#f3M4`@ps{egMI{Hy1O*^eFGvwALcr$WjSv}lgn(G!2mu)nH*bmx$ULM70U1d| zgn%5@Jq6+sR0p6&2xx2|0p#f^Dj*6LA=2OonGN2Q1<4^GSAotY0Ea(lYyc_%vIx?# z1hJ4JB*7OPA&{{FDM>`E!1|HXgn#~jdG{H({SIoq;cCBwdW_I!38?)JZZqNOM~Z+# z6ty2I02YF@-$BygW;VFB0~tL>GZv%$4sso&{muhxzk`fKZNG!0zy^c+k*mIe{RL`= z;PMx!#|W+1K@I@7I`H%(Il!Jk>6fs8g&_U{NrSBiw-*roNF-x1`~`9y#9s_Be}Rld z^%qDAY%sVVneiR$FHm)k%U_@#BeeDd`3u|_z|)WX!^Xhif*M~xz(NpzfuzCKgBk-! z{YWHZG5iH`9mHQ>*r5G>kddhV0!e`l235>26@P&J1*+0;`3uxzgw_!te}OARJpITI zU{9dL*Bh`9#9tt3u=U`YW(s(m2F+Lue}P;F@z)EOzd%N!`U@llHW*Z6y_AKFXMhT3 zT>h#7W&aj%Q44YaxL(<#0un{-AA`*3Y*Bdv_5_N*9)N`){sKvZtp^v_Q&b?u^cEE) zV=?>%G8y8pJ1~ENj70SpND6E)$i$b|ApQcChPeC{1MwHAoC5g^Ts-el0g0me3uH!T zi^>hKCs6!#1uO*d7f2dxJ;=Q7Dd3VH%~%Y7flP+@>jKPQAR|%z1(E_A3@Sfgg0@w? zY`Tu8-%ogU%$E<=??>(3Blr6u9b#A$1vWbd5k>U-lZBCI$DVG$+V2Nhg16tlb_3Sg zu@h{d3IaAe2H{OnIlu;=9oqqBg8Tii1b_a2IpGj;zaQ`H7^so})u9j?T(yHbo8<QU zp?XQ{_iq8E9&o=O!~$3CpgITSEU<Y4)bHQS#=yW&+3eT`<bJ=sAfjp~FgpgSi$LCo z(BN1B^*G7x_e1rP*6&{fj};IL94nw26XYzgc>~n%Uk#2Gie|?iK;|r8)Nvz62;SK- zP$dfTIfMpB2&mggZoeO@m$ZKW5_p7wSl|c&RrMfefz6|JzaP{B2JJ;dn;lyWju47w z#{_~w1>AW^3s`~=Iact_j)7VhAn!wHaIAp(jGzPbu#d)oI_03tA)uWRwAnGJUXUVK zzXa5fK<lTW4Uf%%#|nrAjup^A1;|-o^T_SFg9bN<>A8bq1xwF;HaJ!WaCXcg6cVT4 z*)bO$M4ZBUH3!&!{(s4O3*4Fn^&4=tPSAQa;2Hr>uVxA;K2Tf96Tm`{)(J=&+=u|x zFi5jwNXBBcPC%}Mv`%_ptrL)usI3!_6xd*JuZHy5F>slRr&rSf_5@0+s|73s@fS!M zY(1!q2Hh3|8r|>S0-Hqwb)hi)h3M5Z!2AU=64hTIDX_udUJdE9W8k6*Pp_s1><JWq zRe*&c{sKvZtp^o#NWB^)V=?@N=+%_K`~@-+)n6bfu)*M74e7IE;LMGuS5pA?1d6|M zz(NpzfuzCKg9;0z*)b$zG5iH8j3DVS1LiM~k*NLxNr4Rp6(Eq=G2yd#TFDukv8*A> zcmc}1(AhC{W>_m3(&~k^g^^pykme&y#sH)pbPq8&5h7a2wj4kI?*Ll}njPCe7i%jS zWC`9@a{F9n2CylpvttP;tz-yqib@2yX$+nn3jj00t>h!1vL|ahaw~ZcWB?45*Fj}B zWB_afbN~!gI)FqWG`OMxHO<IvB}4U+)=KsPr5<oA8N>otG@vpJ<Sejx1Jp|P23ItK zT%bk6kO44|tDwVBkR@YK0Z_pR5dg7}2EYQGL8%QoJ0{77s4BWUzCdCHR8oOF3W=2$ z&{zQ#nIKUJ4UQF1`;6RHGE^^Vtz;K?tbkbHSOJy5AZLNi8=zLQGdNa)IT5h}auswC z4Khv)6#y0M5CISiDOP?!V&z2y19F7uJO_^xgNj&?A0ZK<@EjC@Eh?Zw87ztzCk8dm z$ZaJ<^^(>~wt+_ohy{)iP}Ko)7T7#mw~|2v_gGrV*5C*M9Y_M3L<YGEI{F6*f2aVc zK7t5<SV$4#;R*`n^DmD7`|%&-9Z=YJcceff1XMS`1U*<Ipb-M9O+ca$8k|GG!%Kvw zwm_8<bXFf!jewXSW1xCTYapAzBLu_(M+nGxxOud0AcLkWu{4m4!4U%54g!l1@c1HZ z`54X#N9dGfXA8dNV<6p7<3NLpkm*Vg3n@B3K%x^eU8&57oXO@u;uJL62MQ)oM0>DK zfW|3g5~f83LWAQJJYv@anN-4@t^`d~9fu5Cf+7-ab_}W)qzKmO0ojYc)1w2AQxFRr zr=U?xkYmB-4bbeEHaJe7B28C<Tt(9Cn1Tl+lO_E|&SY005ds>f1o;sXAt#^_5&$mk zT2vr3I6|O@$br<8JUa%}3!2S>MF`j&)X6EdUZ@H@LO?8Vgn))$L5>BRH-*NtW1vzG zG%ePRIy<Heju229fn_p~tKhR^p!s8{0BB4XQtE+NNZBO73lbq0e<DW+&kJxh<N^(P zNQ5wenw_03Di%;t2n~)91JDq6j|xaVmI%>-Ojjl#h6PffOi%zq^@0?^A_Qy>-UyL_ zM+k@oju4RXaPy|9fXqXht^^rL#B?RdVck<89zk^g=5(b7$kS6)Kooqs5*#7LNb|=a zS9Q0jfGEi9B#71Bq5`rAA^>6`MTmzFI6@$UHQ9d<u>$MZKmYdQ|4Xe?q;~AVjaWP# zdl68GqIT>Bz(SA?6-XM~?*X?q5!01O#$t4+K#hG!hl&T*p#m9++MxnTfei+=T3<>+ zmh*xdq__qwKz(g!OA9pb0d5B3>DY6CJ%Q3uWC067`~{K*TMurpA*L&ljK%O5$aN5Z zF~Ix<G7{BaAStlHpmyKO>kxl|+I6`6g*IIYZcX9o*#BY0oUQ~5LHq@h23rqq_Dlhv zTnY*<Bx5oB1#%t4Utd^Z)0M0Y45<DBNr4RpHJ@HifJ`rgnn$?&1)Z*hG)my}Zy-_B z=`WBOoh>RKz@9*fuQy;Jh`&J6VCzBVA$9D*Z70Zh0fxUoCPV!70_HD}k*NLxNr4Rp zwa8w2LZ+8NRW&YufhH!Qbss2T!4)&U=}NFCQ2g}(EClh_6IST5a&VQ3n65-J7Q<g4 z*FpSs2j(x3k*NLxNrC+Zp00F-OfQ3KJY4<)O-w?o5RkvX_1hji)0JRPp!n+wSP0^; z8!&%?D<Z^nC6cii{sOrU;;#!Ze}Rld^%qDA>@QG-_VORZU!YPRm%mg%#WkcP2KftI z?d(wjiK3=MkQtpVDrdl+K=IcJun@#wAZf7m;PQM5xI9NQ7Q<g4lOg^(0`nKhNK}7; zq`(G)>V=ovfBygfGIKYc0VcxJu*W|9_-}X#v@s8L7zufR2{Q5m8(@M>!$L$6159_m z{y^HK7&jT~029a(yaP;Xld(?2?qCH~e6VR)2ycqY23Ghq><TawJiwIv<;VY*oJ)}h znD9=+f@&#H+W<m?n=GJF4RQyVpn6FgU|Ir7J>UT*5DVO70oA1-XMxQdpaG`EtQ1ef zD)@t94m!ZJ<1?bkLSPyeRL6q6523-a0vh5VcYq11m$U(<Iq+BkvB0qcY6*ax1vYPh z2AF1pV}+t=SPAGR#qV#CBLwd>EU0k+@;QVCM+j(WgWLfos9w?rn5MuZ1jGVI2&f4I zau(P;S`RRRCj77rFii$W2u0Jd9>~+M6F(rw3f^g0P}2tFeFzPX70}=Wxzn&vy`+sY z^}u5V!~(|(r~w9Y7T7#mk1~N`1<NQ?H#k-Xa2mD(c^Y=_J4BqqdTW+1fBb)0wTIN+ z8n{Nl(_3o+#RqC{tpO|qX`O(i!Hoz|4TCfdi)1WD>jcqTtAVvnKt`grPC!y%gTcKu z(x+j;Wh$QDS_Rk>D6Os%un@#wAZf7mpfVb18Wzb|41XbdYXvZWfs91;7f1?hFu1oy z`ZO%KXu{K5%K>`=#a|g<A&9>~(qQXBMIF*KERwMp{zCNDQegf98HwsIkQCV9Ia~}3 zWNcCdXKqNh3bmCC>Qi;Ls3d?rf#R<iun@#wAZf7mpuz&Fw}xaahQB~2Lt4oZFn@uJ zMD-U)3T!aAw+7y%_-g~6R<Z`RqdYc%kFbDF!!CFVYb8Tky|A`0aw{3qe1yqVfV6k_ zs6a#!t>kU5e*E77wh%N88_|ljl?<{3Z!1}$m6-u-3hFd$07@$v!kePv0d5+Dr(qqy zOmHjN7F6~;o{8K_UIQ7}1Lbv4*$o-kTL2x{1C<USQ3wsLXh2Ofa$Ctzy`;60Z9u69 z+)4(qz!eRs3<Eg}Y#yy!$)M>B@bTNISAAH6E1F28fjw{u)B@fG4w-)dITLz&0Ym^) zL_#NO5eMsl1Q93rfDC~s2C<+g>OcfQ1rgG)poSY#8$09$qN3^Ucmj!2P&o$*CP<v# zfW|4PWCV+X2W`P|3ToXEY-588BItAmsOSJOLB>G!lGers-F*w$eFb8H;}m2(+&psI z*dT{>lYbD1F*r_Rk@l8=Tt(8HVFYwKWAY>92$6xDmjf!GL4JfphybXx=xk8|73m;R z2n~)9P{WR38yi%Df?@$}Q5{q-X>DvBcqRj}z!3s69^@>rdE~aSL4`3f2Z4a5GqAL= zwZRdx1nI~LkgK3Gf{@t@r~t?!hyaL%lubII(-}+;ks~Ao5+R_P1>{Fagm^$B1XLG+ zL?JXdLcoJ=geGx86Ohmd0Zl!Em>^@IdP!?utH2`!!~#bM$auJU<TkHC4x?a|qcS)` zUV{(Thb%q;xeB`X1TuR86#!WT5dg7}BBTL%I%CdbM6AFX$P)K{{C^p~k<<n<xH7=g zK$Zc8C~5;)0xSe+n1H0g?FVqFjhN0rG8Us@0;)724HFSq!vtg`YQqF11vVH|(7(J0 z*&PBZnsE(FLZ>r8MGt8F5M03GX&?)LJ%Q5T;sFam`~{K*TMsf1sUeAEEQY^8u7miC z1LiM~k*NLxNr4Rp6}m6a5`BsXD8qvM1uj?cG>}=qo<Q*z16T;+FOW3YdXRZY4M`+p zG5iH8e<A+*!vdYo02ztuFOU@2U{FEwvW@6dJV5Cl<S%e`$J0Rm!GbYO^93vf@fS!M zY(2=lZp7{@Bx5oB1uDiM{`vs(7syCde}SaH27~hBOMB2M9ut<~X&@6GMy|gFYal}! zys&04aswICa)imihLItnhz9c1`^dw{;<Z>C$RJDbHjwYvU>!z&!2)V1!G@6`yeTRV zSm49RH^5AA16c-C_8jU%ZXn|wMh2A*pfVXkgR2Tq`-<EKGE^^V4dg4J)B|oHgIM6I z0#t^9oCP+I)(vDFXWd<9p?DZM0(ls@@GhdNATW#!D%(KbhtS|y0X41&HgQ2sZcwd_ zcGewKFKJEOGw@gevB0qcG9KhCuzBP*aY4NR3Yxg5!LdToFmeEN7<t2W<Osn#j0`H2 zK|Y7j;0OUVt_U`9L3I{1LO`_)hzT+Vs+Y7T?h$x|fLP!N0T~ZBkK86M$YI1ZaX|x? zSem$p!4X2yFmeO(FtXPz<XFKwj0~z$K;DPY;8+1IsUmk68LF4GcJ3Z{tbkbHSOHb& zAZLNiBe$Iks=O&^=k5l_$^Z@{Z$KVKF1vw<Q&=nc)1@E(U+OO-wUrF65%9E<w}9dU zwUxX9ECgwtfTY2V2v7}!G>nX7EJo`D(MnzeYn_0ML~Wgbq`(G)TgjvkBZJFSJgwvv zU{9d5CYOMPApQbLgRKXZ(MZF{NXBCL3(-mj6{p}c{6I#c`U@llHW*ZHlX2D^xTwR^ zN}dDu1d6|AfQ2Cb0!f3d2NiWlt$!qAG5m#SB~O9H7syCde}SaH27`(}GKP`CnHx_l zc>>rIDE{gJ3qkw^k_KB3DlCv%|47DS_zTfW?tu9VWF)G;KvH0XK?Mk8H;>{RJgsE# zsP1vJv+huakt5H;TFH=BFRU$$+)9QtA7L`EVPuFXqLrL~1$h`*Fdu6x8Dt6GR`Sg} zti#9+D6M1&Z;DC<xM>U?MlJv|!L8(Ppt5I9Gjb~#?=Ui`bO4p;5E@+3fSP9HwvwTG zNoytNfKm^*l?-BmD;iK4267hIJX*JsaSS78gDaW=97aw+9!BQ9gs5l;3?qX|Mo{2E zXmFf@T6YB7*r0+4)Q&?tm<_6zv^I7MJWfF@aGZjS2RRFD9=UC7P?<(S8#@^srxXn% zPk;_1`<y|J5WK_4pduaQa|jKN5KzO8U>h4$f<hw%ROW%0AY-6<No!-rz#|020!IkQ zc({4wwy{ADBc_dwV;DIa93d19BOibcBkw+i93gmzkwJA4$mb9m93kK}j${raL-mr@ zybgg!2#5ua5YV_1$XQ_X$ZcMO#*ipzUI&9CgrZ^O9mvDTp=S}X0&5^&JpSYVOP<-J zHju%U0iFi34=6-Y8^|7DAxOgnBn@sqfGQWH1~QVd7!4Cd1K9=EFaa5f+Asl0fei*X zkVzj#1{a8U8psY{PoOloY`{Vge}SaI)`JRIq=qDtu^9eBG>|P|{sI|^>MxKK*kDkh zOU5uVxSYb%KsEt;0>xhjU?GUVK+<6ALFE)uLlVhY41XaS$T~28fs91;7f1?hFsSSz zV;C8nJ@GV<HNc)g@s|o%2;wi0G}wAjc1IdUMlu$|Ux)^>0?c0^BT@YYk^&nHDgq$G z$lE94X&@6GMz%NtYal}!ys&04aswICa)imihLItnhz7FXN#tSV3u#yz$RJDbHjrne zVI4-6KxrUDcvDmaz^!6%1DONN1UHbcg36xi3giYd-eF`==>RH|AvCzE0JW>gZ6HJS zlGZ?G0i_;r0~y2uR~4W#4CE}Zd9-dI;}}L}23HjnU70cgc^FyiIHIZ`FpLZ;+d$rj z(BN1BHLeIYaY17Upk@@>FfvpxX-(We%&?1WKrC>qfQ$z@3v3>_O<d4$1O-jp-^>gQ z{QaQ84cI<IkgK4ZupsmBPyvud5CISiX&$%(I*goo5II8d4kLpKWsuJyG&n*)jVppp zTu_|_jSx^R17d=Vf$Al#iTec}As`kwLO{mD%_Fyo3vw7SO<Wwq$e+OxLeVht4&-5E z<|D|lf_E4hRHcBt523-a0@?^l?l3Y`FKO-EH}F^ivB0qcs?b5s0-Hx}I~P=WQ_#+R z4UUxo97cYDJdCV=2oa~SR`TjSKmNab(obqD8C)aaX(c}a#RqCD`2ko6(mDZ2gBuZ` z8V0GAjASfE>jcqCzQYWiyaySH+ByMAfei+?l1U#%2A8RLTFE!So<M1JT>%S0`~{K* zTMsV$5PL_FjK%O5qLq9B<}Z+usQv;;fei)~qGSvsgNr&mt>iOcPoVhg1Xu{-FOW3Y zdQee^)cQv<7Q<hNR`L;;zd%N!`U@llHW*a=kui)6&fIuf$p^rmK=Iceun@#wAZf7m zpuz&F^^asMhQAQ4<Q*`7fs91;7f1?hFsJ~53?qBA<7p)m9!3`032P-oTD`EgFmfvy z(tL!;z=n|_qKH<q#y;d><Wmt?Tgf0x@V1gCMPMC9-oT8!*aE_vqOyV+zSv>`m<etr zuLqSqp}EMdWW2-3pwa<UqC;qKMFVP@k=sg!>Lsm}JO`9|z^!Bu3tZ8F$}o_#z~<4q zm5gH;c{aGB8NgxW8OX!P$95ws8Un+}ppp?3cn}&Kr=Zpy!8SIiAOf}H(1wwrdP!?z zPl3lNhy{*QkntdAfz2bgjSVW(C}?9(2FEEy!^kI~!^o`LkRt@|Ffyn}2l*UAgChjg zup`*U29==D2mzIOASTEds9w_A*gfzF0kOal0x}+M9=UC7ki&>+W8)Y`?gmE)MZ?HH zpu@-|TaY6J?=Ui`E&}-+LW3g&yi=XbVPvRY(wf&T@CX61z!3r(R{}W;Y#zDIYtR@H z1<mVbaD-4ajQjz47@2Q7B357x<gSfB{=YofLTUpUTp8eLAlHCG6t#g|0TzNZOhD4$ z_5-MLL24i)8H>>{K{Sv{U=0(Hk*Ez5kQCTpa08k2VPtTDh^K*E0QLk*gDVFt1o0P0 z8f-nNfJJIZA{mR}FGK@51LiM~k*NLxNr4Rp6}n^$BZJE+JPqU&uqRObl>iok_zNTr zwjNYYAvGkCjK%O5qJbO(^B2fSRDXe_zy^cLE;5FZ!Pyf}133ch2^4>YfQ2Cb0!f3d z2W5ApVPqs@G5m#SAP2zw1u_!VUmz*4!Jr}lGK_q$22TT-@G$bnwXg;<q`?bo1|v6+ zAuUIk3~U$~B8q4rvu{QoM(zy2+CT<bg13Pj7=U#c*#o744B<^taR9f9!NbTFU?#YM z+y^RqOp=fr$asg5L8SwzOoq_lsshxmBDaAI)k|6f*#wk&zzt*&3tUxz$}o_#z~<4q zfsA7q*%(|^P&ABu0(ltu@diXyL0}jeRJMV<523-a0%}|lY~q5(5J1f+v|(hZUecPl zI`CKlvB0qcG9KhCuzBP*aY4fo6f|+Q!LdToF!BNDFtXBW<Osn#j0`H2K|Y7j;0OUV zt_U`9L3I{1LO`_)hzT+Vs+Y7Tt_nOtKrC>CfQ*NmM{W}r<S=5IxHyK9mBA50(J=A{ z<YDAJYms9G?=Ui`N&$HvLW5&P0(3wVp>{5)#sx(M+BQh2UeemRGVoXdvB0qcG9KhC zuzBRRb3v6i1?^mEaI6gAFtP&j&D$@KavH3aoU-i4|Cci>No^&AYXm&4WD!t&pth0) zz(SDL2}l~;hyc|vNUdZfV=-DMh*mNWtaSo1618;#k^&nHZY7gGj0`SQ@wAdTz@9*9 zb+LejApQbLgRKXZ(MYS2k&MOg7owHS0P`2fNK}7;q`(G)%55@+k-<eBo>uZ7CXBsp zKfpo|e}SaI)`N;Vq}D%@u^9eBw35FtL5KZ8Mxy!)Bn37YRQ!=Kj111)cv{IHz@9*f zuQy;Jh`&J6VCzAJ1ybuD$yf}3AzH~VVEzIbiRv$q6xd);0RkCD&M(5#N+vvve0mYA zl?-Y1!rH>ftz<~^5hep0MuvzYTFDQWBM&1tJ7H}lgDk<@O7?cbI*j~)33(V9!keOU zg9$#2d;!b^w~{kJWe;CCaw{3{Ffyog0F~$v8eGwUnr7s-lA(G@YbBonr5<oA8N>ot zG@vpJ<Sejxv~DHi7)CzL1e(?#z+vPI$iv9>OOYE7cn`Gzm5iXkgV5kO1-0%7wy{A4 z5w!6DDmp++kTFobq_we+z~dCe0>>%Hc({4wwy{ADqo9p_7#yb*4I?u^uN2-lA2~ws z4kLq#bdb*>G&n*)4LgEuY)}abiUqXR98@o9ZR|bp2m!Ic5dtzE<Sejx<hHRvg)uR0 zY#hVLyTK7c(J-<B^h#mfdB_ohcNiH|7lC{Zp}`R%Kuq%*H1GqB5YSK!hzT+Vs+Y9p z^%i)9fLP!N0T~ZBkKE=p$YB&TuQ!7ugrZ?&4dg3@k1s&P3ao+bJp0H0mt}dRHju%U z0iFi(8c>L$Hjr0<g&++RkTkgc0IFP&8pue-Vl+$;4df-Th6%_>)P@O23T!aAflT@^ zGPr=n(?DJT_5@0UYYtcl;xCXi*m_U_i`0-rG8V&Mhz9Zun7=?qqWTLY1vVH|=#nvv z3@%sjG?1r&J%Qq{31A_Jzd+Jp>p|rdQbQ8SSPXw58pu5`e}Rld^%qDAY%r+oB4ZdC zoZay>kUPMhK=D@#SP0@TkTlqOP<BTeMn*Cg!(WI7as$j?AR|%z1(E_A3@QR3!^o@| zcpAurhmluJhc%EP4PIC?7`cHAX*t4VV8h4|QA7jzz#QaZWG5@E4P=ldcpJ!ER#=CT zD^ME95Z)A(0&uGsJdB(HW`Y~Y?x3>gtv7N58SgMMsB{38$q*V`Re;)6<Tj9@dP!>_ zr+`uqxPc5}fvXBo83u9|*gRS{kZ}wnCxfdBiiVLbAeW&+uA!MT3sF@N7)Az_Z6NPM zXmG568dn6HxS%lvP_2zNj11LFS`#-09xEUgI95Q$gPa96kK86MXgGp`CT=u1Rwx=q zHV6WBIM2VhJq0;J@D3w`3T2SbAv8EbK#ePcO<Yi&1&t6;EdyeLjDhMUt%(}~j}Q<G z93dd%;pUOs#05Ewm?kccVdP+Ngith$oDc$z5Xh}3rPGmP1@AC2s7e8OA3}p;g@c%O zE~v%@MFm>N1FDy_cCHURRzNIptbmLMISXtax$Rs~<xN35*Bcxw12~L40eKjC;Z#JN z!dl6)6Mp=E>6c7uD;ZoP;AthhfZ_wSmFxf(g0xOR(%?n}sD?pmB_kP&(K<o2l5JqE z6OfUptrL(G*kEugne<^~aG8pym23g_1WK#R1S|yc7f2dxJ*bRE8b(Gk7Q<hNR<Z%i zUmzn<{RNT&8w@J9$rwfk7j<}A$vR+9p!iDzEClfvNE&QCsHj6~{UaHR;V(ohSq0`V zkddhV0!e`l1{Hr~3?qXxH=b6q0@xEM{*nO;LHq@h23rp*ERb6NNXBCL3(-oJfcXn# zB&xqaQecBY1qfsqd0H%<R`QBXSgxq80AB(MJsY{D2i8i4w0dD}VdPdar1=PwaR6!W z?ook=B3j9_CjR)p18gDaY~(dcSX;>;OYpXmOO%)yz^0&{jVyrDN`~;JsBnOr#^6>m z1DFYJB`bo;p5qS4tz?#`;7iXyc^y=CLrw|(@dQ*c!ET>}(cp>()HEZvl?>HOS}XYv zBYbxlBLf4tq5+j*Ajg8u8=zM5Z${8CasvnAGE|VOpeG+gZlr|@fC@&40EmUOJB-5* z6w1&WM9TURRYiA)4J1}TB^Ah{kXSKz3Q~{nDmsvQELYKi8o<X}R6s=}wE71XY9J=a za8TQ>yGI422zC`6*c{ZG;Lz>{`~r^^5DOeDAmicY4NxojGdNZbu_Iyy<SLT(>}9xs zLizlQt)0jbQUZw(P!S9ABP2pHpb-KpltH2p8k|i)O*3*^$xywdwUXb!BLu_(M+m6u z067b69<5u+pxG8IN58!WM+hfUgn$eF78Q`=AZLDqSlun)yV@WEpqdGKAay6?>Qtz7 zXNwA`bcdgf47w2&Y8*%$q8r3Q%481i;OK;OMFo41<8%!qPC?ZRD3~B|x&Rudpb7~j z3ZcPq%0NsT8#MX@%2~%-RBDj!dxYvGt&RNz9;YA{I8H&vgPa96kK8sk$YI2^u|b3T zSneNr431M?q#IE|u7V$s47z|5Dgd$wvN0CKLW-3F=xj?s8*+p^fkX)ChBuHOArW!| z8X-S87#KjJ5E>jIA2=8oy2-qv7OI!DD{Ak+BLu_(M+oTVI*_x#=1oxnnTK=%C&(*A zT)+vsr4H1{<78k!yCWC%0!~nbG=Ng!6crE!yMXgHI6^{@&gloa3VKZ+B>bTQAd4UZ zAQn=DEP&3o>~BGi5E;l#>7c9WKz@WohybXS)7hf(0vaI@8XO@HAQ1vmkEI#{-9CG~ zMFn&x9yEu5Zm|O~L54&1f)v53A+R}ktD!6K2m!Ic5dtzEZr&6cUr`Gh8^Cf!?PYL; z%tkt7ALJ_N{eqAa!=VD85P%4PSV$2v19`S3vK<jCuzuv##vlJ*vV@Y_j|8`w@bn|k zfI<|tA9(^S1Zls6q`}Q>aN}l*3ZzN1MFq)NjP^UIZ3#KL`3NI)c?-x$)b=|_3T!aQ z#FwieH*A91Aq0*P2i5POBdNiy7(D&R17J^}^h@@Dg&_U{NrSBinTOPmL^2k`Um({( z{Ivt-FOZR_{sKvX4F)y2US>dU*aS5raQO@EMpSU+ji(>E1?&kFe{BE@LHq@h23rrV zWf3=`A{mR}FOcgX{#pa`7syCde}SaH27_wrmx_>^C_z;zE`NdUK84m1p!fn;V0ikG zE5M#W@z)Zt5X4^~X|VO+ng(%%IFhj#{sOrU;;#iTe}Rld^%qDAY%r+CdMOJz${tjV z<MJ2Uji}&y0MCu6bHJWJ@z)Hn5X4^~X|VO+0vmB7Dw44n{sOrU;;$(%e}Rld^%qDA zY%r+ce|Zh!FHixC%U@_WqJoQOJU60F0DA((Up-(Uh`&J6VC%u<9^yt+Bx5oB1#%t4 zUmY-ifs91;7f1?hFsS@|+5hYR|CgbDc>4W>XUBSKVEukbKOWY*NACB7hRr~g45UVc z&5l7t5&ix}jmWcOD)LzS{UA&5_WR$+VVxaoU<5U6VMo40cvDm=7~#vu3cyTozhATA z$N!h-4Uqf&cxT5zr8&rZ5E@*yg9p@lR6u1pmZ}}pr9Te27YJ0JA8!Fy3?L@R7^q&5 zB3RW9HV1Fjo&!of;Mp+{3tY8>>KwRv1Jv)&23PGA&5l(d_xtPW5mh^Z*)dRE1oA$F z2FD7h$4Tz&7*sE5{r(hqtbkbHSOL|TAZLNi8=!uFGB{Q!njKpKnX`Pcw-Px*@Xn5b zDp8QnAv8EbK;1=h`~6V8r1kq_;1L31fg=P|)q|V`Hjmc*eozY-OTRxF93d3VjxB&p zS3+jT!fTLY1@G(_s0je_K7<Cx3aHOW?pZxhy`=TrL*TIjVu51?G*AI@7TCNgDj@Ta zI*OpS2@xGd(BK9!J$F#7VClIBgJWd?XU8~@&+2KeLc}SoSM$H*$N!f)9;Ehaz%>k> zUX2eZK2Uo#9$+Cz>jWeXZbX1;7^K-TBx5mJC!iV((mHX0wN5}rqP9*zQecC@y&BSI z$G~MOo?eXu*b^wNE*r2A#9tt3u=Sua8mU)<WGsfi5WN};n7=?qqWTLY1vVJmt0Dd7 zP;k+Nr&nVF_5_N*48TGVe}SaI)`N;V(7*{7Xl!VU3X-uH{zCL>bYT7h8HwsIkQCTp zaIeOh=!=mcnR|;0ICJCa)o6e{f#NR}un@#wAZf7mAoGxB$B>N0@E54$fTTkOn7=?q zqWTLY1vVH|fIw!)o;l-bC1-5LGCP(5zFiSIJC;@mYb8Tkz1UjGkme&y#sH+fy9Yc} z;L(j}CD)Yw_`d^eA!v5&A2-%kGRP9Vt>k0e%nV>tP-n*^P+G|l-V_x9aMKt(JH`QK zf?LVVr9b|^T&arOO5Ot*00ZT9P}vP>?r(q&fPqQ}kSK%(S2Uoe8M(7#P`#wJl375h z2i!^qvA`7#s0;%+3vAv1wUU{^6^$SlVjc$MDw1Z$B%DG08|ds<L<yp*=<fIei4{;u z1@b5)R$f431yr_yL?JXdRzU4Da$Ctzy`;60|1iMkEE%A4mY@<C<Sejx1Jp|X%>bIS z4CX|{3dmI?&5j*_#LA25dB_o>^Bg>h3@TzleuPAb!gEjrwtx#|kSM5d_UHyj2&idB zZYvq8m$X*$7kGq#Sm0~|syaZ<0-HzcRx)Vd9!o3vGdMzKAx$EKTm_x`f{fEc1wi!? zL;%D>8YdQT1%>kY7i_u65t0Il5K!F!@*^ZdBA^ihs!c$m5E>jI;Nc}QcOgUdf*Q22 z-aW`(w22I~`Qtb62m!Ic5dy07K+Xc2N9zVMXu1+h1Nk*LLLMSbSAxeEA+wN>`9+X3 zyCD;i5CKq?3Z0VdY*B%#2MKnzfTsYVgPst@P-)QMB18bhLW<5Ekm!U=S0?2n$LSnM zoPtLCK*0ow(+SWxg-pW0CS|~J3LaV`b9M}>7c`p%i&K!j_~Y~mJWfF@aGZihF+q+6 zn>S@(X2%|b<Mb)gbS21DB+ZV!fJ`F4SeS_%Ay*&~0ve_S`4JK!C!i5>13Ehfp}`Sy zfr8mFs9w@$$L_!*1jGVI2x#~f<XEtIw4NOUm3mla$8LioL<cECK(2z%j)CTnp#q>W zT}Y`1Vj*P{3FsMP0vX5=!UMSz+y#8=H>}yo0BUx2wy2zdMhJukN5}z4gn-mz8Pn9^ zWMDYnqLKie#XsJnk^*Id0uZVfqzINnz~<l`)4T$Y5D*I-At2-7=1oxnnTIqi05Xz@ zVF8fCx~HgsRHJ24)cIr3uz&_+{uo5T=8rFfBcvE<{utz{ZiwF@vy)H(kVOyy5DO_n z1dz`dTa|@~6<Eh!E%nF$mw^_fcI?58SUes3GoTPf?bx3H3qd+mAZc*F2i*KbOjjZq zi_xJ1HTEGLsv``r=}HC$2GkA}ND6E)xMMH*73?oigA~_v3iQTfP=gCJ{RM6Y;_28Q z0DA(Zqqqkw1o0P08f-ntJfx02lCc>60=W+2uN^Rdfs91;7f1?hFt}rX9pW!gyAGGX z(55TFttmVm`z>Hkp!jP8SP0@TkTlqOaI*(7U5R8YhQC0rgZOI=%wHfQQT+vy0vil! zKE0d(nO+7pQ*ikUI$a5>?LqMcZj|8Z*slP40>xiTz(NpzfuzCKgUmzf*drN>;V+Qu zApTka^B2fSRDXe_zy^aF94|c~)61Z$8kfJ&rYpe}Grs9cuqRObH3KXJ@z)#%1_rS8 z;3^d{U5R8YhQC0rgZOI-%wHfQQT+vy0vimTu5^V=FN11YT>e6vt_0U__@*nto<Q+e z4_FA|uL&@Jfh!`!bS09p82$pe4&tv4n7=?qqWTLY1@;%HLVNiS;xACSjmuvupyC=* z5`)qqxZ2sH0ut@~@7Vdnhw)gqolAEQr0j1|X#slz#a|6zA&9>~(qQYs<@pqFjfQ3{ zhQC0r1NkdNr3QQfB*;8uPlc$|fQ<yz2ru{k{Qv)@lM(KrB~V=lX({dC2lZT9pyNR> znxUKH;7i`-hm4&)Dxg9eq#iPk#L(@*(t47A>Y<Jhm1_Q0(6Z*&pb8pP0)u864KI20 zZh`B8*iiv)xE+7-A|9zmVujfp0UkDNQGw84n|UBMZ$Ohl*j&Zmx{MLjfj-`%vIW{6 zfY=Pv1F?Apc!k^X7kMC?d-tfI$|BDtgKR(E0#*R>29miTzk_5wx(#-+F)%Q6o_bNA z3?6pt-2ztM-2<kYAKG_9SlvBfM?nNY&I1_%anKF$bjtCa><kPHFE~()K`0{5U2tm; zfOJA!$k1)k{M?@NC%QvWlvJ?5)PURuH--q;UjT2Fg1X)->Bs+<%+Tp<MB;#_8&HaP z`SvelAP?p|50-;3p|K0H{H6Oph&(uSx*HBiqoziva0gTvG{%QJl0a_g_Fw^p21sFd z01M|u2mYzYI(<}196Cx=iuqe_fD;W!1z0ghXOD^xG?9U|fCNmq!0Q=7;@wkJKs?Qh z4*YXK#^ivE$>#3?ExUQm@S33;l3<|m{rzI=2}s7s;%}V^w+ZTp0FWQL89cgqSITfO zco?4W*vZJizyQJ?tta@WfHG7jf6H1XP_i~WV0hB8^Q1?wXbnhl_ZAhfDUHWGK&Etl z_vpOVd8qTCBPb6s9A{DC041lH@jw2*2CvQpEADQv-~kQDgRIm8nS#~I4E~k`G%FcF ziV;>CfK0(*r5c)*JHQdxD|$?tgTe6IP6d#&n&N)^?`#2wb2o%)J>bYc<$z0vi%L3w z3uuo7=xP_k1CE^sJ$iY&r8yWtg(27vjmLJtJbZ4aJgEJL;^XCUARoVGc)3~-S6TNm z;vb6COAp*B4)@v#aBA^j=@xw{#lZkiRSBTv5YOMbp8*tSD5(lO%*4B0ih}`^6+yK} zj|xbPxkm*w-~laG(N<`Hz1$5BL&I+#y;H!&C?pVVW5Iz4T5k#(<=|vsKm=l3Neof| z!V2FWXp%Vy3BmJNf-fT$6nroDK|%;r&j0@p4lGdC1u<Wy{YS~3AfviHQd&<!l51?q z!Po5|Z$lj307{%l(lPukxqtuvf2j{G1E8uv=?O_yG=Ix3kg6I~RcKa4@wW*7`~Uyt zmA~LNKB#wqtF9IR<zGm~1f?Tz)Zy&AxTu7<Aa`7RR03Q&LA7*<N(i_<1=SecQ^4gp znrRqSG{{kqD%xkJOSg|o0BZg012zv_>UjyE1S=w+_DSF>8oW!KUNazyg;bD2;__%y zG&q*!Q0+vE1+S7juNO{00v?TTW`GZn-J>!AOm9)?0MXrh!1O)=P}WwD09EJ^5s&Wu z3YDPJdymQn&}OJ^DBb#>f69T57L{-&28I$INcjX(@c#jX4K}^oLBpf<0RPm39X%>x zpv|ML?!vG<1+w;7Lq#P610yJ{fw&&My!;FxyE?#DHXZ>v^)O5pq8MBdf)%r7$bi(g zsDMrvIo_huzznM4!NUrmL&iZIkXDeaM>j(^M|S|r_e+Wwn;$YdbewBF>Cmx7B^2zY zFG4Uk!PLT9BvVvCyQ2A9AAwbXawszcLuZQ$==73q2-SLkf677rZ3jDBR6vJ{fX4fo z7#Kjk5M~Aj&I|lgK{5eM3=ADTD*j;WHlP^>I?@_6<_R$xbiOud_>7r>0WvrPl?I7J z1VAjPitZj25D!*EO;Pa!+pq;3$e;KHSXo6l7(9CSsDO@P{=_fXq5|4u`iWnl2h4bJ zE&|lxfz(NzEzk&V0jC5HRt7atHM0dG+If7ZJ#sk>PAB`rK<Nago&%&FYRd5zu$7=V zINqWHI)fU@1RYQfWrB{phB86n31Wic3zUc;@g@4-f`h^EVrLIHYLVqYMfNk8Bq*}G zA!=a3ei2!5086*&P74kO&QISjwSrm>#~nKMsMv!;%3K;2^)PEeMt6f$gH#^t>`?)2 zf(4}&bFc<6Obr~}qH!>{fX+)tw%dcJThtXM2|AO#yGI4226Ti8D1D$hl&9NN0%SFc zL(RbU_DNwll&4$tl{viH>QMo0ss%YzAFQDktO44Z0fle#3r7Aapu`B;w%XC7q7G8l zk_J_FyhR0+&_I!Jyak-7K+JAPG<NrZqwxDh#S_gB7&{KN9_W~&q6X66>Il}~4T)n| zR#yc}nZcw$218RSC#WUW*`fm4soF6`MINkB16g5biwfvW>u!i6Kn)CL22g_xBnV0W zAQq^_0nZ^m%-~E9IszNy=;JLaF3_T&8){gO3P=sA`{lrP?*Ydr)Hs;?Wx-M#VNxK2 zL7hNQghAa8+7R0@MMWH}a51vN&K4C7h>Jmv=xk8|otNAV5rnuODh(2c2!L2n72Q2x z^@t2D2DTwk78LuCf)!HsahO2LK2Wm7UG{-wL3zo81=8~ZWfkP)$?+Xj9Kv%As8#L2 zzwKJ*6mX6^-U3dm&@ct1UJ$bzBH6u11!UUy>x#dcA2B*~Tx-45*`os5Aqz@_{9tF_ zR{}X3TDHLgmk%s;1ttZ~4LvF#(_x7N)O75aqQVYVcnnz~B5{D!(=c(cf$avBfZ*N$ zDD}YH&kC0MstWZQmc#+-Ja<e{`45_=Z+(uekjjbUAJ~Se>L8~WUV^o>6$KzIZE!+q zJa)holv2KXbYg31{|x{&B0xz3teSNPXdE9=hU2JG>7J~9FfuTJlGS&xht;$}9!5)6 z-@sDRFexm_>H{MKL&p@A_h5y*$O=1KR6y4Wbhm($VP}g9=(>S!h#(}3LZw0C5CIVD zIHYs|Ws2i1;1UMJgzD?=0h@wa=DY*jEv^f7KgbYRvU&@a;(<wl3pq%!1<MvMAntz- zR`^c`Y6eJQXNwBx(0^zt*4d(B11W((f)M9|SltkDhyX~%@fH;WXhC_rMFn))KGgkS z)jeQSP~HCwY`3u<)NYU=F!w(NOKHHQKn8dBfDMJY{{h7P_rVIKkQH{es2l(_njnto zY*7K7f7uNYgg6%}4HAb4fLKr!-92FSh%)mY*ameYkSh^M+kvDK6I*NXF4)}7aB~sa z05WnmMFq5Rk-v2zSOv7T*xdszCt-mA8mZ`*qH-N<)MR9Zosb$Bnyfn^^&hmI2k|qw z9)*ZQ1VC*+s0wKPiU@>jU>hD8g8~863V;Vhcf$sGP>F!n-0N<bpa2mDHG=V1DbUdv zkV3?0OpnS1Xf8buwtA;2$ZC+apm6F24Z(npUjqq%HG%{{XN-XY2o(8KR6sn?n9N*I zvkSC|4V2}NgAD6!2O9<+kp$&zP&x(e0fwet)SyO9vd2LBTf@Qnp<xS4vPZ#EJ}@be z!QH4e5oqID#}t+QV1;(b3h7p4>;v0<%nTHm-H?(A=Kj54sogLsXh{HS>k;akfa)_? z-(&|UTlT1I2OHLjW*F#{WJIxY1r$#$Dj-2f0tB(TTU0>e5CIUY8=|7S2P}?Ak=wvF zs9A%2g4C43nI2CdrAJVz0VN~Qq$oJ`2y_>)bX&S~JF*!5>iq6vc%1W>3+J)#*IGY0 z@=rbJ($S-`6>J>~*gBYY90?b+0S}bc)`PXYu>!dengc-Nc%T!VaHKTI$lw%}bzq%m z!8(cY+Y4wG1o;JO6EsbO8dV@B0VjjD=z*NP9BlC<u*GmKpsYnoUIT5*0~t0SWLR$k z*f4VP+B}f{Rs*npw7fPKETsyQ!jjiOyXrcos7wbd6h~Ir*`flvoe5DrgKlpEt!RMv z0HD$!aY#A@v7jotdsIL?L^_-Xwjm9iFo=mO1*CLHR$PI$=YfK^A8fHU*kZEd3bZ8; zWLP`Mu->2Ga3UwJ+CchSPlENM#Z@a<Y9CArOI(3A#C1$jsRt|EfUJ<paa9Ml;XgRY zkm8Cp0^F#DRAKnz1l0Y(R+-m=O}4NB<#kwOfYc&}ermuf)W9lGYZzE%4%!dcF-4^u ztWW}3AtD$+`MI+NoCKi>5|TnmO0s2O8_euLjs;cbFK4`gj5NYW*}5GZ;3I9NAk{4( zC6FLPR&?9})OBTeS@i}yA`EKagNnG93U5*P&>{~k#rhUv7o>;akql`ifDXFF-a!O~ zCP)?(n%F|V1mwKdCivhwOf4ehiy0XhN(;fM8r0?i6^E#U5+G+oM^ZRXpf;S~H8`RL z1!^XN>dZqOQ&fsTMjL{|a0es^K*<~A&({;7-T`r-jSCR-W!Zo5*fhu`+>q86!bXtM zsAEsfpZ+UeZ2tIP5#%ngG(->7xzK?h3{@|4p+oYJP_BTErGXCO1%)SsX86P}zyKb` z1D%KaiC>^a1<K%!Vc=l+#2<OkqZd+Gf~OZeI*)gr>+Deh?eX;JY*9&IWMFtP%Mmna zhZx*dXJ9b==FvR`EDI_u!HfD^R3bo%=emIBCqR`6sE}Yn%ulqagoEO-Wi5228MODg z1zc2vs%fxC8V^dSgVGDOX^Mj`sC`eEpCR=;ByW3k<MDIGe|ET^K`8>o&jC<xfVK_2 zSmuD?=k6^kU?tsCR6t6)dm!A-78TIGo)=4;aeLez?D4yh6<v_&tu5dI6HIUK0!@`+ znW8!BOrhU%{^9XEXaf-_zCl}XUaYdm@cTXo&?tNN6cvzy?j9AGde9D;7pt6b`&=FD za~`664zdG_&rds1=<}k#czh1piUaaFXfMi(4R)A5Pk{Oyq@cS;1*RUfXXV8PN8CQ= z2m9O_a_9(wI0xB*#pf3tDfD^8A3Qz>ZM6aU95nO%Vw)|d&p|6oK-nCmpu0x}rv3+L z!e^TUZl8Y#tzT@(Vg}Vc@JtTMZdg(~$PO$%zwQ9?IbwvW{x3UtE*Mfo;2)uiwgXR5 zK!Tjt6;<a7a5Eam*p?zV`k}KE-3<z$CIfh)o&jVCmRa*DD&N3<U5++!e&G*_b0t6q z;g~poYY+A*e42kMs@4bKF$nC_{6*k6gFCkZq!jGjK#&<&o%<E+TtzhJDuUE^L+AAx zj}?Fn!r@#yH0M71jpEZ2a-gysyK@iQfRY&8x!?vF*tv^9W?*$LXm<#I%WUup3dEFW z5lB74xdC`Wx8DvNy6}<C`*J8Foebbg6Py|_=c<Hle*AxV<Qq~`rn>=DH^HZ@r>KB8 zjI?Be7Fp^1#Ha8RINWVO$6@@ztMDUOA@A@1|G`%QfNFAZn#ZvE16bibkivPt@mc*I ztZ@CG|Nmd+|3Ot~%D{l+nRj4?1%Lnle`y4rf`?iSN)Sj2-+~o>11a2rst^<vND4uF zN%&i8K-2YhkfjBnSw(OYY2Q<D>k%~c0OsF$g32$2$ivh_rv$-Dn;}x5))kn429*zO z_kpE0K1K2{tAQveal&VRLG5X1LlM;712I9t4eDTn8ltcn5Re?QID7^KBmr3g0-XT? z8F;(}JTMG0>^NlX1;p&00&e7V?*X^!(PltiFhGW?pEEEplvaah4?sqP+Ml3V@Mj=l zNYfTHUIQ`?b?g`v5TM2gGXn#-2?<gKoeYBxDT7<Qpb;GCzzoP}P}BA)$Y@B@_8lbr zL8I27kOMbuVH~JOK;p2bEyxaT$OtxKh6QXx4|rCv`Q!iYDd0)LX5`i_SOr8I)Wr~m zpkZxn3PIL%<24bi0y3(O-$anYm!gnWEua|~ux|n(zJ~Fk^MGI}vnR+&2dxhS8t#Th z1ZYGX!~`V~M85<wc?Ob07KbMtkOWH70U3y6aD577q<#-%a2<8>>;W|C+y_M@B<X;R z2E{KZ>D&VeLy`_?3K8VY<B;h^5VN}ld<SrM4|q%;oOD2{x*?OH@S%9bgd}Lz5o9zd z>D&bw4M{r1kHJ|NG=2{XIdIZ}aiFsVAaPjI0olO~aRp*>3uJV657<4B6aktFMM)80 z6%cJu7ef?+CLypX1X+XMM6e3TG%J1+K?=c12Naj!B9T=A+(&6qf%LLqb=nTFa5qet z!K3+r#6EBf2h^zd=sfhI-W+$c3A8DQzvVtsgR#2@vakZ$C+eO8wNJ>S`H+N1=XsCL zYrDY4V=joBW)7<OFdYo*DuKPx4R<g^8027qec;9@%)#f(a61^hai}F6uY(~5gB&aX zbuid?Ob0(TBh$eg;Jyj8CwJ(DEFK5n0#{t;ks79$A&hV^2h_nhx+Ny$I9LGe&dzf$ z%1m*G>rJpT1Bq~^kw@owTph+Krr-dDFXa(n)%pPH4G6ZVTwq`Tb&`9)j2FL6e*6dZ z10X(cfh0Lr*xDRObqMKOcYClPEv<pbfR=%GLT0Q$sSRXEw+9bw%6|)FD(e(T3a*}q z^BgB=(EUK?6cx~pAeW97mD3<Ux3+;Brl4y>m_Q{I186-tXpIzz12O_^ItPdaHB1{c za1FXl6(oisYt6ww=}^NXM*eN5y0@r+lv!^9uf&20e`@~8z(4g+^FIa${;9_uIDSDC zclJP5X7zyeX!n5ibV7LD5V=l>;PDm}(2cMt?(;x#pa;l>65s`Mus}qXxAs7BBS;~s zZUpH;xDmv|a3knyVVE0{az12jDJWdP$@0ZRBixDd6exmP#2`&^SbG{0sOY(<6WiDg zt1&1!zRbCcRIOq(YEObKaRD`IfBnLz5VWa`zvT=_VH4ig?{Tn+A)wYT7h3CA9i{bq z46N`pNMXkxaD@g67tq>QXdFYCpzwt<L7@s_zFZ1jNel^E^iIN2u*nSn|Nnn^7}5%c z4IsYMy9>4n#z!7Q6h;mxl-x+cN;zn*>E`Gby($P@DF<pkf^rW`4z!$Y4@?p~90_TF zLECej;00(f#h{gP{etK#<v_df_*+3!p^)KZm}-#Gi6GUmm2#kcbD*gS(7I{<R?uV; zng)(;Q4^S3K+S6uhw^lbO2Z^UEoRVB|Da_IQ^2ikG>7tZo4yl3cj!J))U=9&6E(Wg z2SBP}4h8MQ137d%SOXha187JXI&BJHDF@o=*3qM~9;B=V)bj!<!qF$dvr=vyNPjCR z%YnNCpw*C|h8L(!w-zh~3NY|!H>g<)%Gs!00#Jg2HiyCOI*=;pWEg=i0cc}hX+6l* zFMr$uCr(%w?4>EB@eJ>>1w#@uoc{*Ghp9*Ivi*Wc!8#N#ogqfS_{bd$4anFz$i=ui z7$Dz48h@ZQT_}w|GCLS}OawUuu_zGaVu;lkT^5kSm&YL<g>@)i@<PH8#z*d8e7cEL zz`^^bpf1F5$l@Gmw1OJ+ASNh%f@G2VrXV?FajJJPKpQ1N>3%t=xsTbwSOyZNO$TEs z$Y@9hL;of?A;S6;!?}aey9eCl04>C>c?NB*fp%kp7hr=JFMPEjtu>UM2fTg&-^vH= zfIwPn3ectpL<ZVgQ-KPC41u)PK+3wesDLCm!L2p8dgRs`Xd5V~wKf@C2<3rWsGyrH z5UsTmC=-+_!KQ;7J5a;Gtu@ev@K|M0TWcU?)?2{sFv6`hkgeT4Dj+@D@b(#q2U_IB z1U?K0)Qmjdq5`_09+sQH?t`?}U=9Sg);Lf?2iXH?tu>HBQr!sBgK#5=hv7yZ=+Y5T zD1n<4DBVr|@B{psEh?Z3;6VyNo&XgIpk^kz7`V9(x~RUp2ki0A9`JqUpxZ?tfpQ15 zJf}wmWMTIdh};$xkRa!&?jDstObiU5raGu?+&M)Bw0jn`4!#>4`HR4HAZQUdG{%p& zsN_JI-7S!)fVi@=MFn)5I#kdaBFI1KV8cU3{%r@lr+{Uxr+{yggb814{=vXM^<eWa zP{aI~1II^*V$ifW$jJ~BwOhb?5axsI2hFrHfjbUQ5Uv3Qvv!Nh50I~0R6tkUcekj3 z1g#;0Fl#}s0m+i;8jv1@Ye2kiNN6Bj^9Je~_?*TTu)mSo&X8rd*xJsWsz3g}?7RXl z1wpAA)aZn!WhfKm7bp`HnIPuNy??<PKw%H!A8%0sg$R@hawC)pav6yEG7(ZMgDOMt zfX#D=jW9lP>-5YOq{<7i9t+aYYk_njyBi{)>tI0D7AU1a(=~L27M2Y`nxM<9Knju9 z2ZHuTgQ}lqaFY8AUjP8s0!s0qX=YF^0BZyZfK~)zt`7w7*{lI=(yQk0xd=7}+<F2v zDM8KvrCq4w&{szyHZX*!RPnchw)}(JWT2KYq)q0dQpw*6+IbH)64XL~8VPD5fS8~Y zhd}Mp9`GPAxIqR|g=mn08n56nT96K;C3~QqpcSR@;MUl|%i!1qwbntA0}VqE^QAE) z-e6KMl_7kP=fLuyy?daG9+)AUOrf3yOL;?DTOj9fw}6))BbF(G9Rt~X0%`JKw6?%1 zAljg9Z-_#We^8obP=z3CpsN}n3NcIstAGrl<2Mnc@a1zzYYWu!0{iCMC2$zQ_|P^n zSnBpAqy)=qpo}sT0BW9rA`03(12I8~3Y5ygMJvWWuo9K>(i*g`3VbtsS!o_PC4k0s zK}H>itfB@n5e-R@mEe>BQUx8Bhwm6ctj7j5@Ia{yloCoyA<dz3h%Z4VfV}p4%5lg* zE{Fs50f_ms5)!u{3%DUpK&<)(nF~7m5wso-JX8;AIHN=vSOr8I{$W(8LXb81O$4ie zG;Q&l2vP_hZ-tJCgMD-BA~<egjX>mq<^30t;}*7R8&o75hYat6f*xt$8x*%7Sy0@< zW_duv%gEyJmNZBLWvCuB8hpG(1=L`InhR<)ftcM>ARBr1Kz8IH57qmq6o5*feE!x@ zaJ|_L8Oa7k?ZFNol|24dUzj+^U?MjRf-HtC%5_o6=<rcV=WkU+GX}ce7NoKhvg{VR z*cP&;3@Qy;gbNV>v5vQ>oPgF=$6Hj+K$%c|@I|`F1!Ee2D<gR54!X1#=C4%#)}LSp z9fvH<2Zhpc$YOmEvm3IVpt}cbA2>Tem+gZ_^+6{@gIXY<Wl_+@`ydKrHYhu!@VD*& zoBf*MrOE|x;s6C5II(Pn6bvx_@fL8y7A!Rjd8`J$DiG9)I^F_q0f8KLyan6}0x>~} z0wfDcESPK4N>q|z1p!PEY*AW>N)ogn00lD0sN;|b05Q8E38=dVY$dqt2dV0YIJCP5 zY&}>Q6d_2V3i5d(v>*t9`0@r5q+ACV1TYTN2VgHl3j&Y@+z=;pLKj>^c%W4+p!#VF zIFwLI0VLa@Ztb1|b{mE&@NzV0$^qNT32_}LC4u<RlmueFd<WUP4T@`SNNPar=Kw`9 z{^=O73Wzpn%7IiSpoEIzET}?|HTX>gtAM0X{3e1FzU)Jt^M>t>0Cgdbx2WuZW|!kF zDtn+zP!a;kc7w*hHr`@KoEs1WDioqiYavw$SPiHV1G;~_o45HEJLt>+(4h?7Jt`nE z<{lLgud@ei3z7{;jY^RHNR3L!dKYYsN)zcH|6fX+17{qNCQuU)Y9xsHdNp*FEI7sz z&w|x~7V&`i$csJQ&LWq-;6*5%@Huu++YyxBp>0PH6BHWwYSt)NhJq<VWT;4Jh61&8 zKt@3uK_Dh#xh=>_a8UwM1zLg#O4_hZ8rYV2hNwh9Gt{FqU|)hv0QnM}p<o=S4?yCu zniXULzV)4uWC>c%i;^rsEl0%sJji^ALX5@`R3XS3=!#K@LJSkZDxjNz@HW^$3c)oi zXookbeF$w9f<h45ECew@Q3URIqBh|{#~y&{&0V0wZ(b~s0xz=ypH9*VoAQJ<{aL}u zu6qk)zOwT;mK9!GC2*_;>bcI2<4}}v{ua=BL*&yB;z5duTME=71)6|B@)}}!mm<g% zEDMlARKoaMK(n04R(`pLvf>Hf+AcwKE5W$~yyj^g$P}zrhVr-cfqN*31y7A2#Ry-5 zmg9KfSf4dd66DL5cBhasWp@M0x||S|5dM}=phY=bkn6(k29Q_at9L?Fg85tQL6a7Y z$m@)|8$h-rsR}BY@G=O};lNfp)Sd!oDVWsDTnHbO>cLrQ8!8_;m(51@11sA0Wl%~6 zB~_#%02H;LDL_z74QtkcvJkR3yjcg5Kxx*248)!rx~G7bv~=$QuV+D7yyK(d11iD2 zOG`2OgkI3H3e-`7Iuz6k0x>D-4|+n&swXGG0Sn5+pnwFIRWJ@TD}%&gWfjO7L$HSj zU9bb|(Y<ts_!`DX?&0a2L`w4Th8?I9f%cC;K@aU8fta9V0g?qJ7i<kX5B^rrDrZR5 z3{!}xi`@BJHNnkK#4;Mtnia@K4;K}Ojt~`lq~<uNw+1@J08|;ZfKMCfgtqk{Ey3mo zpmSD14OVCy5F!9-w_|UYB3h6jHOM;{LsabeTS2F0fYT&M7Sv=0bu(=FTS2ok;NB^y zH3$j@)J7>NV7oCJrJ&v+fkvqff2%lnJoq)kOPv$ov<dPvI1S!}GzDRNXe5B8Kt~RN zVgg#-z#3DaY6kZ-2vjj_cnQ+-hE_Jcd%)|Zc7fVnFBn81gXN%>6|^%6^)sl00b+ve z23gh}06s1Zq_EqAh4Yjn|I`DWAu1N2v#HGaTM<J~V8yUT8b~)-3rGOeG=nzOKzk#Y z7#KiXQ|E(@3o`(f68il8NJCGcZY0P7Nc~bocL?M<)F{<M8hQfB!lG0cY3K=*dZ0#v zVjaXpBu<ch;ARm>6=IDSxO)rgVS#i&lOD)yP(MQlI`mWx8A!y|^@a{T!K7eAPaw;{ z(F+}Vg7KlA1xrDPo<PpwhGYdq{s21$?{YA(3W&Du9&ooC(l!G52c@+IRS2>M|EL34 z1tc@#HxZ-|HuMDRZoOOuSu+6RBX__0|A2P`fifJf?iV=QO#vSWfZ})(x?k;o{{J^T z;L*$LbB>+C1GGi}+ARdF;0I@z#zUYA-lw}qB?5FTQ|EP$&U2V&$I0^l`2TX^5pV_u z)!Lv`1a0nvm@jpX!lgjb2$cdcUkV-tIRSDuP_O8bvncH(d<)rE^8NV#@+ZPhkZH&U zFG02Q<rD}X-iWx1%13TQ96E|zD}bAPh{GyCbw0>bI2sYyY6Wdr?*!4(fNbRtQPG0d z_@G)CYAR?T6vRZ-_#i96*$|`(F#-&3j)1ZuNC#-{fEl!J3UY>^CbY(ngZL6;0w{pM zH9m|3^#Mp6R^x*#;D&4?MC>gD8;`e(09FCfhJWQPR3XS3{A0Rc6)oVgZ~P{L6oPvv zh?Z~tX_Q2SZ+k%s&yW8v6L2RYZb<Nf(lj`jenXVP6Oju<9>zybM4BjxNCUjb0kW72 z_pAmiePByO8n_dYI*k&M8Z;3dh4>nlZiaCp0@cmna<97q(e2VdiK}y|ih8Ub8%QB> zT`p}NaF=W1VWg4<qno4xI#v&PMCHz5oaN|2RFhzBB1jt)+QUZenM3!Xf{Gewx&<*o zApp{Zb#l!|MFG?(kw<RZfE9z9GDJ?R%>}h>BtXW9^Y<dPZ9u6D<N#=?1Y#meK+rG; zYTHH(sci$21+_mw%~esPwhgFk0GR{r+JKmdAs>)^$b~9sfCMzF4nBShG-nKHb%RPQ zklCQNjR>@Dv*!>v8nEShXxj!R1#8=YECUxH(6$YX5A`fq3fi^-IT}|H3@V2pO%{-A zQEGjVJE3&|{sI%K5M&Mh0V1#pqKjbAv>GVwKywdbqt?%(xN?s$YVNrJQi#Yspy3Bx z0~T*Nz_};tAWH55=|CD}5CY{M=$Vurjc-6(F+Do>fbR|S=-dL?nb^GtOz#r}ZBLxe z3L0I4h<J4GUjaRj@&*IwfWkd0U>bHFC1_0_>^w@41nfLY&<;-z<nt)EfoGvXJ!wd% z5VRrkSOY^9^gK!s7jYgX*viHuAg6-QqXfx96ob#B1aUoB5AZ_fAwdhWkGH6RHd=yG zHfU}il#alzg)G+w_fnf5GIooG9AO7{ET)3ayaBB@1Wk~G795t^LYxIM45S=92GT96 zfvg^7Jr^&yBM7n-WEp6E1|#^uW6;%Qh{G>HYrObdLEWC$phe@XA&1z(2SkGO?E`HS zcu~a+8VLlQ_6-vAfJTHz<8cc?1_toZA82_wc=eJz$B+M@#ZBTEhM3|qqyX6v&_VDo zZn1+Rw*?&C9^DNev>|~AiaSuU1qW?+gM%PM7!(}1!xp3oT0VjlA}>CGtasU>0$uL{ z-n9-gAFKsbN`ls|g9N}DK?0yv$)H&)2Jms7ARe>_*aJQY9u!gFl??p_V8g({586!& zjdRd7WgsScOdw|3rl^1pLEvw70PF8=Q2|92s7M2?3~dA_Pg9r_$Y9XHSRlu=sDPH_ zA{{&mT6haO3=1SVMFnIrY#y<u!v}PnJ13ekpq)nDEnt<MEh?Z3g}Pf*K!VNSfeR3; z8zK%7KvL1&16B`9QQ&11m%vv#ffqJ_x<{Z;gl9Lz!4#mC6)ltg{D&T9jjRH8Dn$us zQFrei6_9WF!w*0b<Vyxvf&>X;PmnGu1urIoN{QY*;Cu(yyauirEj1%0L>HBe7a<_c zpk+j$V*_ZF3Vl>kK-Ft9f2%imun81UWTZbI7065zf4@A~7}8T-h)NWHD`*!hcu)zE z?0i%r`CDIs$E&+rz_CC^nhWXhQ3>X61+B&g8xM*#(5fy_>ZDSd3*v8W2hUKyW_Z~N z8P5PkFR0*ymbM_~%cqc$Dwx#Es}MfOL11|a$VfMge;ndEu+(4ZU^=w;!BHwzpq5IY zUA&+yf4oHnwAUHLghw&t0DExZf^>jAWWX7@)do)wpzC8my1`mN<q>GrdUuZsNW6QB z3Wx_PkHCweTtLan8D%jPXdf!b0moZZKpS^K%<dKykPATz1{oL_P+M(INUb)I+abk} zkBTEdVlfn`SOA#=T6+efArT4+z3v_rkbU4OZjdTy*#f$S5OmiEs4EODLO>MAY*4Gs z0orO4gG39+ZJ@A+h8~CsTMPx0g0<Q}mVxD=tu`1R>RGT9wABW34mYGMLnK0wE4q8Y z3A`IpV4^hHz|s&sP@i>A0f!=ns+XWS6~hA_-MqK=a58{KV;~7;3Zyz~JQR@2zyLZv zj-m6I2WSMc^N=HGdKPrJe;ES<gHLyeiiJ;ShziGX7Zrg31_p)=3@<$XfB*kd1$VNZ z@)O+3g(vG42p<#?V4t5w<s&ESP0;P?5Le==Y$;CGCZP0aOmVW-0U4uBNwU_$k*qao zn5;FR$@=sUa5TZv?$AuuJiCZV)(TjXwE}vwF8uTT|I3@-|Nn<J{lSz+;~P*(25SF< zTEC$7Kd4m;s^vlSJ|S@X|L6DrFcFXL{RUN_w%{HWQ1cNa45lINe;1W>SmPfg3Tym> zjYc&7eN@u;Td#quIZz1;vglYt2dw1};v!o9V9OehfE)>K`GaI3ioq>^5Z42K5<Tbw zBG3wYXz2*r`Uqu$>;cKboARJ7htM7YOdLL)30jtlGMx#!h^l*v3YglX0+RlIz4aI9 zxaLkD707iJrS9PN7Y}rL7w%)^_E#K#3rHT)u|QS<Yk$3i)_M?Mod91)+oA&6lzhBJ z1=QpR`Q~_w3TS;Shzar)NEX>wAUR}lxUWDGD82$2*gXYoZucIrnMl5h0OkE~{?>`$ zX(-SN2axY^2QJvj-3<*!ptR8fO&eRl^e#}T_~Ovd@Ba-if%-0x@NQ87YhkqjogoA2 z-GX8Ry13zm>u*qsgDOEa6}J;WDGt0U$p0$Rs-OUnNBsF)rNC`)P{@GQae%I`>E>0q z%FfW~qf*fAqf)}`qf!9ctjfRu+9?Z)Wmp)4U5YrN6=VSR2<h$trw+I+NKFk7kUQM@ zTi1e5Zvx#@0J`+ZMI{Hcz6fMuH)E%ZN=CPfN)EG&N=7Fn!jU2yauE|K(m=+8oy7V8 z6nL;e6ZnM^Xg3UzqXHh5u%j<Qu|O~^K{kODB6@N@Dh?oz*z>pk2X9pesQ|kk9H1Z` zSUre`Cs1*OUJE$%x_Q$svopZvel0peRLuEX*MMz93bt-mo6GDBqz9P<co{up5zULc zKTv`UcGM)uLj=R7TQnWyOvt829~J1VWUCz5p`d^OnbHjkh>~tz3y@p!rZY(Nf-)IM zFC=;cklkwd<NN>D3@>N?{twDWpzFp#Ii|Zo0G#DqR4NeqAbF}prNRT2HokmE@hFb8 z0Xu>i6omx+53&xV5IJpVfYOFKf2$+7cK}j>2m}xhtR563c+v*8fIvy-8btYp;&cuh z(FGkJ3MsNl_X~k^UUvbJ&LuiRRK)pPZNXhilyn|)f#P)j^(#vBlbFuyLC%Dwa{*8k z^YgcY2FcKarJFYZ<W|bkx#!pK|6htin%|)809ro@t;s>mmv?YW^+I|wpfVDq6k2$K zm@lELCa@Wp1?h%jlll*7%40Jy_ZPT%iA|T>Z?F_LU0Dz*Y`T8`{{Mf1NAnvCkIotu z1CP!U6%CK>8WoLw!k{*o@CQ%~)_}zJ?*KQzYE%?J@(LcXrWbz+Cn!Q(R1_fXE*BL8 zq!Cvi6+Zsf-Oxsti;Bjv27zkuSgVVQhDR?i52!Hd>;N0xe8l3gM>kvz1DNf>dI3CD z=b|Fv0qQJ)Ds_lNcLN8g8Un4v^HGuT=;j0=tgG>OOG-hD|2(>VR5Uyq4>EwYg@E)J z`E>iJfDSdmoI{uV2rl=uz%477pOBkXJp3&nNr>MeUhZ~qfVHXkq53pDx*I?iA`OId zmn?so_aCJ}?9uoJG|~ua4}(VaK<!~r(-YLp1=0INKylIj4ipzH;4uJ??gocy)QT2V zOn?r9odTM62`>=?ISkSw0>?|^5s(dsA);VQkXy!K{H;^rg(t|$V+}JP@dUN6mzNU~ zRUm6$uLqT?2xZ_lF^CI`tritfkqf%q57d@{v}{24(1Lg%tsq(C)+0y`SsdPa1WBN@ z9zpHb?kOr@YL5y?8maXNYjlCG5`lOW;wV^?792#NowXpZz%Pda-wt#PRKED}w|)W_ zgdn$owRSgDfKm%+Z7f(1G&H&!9H7DwpFqMH)T9FOy9pgr?xW(%-+BeyA_Lh3au#&_ z8mt{l^X(8=F*HCyc>r{!B`7o@VGF9aK|IhEbRZfsMgtC{9!MY|UE&j>;>O?F12(X` z1uP31ngOL6SN_%(m^jGD?j9A;Spu*&5p+FV4YCqwgR#2>tg;i*N$7^OA|a#5pz^32 zA`TG%^$4IUx_clU0Qg;tcKog9z%6D_x8^m&OJC?ZeRzUJ&Vjc4Eg*SFOe3p+WkS$h zb)b5km1Q+(2|^Df>ogvm04`F_dvqRqq4fIu|JO4>v49repqM}shQ&9i-vf;XP(UL^ z14uDQ6Er443X$6=7N7)X&fh8xPheohpjJr@NGn)9h*#0=qf*lyqEZ1G=R;X}_8VM` zg2#P9u>-Xq6hj~;==w@fykm=SJ^t2nV9jU|uFKyFIx_`4U<)!5Ey6WALR8d|#&khS zs2t&H{H>tdgFw;!k_S?cf|4y#5(hC~azZLgnAFRk5Tzgof#q!=@-RL$AAqG4!6h*? z<FbP5j81s@1ga51fd{SWK}=9&fT9LeK4Dw<p$c300aJunPpSf4_yJ1tAfuoy0T2_~ za0Z>~!vH<w52Om3Z=fAG@JK2&dw?iVz61@KDnl23Y=`(7<Y<uBzzaWM9H<XK;;_|2 zAPcx5IS&zQAalEWz`bC|)HNvmpp0;WRY0^soeNP2>Y8Fx2(kvhiC`6wG6BDdAcfG? zL!iPGoFy9%LgK6kJR$)X?(V#y1*!mjR0=@tk%CSa6`2=TUVQ)miC@q~CF2vn0EFbN zSjx`8uW{iMf6T>C9{f6|JV58JfTE<q!|*3a<VPC6-U$zW_wyd0VHLOq&|;!{57b_B zP+K9vqw{=sh>A?NkBSWHeXT2>fB*l|64FQnB~y?MNTtKeFi67<Bn65is1%6#(i$QK zOS~`ZQ2EG-IT?DQ5;$bwi5XOO;O;nqWN{^CX;@;0DMBP>DH<haNoZpJ32EfQ((lMh z%-~cDt&b2XIRccDBRXAFL|$+`!%WHR7EzXxYd~2AIT?#|`>2SZCgVp>zW;yO_yeVN zIsw!_hye8u0zmx(50EQ8_KAYpq@N#y+N3oq9v<EMPk<YwH7X7uc?Wp^KpxgVZ~(VM zyIoWQQ2GaQ{H?FSbrYyr?{Ta_p$6JN@Idqrz(zM8i8u_Jbn#K~IMxVK#Q<v2dw4V+ zoB^)SeN-%90v;-mqQL+pVBpbh2|iyCyq>(%MMdI;&QoaUYJkfL5Xl>`kd)9>0GSN3 zI|5{PcMZsHaL_71N(hN=9~BAIplyHr{r^kQDM}!ZLc##KH6za70+Ix^W?(^t)StNj z4>?Lan%`)E;zI!x9}=MOme?l-ijU++p!fiFmbx1PYCwVDq9OpYPXOLEU@sAX*dYLp zkLDv9;7)-Hs9y)axYb96jlXp&JX$1<HLQR|i-ZTfF95RP^=^>yPzB&Vn}i4J0`MKJ zE-D-#ISxeOgJ^2AmKK4oIR?o~c(8&4vH1XpM<>*|5+1Ay;D7~n&$<mgI*-4ocnI#0 z1%Rt_m}`*(kcGbmBnk1H0yvE!1t93;D{x!z#{y7W5Y%OCJP5V}bOz_K7m^_3VVCB5 zG{4CJg>M2Vd?C4VpExLdnIA&JH^QU4;RZB(JwTrDfQPR-EPOqn;hO;s-vqFeKy^B( zt*yr28V79(x~N1PYcQyVCi@6P_=0SJgfCP9ID8{KSiy@SJ6%*9j=QLUrVkm8yQqYK zY8;SL96;d>UO3e0qY?raf%d6DS0RH3Rlo-xho}T}gK&*X2vR=^HU<ScX&lybj__za z2(i`&l-qq&KmrNIpd{u4%JN_WJ)a$U2+d~(;Cu!md0)&WC7)@4@)^jg6`%vnyTK(5 zIG<_Y%xCfszzL-tJgecN0tt5Hgd)!00+NIz6u303(~$EIGScay65+uL+9>A%O_&y- zpp5Wfg}4M<+JF=0{d?d4zXnfh!3mG%Hx;1tQvgao8KC@`u}=b&eh%LQrJov=43F;p z3ALcW1N#U>z{XR=VdW(x{dBv4N^PW!DaPNb1Wz~_#~OCP5>5u9yaXHFe53+Ao&r?^ zPCFT}Su04|0iELtN;@eiX$M=YSCqdMG(QdrGiVBfEt(hMZ@mgGD!N@%5;|Q}Y`R@k zY~Tx(TvRN&K{!Mu1-3p(pu<OnpTBi0vZjD;7nPJw7nKlD1p(?Px~PDJLLf}AXt$3_ z2x0{iAAf5BA{?O6(s}$vz`gH~LmENRRRGR&$dS*>-vT<p5#kZJG%WI0fLhot;7d^$ zUh0B66;RG`7nKxnV-2)=1Y~lC2lU!Z7Zro!E-DeA5IpXp5(A<^@&=$>h%F>}O5-4w zgH?FIj~wAH4R{TT3zYl}3ej#Kl?cugosjTI>Gn~H0rNmX5&|ksKs^MmQdMvl3cS|E z1$>JO!|P2TcYz!Yau<kxy#N%r+%761P}4%XeN+;<LsU|b+Vzkq0Lesjhp5D$%DkQn z@>>QXv=`m|{vT9oYk<TxJh~mgWw-)}rvNV!IZNswURF5nPyh~~<1Q+oWrqweKHd8M z-|(bIuV~_Ib_S3^5+JP-pd&v)%RF6FB#t|<fVFhjs7Q34a|E3i!SKTS0Z5zSx6Th9 z-61L&9*u`UxzeW_RP%LS?>yIe%A@n(i>08X@Zs<O|F5URvnH6~(fq~(ls_Fn`O^ZF zKP~o2g7T;GZAkvK@aW$E0-8S!K=KCg_6&Ty(*Rt)ce{W}KcxK0$KMKCZ3ywO#jys5 zI%vUefykd=qnnR-fb%C*4LE;VAo3?HL}7QBBkE4x(p0GF9;}d|jN>n&zz%m&v4B>l zoyT9e-~RspWfZi!bil|6Jp3&nNr*{sX;?-8t#ARwgayKi?I0^U!8I?KfJ8MUzTi>K z&EH}K&y^BL(G4p87+x^n1jU<2uV~RsNOVIQCy3}4K#Fbwcy!0y18IXrw}nUJA&|d8 z(JkPCi0*B7K#_+M2Fy@58-V-*8l(j$PiWABi>MAC6)yf3(2`C_NN6CrSp$^tUijYt zS?<v*x^D)=&5+cMaI*rEn-$=0UU3(s4cX10Ru0I`3P^5dy7T@2%dmg{|G#|j@BjbT zlfcPyf=A;U&@Oq<crfIynk_1zMaZD>U=Y1e3Y1pMZ-BhJ2P(6_pbk`>>;Vsrf)s*! zn(#zh4qG1q5{0DO7RWU?$fLkz{H?a|c|?#!#~MyRQf~{ymR??d&@gCc2iUU4BOphD z*GC`}gU5nFTv$qmOaUKn0k8B11t{n&UQlTSG6^~?f~%}7<!^ljSqXro5K&~7@VDLu zOLs#|@9t3%VPt^M;TCj+sO0mvUPe~Z30dIS-2ztG*#ce-3tjaHs%qfUp!Kv60T2tS z0(77rco7}s2FMW5p+DQe%V0sHMi5`a_oqRIW(A;LMe{W%9&q_Om%nud+)$W8gs*e> zTW5l$vHLosBLsAa&_rY<oh{(?u?Sy-7JovQC__96T2=;KiwqF}sW=V^4QQl+!U4pD z>I0oe$cVn6Fpa<UBnRluAgEcO`U%w9P33Pr2ondbSc3*6Y-Bm1BSa;hzjY_F62!Va zki|qVSO)F12DuUv`k?dpK|JU}XZSK^#B%Hql{o%Z7cQuqL2VqEn`8M~ZD8Wy^_e{? zAmd<ej_3$c3FmJ$K~@4f0tYk(+X7bE30blYU84;NR?skYH$)sF09w=yI&uc&N{E|5 zOFcn6guZTG?J4XG%?}whPw-DY1gg6`LR9=g<0uHDx_P-#)p&G-sJNFx_6LB5i$LkH z8!`+ET~CT=OS$p47I1@t0I_Rt<77}b30m$n9?ReXl`hyX1zL9n)Zl*2@Ny~i7;kWy z1u9sO8(yybEg*SNDT`F}AobANA(ND#3<GlOOLfS0To@mkfxuEcpcUiYkV2`u;|nwR zbWqUvBqAHW0384d9rgr?f`%47x*0$#fxz=EJ>W$jou>}|l0W!Ln(^YnpR5NTGI#cX zkH|dU0$#}piiP7XDm)-Np`{g6FKAyu=ecekgYGF1bFLr!FMsg8v}5N5N5&tHjSoNz zP+c?+Id)v^^igqe={VUPqT+D*RVQ?bD0C948*(CN^C6bbYuzFS-H=sYj-5Xo5B`vM zJorM|vGbQJ;~~e!M-cO_J9PX68v=3?cB`8oJaGJe!=>{;=e6DtwuhZlz*BME5UTYO z|NMg;J}UP7{h<5qz~la)MWK+S2x4_ZybBQk*@AuB1$51P^8?0i-u8(oX%o6~W*N8@ zfH1C`HyKr(K}U#+K7VT+SRM8N$5+zm@wWzpwW5_Yy0B&TI14XOf`VR!2HtQ6E{`Df zL3a;0vcco<pi&3Aq8U^ofLhr){H<JIJ6|)reDxE&BOH|IW`a-N|NgW20AuHY&SM@2 zpD}lS=xhP+7l8&8=-3@_(%AzR?fmc9`NN0tSht-Ebm+g+MMcA<^Tf>WKOH+yI%<Ma zjfzX>$;;2XLsT?4e?ikt_Y|=E&`fJS&Vp&6OXrEs5EX@)F5NyVDvsZOI%-~onWq3Y z4-~mC|3C(;L8U(^k-sbf^?x9VAIyi&IDk?Hh!4#SAm+<C|G)tN3O#N}HHxTP!C}_} zuJIvr2cT*hsV4zZ4-H*tTtF0pT!l>`$eM1vCW2K!DlGgaf)u{A`3KtP?$OKpvJbS? z-bJMXTwruhfi!d)4}l6i(1?G92aZklJI;Uq|B@4}%*uqU{skp5P#__fS$;ntyW=3O zgbkqK4A2={kTM?>z*tHf(3AkKR)Rci=pLpB(L#`e4&8&I6>2J|ItMWkZ3d8);Pekt z1#KB1r+<(Rq`U=6|FWPe=QYF2C*Q#<O+Y4qd<h=9hjEaT2=vf8kOkb3eNKpm2-tYM z5eilT(S|=lp$b9P;7=l870{+o54eQKk?24Q!ShhiLImW?myQr$gOU%3kG#NM?K^VZ z!j3rtRXN8YyDvaN4_yilVj@*Y-H?&G9`N1~WO3+t-4w6{${GmJ+VbNq;Jp_h!=T$A zK+NtbDj>=3Jzz~}#~eX6`9hC5Lf-8m22C!YItFA4&Yd3M<N{KK$hn|hCg9={)N+FE z9)lH^qR`}$`3;<0VB0mo$pyxNIvy0DuxU$>1^D)EK;jHk#Gn)uNDhE*-+(9txe%K| zkTuZVAP|KZCW2M8fOp8@HxZ-|mRvwBMX+y<eFgg(#s{4y!T>qs@#X5T$jJq~Hy66X z<TzxfC^QzXfM}$K2dF53B^Qt!D7k=Y6_7YQxqu{4k_%`n(eV})(DEOUd7wiaK{TkH zIt6@tQ1>1ckS4U`0$FhfO)emLP_%*?4}8$%0&2>F3<4bn3Zg*<wt%<gf(~GW&2ocO zbwk?2&~26A<N|8;LL09jb3vsGFEqKl`vML)kb6M^2~I9B4%G1=aaeKzSpYhL611+l zMFqrzn%mt2b_^tPK`nQbRDk3FsB<9-K^sD`DFhpg*F>-is0(_)!HvU2kV0^BLA18L zJBV&=JDmLf|7HId<mn*Lc)<zK7RJs!Dxe!%!0X3A{O&y}pz*SO(xCAI_Y;uu0+5JD z_x>Ny@d8jE9yDG6rXhpSEh_yC3=FU#1CRu4$N+3QsAUg|G|+}s&@p%Xt&Z?f1CZgz z8UpIUV_q#_+Y!4ez*aUM0XY>sYJgA-9yI`QJ>ci$Lpl*qBjBQ-+Vgme$_h{lfK;7p zpiEF^fan2TkO1O=)Pk}`01qTTboPMT!k{g@?cja3C%_{EAf+&kpdELhwhBlLrriT1 z2&&Pc!wcP0zzrMd@|+&<@&M4{o_hZNNniuP&1#SfLCa~tU1ZpBK^=c<Jy;3Id{pm( zLK#yO<SyLah3H}E_TT~O1gQmkmj&uwAC(G_yUO`n+2HX5*8=hs%%?D&ATcD5YD22$ z&K_`ZgO(vcgBmoCwgoH*vKQiy2jFX1p$pvqoCNg<5t86L^*}cTGBSWRIc$KM16l+D zWrEbg-Nw=_8rF)mnF4n1auhu5U`jzQ2Kl0!SFsg*(khbkQRB%+B?A-~>HPirU{fH= zsGznZ`3<y;3bKWM3pnbKM+(yTTbaO`LCdHZA*cI*O2kzD)?eV&lb}&5h-Of#gpCwH zM>jqpD*=`FAeAjDAeEghDpMdl(6aAl@OEqvs~aK?5df(;-lEchaB~lo3F=LPwD*8b zLEYjR$KUz~9Q#nSAQ{(3C6>SS3rrkjWOt7W$T*mrp`#mbkd=Url?PeV0#@1Cq7nk( zfo@cXI1$7Goqf;9zyJ|IQUSWO2ppoY%n-)kdX^J3=<u51CG7fJc=>`nauCYjvhX)- z139t^*kE-tq!kXza-a}_#wLjQvIsJW0F!!|1RXhmBwARy1T|hkDG*f@)CvSGr3V=V zDQo6HnIN?gJ+QI{q!yg{U}cSu3S>1Zr~*Y+4ob10mIg=+rU_iafZCPNVKHsUCe_X! zl^Ku>1G5*B@j!wg?GV?ZWW45M-~YdCf%qAu473Oxv<i)p0d#lS|JVDVg$Yy~l=eW( zms$`lpo|IfA~a)ym@luQO1(S^;lo3e1JZ?r@sR`nE!Mz>ls3?U9kJ;iQrxycN2M7+ z>##sVL@cKD<8S>1o=OA-3dD3+w17>(vYr-nYc9A1SOD@PByyJ^n4q8oHEEzh2V#Qu zMu3ugj|xZ~Y94cg?Mnb%C5BWkxbnAxE?oj!1j^1JbB;r@G>C~PEkO2x8`mIJpf!OI zn?TVHZY_bbK2rV#wU%5;v%oWcuOMk3<PVVhLCXL^Gy?+zY#TM26iD_ZXciNiw88vn z&{Ss&IJtrNP=AA%FC8FP5P)394RJf7>;k(BQawQ0I-mrF(s}}`fN1N6Bxi_1kk_y& z1X%-GOb9ALz?T|em<U$Uq5`Jyng~+y@(yG`160C+eZvO{E*Sqfq$~qVeTJ>A1GSvs z4Gu^)hYX2$bX&YAJOEzO0O{6&5*#=eB9bvAPeab5c;Nz54C?ND;unDE0$&6L6%l<{ z1G*wA@)T$hBLDP*{M#;ePEl!qWcwD7yC8|P0>P|-GC}uqg3`$r6_C2`*AzcBKVs~- z*m|kMN5vWGk^+z{tkicxx}*SPBw|<{)O`X^i1BYbh!}bY^=!JQsDP}5P2Ssd_^4PT zor(%ICIRG>7O=|B7VunocMEtP9a0fMr9pG(5CISibiyIjGoYL1piHPf&@r6g`U1HI zw&HIE9m)o7VS!A5`OA{O6?E_wIH!RsAyD=L-AIQp5)|X0V-p#nt8YQ7x*@UO-J=o% zo;E^MX`p%wWHu<LS@5@}gRAb>3@;5JixxqC1{wVldPxC{4?4Ju5!%UvT~Yu|A1}b| zBS`-UbmAOHJ0xmLpiEF=0`(Tbn@RarAhmyB8|#0<OF6JQSXI)^duKVg@q<)_bWZ`F za{y|j&I6tB1>IENhjhYM2Gm~Aaa>R)=;9nuJoc!79EDoQA#bV&$%29h)c8T(R1b<} zXwZXV2gC#&8U`^EWFNRR1E~TXn+OrkfdxHCM>iztfXoI3J#16`Wk?+ZTJHgJDd?Ck zSTI9R_<~8n&WZq822%PGdR7FC5A`fq3VK!q$T{2)dpjXX7U~Mnk%%BSLef7<X@%rg z&>c4rRUn^Wr~;oA0Zr&&TcaR;iGX?ln()C=_K-UjKuHsH&NCwe1ER?VN|B(Oj^PC( zsI`V-8(0OjQPu-q0s<*&L1`PCLXb81O$4iGQ2|qUO$4cUc^_+S4@#+^lnu@X@KO~z zCgB0{HY6ALK$#%55IwLu9HbVlX{7>c$}97?E(hmJWG$dP0qVko#9$i1%`4Cd0(1a^ z8PYrN>`?*P4?2Gu$!=74g1YLUqo<(?K&Ku<ncY1qASZ+D0Vx7IPz2ubk^wnTn!i;M z>_E_!L6FD<&Fz6&HV`eG-HrmC&?&hV@DVS}5MF1C3TS=?$vTids7?b-41<nWhPnrI zLlKk-x`PN*hk?2>;L#(7?f@CM(?mc{6XtJS2%gCR9WD%U8fYH?$Y~&RJ-Ru&9VMW{ z)1dYU0|NsyWFjAQ{}7~hvVmHM=`_%qZqQN9Pz9iSexS_m9u<%_kQR_4aHy%koyG%l z8aGni0y?}Kx~B-_G>8_?Zbt>^#2$2C5i?|OL1&AK0mNx0Q0s7nPm2mjK{xN(sfdlR zEFB>#%%zgA8M;{~O=V{Ql|Z1<jfuY%bdf8#Vgb1Z)LMoHHHZmmkb@*ZUV=o>3-DQH z(4O$8UElw|l>H1I(E_D5kS1t~12JLe7=dCN#D~T>i1`wF`3lHz5Fg3bmz|JS4am1p zDUgRiOz=(_&>_^2NCjQ?*v)!y3OlGI0Sy&`T9FJ43^Tt&4${Dk&6k>>6+>9|gUW!$ zkeIiD#*jdJH@h1e>OoV&;H5wy0^E4$@KF&jfvzux><0zy>IBXC!nRPt0#=N_6_h_A zQX0n^Za{{NKt{vY7lUkotbc_n0I!kKfUPV6E%pMh_`<T4Pn5rPCA78#8410c739ut zFoC(MN`$|4CbEtUQ55fCu|t@@brDzxs3HEE0X(D!TW17X3g-Y?6*U!<iiG%EK&RkC zJPTTe1eS(%UqQ>hK?#X9wgfZ^2-&52KoVp<c#m4$mhb;xFGLI$>VcJkHtIGWgDAs3 zFE6(B`~R0I|G~>yds!L4x-bk^-17bZOIy_KsG#-!82f=`!STNZ6#tO@z#I)A7m~9d z_$xTnKtZK(tRbTTJXQ#bCHQ_|u+gBD1G^Llv~~AbBS<ND(Z7aAcPHd<F3>t|kfcZB zK@Ks{iop^U2@kB7mve0S4mn)=eGz2F4>VN709rn%;n8@gLJV{)6KJ(Lj_JOWn;}D5 z=;@djRzN_#lYs0U7f|ur*#Yv-OC3<Y>V|1Y9^c^MZ}|XP`~^<k7^wraq!WB7xIM_- zpy}XaO(07ckoH4|fHpQ0_5<tY@Bd$BK-WdXErO>~ZvGbY|B!JOBxk}>sSHvY@o0Pl zn%n}dPXiSypz&tNZn!<*5!QWjptN#*BPgvvL_E6p&wwTu$Z~r4$~1e}a_0!x7&F*J z#2B*==qdzI>m8JPARG6NHGF`CGK7m5U<TXKcm(7&@BlN&Q4q!80cH>vQFsJ^Vk`hu z1woeSA{JQM!g^1jLLH*10hG8pL2JUh!31XcVZ+}F>c%5n>A|`HT7*P^4h;r1h9ONI z(2bZN9?1R(g#C&an;$YdbewBF391II`CF%hYiPJC#Qd%me`_~b4s?7jXxgO*ys7{; zc4yKNqGHV7+Kj9Od}0Y?NkXTKN=~<nN(QJO20i)(!UT(U`>5m~76uvdx1I(!VW34^ z6gcs~wmUn3i!pfmG30Ln$wNW_9*amTe87|LmkbX$b{_QT<-I-$RN8F;rx=gMV-DaD z{_fFvZl^p00|V;b8Sf3C%nLh~-ZURY=LVS0Yna>kg*JTu|I!s)214uwwRl0fvb$3N ztj!0s9SJfq;L&&x?1=6Xl>qEz$dUEm|G)eSseC~@=0MGim*uE@(4qIRiM|+Ua6!@# ztVRhq?xIowN&v@QRBAvpD2l-e5i+^wqf!GGfllj%sMJ7ONzh#z6~|pvz$>YbyQq|a zi~wyI?yga(L7T*bbo)wUUo&*OsMNsfUaitF@KW;(kj~>SDmfq;mX>@}3KT)B%`-sv zZh;b)k4g!6!8s^-<baF-^~^M(3(il1dNVF6pzasL>op)(fx-mjDiHm87AT={yQt)V z(;q0|LFP*!JrhtyK<b%*q(Rt6r2r%!qEdn(nE^T@nSp@;BtHe5=uwn_&N0g9#-Tss zr60Hmh87y#4H4>~3d}_XRFGoqgzx}&!~16-b;Du34p7AbO5`po9^iv^T)KIaXMhiM za_II^aRIIL0`<GWd$*w-f&P!+Di0hD3Ee&_DTpj4#ozi6ED0}cx*I&eNz+9IU%>%( zpL!0G`(Q2mvryw)R3c#R`<;z&AG42&LnkB}plKBp{ji$0cMEtz3)I{Or&?&9z*?nl zT?48UUp@kjskwj$dq5i)Kz(C|m%^YCMX2CQHc$pU0L#94*+ggGV2};-pv5PseG7Fl zi22eJULz4&FD(zMkK9*7>LbvYut)cPg+@^9?*T8P0L_1b2IQc%Qj5xTr1?*f1gvg? zj4s1h`}e3!15YG!gNs^F1cD4d)=<$1Zqq;(C?cvSu$36Ara_9q)e}fB(pku$u{Yey zra`jcB%qT8PXayQgU>+oo1pny*ajPrv%soBePhr(csK8tOz?OSsGkkG5(t#br+_ER zq3IS<9)b)4Pn7n9GAgJBK&&1DO}fF-@D!Cc1_lPuhQ8MfFRwt0Ja|YUS~@){t)S3q z0Vx6HAJ|wwq#A*>GqypBQ&1)Y6(LAVs9rZfg99Y~vH+Ty!J)<~5zoK?*{Ib5Ua$f4 zv`4qaJ`M&32Jqg`7bc)2(7Ok`^yd@5AY`!xNGZgS6JZELK;s1<83+wJHJbsf1JrW| zkK}@SFQ51$Art<PGmA}EfDfDNMVw|1Hn;Kk1w;5D+MtzYch`LX4?5cp<VTPlU{$Qh zwu90fNCrY9Y=>AX0C70P(gVw3mO_k!ZU|^R&S8XPX~ByOkfqQyvmQiS266<%dBlbA zrIpC8!4_h1kP!RCuXCca2RxGwjbczKgs^S}_>f&_FM16q-e~T2v6axFeNY_3UDDmK z0qhjW3K~#J0ZI}tGU0Iz3U;tZL948hk_OzPF_1wWuo{qJxY4W+z$QaId3+V9e1eu4 ztS`V)kX2qF4R})mfB4BXe!YYI(?OlheExPG@VqLxPsy1EDr|e8%Nh@M2!TpHP`47? z%6bvB3RHxHEC9zcVjcrxAIvohpzH;m7Jz0#P;{Zi97qrJ_!Cfp<I&B)zYS^f4LSke zIvc#qA7m$31E^R69pnQNfNJaJXngn|w4kR4yq%@H2YgHo|9S`)GueQAx&@q;K!$*Q z!ny%@7$$oqQrLDk>;TKb0vA#TyqLZWHR%fQ?o9&C&EPF2AP$C=If#r3N<yFbBYVIL ztUmF_^?+9<ed5<SiRpTTn{Q}<Vh5TYwyz-U=EqCX-ONdSHw!|tDZ-fs$j%f%%LoFj zb%~%P2TM9&#o#Tpu<(Y_pwQy@#4iX7Bmo#B?gUsAHLqkKTRM9=q6EdA9>EC#JxNai z*ZAN{0laSe#r#F!FodRVgfAC>EbQI_sZL-g8Y+P-MwCS0K^mBUU^HgmFpa<UAo$cI z!%J}UyBi*WEa-&kf`wNAs8!m%1?)=rL5i!Fp=DD@+ZCc0cL0F%7wE>~?gn@x0e*BW zc&sz80WsDY$KT4u16q&)H4xOug641#6I&+h067*GHf+lXCs?D!kca~<Qw0}@pc)Mx zCMeYs{;UP90}(Z_1E`I+c>y>sd$)ks!Ga2Fa2jYl9%0PDu+tvY@dIt2c@e!7#i=BQ z=G=Ou(1aZ34jDOas7HjR7k?`Qcux)Sp}9j7Df{nOLWvV+)gd_%a!@?PiM4eICpz)B zE(C91BHoD!T1ZY5UGn`uR4bO+037nLUKS*!g6^>crAb)hXCEsA0|O)%O3nvuB!(2E z*mr|~QVA$cfLgt#QXv0*1mE!JqhbTP;n5o6zrVEz|5@?3vcmian#TvFV(9vG5EGK1 zK@uRN!Omr!f$Tri#gzJw8SX#Jc_jIdsg|9A6Xrh?kpGM!{(D-3@ShQXYbLm+hXxqP zQw02X0oi|{izxLUJKTSfb4l`FR1K2<bU^;ohWO7G$$wh>t!Ke)1>*h30j}AhHE8L= z@Bd$BeTEKze~$rGOE_CX9w5b^AxqUkH4ii}K^+|s^W_YbHU5yX97u8nr5;eiL=5F2 zh7vXTTXVnzXrLqyD)c~#!AT9)%ZKF*Sox3H&W6-jAdMhfe7YIHr`dqBjU#BwB*Tj} z(8L95nSu&E5dA_Nl%skfH!Kk-YQcH38|D&Fy^OW918vbl`W+s#VMQ${v_Q6kz14Ue zRDppTm!R$Z3NJoDok26tuZN~Qkf%Y;0MRd`<{`U|s@?}12Jtg2&cJOPST*<`&1wPG z+z3$0Ls3la22s~MPy^xREyx}fkn3=l$gp+?D4;+kGMI)Ylzj?*uoAg_CL~8g_7_22 zk7%`kCS#%b5^5D_?-q#J&705)@i0hDcL58$Ad>-QbZP$9$KWi{&1wQ#v<ulB02*)t zD+k@73?7((h-*WZ%ydFlU_nbakS*9ZCW3Ma$ibjW0<u{SGH%-GqXHQoE0qE-9s-s6 zps`F)he?RPH5cq4sF9%T4!uwY#Dr86kab<qP+$e6ad_j5Z!QtVC@jz+Sq++{F#}y_ z1|-lyIUVE|aGpX0Iw-1e1^Vk|NT7q%AO|`RDA2k2ThD<9sk&MBH-l$`K_fw+KnE+Q zJkUW7#uDf(pt%fYEP>9%-wIj+1D;q1g)Jzgkpdl5T!MsOFwTT!WKfiW^B$<lh}6#( zgd`16)(3}H<8e@S1eZWBictzF(5+<;Lm;69R>}%e2^%(o#0+vFNM`5c->mQdUlu}^ z$$}gW^%^L9fS50xpof}*(<!L;3qElXvLOw$<`*;^2RVNcG))hh%z|#4gN6?%^}kF5 z4ZK5q4l)#)F+j|h;m{#CaFmd1el^q(P^ff+3<0H=ZeF_*#K<8egM!=$%CDV}GZ>&9 z2Cy4XeTM9FLNg<Z6f>@T!D_~~ViMe84Bc-94-kl7F5xo+6mif%1^eYZb~D0AF(dmM z#4l*>@FK+wcF<KSj$y%|A#V;)TYQg-0+`;SA_1bi_kd|g9UU?S)Q5nGfUZ!44tXzN z2hEi4Q32DiEkF8fh%G-L3HXpV*i@t;Z#^~!2L4viC3cWOT#&WL8W@^jL*5|uy}Y0+ zTs%5ER)DN*JfgwDz!2>i2cDEgsE3StgVeLmNCEk!MP&xqX)qeSC%r{QmyLm;)C)8g z{W2Ch01Wm%XucSEq*({#$QF<ih$E2|!A6=vXWW1ach<dipo3jnR3<<z2f5ILRY3q0 zN?RbJoyT|12X!!&rhosx!(pR1L+5eB1Dyv!)hAeW<DmmjKt8y(3pDccV)jIEsnyv6 z31iTJ0oa>hGof`q%&TDitPS@;w)H>*0Ccbz#KMi!z$U>>;P69?QKPzd2h;?JDA)uh zkdyO4CL912^<bMC4`#T4j5x^Q(b=QY0rqkaIL&x89{d0{V+vH*$fNUo=b_GnFLXdg zK!z^B-jD#@5d-x`1K5NXhzYD34<O!vh$0;EYAR>~7s(L^z(znEQ2{mr?uZ1i8B<gs z!f;0{M>j&i72%HpR3m0UjerQljYt6*f#i=JU=u*+4z{RdfK7n;;{w=#9;hhTAD~%> z7fK)#kOT9DJHinOU?brE;CKiMizzA)VYnmiPeBU`2`_{Z5vWEuK#hP1!;P2&G6KmT z0zM!UApQsdn*j4i1=xTd6^JO<AD}+>izqY`Dv*+u2Z{+Bpe8^>!6tx{l?2EH<d9eq z0CEH*MjgOLz(e8%*o-ME5Mj7Cu1-cx+Y5p~CP2Jl0X6~V4TVRbpzKkBh=Lsf_Qqs1 z6F5Q<CK#ZY5CJs-B8o5}a55}IAN1%I4d!8D0G+M?$tlMgK&4kVq@eVHW<k%+hmOY` zL32tBj>kc1g2AVkSDJ^30bDFNb{_KSm9+#9H0@DQU;~v2-+el-`E*Z#@}{UrurV<B zbY22wKLIfFq;KcV7dIw;|NrtLbPod9e%1*fH+LR~l;tb>!GYDgMMVIV2SK~(pdQp< zXFzn>6d;@y6$nGH1)?14c<96rtg8|-2~<D80{H~8b(V1JIH1-^K&=DOpZEnJJwySR zbr1%qEe28!x30hm6avux_|u8V)-gN=OF?{hst@Kn29SPGb02CQ2gC-5bqo+riwcAx z*rEbf4!3RvvUO$1)^+c=(8$2>;!`)W6E1)z{6K3-p>{!vWSoI1!0YVI&H$Zhf$Y=( z#RaIz15OVNpv{e--~zQ6K#d2eC}<}l2LnU*78MZ=1_tDv8a*ofpo*$h5q#)9=oAJH z*tWtR6+W<(7)%OeFzCQ4HU@_77L`A2V1-i-LiTI?fQW*rDJnc13=EL{8Z9auYzzz? zJu2*A!=8Xgp+Sd|aDW$=e_#h222$VIqVfg80||mw1huGuSltkDhyX|h=;#5^xDRA8 z(;Fxgbj>74dk@$Y<gEi!RM^0Fv%pTI0vQ77d-bTWf~9`K{P+T51jtaxYNi$y29W!w zsQhOIwK`rSE9`7hxd2k%q5@Li*`jg<!UG9HoC{)gL&PBhNGiH}!0Hi`*#E#b%mfcO zfzPJxWlbrEGz=i=0+vs}m3a3SNL3AM7;yH($`QN`gQiY!I!8)#kgN_i6I!D}DsY%> zu!aFd6kKqDTOu<cIR>8e9gs?JNUaVt0oE{ph=NT3m*8O_6Oc>r1xO78NCgfr!C?&p zh%mea7X}%DR1pP$YjsEo4ynFjj({}`AfgCIT<k@w4S#@*fK(%p>KpC|Si=A!40l8y zx)C1WY8_$(q*{j?0c#jQgyBYbgN#7(#|N+p5Pv|bZ<s$|4FiZM*dL%u-~}hh1mwU} z@Bmds5Jy0&Z@4314FiZU+!4om(1IcWsbK)Az~M%~8U_$yxDm}DBar;zfz&X7RNydw zz#0Y+QLsP2HL4?;2^)}-6{PxxnE-1TKt#bNfRok#ZZvN^Kx!C3DsZ?rU=0I^Fx(q^ zKt>>WLm(IwmXPRxRNyd2z#0Y+QLrPx-l#$|!2zjZ0IDy+V=JJVh85N@fQTYYu;_+m zXi&qziUn)K08}vGZ5S}Ipfn5w!3}~vDj!%ubswx@0O3thdBF;A7(4(o!3~4`o!|ez z-0=y#g#c6sfRfwGH;|2JFg~=h0ZUzk-u4P9SYTBgr1*gKaS&A;q}~>2QGqge4>*IX zxD)Wrh@cu4H1Ytg%s@xtK+Am45jaq0_Y`nV+`UDG1*3|)&&t41S`8j{14+V){(B(d zLht|(sGfn=nV`x6#DvySpc7iyK}R}v!fPW?Wd+(O4HE|GfL2l<qYrgVQMn5;+7R4J z^n(P{4|d45yw?+<?gs@G)cqjl%QDC|SCC7%AvGStMz9S%DxkmyoxsJxz<{*s5TY3B z0H||8*9)^_QS~wxv?{Je1ys#}ZRLcx4#o$ah68oz%XhHzqQI$C0aO%#?k!;lH!>bT zgB^5K50nW?(U1}zv~jBWA!BEc$`fe21||+&O*#cE0T~ztFDRX&0x}SEbRyI+&}lVL zW;aB#dk<I>%KFkCl^d)K44`FV*FgaeiDHnQpm+eC4ss1742fb;RSb&P<B;kY!~{(^ zfNJa>l^g8PU6~+N-H`emv@i`8#n5USM1hP3Me$XT(U2(Ch8(&DG6WQ;;3$T1pdJB< z!=e~u2RFnOh!zCMXwV@)pjZR%I|el=P+}RZ0-~)O;$p}m)H@(8pZ+UeZ2tHkyoVdA z5M&L06TvE=Z5X^Jf)s*xmw*N^H5}L(K%=9eBSJxO4$0=oi^m{!bc+gv24!`~KqjQk zEYJgMJol)afTj<S8K3wCI#v{bdJ=*?Dj(QD3;`HJut((pn$it0r7vJgAq>GDl^x(l zx?qdS309~nAjXUE7SMsXAg6;Ac=S$znAy7p;*8D~XosJ5nj_@)VUVlfg=^yh(52d- zZWO2m;kX+VqoDl<2Ur;xTsrTAwjk_aWnkz$=g~PuWdn!>Is)2pHyh}_ZO~3ORt5&w z&Kt*BR6sXyg92^^xD0kdT*7_aMMa_r)N|`@Q306+p1(ToqM`vhs`|K#iUCA&4%m2) z&KMPo<1Q)=pmhhwT~s_kl0MyAR6q{$=`2wJt@4h5N+y8p1l^3B0a_sq65PP>BE0$g z|Cdvt!-^okdZ2drwl{*Cibx$kP|pkGau5ygfkFCt0ysN-4B?=v2HFElZb9z;pmg{= z;MRf4InX(opq>}VIuH%F4q8pX>I;kx-v>J+>%KH2TZhu&yV(Hq9jJZ*S@!@`H-Zjo zg(N8AI(!=TNY=F?TL<m%G1ehFfdd>Euv!pCgR%(3I<&wP;PtWv*M^{_byVu`Er)b7 zU>z|~j}TO*fr`szV5vTs6eu3Z=<qFI1$X%7gAI#8GYnL}fez(_bpOB=8>C`w1|K>D zl?I7J1VAj(JACuNcGti<Yal~lew+)IDuhXaYQF9sa0d?7;hO<+{}h$!V1>!Z3Ok{N zC8UC&xWhLMY=a<jhtD_*(&2-(mLS;@+&Sw;8wr+ZgtZUxcK9Y%gVQ<a%nYOw6gs*L z?eIaWXIPUK*5QMQf*YjZ4&QQUj$swE0Zn1S+tA?d9rzNHo#6I&JxJXFk6zw))*y9L zAS1JlhrnGp`0lnB5g=ukJbGD=gO$OJ19#Mrj57eK0~t3TtPXA*xHE<@juWP=0#zBf z!-Y`xs2&^~@LsEi8=_?osjpzc0PAQ$M8UxTD)V0~0GWW?(TYIoXo2bmq(Np_M++hh z?_R{B8_@x3T{T1cYLLz)JUCz-Er>AO2q};eNIjGWq>dJ(Zwd1UtfK`H1^WZsL%C6h z8WIZrh>(DEE@39XI$97>unFLZo(3`jIV2<kK}JBl0qI-9y#ecJL4@Jn2nHE}<P8s` zjuxbA33CLjqXiKKI|A$tK{OL8kUCnBz9q~ASVs#YiZJ1PEi5B}I$9UMF=88m1!YKh zTL9Y#?96YB$Rn^P!Ik?Sl@2fs>u5oEQ&bwjy({ntYz3GJ?r4S8eE<K_|0!xms|0cx z8>lP>B|qejRwT+`Ev#yRWZM?#6bhnhL8~|gc;n5$RSOk6TIHZNF{Bla+|eon2}4@p zpz<Bm^ah<p3TxlDKsq)(Dxf|R_<#<ODrhA^prcg^G8)nfzXs{bfD8cz6u1=*;|zR9 zYa+yTpy~$XI^>R48A^XS0#p=qLK@G<TfqGyXn=t_HXtUbNdzgBFgsc>ajJK;3ZNaW zd{BTxq8Q{JSgo1|5{5)EsIvfaCbc?Rxget<QM`FXb+q;yVe4pto72#G8&a*n`YoWW zj<2HyUb~V2>k@$&FI>uDT_SK#tg}T0Qq8c=HbHcOkmi^{T_B|CsS5?5P3w^I)wA)y z4KQ~K*bGDmDFV?!3IKJBz#SwH5DT?~<bcsZ0x1IZfjB@HZ-cHSLhc}ej0H(5Aa{_; z!M&$BDj<vC9VBS)$pa<{vIryz?jS)Wv38Km%D(@9nF?Jq0cnY$%!o}Z`3~7e1sWj% z9hm}cV1WiC;r$hq7Cm^?|BJpNaLo(rSV31zcr+eA5W&E(6I3jMx+4~>3=A)f%aMBz zDE%dg5|}BVx&f>MYCmW&6K+3Rv5(PTN<i+w94SM#4yC`evKVF^tiJ>q@@!E7(Qxaa zeSDk~WHXSh3q`gL+F!a@0I`QPA|BEdhSg_~2!J((!J@Fa58g6Z4UV@d+y*fkI*J7< zMv=^fW+_Mk3Q5u)@I?_2QE-z1+!UTu3Uws6A*hK9Z{?sjg-bx{KyBB@1|W6twkujw z*cYS>)D+$WRt7f?y(z2;QU@|_3RoT7IJBnlKTyg5Da%DwhSn6m4pN5H_DVo%3d2ek zNC3f_!Vpn#Fn}tt7gIqdAU6OfAT@;{B?;Uwu%<9X7~TL3LO0?7sQ!aCg&|E=xDl|X zFhm$`1V6|KB!BEcY6?S|tgz^WHH9IfV1IzykY^!j8{R31Kxzs@8murAU`=6&DA)vW zQ@9&s0&++MAT@;{O;)%!U`=6&Fx(p+AR~~x(SXzxhBR4Wj(|0VA);VMfW5(nX2J%f zrZA{XLZo<DQy3zOFyTlMEF*!M!pB}?Z3=_39^R&K-)oenFl;e`0ZLOC!keO^0dD_- zo5BiUCb%i=UHJX~OP5=a<!X>bh*I=Q=c5+Apdt_CJrE5qi?9^E!nu%)3thy3D0&Sd zk&0dkP|>@i5V<TwDSGGT!AyY_y`VA>WIu?8+fS(Iy?|U6dZJi|QuOM<t%DW4kh!83 z6%Y-#j!@Cd;ea$$b*li$chI6YEF0o2R^BK`(F-Z|;K>fU9t|uCD|#mtz)}p}qL&+D zG_+j{3MojWf{Sx#(F-YEV79?VAt0jQBnmEi6(NOqFY5$tP>~AHRp>?U&wTJKU@vb5 zSRK5qL@RnPfs}!YUPrJpxN+!3?<$ZwP?;(TRtGl@t>|rrDf^@aavfY5TG1N=Qihb* zXCM{5kOC4G46vdXA_@)$aM3FTG6A{3Ie}F4LJCN@UtmQqL>OM+Tn8m9WFvkc6}^xm z5^e;n=!FQwjhF&50?8jAkcwVN5ef4LtmuV^g8cz5vx3k}n1EFDLJCNj39zCUA__JE zT=WWnOhEQV2U5`sDI($CfEB$EVYoNWLP`aA`M(3H=!F!KFh{_OUWh2z5nyk0qnYpm zsptijNr)5=D|#WK2opSWVHpWj^m;$TTJ(al9^Rr?_!-usR{*8xh47}RaDdBOaM8;E zW`c{}3)$cQzdU&bXVJSL6Se3C6?q`<foOPHgr(@6kq#+cFpAy<$VKlD76yhFwmC?J z6Dvy5tCR^d1y=Ne%0Q6)AR2Bzp`sTwYXaXtaV{I#I+UV!a|X;hSkVib`v6%7qT$vN zDtarBi{5x->!3yN?No?8tcO6`1K?9p@MH%qdclPutmqZbhNT$1MehWN(b&y|7QK+t z1!fzp=!J-alPI|8U6uuPq^KIGaD(S6^rE*8qz+V8zEuUOgBOBmMQ=Dr8K~$z237_) z4!!8r2dM)YHxH~1ZX8<C%K=kXj;ai;=zR!DK=8bN0jcPP6p*lBfEB$EQE)JTi{AMl z6OaoW22aGWC!~Oc`vq3?LWJQ3P7Jyc2HprGAVnnH2w2ez5r!Kf2{Hny=+*EAnE+X$ z0x2S4{(u#|5K*u{z-88TNZN*n#0jLL7g9jNOn?==5K*uR;G%ab$OPn&IDl02LW)SZ zH(*6CL>TUkAdnGA-uQr2^g@bAm?L0CFGLjV2(UK<&`eMOt*L~jYfza4&a9vwI((%K zL=<7dxpY`Y0u{X%9$+ncL0J!P(L3V-)}r?V3#iov8vuszrl`DNL9Da^Gr>h~XxjJx zFa6HrEP7Q_P>Wtrkq7b~h=!L%Sc+cRBuK`^D0&5=kXpSrKt=DNG~}`nrRZIr3^N5* z^n%Jjko_PUZa<--cLQ=+7>r^aO3`Zpw+>eHg64ri)`4iab%cuE7s%E<Nk#G<wCGJp zfOw0w+#k~Fg%o@6WCtyJ!J@FDcTOrS#o#S^MIlDRht*KbgeFl)=>n616}=Eqa1sR< zz1omMyq9&B0;ouZ=PLA~ml>oER8|&&)xpb3w4(P;3e?ZuU}bRQ(2L$JAax+)l)>uY z#-SCxy)b2e<Uy{3D?=-K(?H6Q@;V22>jI?6f)tRjV1O095K(Y2fQw!gkO{~Ijs;TD z3n?Jset{Le5Mg+M^AMD*kd4SdDtaMBB-{vC(F+lV8!-=L1d=}zkcwVN5ef4LtmuV^ zg8cz5vtrOpU;wXBgoFg7fP|R<D|#WKU=zSauN24xWN-XHDtaMBB-|UYq8B0z_r`Td zsQ@qkH9`<I2&9OFIRaMnLPWui0DEI9nh6m|MK7pK0%cZ6KM&UGg@__d2u^}!Bv8>C zdK+ue3(9(Ui(c8=Sc~2ZC`B)XH$~+HxV#0odJlk^;G*|d!uS6#FQ3F&^sb6SEqXyk z9@u-}wMNj*2v~~VMKO?^hEeo3AQ!zmKt->6B2wXm+UnJbgP8&=dO>9%$bJwFFAE73 zy$X(?x(K=y=SBjubtpye-dLD*u%ef&wJ{OM)@34F2Q7M^MnUXh)$xK9y^vxLp6sAS zFIW^-^eQI6QViarcNWCxPV{|M$O8_L(gkK4tmuV^f|Dq?=-m(xb)>vBsBnYlD)gea z1EdaAR(_WPse>1SXhm-#NExWrdjYHrZX9~iYY9>ZGHwM}9o#syqE`r}tPxciTG9I& zl7QfO-2tiSg%psmV1O095K(Y2fQ#OhAQO-aoC2hx7g9jN{Q@g`A;RziCk@?*1xQ6N zq=<wY0V{eT!f+#$K}H}Iy)%%CUPuuM^9QWxg@}Uv0WPy1Lee%oBrK4MUPu86GXYlg zLPWtPfQ#PwAQO;7!T`K&46^J2QbfYN0V{eT!f<cIfQ&%$MgmgN3n?ODj(`=t5K*ur zz}}ETGhqT!(F-q|P+GkZQG^LMVqh5wRP^4uinZtkWj(w_@1m<%i{1?=MK6RmMP&uJ zyagA%3&2cp(HkHA{r}5|BRGp*{YccJ7gXe76n$8VUiEND#>FUlKOh&qGeAY}nP}v) z5T(_-F#=`^tmwsB77{9YCm@%Fu_)G|6unMx>tIFi4d}8&5DhQs3AK7pAY1n?3dwiS zqBkoP;w{#PE|8)ZQtZK#9kl2Li^7WDWl^vcgSY6FhZqgI%LF<h326f$7rl_u1!fzp z=!J-alPI|8HH8%7y{t<_K}9M&SD_cZydZU;va$xO4qjHG6}`_Qp?(epD}x({Ui9t* zsRJ3O3swg=4z1{&4pYX4stm2@EdVJ475Mi>P&@%HXd&y|zy+)aNF8#qQUNZbA;k)$ zB!#;eM*+)<Zo~?tG8$5n!i~UDz#f98KUPCj*Mf^vh-<;+&n%caPE>W^LKC76Tv!%? z)FJz-09@Wed<7|+;ZA}TmJnfhVW|%?0;#aP0WNMKjb%vL3`<9_!V)41PDfzJzJ-(o z@G}1bQgI6@nPDct+NlsxgbAy|VM!lUSgyT*wXg(b7QBUJ=>@EX<ph+%62hCJ(g7|Z z!G&c5m<cW{jl#bFf2qA6XJN?{f?8NY>J7A+A}odFuRuuNz$h$1$3el@BvgP3%lTnQ z#R+OVwIvv43aqe%)H*FHAR1nJ5h^Shkay7<pjd}eSc<}}gB6xo>jpxFr3JEe2Sbs3 z2Q4gZ1CYd6VP}zoN-NOmbBKLOptaPXrB9&R2_y?TkPCKd{uGsR7SImT81RjjNGh5w zviPSTY<S3svc4M>ejuZu>-|7X(Ba3RUA#SzUAz|&_mWLfDFd4=4K^EX7jG$8N&qGW zGMJ1--32V*Mcw&e!|uT@A%z--v|f*fyLj`!cALT$lp`<d&IL<p!K6T|?9di<XMo&4 zMI{}qPzG5cl^1oVfo(ViUN-)kVaJNCSdQwjcn9i-L67SA;0w+(oh>SmBm*mokk7b) z6#p<8jn|OF2q2>1LJC~gvVpP#a#@Rf#symOp8z&viV8#+Ue+EC0?(RuBg$l?N(fRe z!=+|GjerQljc5QFfmB@MK2+fX*Z|B!73|PVz<sC!#~VmUAQji3{WdTDpp|B@kVD?3 zgj_Oubb}VpVcmj(ae#pX$WGV+29pE7|A)jes9sS0gtcA(#Vg)=;q6C8NJ@d6-tYxH zTen9g0;OJn@TRB)fGY!Vz2E_6g6jpTfbaib3hxB39Twn#>@|d*3jk_eyj%%7BOkKT z7R>*I%7+#+V5#fBk!u%NX$MMdp!3NPr5$LAFQ{Asm1Q7V)Y8r!oDXh8uL5X+9st77 zY{AGs2~paC%5CU|NRUy`b-EyCH{@uA?jFd7PL$Hl4Q%!-u-V-$U<I&+sIFis(DCy$ z+1TmA!oX1K58l{m4GBSz4?w{N-JuF%zSM&3vV^TZep!slhx!t%G=eVMKK&sM0F{ei zTW>%XmBaYRtC9DjEGpjsYCv|jfQt#-tC1mv2<B>Jm^jr}BRjA_wtd=zq62d^vK>g6 zHmi|sK}JJXBmaUd>4xp}1TQ#;afaWvPeV3rtC2yiL)iIspn3qj#@K)by6qCgc=5ms zyv7)G(;K)u$m-64ytWv6I9TIx$l7Aq89hQs%Zv|z*A+v`aL>jAC&1h(;InuT%ZxQx z;QKHYSYZ1wB|t3HWyYX}5b8cmkWSD_Vw7dZpbe9tf(>K>ND{ov7*zIw_F+O+61S*; zECNY__hCX;5<{06x2S+D0!b2FW_;cgH1rHR-4)cr>*#sIz|h$PK4S&!2=G8o1GwUW zG^N3!;NWBct^5TwdqF!T*ccc(PaXUvfAEzw<HdtNSr0yB?(9(k9m)bav=QWd$e}5q zE$pB|7Gw-mFGx}6xo#c<(B5&7IoA*Vmp}Mk+OhM3BjXRp#s{E7<6JZkId)v^>`~zX zg?7hD&~9`V28PS8I;Vg)alp-+0=BgI5KHH^ZV`j-Jz%dmcK&cY_(R_D;0tNT&R?#K zha4LpK}@~w(D4&&2*_dB9nk#Xf#dfZE}aKDul0toJ?WgHas_nc41{XE#6KUjfsq?j z`uC@UchrGSR@(x06?7|q^8<d+u|A*xZULWA0}%jOiL_;l!5Y*yJHHcja`KBUo`{Bj zcgGP(tek-k$UsIlc0gkVc~r=w8yqX35gX8zpP<mhjFl5?;GOiK`U4ad(E0<!1cf40 zFGvwARzUW4;*Av+c&va}-~a^~4>xa$3dlU9SOFPHM67^n`tB(zAk}CNz>Jk6kXQjx zuvlRR$I43Z@jH-M0l5lV!9yYeDgd$wA^>6`#mWlgSdsHUj+H-<SlNRTD<7b-vH>az zp~11T0_4CR6_9!?d1VPCRzOuZC@P>;HHZlcMW|koB3P_|&A}Thf0!8<T)=q+!~(|( z$auJUQ&d3aA;k*FNFrk859sWIDG-mKIsi3Ro_9`BSpf1hgo4G&Z)OGt{(i`TMvzzm zxvIMbybY`QL47BL1v=^pbix2w0AwXntOVFV@(Q@k-Qk8DD<*HjCD;sT_(SrF##@m3 z7L^H5Q3wr=l@5>tdsIN`vBXLXBvwHEOi)xH?H&V#B2+I(5iC}~=AZ@&S_$?A9xEUg zI95Q$!_Awb0x}ONRzOA)5i6i>Y4;R}M^GJr87mDSPft++QLtF~42~7hDU*;Rptiuy zzydiA5-T7U^tca*0LV(DSowh*E1z5tvEsoR0geFZfo|6wVI9u`Xna6oDIprvsoJ6f z7KL?mR-u?P0oj~>xH%ap=0wCo%mItS%t=Bq=LE7j?r?JwP|PU+?V0c1q5>9$nIna4 z4s@7in%(#RJHZ|9^M)ru5ee}Rq_=$xaxi9-9n8~^E(@ep;lYZ0a1^B33X_5LwjrX3 z-u4V<w5~Gp!BL>L5K@%DdfO0Tcvm?T-3a6}n;?Uj7`<(XFx&_skP%3|ZQLgn!Ft<B zeP!_A=mjTmh`<L&ai3HK>up1N&ft&$=lnj13Gn?)u#iLEss<YW14jv@(E=X|L>Y<% zw;n;gSFlQOqX(t8t?Go_+ur^NYi}DAuXuag^^Z_`+cTL#h0-3C7hoE;Pz%DFqVj+l zzEJB1m<jG}uW|VP|K-w^|NnzZM>YnAnc&0lzyEALz}R`9^O(oMXUv@+Km$vl3=Zja zgW9v;rq&*n3!nzUf5*-rK8(k@?Ob3Dw-%KvpfaiR#LVwM9Xn4tYJwVC7r;U%FF)^| z0+#0d1#N19nqHs~>fWM)WNh<smQD<lT{=&6PEk3-%)l@cWEsdv$L~KKH7~k!LJS5; zfei+=g<c;12KE=Iw#VhK0}y|KYHg6ez>SqXDj-o*+d*b@wy2x{djiE@N5Dc5>p{|B z>p|vqPXYJm(Tv6L7szCYzYf6s1u_!VUmz*4!JyW}%f|0ue}QUOT>hE^@fWBf1UUd) z-S1HWiK6-oWJYI;${w&MQ2ez6EClfvNE&QC$UIQ17M%9Cs2~}O;V+QM5Pxlf`3qzu zs=q)|V1q&R@k{$3V1I$?CtUslorD2BAQ9v*aD}-?1tf~<FOV6XEh-zpo<Q-}8n6(= zUm$6)_28Ol3i!Z9G-EOR1u_}puN5$Vfs91;7f1?hFsMd*`4{N`s&4#UB2W$hl^GBk z+@Jup$6+}Ov+)h;?cnYbLG^+Z!5S2QKzSCmK1XX%ECGcOxS0oHfg2Q{;c<|&z~&85 zmuNA#L19fvm&gLS@x9On(V!sEB?1)-An!wHaIApZ;pBFSpn5@F7+9=;N&&PU30kbo zfyWAn1&$R^DFbpA*t`Mi63qt3N-ZT_q6f&a!flNlD|ov^pwb8AeFzPX6;M08o6If| zR4=Ft1B(?<8HPVrrodwb!~(|(sEh<T3vAv1b%`c}V`V>h?uN`RQ3rD4yVMdnR`7O- zKt(dh`w$u&E1>pwH<?``s9sPP1{N!z5*UB1^uS{U!~(|(sDuYO3vAv1b&0ycvBHQH zD<D@vt20Os2r2-oHXs5Z7E=4$z!ucTJHHd$T)l6Oh!wOh(Ge4HTNt!z5Ty$;1KK4* z>B>w;F$bkfR0B5$+-ZTgttUXcL|{=^SH>U39F#7R3EZ3r6!)xvc8S2EFmu?E&4G4_ znhgneiSiA>p6=zf`@{xLc#xhBtQ8OL|8yP)-HQ&MWn5qe?)UWa@`IFjBUZ<NX7dmS zEmcC4A!ZVhk4b{`gE0Cw5Mg-V#tdWxQr`yoa3DxG2<Apu-v%NI?wNr5HeXD^UW11} z^5H;`eh|zASl<RB3N`_pST>m=Cl=5F4Y1*ENG}MovI6y%Uq558t<e4uxKl$?H^<Ht zxtntV`^r2}B;oDm%mA&-1NDX>lZvozP6J9e2f~}8QUUJUfF~6Tz)WyA=cw`b|1bB= z0XHu}4Io_2OHhXfI>-uY2ZEbBc)B?`pmGMao09<+f;2Bd(%@DgsQHA{%|S92qj?E( z9i(}g0&8A^j6`i-f~3F(gS$EJN$%!=8w_~5ISF7-pfsCfz(NpzfuzCKgBl=6-5exi zG5iH;;6VHp0rMBgNK}7;q`(G)yE$t~?&g52Ts+;J5U?ju{1pHeg7^z04YnRsg(G!y zkc`Ff7pRE<@s|(GUmzn<{RNT&8w~E|WRu*@0asdhx;Y+TPoVhA1uO*d7f2dxJ-Bv3 zbaRl5#qbxXl7;xo0p>4|k*NLxNr4Rp)lx4tk&b^N(9J;`L>Z8o9~)2zfx9^%7PyfB z%BP@40;u=ZJwV+YYj7ihqHfL!D@Y>&JoB?lA5rcT=;naRC6M<aG`I!@^?1nb=0Nq5 z*3B`2#|nrAjulWT19BGFyaDRw7=vSlqHazBayLgs4>?xwc5^_bD#-f~8XPO29uK+Q z9H?H>x;Z-VSOKxXu>vY1LCyl3H$dGSZE&nm)XkAV?&kFAAjb;cZVsqU0C^umgJT8M z;~}@31Jz4fH%A2?D<Bp)RzM{@$XQ_X2B@2(42~6wx;Y1sW95eyB3974Id|2;4OGy+ zRFrPT1!y-1RKvkfN7#U34oWv?D%>1!#|YlcJ^}6KfJI^5lMEDdP`Ww3aC5*NBe*#h z&~6S`6lRVBvN_Oh&KwoO-JA{;u%~-@!=FOBIgs84tOJ1F&Dp65?dE8Jl;iB?OoAvw zbaRl8z=Cu~FuFMqVR$#kA7lhlHwXFPCrEb$=0;dI2O<jYq=36Qyl5sMAN&OCra<Px zVBH*uDA)vWH|Mkla$*4;h6L;8ptd<Iz$e)40(S({)gS>1Su##~Hz!;JxtsIy1lHLc zP$c2)=4?EHbv8!=rJDocO;Hg5_ie!491buO+|9YC_Wl3M>;0s5bHL3VJlz}?P&tFz z&0zowL7JB!X>cnL)O<qf<{%l1(Y!=-bN(<vXLCSCqBbu<QecD0?B;+Q40yUZKbSx( ztx%fHU%)~Te}SaI)`J=#NZlMHV=?@N=;nNY`3qzus=q)|V1vo*=76hQJl&i(U{9d< z>jhW{;xCXi*m_VEj?~RTG8V&Mh;Ggkn7=?qqWTLY1vZ$>ZVtH8!qd%p0QLlmzwUsA zApQbLgRKWug58L24wA7L{z7zfZovEnG7{BaAStlHq;_*aIRI1}Luha#0o1mp>uk;y zPzZs$IUp9ekpRl4AZLNyGC<v&%S@m}JJjpuTvkGq`vkf<p!^H+K7<Cx3aD*OZZ`+2 zm$Yuq8F;LKSm0Ozl`<e_fz2DBZq8|NtWd9;W2=Z9D|ov(pwb8AeFzPX6;Run+-?q3 zFKOMJBk))OvB0qcDkDM80-HBL-JHYVSfO4wXSqCbtl;hDfXYsg_aQVmRzPiPa=STD zy`*(>_P}EW!~(|(sDuYO3vAv1b#r!uV}*L%96>omte|yszDa=_s2H<395GlHrk#{U zHV37fvkq<!xFZ7Zo-o8g%mItSx+je&=Ad+Q(%|NR=WXETz`8kLQJ6WF$mT%1Ia|dE zcXJksgFW5LTW|x?&4KhbU|k6GZq6kcXg9|Zq#S2AXEj6_qML(!+$5wsg3--^2*bNM z86YE&x;e;)EJC^?FgL=wIS^5BCk5QiQA0BU`H)3;HwCmNiWSz)frx@l0C#hqNFzrw z=-@$EHwV(zXaTRKLY>WNkc0#%x!s%sY2<DW(;lqd98e_T?dF`?jkTMzfeAEb30t%V z;Z0Fl!31BlwgAiocXPf=e*gdSLlddp9B^|7Pd8@{sGLFV=F9*KL7JB!X>cnL)O<qf z<{%l1(Y!=-bEd$Wmmniio0lLdu)$<@bHEJ-Jl&iLU{9bln|r`Q5PyNB!PbKsAV}RD zBx5oBh3MvV!2AU=64hTIDX_t0c5}d0E}m{q3)mAV{%QaVLHq@h23rrR!jZZ;NXBCL z3(?J~f%yw$B&xqaQecD0?B;+gEj-<v3a}?o{8a)Lg7^z04YnRs2_kiKkc`Ff7owX} z0P`2fNK}7;q`(G~+RXvw08niVp}~y=P}`c^ZVps0X^Yl!Kp_O~=73n>Mgl0Gf}905 zZ-BZv+2BS3^}0E4L=ojafo={c|AM>^p~0~NYFm@r&4KDAt(%hqj};IL94nww2IMTT zc>~nVNe0IX^}0D>BFM3Vx0?eheL&ua(BN1BwXMnR=0Nq5*3F55#|nrAjulWD333+L zyaDRwM1y06dfl7@Ldda#x0?ehJ3-!u(BN1B4f&JX&4KDAt(y}9j};IL94nv_9^@>r zc>~nV2?oas^}0D)f{0i_>*nzDfg7lx^OjI%a~z=E9F*?KBLQS{P`WwCd12;&J0ghg z3ACF77KL?BW}%pa(#@%dn-hTI9#}UAEDAFx2-zHHH|HD|;cm_@F0f;Jc{@)-x;c>E z2CNH#-pzT<5AEheft2Iy<{W`2Lv(YHk9LN1M=-iM5Mg*Xrx9cXQa1<rKx0UE1m;Fq zHwPjL?xcXbIreBKARlN9>!zU2=0HTjCV;y+fBBFj8Fa`mteXRAYqY39Xyn<PnLLmH zCAXW?$%ov{kzS9rn*)j@yxp9~>#%lnJW#qh5Z)9O2XNm8Jey+yW`esp0=(b<zvL_< zwVMNO?%?U>n1IR|)NYOeSP0U*1WAKifuQCSQa1<5Sd8W+qMM@wYhHqkL~UMzq`(G~ z+06kr81Qs+G{BxfX*R2Xg&_U{NrSBiH9(NMIY`E0_zTg^QGodiWF)G;KvH0X$?WEU zt6V(Y92u}DQ2ZqU7J~Q-Bn`G6RD~mTbC8V1@E4++BLedm$VgOwfuz6&liAGyS6X<w zIRao$p!kaiEClfvNE&QCs1ii#<{%l1;V(othXdv>kddhV0!e`lCbgRb$^oF-7(#;^ z381z$x!oM7UedZbET9kqcXL22a3cYfPeINCn>Rq+9A<DMfqLB>R!&5@PoSFv%D*7* zLuhcUfZEpNc5|S5N$ckPVT5mtXM`Ri0V-uc&H|e^K;4|*jG#F->UDGS*^y%fZ#M^2 z`hdI-p~0~NYFm@r&4KDAt()@&9xEUgIIn=pNRYF@<_%Cc=QB7~sMpQ8$%-5+c)K~E zvJ>Qe2n~)EP@9_EZVps0Y2BPR@K^z{z_9`<;X%#<n>Rq+oY&x3p<Xw~nFSFmXx$tQ zMsNcabWR>hx1s{t%|Yp&{ANZr2c?_yfB|L>xFZ7Z<`h7?Ibcy(_hd7QIVjzn8E|tv zP}~FS=72?E=42zA1MTKK|ND*LaRrzEe)|vFiN?EVAEcWD>21Ke5a`_;7G`KSrx>Ih zXE*0A6IdCdn}d9f0;D^F(anJf!@D`NKt>>SbC53&fOJP-ZiIDnAfn(-3b>mSfo1~o z<pGfH3Csl8Yz{;eYy!BOBgTXr$)E%EVci@^Tcbq<LL+x`HvNYLD7oF7MU2SZ9Fs*@ zyE&jp!rRUHvk+@H=K&+~Yz~AsMdbz~d^YC-m<jIYXfk~N|57QF)NT&Axr3*ha|Tq- zpmuXkfQ2B<OOQ0U6$olRA$4<*jKyePBDy(87@>>SKt`fAFF{gZgURgXfEx^Wx;Y2H zo<M0f?*R)z`~{K*TMuf0Aa!$)jK%O5qMNe=<}Z+usQv;;fej|Jn**+L@pN;xfIWfY zuMJ=!h`&J6VCz9uI8rwU$yf}3A-XwhVEzIbiRv$q6xd)gyE))W3r{y^1=tfP{#pVS zg7^z04YnRs2_kiKkc`Ff7owZ90Ol`{k*NLxNr4R}wVMOV0ifC#LW3I#ptd!+-5jW1 z(z-cwKp_O~=73n>Mgl0Gf}905Z-BZvv%!r7>UDGE{(Son@)Kwfj6gRBlz%}=Av8Ev zKy7PsyE#z3q;+$qz+(l(0>=udlmR&lY~BEMb0&jhg?inbj$g>Jg14IkDt$oShtS|y z0ky5k?dCxBlGe@XfyWAn1&$R^83}S0*t`Mi=5&K&g?inbPd|`j1#dS8RCa>A523-a z0%}u}+s%RMC9Rv&0*@6C3mhw;5+39%uz3U2&1nY53iY}<G2aofg4WG(`~q&EcDAU1 zJ0kGz$p&aQ2P_KfR*0aOgVN3U`59&oxFZ5LX9cvI0~UpubLJbedr-PL8{y_SVD09B zMPcT&Ae#g2<}iFD+|7CO0qodb-rXA^-5f}71J(gR@8-yU`}W@ubU{ZCNIA}K&bO~% zWr%JL@|8u9?g&OV2O<pb=4=KTfz-`GzNiP%9f7$K*3E&4f;%bTZcZVZ3CI`qK)NR| z6JXsOh$z?ua5qQ)D{|z3F0X-gb0BSv78MAM+|4=t2@;^>c5`-r`G$0^x$ji0-5gLP z;qB&#PQ}{IsX*!GKzLJB3c!6E@N7;7m<jIYIDP*1|D|;dsoflKa|cg1Ck0f_pmuW- zz(SDbB}f|F3IsKukh(cY#$q%t5#5{^So0EOBx>^#Bn38@%x(_2!GNcm69M)FO0zix zEClfvNE&QCr~!i1%|S92!(WJQP5{hbAR|%z1(E_AOlCI+T;<~F=J<d;f#NR@un@#w zAZf7mpeh`xn}cL5hQAQq92c0sKt`ha3nT?Ln9ObtxYEMY&2a#G0>xi8U?GUVK+<6A zL6sm<HwVdB41XcIITkQ~fs91;7f1?hFsa=fP!0gq#t<6ZNC361$?fJq^^(@jF#&}T zxSIoFfg1^+d<t?F*t`Mi<`{z;3DoQ6n7>Dq`vkf<p!^H+K7<Cx3aD*OZZ`+2m$Yt< z4m?&sEO4xVN*R!|z~&85H%A*BE7a@eEPRU`D|ov(pwb8AeFzPX6;Run+-?q3FKOKz z6?m+GSm0Ozm60H4fz2DBZjLfIR;bs_;eLZ0D|ov(pt2L>eFzPX6;PX++-?q3FKOKz z8F;LKSm0OzmGB^Efz2DBZjLlKR;bs_DSd^A6|`<n)N^nH6?C--O1I(#w3~y{J<&xm z2c?@M1UCoV5rKDe9zeS}U{P52<mpRf_n>rhPCbLU#{$JYu-P22D9oI>$mT%1Ig(EZ zcXL>ufGz3ey|M(-&4KhbU>yMTZjRYYaQ~&3cPU6Y&TbArL>Z!+gM4uyq&tGq&4CER zyE$iGfE@-8J>)C)Al(s|8)4lXh$y&|0`BH?p_zbu#U7-40y6>D&4Gx5O#pXuJYFD2 z4)Vn!khVq(_}pC7y*W>wg56E_No!Z0BX@JsI<R(gK#_#Eo1@o(wVNY=(#?VJrl@d$ z`!?Wi4g;78?&d^4`}Y53h(D>_9B^|7PdDce1IAYUA7CL!^AaQtZUusxPe|PyBx5m} zmxyl87Y68T4#-H<<|Rl9Y%rPK9B_jHPdDcS*b^wt<~Lv=h`&J6VCz8*5TtGnlCc>6 zLUeOp!2AU=64hTIDX_t0c5}d0E}m}A6R;;x`~|)s1$s_5NE&QCs0v5w<{%l1;V(ot z=MKzYAR|%z1(E_AOlCI+TxsFy=G?&a*A=i3B)&k>VCzAZAW}C6$yf}3A-XviVEzIb ziRv$q6xd)=yE&j70IH24G`NufYFm@r&4KDAt($WO6hh!`4u}PAB!KcM$XQ_X2B@2J zngO&t>M!^TMbOd&GVa(xIcY865u)5D(9HqmUy%19G&oj3ZEJG7IZ(Z%b#souV+F(l z#|o&F0XYk7-T-xT4ufOG9w}Bpt|IA<9h8&Sc0E9j6};UXQ0W8mK7<Cx3aD*OZZ`+2 zm$Yuq9(b&PSm0Ozm60H4fz2DBZq9CStTck}UWMcpkgG_#V+ZA=HI@6wv4Xdo11dW~ z-iOfOSOK-E$?fJq^^(@j*#eIh5DOeDpb{SBEU<Y4)Xmupj+MjUOKc&r0&*2e2TG%y zwAObQ5i4ljoZ?&H25M)E3b-QzZ(wUcPg(<u!nzf1DCVGabF|^+fIA{^a}=N_t${^h z=KQ;Z>>iYE&f}Xf_ZVR9=72?E=4?YY2inasy8i9|OGZ~x8>-+63r|CJ4Jf6cj>oS6 z3qcwPAZc(t4ypi=8mdUfVl)sC4b>&E1_H=P)CK}b3T!aAp{n~6>@QGL5Z6)1pgT^W zr7GyCV{nm=r=hw4><N?x-5jtG#9tt3u=U`=8gcUplCc>60=W)y#Ow^1zd%N!`U@ll zHW=JceGTy!s40lcU!Xfqpyd+CU*JL$PeXMI*b^xJngAAp_zNTrwjNyEO;G_gE76R_ z@E6E+5P$W+`~@-+)n6bfu)!b`U#|QGjxSJC5SPC|cbq_THpl_sVh2w{wFB%46o0jV zg&_U{NrSBi7Z`}MvXG3$@E6E+5Pvnm`~@-+)n6bfu)*MlYWna0|0f^=kLEWX9-TER z4j!E)Di$8yH7XYS6d4#8UVOd+%IY;BvHb>3pw)vlDjFbp4Ug9U{8J8exTuJiaK2{n z=yp-j`2PUHaPa7M(C~oVnCqh=#@`A`KM*O4V+|cm3=E7Q^%fqzygUpbGdsXWHy`mh z?9p4JV(^JyfYoRc8`w~&1_;}O6>-6`i;4os5CzE9${e5g1$|T`KJiDos7UMsU6uKw z<1)A?>Mc<*06Eygqw#o!5d*_cd9al(DjYA&ZhZUy((^y)HeweQh@-n59N-RT=WhW? zLL3g4KJEb8lf&>*?>|x)dNjYO0EJ%xDEu-&)@AHd0)^k^%aHKP@aW#Z0~&r2Ao&P* z`0>NSF9H^R1t{UiSDFHGLdLNMfo5pfWgx;1Y;5z9io>AbfT{rpSB3}c31?97x~K$z z<N`n!D06fNuzbJNdeMP@>M@6o5*6N3E6{DjuUkPXAQmHs0Z&OQ#B#V4%>P-?aLe#u zHPB#SfJ_;?sCa<P@qk1M=)P-kz`Cepc(8&m^J_l90lKr)0;I|UG}gk-z`y`G?y=&^ zxBs8`1zkYV1=fIUtOiJf2C}i>*w64_1>dI$HC6(oN&;jo3*1=6E3jmc@QGi5_f|g} z#90EL_yt^49Kb0Cl;T2E6h84shNuX9;*Y!di9g~H*ewYl6Ei$mCG<c6c^sU0Ui`ZX zic}0YMu5~tAiL24B$DC53cfK9>PA>pSnNZ1z4kI5uVaQN%<JGwE1||BLKNY3HFypH zd;NYNSzdpji{y3YtMJf-`VAa1P)A@1flvH8J}M5KJ}L>vT~ty)mn%Z`tw1(*4I+}D z(qLQ3Ftz~M*w8EA{=ZcG`~N?-{HYAepTZYFQCXt`%AXF+Adl9lP>?@oV9B3gW0CSF zNDVB1GPr=O931%*e6tKR#1W|pkw|Y`z>`RE=TGqEPEcd9<<G_o@Dv5gpH@9&CDIc* zpn!zt&$vsVNF^bEg0F9Zx)EFcyn7yx*Ky}h@C`~(V-X>W$e(TJQM_*7O_tXuAbUOe zBHT!5MgoTn)DfiQ&j@5=c`%Fx+e(J93dqK8y#ULfjc-6>bRM01R6s*&9-UiMPJpWE z?mb|7p9(0irk?>tD@4Sjd;bY=cHN@_YBPCsLupu}#Jz+ERByF_W&eZQB`px6k((xN zr8N*$Aj^(5D71iU*A}py9=*K$ASZNofGuh~0&?JCP}dTnm;uK1U_BrLN`NgYpoSJm z6hbp}qt~~trS7j8UNgLeRG&z0LaudP_*>Hc|Njqh8#q8fuI_elfK|R8;K~>3(C!8X zDUjtZDiz0DR1Sd3Sja8hpaCQh50nxrx;<FBMIUsrF>r$G{!Ski2T=WQ&)*6<3<qSD zi%LZ|N2iZUNjLA7E;fcvAC-b`5HCcfK=Yyl|D0o;J}MR<J?8v9K}-w`Ab)g2EbQ)4 z0a^C_V(SV1sfRj3RLuBW?ZHYwVF3y-511<<Q34VMMO-(pXBQhow?KCRi{UBGPv5V# zesbWSdfcI-M#YrB^%2|%uv!n6){_w9P54_Mg5{tdW<>Sy@fH<OR}AF$<1H$n&K-z} z?pDPU%?}tm4z(WW2vITSZ(R*Gu)7896IkQbh`)6)OdMoncMsS&PLMY{T~u^BLR7T* zTW2FH>1<H}^((p|7I(I&fI2JP5W(gLj0kCvI79%%f~x550jo!Zq!xdx19*JLqj!(W z22hIZMvHeuh(ZH8LLHPGU}<p*I1hj(m_TWAp92E}1Nb)4P9GH&mu?>wl^1o#zx@Zb z$|^t&(~1}Qr@s9MSq4f;pd#749a=7BfSXJipZMbrLYmmBCm^NG7I3NqWkRqE8jl~) zLuzPCyf}O2+ka4MdCB_s|9{LV1I6CUO{h{Y7lEp6gjXSca#4Z0@$^ZE8$kgAZm4B| zQWV&BSVD7ANk9^V>5uT}4pB+)>2^_xKnV&FP*8}xC_V-WiU3eh1iVOrS_6uDP*CW! zL4(2r78C*@Dju*FBP37+j>7^4qzhM|aJ<-Y`rH4Pul~UU1e8RNLsB1z`O+LUK%i3K zu#$mDfy@W<<5Bt0G!K??K@LV%0e(cvINqWH8Xf@Ib-YCdG*AIzf`SZ`PC$JH50>VK zjGaATIb?B;?-!dNGImb^OF)Vf2mWo>I;VgQJl>)L8XW)`cDzLe)b#@~yCIU@d%&8$ zUswFq{D{$^<67&bP9GH(P^rRPTKbxy8{!gJ_G2o|d(Chhl6OF+9Eap45VISS$3f$b z3=9m4ClL7xl;691z&3M&grT_$M1jl&6;F(%hT!zr{s$cVAoqeo>-7|<<3Sv#<3Y@q zmH)v50ib-%4RHd(I*_^DJ>UYT`Q!iYDc}O4`O|;Ji_IVZgWUyI0nr9^E<_<HY_KT= zS%cq1unI`9;5QMZ@TKVg|KLrYtan>Lo$4M){nmI8luJE2&wF$pgY;|EPJa9UGVIU) z{}Zr{2dIMT;KxTmbueT+AfN@5m})@%H4p)-dg1+914z9K?g1i=2k`N?@-TvG4^Xva zajanlq}Fv&K^hML8x0;0=yp+ossXo|Ej(BY%s|$<fQBJJdb%4vXhQ@PKzUZ-#fGEM z#+n2;kAg_vkR~<;evJ#C_+u`9^5EAw<gp)=gcukY8axbtfJA<z@#~%N;CDX`DhCC? zF7xPaFt7j}z{&tJSHq**9)t{kc<cuy2@v*Z{lP!wV26(iZ%OHE2Ezj$-99Q79*qYz zz}iAUE;RD#_E8b==se$fsPmxX4p58YIExAgC@5zf1r>@fZ~ntI{=mcE0+NJO%^2ek z`=I(PJh~e|7Iu52w4UUj0!rQ7CCgvtArDVrjZZa@BZLnl;`0V|;?tlN+=>Fl4SakD zY&24Qg4Dp`6CB8$xa0G|Va)h!X&@^;Gr$o;a(pI$wGoQX6Njl5pCAiKj!$(^d^#UQ z#AiY)C|`iGW;cj{<xh3kcoUBNsm9+bgq%MwV2Mw#(Ma(LQUi<63>lEM(D(!m*<iGR zRry;%3mqT{8<c)Kl%V;H*SLX=!Nc%`$4*fG0%4EV6Ocr$!rx*Kc9!7*!;_AkCp~&a z*+7cBK?9bJ#~MIJbbj~fyoQ<6^uRF#u?~`tK=lA9<0|vFc7P29=lEj{Cy@R68PwS7 z>;U=oB|o^q4J*o!+v-aEEtmg6+UiKsu!i~$q+E*dWoSJvUn-V3f=UvY4|PBaiSVJ% zAyC?T&G1qi$v#k!Af+OOlDn^$g8k{y-GC-1&);(U-~azF7r?Ybf&x8C4M5rrKurVm zZjD@NHK;-b$)kp_EPtyhatQB04q<TD1{%U^!3`CpAcT*@%J8?u;tpXan3Fxa8xSG< zxfWLlOQVMHDUd=ULip7IXb8_lvJWj3rQjiatO4XtaPXl9ha`V1s2>SQix$Tk4j>1I z3sQoc_Xk(CAi>|V0e5grg*gvmHrNTMS?op)uAmVwDMwCx>p=>M2%7WzK|%BK5!7ag zhmo_07=H^dv^$FwzpyOw391j|O0)nKEm{6D2UL-HG`;~fc0uC<pf)RLd;rvD12wKe z^gazx+2_6oRQBxww>~|(_cOGC%Aq~b9yyeT6@ulk@c~d<7t&6FG>1`!-plw~`M_N} zQ0o_D(XobvHgN3*Y2zZs2*8##9sxNLJVt;}3?3r@aXnaJC1V9Bn^a)SMy33%x1qTO zYD0I!3{F_#C{~Rt=1TZm%)kys6pk;eKyAG(kkDv6HUVS`j`ERjA1DH0e)M3?5Cw%C ztp5n3F~>5B`CFf%x|a0-FL7Fn_*+32y1Zrp&Gnd7urYu-oS;rVq%-%4U%*Et;|1&9 zZ~qNXg07(iMUzK2YfTjggAcUD3yXY@#^V9Gpdgw8j_Q5jTBEZ@C86_s=Q)qg5S56| zQy!qk7wF!_<18v=pj_4s>K%5vsBj#2Q4t6LjUKqDNWf$wK#f3!<1Q*1pw99Jh8Mki zKmqic;pG)@A%&Dukdt{he+x(+l($h;z*71)sBUm-K#o|~N?Z{eR^o)5C1pSgyNQZa zOR$@v(_8Sw1WE=-bwX&#o!1ND5#QZ_CLO}x^5pOT|1X#Qg;a1z-h$Pj!Thb886d5B zh$Y7wBG9r3bdC>{MPA+j7i-8W5%~i-M2ta60&6=Uh`$At7?4AS4WyX3P*L6uipiIT z;4%lvJIL`E$lsz5Ev`^iz~WI5+!%m(!UH4F0!rq+O!)u*KPY9#l%l0<(71t*ipLA> zU67Ow9?)Q&TaG7Lhp1G*)3gIDO<Q13(+;4Ni#1JKfMk%<^v<2%{=ZcJ3z@|9Xntb> zn!hvv&0j+1clT+6%GA%>KxHa;&UgO=aDiF_ns)^eu%Z+`|EmBgN5K<#Nc}xA<o2Y- zv4#(j<N<0R!utncqnnRdfafrwYQR;O2BOr2O&(yDL!!v-Nez$gh6GSy*UjM3&3hRX z0a(+K2xfb78Ax$=iHe3t<FN>k5je`rdpkgh2$av!{VL4g+72xdT~suVHM~IfE3`cc z@+-VOX#lQokW-%!a(fak4NH2k_9Ut=pO)Y%KLk-*J9|J1iSXf{?GPWr+mj&sKtY03 zb_l>)J6PoS(b|*Hpnygxas+9CT!b}(_)uFTj39+XINcHK^p{THJPL~+<N)U7Z;6Aq zpD+U08C)`e5@&Y<numE{t&s^62(?GFKzV2TW>DUN7ZVEYpsEp6?|>_x)&r2S1M?DL zNJ>foXCG)A0wjb~_`phAGyc}M(DVf{_gF(kJ8FZ36I8u*c7SYqy&hJyLzE#GwH5LZ z*F<0+U4v=#=x%U;stoYxE>Q^p)zhFsrCp%f^o7e-$Z)C0amXMvXjJ8RiwbBJ4TuS9 z=R|Y|uz+ZfZVwjDQ;z&o4|Im87`Sw}sOa;z#z8&fq7ng~dT@cL1kFM?bo;2dbcd)o zfaV_Ng61AHK%<1}{Jo$(v!Kq#aY)MqWYO^!70{X&5VITNlI|X`E52Vu9_3f#ZxsjI z*bQ+bELc_fTlrz)pvtAY2W%W{lwYAEL`9yzl^t10r;AEPH^kOX7nPiD7nKaqSUyP9 zMI{Hq1dDe2sN^6<^X2$k*FhR;mppn|eG5Ttv>s?1?O=ffC{94*aWAqrf->OCuh13) zxX}xp1A-?8S^gG~JX%ueM$8gjhYSmYnpL1i5j0{z%$Els<IXUtm!KX6G(5rbpCR&~ zzyR@~;R|BEyaCQ3kmTpl-2hKWSdxs#aTk>eP^27pQK<pZpy-Y0_JAgc02avLai@=p z1SoFB`CCEbav%@E5`+y%hX*)qSakcS*mQ@eSb!1)crr==q=}!uXFj+A@|xkei%J2= zmg6oeB_O&RG^6FCQiGZZ_)6<vGjzMC)WBk&x3mJRHv^>SxQj{-i0+0Yu5KTd0>u+Z zk=^a1Qo;!m07Y&N$OzDg8&9bhC`G>H1_hgo3h2lIkZWqd0hapz|9?<GfH@Hm4(Kof zhSyyn7lVXBE(XyrOF;w8E-D$^E-E=-TR~~72i&lQj2VNHIntOhNE(EFR0=@yAu1&p zk{R82^=E*RF36kM^k=-(gpD1~%)^yd_pkf*|79SwB>`Dg=F#{DJQvfs2Qo9%xkY6M zs9fsa1E%+BgUZM0>p<lrM8u<e{|a#VxCcCW25Q@bN*VYfseD*}4I~OKD50}?;QA93 z4WQwiJpR_x;7kfiiy#Y+H3)P-i$@R_(O&~w)_4TuNN|4*BnwdtE+j!*Sjz)ao`6gQ z<rZwcwp{+!NhsEOu)Y9iWylEq@fPsB9Vk^DZ&3lQR0c6Y27){TG7vV=0+K@(hflPC zBp`VOI?)1}_rfvDIR$K6_a3lKXcH|Npd6FV-&zKCZa2g=u+U56Z_R^=gF3QkbDaqt zAu93wt!c<gIw8dXVy+W3rO^!$1eJjhRySlC2qJ)_0y;^9C>`VYTX%p<M{s5mhZZ!T zc}Q?HBiG`w{4F4PP+0+)f`+MpwUmBBi%xJ<b~kW<A_UwdypfHou@r;a*;)@$*bPm& zjmH>3Cg5lho>>Pju0hKMLGcH*6Ql#F!4wVZY(XOpoY|*<N(;y|7Ko2LZC45PJJ=+6 zha8juaZlTUWI?G3GB&3Onxk{*IM;d-6z5T}l@2gPh`IDg=o}lUR|-m)(0MNq6EVjI zs(`?ASs+!==<bHh41(v_ps@;~K*<SICPqN#*!Us71epNxC3ubv#(_>eg2Z8SY#<A` zAqfVNx<KaQpAiGAfN1OP0nbB%$}`9mB~p<HRRK+xcxUZE4uK|R{3e1Fg6G)K5+f)e zK&4VRe=F$d28b*uLXR~tpp{C{E;6W8dYStBKjiQs^-NHSI|W=~9%}+=VesgNa6P&w zWoUsK3y?7nP;m*Wsyjg|$vQ#(%hju(lO`45NiYz}>z|G?X>!VA7buP)lVIR!u?x^i zuu~qOwI3ecJz%Rn8V`aJGHBl112mge0G=|u)*Ye}(CwoVfI6wwyb_cVKzRV3Yhl6Q z&ff~UdJH)jIM9N@3sgsRc7TH+?iXkP6g6+dn?7#*E$g9ecvKaz+&}RjG!S2<BL^bL zLgYYP01ZS)K@6#TIzeNUprtM^(pF*yB3BxvfoOpmh@f_^18N}Jt$^;!Rz-5WNB5)~ z*j;M^iY|>8J62%2HYSx^*RBAScHJQ=8mO){>Gn}EL3Qnf<xtnwry+vcqkED7cv^M} zN`2nxqM`zFt;7p1EUsObLaA#dP+hA6THb={+DFU2{eL<CC!Vw=&fgLRAMb*vVx(rZ z4N6l7+~C1Bexd_v<7{3EYU4o0PaHZxITkc@(hVX=7(YSGmunnrSOCd1pe`zW`~++? z()bBT4YacjA9cn%e)0(1ga$RXLA`kmanN`wX#8Yx3a-jhgulfc+!R0zIhTPHL%Zk- zAR}<pmh+ZF3JH)GpmiJ4YJQCALSg<^(1vSJo8UDAe7+psbB7lSLdf&waA{bH0GlsI z_221aT>cX*u}2<bTm(``gx?M=1NrS`D0KJ%WE&_bkeUGkCHG%1hlMnn96x`{W6(U@ z3TWX58^3^+p?v(UpsEv8hJweJX5dH*FW-Y(KX5hBw9wsvh}nxtxO~owI`Farq>u=o zpI8d^c_g^y0&_TWlZ}VJ#T7cPiX;t-A1&M=23pSmo(2NvH4uR<zv+VVoAV+>e!D<+ zegieP;bE-N3GP9F5-U8vfsIDWZy+_$FeWa)b%FC6EbD;ES&zm;8lZWX=0hByNecx~ zeXHTocxVPlu)72_>T2fEc^z|hTVN45+zp}O2T%C$d?CW$0+NJy2rdl^cQH^04?d;7 zI{{RNLxw=XHsi>`vlo8*|GFC#6<~}lKJ-BGv3UU^J|a5FE&mYf5j2i9?7$KqV55=Z z1Ehwe_&}^j0OeS4?+x5pSR9WlmtYw`E(0ls=9CAZ`V&Xs%>&06a(uw@H~RST24ufN z$B#jNrONm*!k0(maQPB#{CEyXArU^@14<sCWzMkm2%t~}1qn(y2wjhWMGnjOF(@dY zQQF;ra5@*rMZ}CBKa54BY>-Pla6}Fx*y&UmKL%NY<YCnDW6+@{p!sZ2)drf+2Bkny zJ03*u(+B09^0}bA)1m?r@#t=N0nR><u>?>98#Dv}%H!Y_XxSxVp!5Wh1&@z39s${K z7$OR`1T=mKOK4gAt#85mlR+kdEI8KS&;>5%p!W6hLI;vT)<Oo75z4@0Bp@zgj07}b z05S_gW6sfJ^0$J9j3LeeY3=R+oi>8Bx)dbn!3tU(0h;gy`>q?}ACGSE_HvNX&^~A9 zse`}d55AISym;^@>%oW2oscfy@fH=(*(0EC&G8l$koh1c%vsQ0T<5uN9s}s&)z0e& z|H~hIFYVZQ!IANYW8(vmb{EY<jvW^}eN<9hI!<<nsHA{5mV;#ACP5anHXmZ?yw)vZ z0A1AT*!jcp;17AngD<2VJAb({9&&7a1k&ZAdEKGoC)f~>ldxOe{NRD(_Zu#q2Rg6y zhOj;CoC03I+6|#vFY(Vm*x{p+%-=r?JU-G5@hD_O1!PAz#JdmykS)hsR6s!q^8fJ` z70~%BASP5_cMmvlP{&1+_*<F5NdjsXEU6^&xBiA%3No_02W%W{a6G0XL?xQP^)s@P zPDrP$8)9)MWKlD8zz*U>P`ets)E*)L+CBhPfw7q&iobORWC?sXG<C9qSNe29k_YZs zha@6cVniJgiR5oh2cKpEid2|F#HdIFe`^F-8a2pZz7FXKQ3>X64M0}X30ap94ZhA6 z70|IN&=u?uPlARUyCLEb0VEZmLq-@F7!ZSDLHw=W?4X%-L-6^)knCZR3fpQ4@jJ-z z5Sa``P@BC6Jox9)4LbJfh1yI|%K+4Fe);t`q{jm<2#_0Qf&48Xc}U(wRsm}xTn7zF zfF>;FM1Ts>9!QbYco1wScmeT?T{FJ@e?0@bd>hwhY0yXsG(16@rNJ2*vR<e2zhmbQ zAI4+db}q0i?xN!3g1lqjN5#Vhd`?k_iVt`zGdSH(0jFd%(=hf(gI2eL_DF}QxXc7C z^!GsC9UY?L0yYnnZC);dtOy4sbnvJYJ7f+8#)sx5u+$sqS_Vk?-vG7KpsT`<L-zH6 za@_G270|IkASNiTAOj5y-LMf~kQ}l&e8d+dfimI?S}S)PlEOfS9fu?%5VLy<cxO}h z9<WVlBfbux(La0W(t41guxxDy9rgp|M35=a{RJRqH>B+7hAb2Z5Bq^sL9;V7p@N6~ zpt%-Afy@OB``JQ={q!LL2}<&y&;k$p!8lOIgT!IOejp3DAq54Z^Z=RL-2*PjAftJp zatviO53B;B4eDHoLQuxSrVwNeeiOkepyd}N0C1QHQV1UQ1DzBO9VPCDXN>|-cI@l{ z@4Y$R0v<>M1?}+`70>}PASP&h4Kf<b*&WEz{D`r03Rn(VT=4s)=0}X(d%zN$zd*C6 zj{MtxbiyW0pw@MFP5^KDflTy(S`pCEKnu`%4uj4R6`dC|r(q5c*#@Hxxa<Qt3^F44 z5wtA+19U|29B9y{0OT@oVu$2>_*hGKiHZSeVh*(Y9d)#&Wa_v7FKd5;H(7wPD>%ki z{X*eGYih7mKXlL!Y)f|o#8;3Ja_kivDDQxa5zy{7rVymvZP3}`R#2-MQnG>7adbj< zhII2@2nO$Q%Yn{9bcd+qfMyowgQl7lK)FVqzyBh*n+D#30?L1&&_T-3h<pM{m#DR= z9DggQ&;jpg0m*{02dD~{g`I|gBQHZL_U;~VmIh}%P^N*_DcwEbEDp|kpcD?ROF(9W zvYt$7Jh-r5^%ESx*vc$p(DWlDdxHa98Nvs74$KE_JA{^AAU@QyAm&SN(9|TP0OE!e zK8Okr?3f;K;|r2oKxHXP{sXIkXoGqVq7YOhVN(e9HC_|JDj@E`Zz4$H%jeJmVc1qR zXwGRo2+OFT)B)X^290J=*#csMl08V)qZ^S?L2}6A@QezQK*^|}VgjTRlBD4o6;ctv zN1P=<8C9S&M1|+Yhe?<jb&5Z=GO9p#h>AqFkBS6pMm;_8+y9rBzC%`nLDsi`PnX=I z0=lpW)IXU4;&<;+0nz&mK>d^J6F~hFh=@n`{(>%0_kNEG=x}{d5d@|ogPbiYpyd^? zDO`{QxQo)=0x=!YG3im^V`N|eP0)ifHpr@D4JTmz5)c<LgA2B<@d)UmCh!a{NEV_P z+&ckrJy;b?L2hbM0UeYNG7&<<8icS@Ft(`hf^3CM;irJdQIK4QXesrmfCgguTR^M( zAVmr|a6ryS8Xg9%JOZ8i#2W4oX)J-A(s&Tubb~aOdi!Bp1Sfz_#0@|2iC?fq1;hq9 z60Dx}L<-31&>ihPlOY;drBTgf05u1}W<qvwrA!7zNeeiVV5fhyfMW!-%m<W>K}%g* zR6rL6L6SB^7W=f}78P!g^IFy6jc-uYfz-m<$x~Fgz$!$+DnMIU85tNlTU0>T5OhN* z@H!{{Z3jX3Dl#&FHu!-q3Fw{zb_3@H{;41t7Dfh!jvf_euwjp3y%11<bhdz3Q*=Yz z+}WZ6T2s*t5o`wUrUfmw=!S?x1dvp8_kh*I8r4%&n7}sFft&5{u<mXUFaiZOBrNcU z6nGo;L|=GC)1v}9<kX>Ki^^}%sb8%-_&`l|kkO#9>IPLepvwcgc_V$<7&?1YKvw{C z_o#ryrhs|SN~cE!bf_uFAW)6P-`@o`2wZ=F!U41|m=V!En4<CtY+VUh705bps_EVV zUXIf%`r3z$!SEY+pYMzH{onp~wm__eP^||X`KKIk>1a{;2r{MRnJCE7hL;QvICdWN z=;dAO14_ADAjzolSOIti*msZ4bKq2lT5??MhjlXGu7IRn@ZkWEAO*>Qf&+0jN{fmG zxIBR+)eQI%G8mcl6TblH#FiEn17scD4IJRK-=YG#8Uj?_fan*Sdm$NR3ph7{vy}!T z189{w#Kuqj5fFnu@yE2NK&0?zJ;4dcCW3qd4r!wO_=#Vq2khC-9<ZB0TUenP5n&i4 zA44<y{C=cNjPNHU03qe;i_<;d{)6{Ob)Eq2Oa9^k-j@vXV<g1JPyB)q1}J#Iu5LW; z(S;Nmpy_0Y_#u!u#K3bty{x~5LHS_|xSt1FZx61#I;Vguc%RNwp!y8doC6KifLa+Z zeEPrrf0+kq*MU+i$b&E6LfU;WKGfr2sY}rADA@Auh6zF->ri99n^)Zn>&XCnRIY;( zZ7V1PKuTdysosq!lCFWJ-+`NMpmm9i44{LMLEDHyH*tZAJ<v)wkUJoSA?PM3kSJ*7 zAgF}uQ30t#Zq7|nxe7LOE7(lX;z33R22iW(U`G$QMaJK{3M_TJ1zcKzOgj!Kl0Zyo zxd>W^#mK+_ZoNSZEl@cOT5Jdth8A5Q3S|7Djwvda85kH!v%u{-bx44McC3Mn1+C|U z`r;*M_5{<fAX)HGG1S9gK6nLMi%J6`SU>>)ma>I3@j#B_hBzNl{DR!n4N1b#!W*U8 z29}2Cf%>z1iVDbJ3{@{ppfxNs@*ALKFDU;WZvkJ^1NB`A$Wfpr0o^U&Tn;XKMLm$p zUQnwNRAhqBjBWh|jssAx0-1$W_TF_TRQ7_JZ6Jdn$DD$U1%-Gw#5vtPDj=sIYLP?W z)UXY19mFTFvNzuyQT7^l;Vyd*f=p>i<UlQZ4c&<^dqcY*W$(*INY(?bDg=eu@fNUy zLClvHkQP2n>ZJx~z6UgxbHWW|Q4h3`KUe@RPC<)L!G-(_$!?I@pumLquAu^~08yYr zg<;VL%7g@~PLOdRh28L_pFQBNEhy;0XPLsco<i(_$01xJs6xeFht4_H*#lnl1~Lq^ zCxyQUR7OGL5mX9c)S;U|G0=JnEgo_B6cWANQ^3tBSlt@jfjfRTf=q9TW<!o&(B36b zHP(0#T&Z?%0h{L0c^(|-s5NVO2Pg(!%0t#qfjj_;P~>7%1roO~sh1+CISYG?Vf9CM zJJ=tfrPbXnDj*8cMgR?t^0zR9TV=2|0xAAj-wyHzC<ehvhUl6Tp@UkjgQ=~^bvZR! z2cO%KO+>gD+<GUX1w?x5ps}5B>tJmQO6%a719e*mil7wSxdnXW1*mlZ?i@o;|A4j* zK&uo$tpm`A?+d;5Z~tEge1eQXgVR7aN**IvY;=ptJ0X?&pz}09nS3%RgSFlV&pd#F z9@IjDml)l=&mGZAeP&4e8?z+_>aRhXj39HsPGp4?h|o3}ciXrBFW*B)r@->v4WJwh zpWd6IG703G7GcnSxodx*2X6Q{qLi^nUBIqJq-Y$#EYZ}2oPep3B_6aOn}`Tc+GUBn z7NoGm(O{m{h>|4^+fp}67=fB8om;@ey`U@s9@y=i0$#We%@Uv?S<oiDiWhP%-~PXJ zcn?h@2KKnpNLu~3|1VEK#?e7#{C`Ms4{9`mm@nPHi+rG6D75q#qEgP^vhU~r|1TS% zi`}rL+7Oj8{+3<e|Nnnk{T)1J2(kvfmZ|!PBK0!sBh=+>b|@}i0d625S`gQpP|E%8 zh7Di^keVHyE)bn>P{V*=Q2^2hQiy0l_^1?sMr-r=TiL+t(LgFtN&=7oSPR~^gO3Vy zaeU7$aC-n(&pSjVjlcCcSP95rXsBZM8rG^-p#fagf=2*QyW=h@sr)S_WVaXG8$jXx zviJi^bRoAFpuGfGB)^nGZ7-1EiRgN;CqNAawDy9FN(z6=J8+pyPGg~|9^?sl$PQr1 zm{E&dj!~mzJXVivBI$l4y|EBdPq<`ks6i<iS6WcFWaI=l7D`kiK+{DLprvgA-~!P{ zB>+?!db|(-m2yU}P+AAbDUo22&@IYn1#cbrsJMVi184r$3*a;e3T2eipqux+B}MH5 zNHGU(7ksY$_Wz|M>SzW^yC6ixiN8e~)F^ldYZRDUq7<7*je^ojr05#Jq@P=boKUHe z^iS6yn+S>&aKTBmA4yO8ku^wRiK9`_T8WbM*O^i`>4Q$#0?q4ySNvOmlD+{n=^KEO zzQzmDns5JKnm>nDr|Rao(nW0fxBoBi;%*3p;%x}1^S3+&H3U}RZ3w9Gx0t^A|NrH; zmr&<SFvI1Xb7e?D%X%RUGI$5dWuS<K(4Zk#$gnNyK(9hPL^Y^F2C0V7$X81jRDkEd zTEOGIpZEn@z@w+1_yu~vBA^**{^<w#w_WU<f<B<y4H4<y0-jv>ey#Nr|5VVVt7<7H zXc*w7{4=Cdh84M22^ug0IR`=`91j{H28n}HE9|Jb78M0hq1L?zOuw)%M@dB)#-LOr zAQ}Ot1zS`kU<1$~!#?o~%z=u;-TK5Ia~PbvK$o|D;*ac6fiMIi3~a-;AO$#wZD9!x zybVGEl;8xQ2~Gf%;5c3^sr>f;<*_IK|G)h34>s2aTEOek_~r%3OPzaE9)RgBDmOrM z_Z~34&k!`<_q+rY?GO=<?)^WY^L?P<NRUgxG;F>Pv^4-W-v^R_&G&ThoPhBrZ@ zr)Hp;w|dApF38Gb4FTQIb%-D?V!jV-UE>juGr{wHAX$iF@O&SL>%scM1d_TygPWje zgV31seWoB=QRn;ME<>L01Kt0NJl}_`2(~y1G~WlZ3*rLEiTf?!VPKFbXe<>pV+Prj zhnR5$?Fi#<<>dwq4teylHittF3ONA|^&W7jdvtd~jyCL30R<r_)<HD**wW4|Dxh0% z!4o{5od-aRra=4`&86USwi7bG3vvL&Srsav)nQw}qrM)U$9LL;BGjxL6rn9p%Nig@ zq_#jU+XJRwB$YsOBP63j6|)NXAl9Kl0vTqb#Xit&i;yGzmx5AE?;aJ9ufXXXH1&sY z)D3-vA&_u_g&QIRf-)qy5CGW^E(AbkeBzJj0S^g(;*UHC4v-f!3&B3`-2%1^lpw)A zZ#@1&g@FO=U(k32DC54cD}%K$!Ae*KK!MW<^Ek|lAE2Tz?}5S|6q6n-ojocC!0`n0 zGiW_mXOGGes32S=PxlnC%I+;-InGlczeCJp==R{@JjV$>?YMJ_3h0_vhmJie(%|{Y zd@fM3I1cF@KnKG?gPtHJ$OxDP93T$VJZ4bu1{BaBF>DHUf%=S{*R%~RKRWPFKkm@* zoY8@Q+xc!tfH`(vaM6ZX=wf*cNx}E#pA4WQnVbJHIPy<D=)!RxrV}xn39^<MGO5|w z0}lUg_(%(=p95O&1?p8YfD$1roEf@3P~7hU^1uc3AVgNuc}=^31H<(oE!tDS)0>vp zkQ{y;=I~#*9S+iqa5#vE;qWU^heL*lKt2TpFeKq9sDg?cXl{B@3`u~j`TCHG6Rf83 z-~_NDM7Q`rF;cE$UBC`90um;m<tI?vK&$bfOptZ(`0Ne<oyps6`dN>S!SG}ABSy}P z(AzwYgOZOFIQc-P6p-|Fd9XA*WNbOf-|7vXZGc(?vH-N^7BcAtO1+3F4oR>{CSc`| z1*l-}u!6k|9oU4p3YK3sfbvT>tgHepKn3Tz7Z-|9a$9%93~+!D<7M!KkB%O^ao?jN z!pOh?8u}9kJFg2oZw>P(c<2w@Bjx>~%LbZk2VHO9-2)!Z?w+Co;(;dH!4n0bl^h_0 zz$+YjV!#H0yZoS>4O)SWGT9DU<=_TZ1+oqj7O=7&6b{fJ1?5mYargZa@*?CdDxl60 zf2%3nT#!kSG6r(eIpjK5{#IqM3e>oQEJbcn0k55y0$n>HimVW{8Wj|`E#T4zbP_p) z2kQSrmP&wF(4`d+0npeIV!9H<LnH~v+6gxaP}zhCgdL!0$0$4-prW9{3AEA;l++-z zt!EHSP=1337%Z28%tZtO4GLPwYK=Y6)f&6SL7suMKH%0O7dhPl9H1mK9W=LuvOc4I zHdrf)d$DMo1kwn)=M|LJUV}!6n^G_|qUaNV+Xq@h2h#Q!q^(__2yI~7K&uTw+HQli zHT@EU_z=|o1+7H~rGOq4P$VEC7Bt|+-+BqG667IB>V`!jBwS#*92VW6h{aS3tHmL* z0w4t-A)jvW`F^0nb0_FXz0Px;J>YqBP`d@R0`Nt39_S#U7VzvZNEgIXXuS%`k|06Q z9A-DT`URC$AQ8ASp6)H+nigarNRsm$NE)Jn$C2}-3+FjU{;7vLw}97Gx^zrYIS&e+ zRx@yFCbFgl8K(`Zr69clNC^hYuHXm+$y#&pPde1_h>?HWDbRUzj0_CcTfj9FO!!mt zPX_*}hnoL^>r@AhUl7HJsuX0jHoPhY@i1znInW#g@*z9`;7-s6*Q1byX$TiWs#>rU zJy6^TQb?*BL3$8w1o1H3xCH7(NUaI-2FSILgyjG(8=$r3rW{c107{)N3%~sT@6pRz z0a|<nZ8U-wnt(MSn!+GIfSSS}8r<@O-W7t}6b7yMd{L1Fu8%tr<IP}`SYb_Ja6c8+ z6xPl`s+7C2H-*D9DQF7M%7k240LlZjZVLCMfqhP(DGXYe`ocK-+y9rJzJN!JK~4j; z5uvR$C=*mjLz$pL8pM40;oJZJFAqUx3_zI^6xq;P55#=^7#`WM%NQUnWza%+kOD|e zum{QnDFzi7;M(3!4XL&VEtLlqWss!-)8NDVVAY_E2&wJa)Ckq~pbfnsgCNTSKrs(W zaosH{J0RsD$SH{O5V9;F2yPuD-C%3^K(e0&I2}T|Je$(d;u+L$0r?PY2x@R33B&qc z72x*o6mUPy2fEA=Y&tl3clLlU7XTG<pi2&398E?pHTQr^&lelgAT9u9I?&p%3T4oE zgkXybq~jz2S!VT#UtkJU1ajRO*p?T;DX^pi(vH-N0=4eI?Kw~{3N*X>;ztH3=e|__ z22MPnfCR-SXoCZEWzowykd+HCsh2$v{sxdbND|lrWqS0ATB<;Lz#!QjpbPvuk98h= zk(>m|ms6l!)Jc$wZXm$}I!Onr9dvRIl-b>*0x}d-LV#0A5ew%>NB*e?K+XnDMt4H) zR{^bkgiIe-fcIE|b{ayKuG)ZOpa&cS-JsiAK;u{4Eh->!{`HW-sLmD@(7IzJYa!(v zNDv;WtS7+jT<AdYDWr4(SJK^Z0jvgAPD3kMMEL{q0>~?%bOuTeAU-&vV95b=(jF)| zfan+75+TU}l8E+z#~@xTOhHW!nTpWl07{rhJ?l7VKRPf8M+%5gMe0X`CNp1r1En<3 zCJ0cFu_j>i3abWG6y)+j)DR3&sR7+FRL$SI0=yQOs3xF~N(pFgp_sqD53C6kkD!<V zH31+MEXbE29;DSc3AB|Y2c#vNzbO_&3%JUL>3}u+d{k0E8j|_j^@z{_wjl<jA)3GG zKX?%~s9*zkWI)9^Xs;GhM<zriiof+1SQ*Ie;3A32@rKlt0$BkI9#p>?UPNly!<2wd z1%y-+;1Fa1D+0CUx*@|Y-BZBb7|x5x=7P?aL})5t;rxW?4%ete^0zJoPp2YlLAM98 z%dXQ$B?J_X!Thbom|9SrAHV^28mL%9F_#C#gT-e64_FbXVga3_1gd7HsDOBA?nlv7 zz=P`kApX{e7^%PmMN0q=-2FZv_j~iV9tLXxrGswBfKKxZM*b-WJ6%*<I($@|`CB%D z6+m+%sNBTfF#t_nwJrp!1r=|s)8+7vYrFs#)zBggp6?;C3loKv5s*-V6?<sSe264e z5R?Ew;R-PaG-^iVm|BR64Je0O^S2s<y?~T3kGFsq-h;ZH$VMYaGPs!!%0ajkptkfu zDoJfcgY+O;(I6g1D;m^ILw6-gK?7;*gPLf_?nF^yjoRJ^sU_8=AUz0|f_NA%1vL#p zE(IkN$bcfqL68Cl)O3K4IUh;@wHjVCygUqPK7y)DP@583mx7ot*Z%-FDL_F2N}VtD zfB*mgQVX*9872sAq=M!7fB*mg`rPX~uTQ<c;nB<bUK-Mp0A*0@Elx=7dCsHr&<mz0 zSak<dj?~}*4cmbiWrAi-3|??0fEps`=@^nKVWP-&9mx9-S!hBAwUa@D)Joktpwz7m zJ9%<6b%T4{ptL!VsryMR^g>H$;R;I5(3A>gf?Nn?g7OTA`Fh36YRLL+&{#P*Mb|@i zaKice5P58g-{BW@zLr4}Z}IFI3rXm#4K^t1Ah{DfJPn={QUrM+1~S(SvJd1!f?jyZ z0?`0U<RA|qbp~Iqcm>YQFsYX_UV$A9Z#RM+fOSL}JWzmTM7b{J+y9qm-=i9PAJrOU z7aV~uE`bzB$Rk+LXabd7P(MPMplE_JLD2+dg5m?jM9#+?-J*KdYz*Mq9#VuL+9RM% z$)YexQ00%UDF7=F0$93DUw{^}pfq8$_*+-QJEAb9AanPEl)|p^Q~_1}%KWXZm`XXi zMW<Oo-3RJhA-mm!r(3iZCJE{`b@!-%)O1e)ccLIo6_}*~Jl&=NAWKo)r^Me18bXJ( zpJ7Tt=Bk2}!rUhVa-TGR>sRo)Ic!Z6kq#dfVg44-m?wC<H7MypQxd3(0EIfViU2Xu z8!X6&d<*fn?gU!{+8qtwr{kj{$lnTDkq<T<H0}*D2f8>8#6%3PgX{zEyauTP9Z~{n zy!EK8fo;+Ojfz7D%|Wx+pq<wOr6nLwy*&8hKjcPvXn73s!OL7ow-=On!2G`uK9UbX z^*mUL53<4&<N`215S0%N0kD)AWUmg$ji4(DA)Qwc59%~%s~NJ(8q|tH*@%PW2hh2y zj0_AAg&<F2QwTN~(hi3x#4r)8q6NGn1HXwNg)c9Gwqt{Kp?rcadI9In#)IH2582QP zE{!HfeEa|M!R!D3U)sL}=XY4Bz0^nLL$fb9XhdFu+XEM0pL%^3l-$8)v%<!+A-!W* zoee7uK*Nb3wGdfooej&+5J{*YC?BJ!<N+PZ3Q8keAPs!*EH7LOVwN{Vg$Gn;a}%z! zVU7T|NTDWyTcnUd8Tc%DH?jiM7AZ(2sWl-;52Bq1;$gJ&kjI{3u7or<Va`Mue#WW< ztwjnNKPJ_sAUz0|f_NA%C3*zfAne=!m(`He1oAVq!UOpf$^@AYWr9ovF<-9$-4x2m zzyRWaPE1BHv8aCe^#$^38}R;m&=@u7{4dZjtOxq}=%D4~FUkW!O-|TRANw1+K{LgW z<8nalRM2P^^nCOdm2L(G2H1KVkOXYK4cK(#^){e`2l-pe;9~%g^N4T2*4%)&h&4B0 zD;v>INe3wguekv$X07l;tm1&2Y5+O*9Mp>kjnRU(4}tOvL=KvXKm)R%{fi8cy_ull zHwFfVZeD2tHU>k`rLCYnCD8N9yLmZbqM%Vv#G%w69&8pAbS{3!6qRQ1tj<z!j}KHL zgGWL@gJbYMa7~AgN;QAWCh&G9Y))9fkIe~vFj0`D*qq>^QqmEkQq13KfyeTk4j+|l z{+0}!mS<~Wvpf<eirey(ju4e({?^mrh{f*nm<}J6X#N&8oR-fL#b$X2Ocb}}(9^Ku z@L2BC;iKZs-|_^!C>L9>pVh%;`EHmfZp&RdLR6gjTR(!mh27^i9X=}7{4I-cTAl#2 z1|`|~!9;OeZUVVGyakWtIvqYL+WajEI4xhTi_PaVVWPM#SLp~*QRZ(I$78unhmVRh ze~TPW%j>kUS)KzE#cjDrM~I3rf9oo+$=HLPr^82uo4@4_xKa9=;bkUtoiw~qLM*lO zQQ_im$pQ7_!6gf_3fMY3Kj<N3TfnEg^Ft5K08K@JN*7331YS|r2_13|5BT=~br)nr z0;CLdA=hj!=;${{0Ea&LfN%d_`a`$LLTm$RjUYnn6aR1j!MiX~Z51U#>q?MT(0#wC zT8%gfJ2(%dbsn@ug>dk6BD5NVv?gO{4Ix7755I5!Uus}z6(mCIUXa$ekdxIwg)^xA z{W2KR$A$9`pz(Df13+;3S!jHANEZ|?UxLPeh{pFrI>y_h`Ar1q{<8qka%~ULea{~I zj6q8zKYN1e;2ISVkM8{(J)jD>M#TXn?*OfOT~y>@_vt!7DqYYS{D>OYM@5dm6}0dJ z)I)Mn@i^9y&;wrI>Z0P|0Y6U|Y;^OHh{KReq&<!`f>bepE|m80fSpkUx?H;3M+GF{ z0iHtl0bRWfCM>~|Lf{$5P8Su47dqbHscIJ$4e;^*Ad)wL9qkMu&|N#AkZ<rX{0Tbj z3w8(gdC*~B3NX73KnIz1gKzvc2W{a{@aQ~`{S2XY&u{-<{(>I=900qI19U_wcoDWZ ze+x(w5)N=_Snj@$z8)Ezp*#0L&NGB=HU%|%Kqd4(6HwfQdw}8wayl638a{CJ5WZgv zWH9ttR7leV>8?66{#Ji*X$2}8KsFs~cmatns5S6oQ77~;FhGt)MJNLoT_7&(;2{?k z(DhoNq6QSs(914BOwjS)5#R<=cK{3OqOcMbQ~p*Cs3Tldz^Y(pTZE{X@VA0iFoByj zAakLIB|=(3E-D5cA)sruKr5BtN<eF~Ky9lQ70`iXosgTaKxbVrFfc$CeStPBf)2HS z3xM(vR0aGVt=1F#Q$bx_J^t1S;4&XnPO)~gK?ak+zHU7DLjjaUK!ZsyR=R-(dS6Zk zrw7<!l@1yn$Ys7Re+x(+lq679z*0piq^k__DY$3H2I&vO_|OmqOTC6#1a=AQ4l$5J zTvP%;&2&(RL*|=7w}gOrpzsd>g+J^ZMvw$t5Pk?FNE9-?0=;$z)U*ceM*^7vF%h&E z8N}<J0=~todk^^BR<uJHA!pG+4`Bou3K};6ZA?&u9>NHU0gx%sh7pJfIvfiWZ9OWW zhBJ6P0;CFh!Y1e_0|w|>BGA|ZQ6O_ck)&E`2&$4_nnS`5WCAFVz=trxIH0i)X!9F( z2qVY>Zb$+_q#BU9(4%u9U4KwALK!0ftAJ<&oe%;_Mj!u!4haFVKK)m`*!=N7*aJ|7 zAZzfO2vz~PcnQCWAcf#V7-1RKgB8-FcTvH(d<=953MgbD5eMopfOyAUR9rwbDE2)- zNrIzWG*%rvVCJJz02c)fxp~2aOF;K!b%&^wfJVqb1$j3^H)!}yQ=N?gbp}s`zf}{S zm%+w^3}OVSgN=<r&l+q69exGM2~hJqIJ!meszKe6fp7;;x9BODa89?6N(SiQLS%RF zbepaOEuujgrjz4uT?if&Louikqz>i|*cEJ`AO$5J@Fi(3@P3m(hmQ(>Nj3PUG*Gu5 zbcI?)H~4lnSkb^&TKJj)vRD|B3&C{<cxV?~EJMpsR&XiN?W2+aJ*T$L30zcM05$(s zg5m>|R$qedg@$u-K^Y(YVznwr8x7hU^I!!ZM+>#i0+AqK)>%NU;|Ezc5wz_Ejy)RR zfcymNkAufCI-%!sbVH6?+Gh%?Z=O4X>KllNNB4e-UQiLU2fRB8R55|pi9j0#E#TF- zu>Lqm0@5^qt#5?aI6cq<$w0@>fZ`sqR_$0rK`*$C0O2C~<6tWrkAR#C-U9<#$OKUg zu6jUR#EIOn<FX($<{lVE$P#L3s(@O>dO!r^E66ezP!NI~56KmviVCrR1$3kmf9rB^ z+6JYTmom`3#NhA)wSN!=eUHk2P`A7Vqy*wiWJR#D{vWt02|0qSy9aVEN_Xc8@X=5` z;G?=gs{z4&Y&`fw610_I3q%;}=_x-QK=U0C<9c}?|7T?Y9TE)De#}4$<VzeUn(YVa zgx`p;5>@95aK-Z7qw^Z(O$==gpacR9$?gUV(DoMaEdr?^Gq4;|KSkvqXxs+nif2oZ zTG+WTjmHc?hTu4=J`9wQ8D2I*Yd)~wx*I?`kdCGJ3$o$n>v^Ea1gl`Z!3Xj@WPcq< z6juTPH8l8JL4&8r7op7jhZ2(b!lTR{l&?WnfGqCr&|zT!t;z>2y@v^Uuqv=XTmwmH zu!ILb@Ezo^?jG==i&zeP2epxow}5v6fy(dWEh-_Pa)SYsFd&}m?ok0Lf*tq{vKQZh z?>#D@6Z1i5zE1(Oz$dnY_8);93w8@+IX=>{?;s<IIQAXnu<j`kkD#77k9q7nXeUrN zWJ6FZ_}KRzmG7Y3(;p2!>JNGp7Np?@a$GmW-w*+?MUXJ*?g57fVpZcekSVRV!KS=s zc<Bi}y&4oX9;_e0$+`uSpSoc*W}yL^z~OI=hh}@&{UyDk)qjXi6$!RTxr7y*NjhQC z4x=$G1~qB<TbF??2E_-&*#i7n6A#)ILR(b8M=G{zfZMIub>mJ+kc0^uw`_jM*a-<$ zkQp#>cxw?9_$aMKaP&+8r_k;_kl481`pbcTD#oUO)^zZiBTzy5n&IWCKaktQ<$kh) z*Udu~ZVEz<1_tke108Jj;<+v44sqCaRMxcL><pm8N5EkNt-3rKj|b#}vcwedW>x4- z;(NenYC|p)p8{SIkL^Bj$T`8F^$%FC6o(vf4w6K^RXo}D+y9qO|3cg!_XExSpfPW- z`$3Es>TvgiGM7g;>$+cf-49X)cRy%^2<CoJj}E*0L8?Gb#Oi*KHjpH;`xo1QCIe6l zR10ur0Vz^&CliqCz$=(PNFuFZ290yW7aq6j!4n`@HE47koZWeMNP<@|gLVpc_kcG8 zc25EC5(aGs0q<7=4a9*AS`Es@Jq%!jz+F6WB7>w#L|zA-M#$g#3Y=SDr*QQ0-UG!4 zQq=<X7miABmnCx9?SWXh3`+L6LjfcU4uw||NTC4gF@PMu807d?Td?C{SqQvq3la*O zBnX89sP6<aXg0{89)7SvxI<wUNLA}kv{1P59i^xOw<JInEVjc<w^*Qs0=T#W*Xf-g zW3blgGeOq2<bWNAC?O+3>X8B;PrY7e1+w+!C&&O7D1t#18?=H0F<-Jk`gJg=mtUX< z06=nn16qoOZ5@S9N`q=kQ0RlxAgDWX=o>2oq~L<Cjs^uWXmxaHEu^^yRtZY2pzU|v zynWwTLG?IjD|&YicuO8LWWzdi8w-*>@Wi(TyyOn41qW$@VLSKE-u&DDmran-L6Fm- zQ*5C5a}e|OYH0EUg#>b-fG)#Ek^&b)Ab&yw1+sP=Qo|sv07P;uNHIJCul<S?D4>oj zC{RGtY_LE9t3(7!<yR^M3M4UN3luH0Z~tEw;|Uay<Dou9YXO3%{90E3{r~@EF2Vp% zDnRyoujuwKkm4E?=7<&e4~;<8HRRMokM2p3q%{S66vOcr@SaMjNua$LAZB+Dc*hfH z**ZAgAg{m&EgSFjQK<o4|6a}CdH_6g(G6LS+1Uav_&`YntPiyI9$X+o#6fHCLEKJ= zrN<$s6oM=S4Mc4Ly96EvtP8+p8+0PT&*IyEXu<%gho%`2)1z1P;Ae=hL3s(`>(_=T zzJ{coDd4^y_Ot_SZh?FaP8bMZyQma&`l#f9yqwM7`T|@mKwEK0UIuHz?PXA$AjKBM z%OF97mot#P9BmGAKfEil0xSnl`yju9ypJ3b-4I!D;jJqSFTCOF(OW&iE(h5Mb}K05 zLyGO+Limeq9~I~d^&UmA5x5F$@al9vuo8H&!K?KNrL=~W8aV1KHe*n^334GcBNUM4 zZ8ISxZ^KrDbATNLG8E0*ECjs`U69=aIyC~+w1dVvG^xO9>kt(${?@Z-m6Y*E6mLVy z1svYyH$w9^qLO;@ftA4nOC=Se;>q9g1Y#$=r@I}bn7A714mhM=`h5kj$pLMw29?av zJPBgHOoz<2fRZSP4^6uu=1Y4>Ap}Z<=tcNrRH>JjLG@bi9$4}RnFdX_pxOY$1Vs!q zwjo6mBpHMH`k?sQ1+wAATqE#Uz)8o>lc0S-pyCCtj}@Gxy0?H!G|)0-c?Jds)Pq>p z8GQTyaw)3QpjiiG{7V(I+E@hCek9h_kfH^ftDhU<aWyza;C1y6{crzYJ_7|{H@qA` zsTo34JosD8K-Z1_`1}8VcMG_GitFko&@OXm`UQn8C<wdvfcKuGUfl%h5rcLbpk3YM z0K2*ga@7(j?z^XeyF_TFVO-q=G7xfglMVRlCI{53n{2>WH-WldFCTt@obCfl4xknm zxT*H+Ev{T(je6tzUXViKa=<MEP^Npi71d6(@U;Tn_zoIm#5TWZ1{zbGto!Z%i*q`l zeOsVwr@KLezpM**U@K}|R4hEY!2|<nt(S|6LnjAZ0JM<>B;vsWYNdg6b~h{l4@!cs zR|OH^%NII)RMbl}AZiT$KL8ETf)qon=`K-m@aR1L;upvbpczPrI>`JSXgc9whmVR{ zX(B|}<5)vPA9!dIWCDCL3}h?hPFtt~@I{Rt9?;Q5(ABBkU;@2gU!tN~Y6U79Uw44K z1+f(|aOk6=Qqlpj87>7IE(DDTBTK>Dstg*01{vmYtRVo|t<XExL2iBd1C&ESfeldu zb}qyQm@!KHtt+8Jqu^Ov4`ic269yig9blu@LXCoGM|Qg+e@hAczFW97%>9wz@lv?i zSe&4M<^%^^PSC>X1bO}z8{AG%L^uItHWnwyp*g_<mlKwQ%Nf|zH*zS*66*wz*;t$) zgXRPSTuxBI=>%zFod7Z$ixZ^KoS=cr2@AnvYS=<Sl2|8z%*Nsb2{b1t;BtZ-PA7;H z>jaS5Sezh+<^%~`PM8f|=7TL1M2U3*$ZRZ55J7W-04^s;;B<m8u}%P)jl~H<Xinh3 z<%Fr=!=kZ;f*`R@0GW-&2?G4B$B>IA23$@M!s!HlVx0goo1vSx=NYIu3aV^4x_O)7 z99Yc*DcoR1Cm(++sLKf{oIQ>;{D4emL23--qO%BmAR)FO;^l9V$6ao*Aj&O}*$myh zPo5&V?>3y{!SZr3PCIz`TcUB>;qV`M#jZ#58xPR>AP3O;APdm?AB%nFp!)l=DyW|Y zULCW)qYpF~T?1N_10o<t;kl^r!`25GKqdjf^*nqA&_{)jzZG(?2&mGsIM(n07M&J| z^+8~xn~!)LMp6S#85SO_9N?R#!PP66z^r3=`CCB)7mx_C@L*k`3v%f37i?-E$Ag*| ztOZb^&tU(6g(UPKsvaPO9za!{RsHt=r2#Y+957b;@bI^QBq8>}rC}AHC{!PkLu^3~ z>2v|zaSkRNI6&jF5+Kh?fYuLzuQ=xBZ*hS3#avV*jyn{9oBzjMR3t!*7oE!B(ePeT z8x}SOkahu(b^*{y&7k3L7Zriy4lE#*px6`WJm(1R?7w)V@$J9iH^Y-4#ZVXdbi1es zcyu1$1?maBa8$<#q&`rHbb{Jf-CzRZTn&&B8gS=w@wdPZtZ-4$Kyt1I$Pq7YDM6jv z%M5X@0!X_8!nq1a&Q*Xr*BI5gplAa*R{`$aNuZzvHyK%B5qMt((!4YP*#Nr#9UQu7 z&gJB90Uc8Wi8D;+YJ;5n&F~~>A!PhRR&W4AT>Ob&5S9`_7NWX_1>qW4+6g4aH5?`B zxLmSO5fWh_mt1{-!zCcgP+d|5O0piHF|bP@q6XCMQ3GZFb>Q}S;~UVj2hjXKXow1w z%PT<Xq+*{1D3`xg1f_u*l?spU{Q~`<Y*7PRm<1wWxm+EV%OfDUyajypJ2-2=%0)H) zRxWq~t~l0^(GP7&S0HBi!KODJ0XYVo*P+V5Azb0Xy2B4-Ik?1h0l5ZxPz_|U3phg@ zcTp(;B`{E2!&f-5gLoduN2bFToook>0f3~ziaDAevUmEZxPSyax;Z+1R2;f}R9yJi z`=~f{`luAZOh4|Tk^!>ixQj{-i0*b#De2~IV_;)|twfXP2vHF)m3+<6&6>@?2E8oF zM@5XkH4<zk$OO=60LTOo4YIMqgOve%@f4`4=!SUk1h^D(Q875~qJrT;=z^N&hm0WY z2FM;10PQ&A=WhiK8A6g0STP4^4$ntL2cjP2L5*%76&;WVHPAem0P@&z7nKwc-R+_R zT5MAS^BzY>hzdJ@E9ej@@H&|cX3#L7i%J4a189V<1hm2h<PFvj8K8KB4oB`+{`UXn z4RC7}DU~3%nc4VTK=L4WqpE<Fp}RnX5iTkPppXN_35b4~{_p?)Ziq8%x>>*eV`b=c zQL*TDQL!<+^u0vIqSHks0~FjK|3J=SK{o-syv{`hbid($kVnAA8iC4docY5Nlt0eO zA@auzs^yOlSn>zhbkzI-QU=Q(8vdYg9<2Ez?Jp~~{NeqVmFWCoF+B5!MkXlUp!s9I z0zLA_)!%f<A6B6Ju~r(9KNKc_yb7v1x<Ld5^+&}7%=!atI%@s^DTC#Ygh8D@+<sxr zAKJf&&mRTDGk+u?=Z|_>dgPC-Kj@M_tU>vsR|1hgR!}W}FigbEA7Il_^9M*7EPu=x z)cHg0JJ$Tc{hj#yv0`}Uj~U4MBVLLg`C}?*zMuB(D;rS$$Q4E84}*!+sy{lg<PWgv zsQCk=43<AG4C?&B_62MHc>S69{PAFT=8p@=`NLkE9{Hp66J6>LTTuS+6++~X9aPI7 z0+TT753uQ|`2(a3mOnTGK$&x}_CKC|z?wf!e;_`8NPxEo47>h0M;0jFp#2Yd5qjj0 z(Dzi#AC6(c0Un*7Jv;yHvjZ)F@4WxQlK<O(kLKMDkZUQYGcYh5Yn}n(cyw<DpEldQ z+aZ8~q1&IO`62rbP*0!Rk7Xx_b_DZE`MUX=AF}hW=R5e4*%h=ebu)M@rh9UL2m?cR z6-)DTM(#S6{U9BsT-{8~4;cB^vl;$pJYjg!h4VQ7l!M?4C-_}1{6E<#qSEQ3!s5}p z+W{QV&kQetk5+rJMd;gq&u%x+sA-7+bZcM<J9Lx-;$B9NURLlGE1k_Czj!ns;fQvO zI}94NLtrHTgN}{y0QsK}?Ee!WFY~uR{I397)QIqZgCAM`@1Vf{6=?n!#Or^Mdy)JP z@(T|ChdYJ^do;fhfF=S5Xd*D-1qVU^$lv@eam=7ZumZ&K=xzqB66*F~`F^pLgTJK{ zq@jB^B!)LL=rb^M`>}x20k=O3I3mGGqm->%Tw4q@TD}{UhMMbH81{h^0B^T>=ZAwY z<QYF){9*Xbqj&d@GzJFCLv<pY=Sl^Rv#4-@%<nZ_@Ryb0H6MaM<1Z_N;U&Y9ko7jM zh9_S*fn3}TNou{jf9NqVz|u;G0H~1PZIRBv;M2YPM+O4}^b%&D&R;K1^L_i@dZ3io zqr2H4oq?gbB88zu&7*fWhy%3_Y7X2gpU!_TL_nFOdv^iEOoL1mGs_WXW+0mh;@~m! z04U*g?_L2hGXvF3WrUdvkj(^f@R*qcG4lb$%mt`sp67-8{RXm`APycgB_L)>WPro- z2CA9W2r~sTkirwh!DHqTaO~|4fS4(eg%Y0X2s1s9%>;4qm{|ZZvjbwL2dbHudEkC; zKsFP^!DFTj#LNQ_GaFFNtVfu+1KCUv2alO2c<|<BP__f*<!aCbeP=T$OL{aP5r}q- zbBsS6>KN`A<{0W20?Nc1o}FJoCAlL51A|ZJ`xp6~-~M|x@4W%axBM;tm>C!xkF^?r zHXehvxPoWDe0p6}WIVfjHRKo=x*b_M4}HI+EcopZV+p%QuZxPrYyQ>)C4!)-GSGT8 zpUwlvLEHBjpxgLmI?r)lE0Oi=-unVnA;hzE-uQk&nSBLgiIn00y&xU0r9sAsG(TeO z3{eqie#rP**YIuYZ3q6TM?2puz5)qy{_ONo5h)P|DHPy5#ra<GyCPUf@p|XaPL6ID z6_M{3T3u8GN{l_b_kuEUH#@gGONlAm51!q<pwWL6=Np1NCh^+Lvv=<TGX@5aUemOn zpb`Yi_w3%vpa?1<URZN{`~UJW69Yr*ff9b0M;)<vGt9HQl_8yh!KK@S#ijG?_p8bp zh6fyXfPCrj?I`13(BOC}udCq!*VdDubHiOakGd!x0{ieK*oUf|r(8IXDt=W2a}}?4 z=BNm~=0gMxNKxmB&V$V#8C!i+1WGt}g3LBN@S3UfpkwDrN6v#KE>L%O%XNpbG(Tee ze!27N_p6F`!JAt^u5bixO<^cyb?m&*c}?-73+I6nKF)(KoX1=g&nbRT{P>#5@WA&A ztq1vAKv#EocK3qI?c**gpmWn1x&xslcQ~ZvE@kUx*Jd=l1e##&3{g?>=&eyvc+trL zIwC?q)bc1RLmI!viBJ47r$DDb9P|X8x(^D51|P!*pM3arPNebc9rEFKKH&?A1!x}d z=rz6hot44xEusMT>HPnqk^S3$q&S@lifWLJ68o4K7#P4OufE`A17#JktGfePxC2;9 zazR=IK(>M_oxPw+<#pbRC+uKH@=iGdcI1Um{4p0njy&W6I^qwcyuripAk2{mefXVE zq9zTfTM<rt3DVdtpe+cuu=4__-nww{N2iO5KxdAM2*|YpFEZJ`{fC5$fT-vZRt9+Z zpoa?73Xfh>&^`);O`yOi0ecjj++Ro_8F=I{Q3h6iL#`e{1}cLM#L-}a77L(K=GO23 z|2;cfL1l(V^AU|`#~8<0P)QRDX*gjmZ=9gz&3_hfd6NJtVc_M>4iFC%X4EWi6apC- zy6I8gfGT(_<qc>y1y^}<15)06`vNU*KzwMvHN5oVH1lYALt1$w$pX&Gq9+f4voew8 z4JgzR87%WNW_csXj9T7+q8gNgk;|L8j6{|<CCp$)@~S`_No08gawIfqK;4Qc1&A(h zelj7-o2C1SDjtG9p%)J<OdyZ$1%<(j6-Wk#5N9AKhJl;GA&0xX0Tl~K<qfFJAiBJ9 zhL$(|4B+zS1*n99mp2YmK|KagO%5vMLCpXvv~MElRo;La|5(Z!(Cieh@<zZM+`ei1 z04;Aod}yLFy!0aa|JTv>4N2{rmH)r~|HLmK8o7s*@&**@&^+wXYx?sYW_h#l-&d6O z4JfM7%NyOlU;jf}W%%1SED%TXZrKfXB$4F}$dS;b0d*@G<xS_mukiMb>29LRn@4Za z%bO`+kM0G9!3zr{1E1_7%D|Zz20DWc#9iKiiUp+d22^GcUEY9}o`U*Q@_)X9`cnm< z5(Zx0oB;8t-M*PXukr>oFo31J0nPT|DsMa><&D@IXn6zTLlc$Zr5CS%jg~j0wQnqc zL&}?%+re3x$o35=)S-FUqt~?a6=r#3_zShX0Yx=>d9(Kik>$;lUtmY_x<DLBWO)N} zBs6J2-AYDzBl!za-W=UVRPj*s61}`p`3dsqUQigkIPnu<U<q*sYGN38860xB%NtO! zfK=Xq$_%2*8&_!iX8m_?dGiBQ!tl3%wyHTEYYmu2gZ9k{dXzU7p53iC*cm{}%pF+1 zU;KVS@gQhX<*DXRjIAfZgB|=XCt5F-D0uenZ7^qG@a*3DL6(8xbvTso(QCT!IV;0! zchBy<puxv(f0oV@-!HVXm+-)vdxi&I^BW!jU4eT5Bm^2j>2y(%0S_QalmtT!f+k7B zOD}A{fszI8SOf(&C>C4(fqTWEm_Um~c**bC-3yunMkzJfLCsHz*F2+ROGJ(>>3#zj zGop7lkx~|d5(~6^@#r<JeTrEYs(wW+3qi>Ty)4}L8I%@~$Cipfk&8aIRQ#gjE7*~| z77#}gSr&pE2~BuVw<5~I7p-5uLJnylW`K#~E28|`vXQ6)G4Tm{fhhb1<Xdn)da?Zr z!oXzW43xky@X+V4|6gwSgS`1=!h{J}$BW#c<=gpB;PR~jRHDJ#Q#U|7P{31jyoe!) zPUA(O2@EXlDbVaUO38pUKD`6do;vmj+MWXOp$WzC(u>(2N83}RwWnTw1ZPjtnd?X? zLqMSp&6gg%rj`#e%aDg3P|FZdRHK(6x$i-_3b_oyF+S}AaU}1TwO~gQS%!cd2~8SM zw<5|AqQ{F)en8~*(zQetA4(6<i;pW{kM0G9!HWtc167DK@aBDV1DnAH;;xB7#R8}% zhK^5z$_%uc7(A(uwY+hMmN)V5z~v1GXigtq-Xwr{)Glu<=vCf;CO5H^H{g{P$kTa9 z<;@33c@uUITHb*8&_rc;>4o;&(ej40@}}}Fq`c8w1<uMu_TNCE4$Z?Jy{0GcV3s$9 zZ&1q{P*kIrH-BFdS>9ZE19l{D%Sx~#i7anGj)W!+s9VV>ZzA6y${W^|M3pz2Zlf0u zDX&2u-3tnX7aT|iZdpN;fteTv3WE*AUEY9-1*Gx@RAvxe-grREoA)ol<;?_82?H;0 zUVwPiE^ju_YyKUy@BvGC176vLqr9160Un=zb`x6OfcVfvWq9eu?iZuw4Qb^K=Sy%_ z7TviNoRx_zZ$P0A&BGqOrja)=%NxcQsO1ePs?p1v-e;g}NJRT4<ptQ0ydn@s5?S7W z90^StP`8p%-n@K{C~u}NA*y(Ax{hAnd;xoOFDMLN%s?{Gg*XF$UPCu<G1x%d<qfD< zKq_xQWd^qLCcvY+t-uzvFTF3ohk>EnvfGoz*n%5$8-ac2sqdE*e;FQVeq_%-1w06H zP~jl|wv!&mSyVuCVIJN4K+AlT**jTOxcyl`3oA>^T@4SkUUKB0a)9$hCyR=U;z5wG zNB1<4%I+!_ZY#y}oi!>fE{X>_SyZ~cSh%?q4|ejXbhD^*wtcwH06NT_rL*nJbx<DP z=U~ae;L&*O#&re;&&~&+xO-v!`0M`&$l3u=0}bT<6%hA#47mFj+<>`%$qlgkD<JOg zxPfqg^&<@TKY+OZz<|3y;3mxdAveM9-vDvH#Z83!cR$2%zl0q){rnhk_cPprxu4}0 z*!?dc?tg*g{@)KU+#djOzk%;Sr~eIb_iur?U%?8T{%71mgugYW`#T`+&lqs`C)|dG zf68re_(wq8?{OR9{_6V};eP<){sjZ>et|nM_lw*CyMF@2{XdZ0zxy7B`(K2E)4#-k zr~d<R_aA|{{{pC~)Oc*g9Ypy5zKi01$ZBl~=xS|G&{RO@{TFTbzk-(ROMq^fDRDg3 zTmWKtbT==MVE`RJ4qB;QlHk$1JHwWN0a~$o^qPiT098^uS>eYPf|gPLz7MM5anw@~ zlR?$g4A9>G&SsE}9?eH2Knv?Vx|_k324rP;$u5uX<^o#=2JpcCu7Cgk|NrK|VqdE4 z(Y+Z|bA5ATu`d<t7HEEC-)+|X%--;%<tP40kfr~v7x`N%Ko&q;3~DTcM`6J$$(t)! z7{KewOWC?P{vR~F)aj!l&>5m40{2*nioi=1=qWs?;pGJludsXI@LB*0CjJ%`M$qc^ zA0Q5Ln0fT>USP|>(8^IF3^&?T;XEtD%X^^qeRngc9&-eH&k^J`@KR7Pw^ZAsdorkc z`{u-Ak0dPO*v-)Vz`k3k`4Py!7x*U~G(6CGtoa+G;icA(rL3KY96JxXfEU#|K||A} zJAlQd^Wyi5${b*Wz8z!)twUre<uW|!+Ioq9>cP$vj*164PnGaFa$e*-q4+`ZkfY+k z*Gz_&zF%@|y;$M}4?XbuNJmicfy1$s-LaeD{{h33;MI#hsNrXL2`yPXxr;YhfC3Mc zEVhCUl<RB;1uQICfNFgNMvV_|XnY*I1C9?3$N|`p_-Fue(Bk6;Mtn>-i--?Uy^K3P zK-Kl|iI0#wc;f>Ucu4U93Rq&|!v`84X1Brdu>lkXu>8RRIuso(J_PL0^9REjM0|kS z8MxyE)chDe@p0r9-uM6o9#VXO0+yKg@P)?5vYX)eumD8?EIuZHIB4<Vfe{}Crx5W0 zYB%AI4^VSz_{4|FO}y~|3OuCv00k^D@!<!J53w8I_&5NH0#JP`0lHQIIWAf`!1Zl| z9ddp9<OG)bw)+NNhk{H7ITTvof^3926k0<-cVdC-TTsgqTmzxjx1g3Lk@YRe#qb&f zTu*>1407vRe`t6;y9N#~4^S|H!fOGDfhD|lpoG_!<5<Eg`a0h50-1~yULYIsgcqnK zizU23En6bP3*=(zg;xMHytZ8hht~yAFoD8L19W`_mhgHIj-0+)j$sKe?Q3|$3uH1< zc!6xh6JDT}GnViIwWNs*FOZ8V3NNJXP6pss@c(^*&`@i*^7X$*cXPxX1_qDT1N<$k zSQr?5dN&))VPN?Gz@v9}0O&@rUena0pkR981Xew{0(3HAclQBJ1_qG3K-&!&x(lKE zV2W5En-tl)nY0-VzjeB(aCDZa@PJ0eI9@bf{rcahm(_)Zf#KyfCQ$zaW&=0Gh6k6w z{`ctJtzii2Zgd-fsN*i+4UG)owpeo|q9x1t{{VQO4M%4P$ovu&4(P7K7pJa+eTAYO zlqdOHycii6Jix6&&^i~;$%Py*ok3!7uT~uado}zr$fdhGKqsPgH&4)GVEDu@z~Irn z8{+xq7rG#k2!>Dmf-Wi=FIHRujok^ls3`dKE)D=|+8v?C!0@6UEFs_nx*RsfM@8Wi zf6R$b{DM9z96r4{a2NY@{`cv8_d*EbZisC<pcBPFcj<u6Qig5J^yz#KQuq>7ICOVI z+%g$t5h5arpb=4shzMqki1-2a9xM1{K3{NKeginazyjN&yBpjQLK>guZ?R)!U;rrq z1>H-~4HV559JL@9f-e37jcW0L7Y%Xnw>$^6_It5}-Ue{eX;uJ-Cp`4BF5?KjK(GXs z(39}!Em4tx#y4oM&UH}sheZ@>(1Db~l7>&`^A~HtO1mLWAt&&5Ujn5K))RmK|9>s) z(Y+gF(u-wK!9V~1gSVD}55xEA{O;5F@x`pGU;n?XWrS>)LrZ?$J}Mk8-7YFTttU$a zU0V;7@-#mL?H@b$5_F6xC|bdbIy}0YZ&)xebUUzg9)j$Dlkw=@3>Gr`ey#KR_v?z^ zIgd3zW9%$ZkpSre_x>Ed9fxj96nHJzdZ|Phv|~`@xQmJaC=Q`J_G(lFx<gb%I{$H= z>%0Kk{wB<M?E6JW&J&J`2fknD{N<u}2+}udy;fr7(YsqB0=WcrIta=yJK0fs#4j&^ zvoW;vP(R4Z@Y=_tyIH{t(YLOLl`fzZ1sQ5>ju3+Q5WL3CM}^0uyBjRT`TZg%REz@} zn=iJV2NlxpxOTIHk~64~Rt6pY*9j@$nvWR3_huaig%P;q^5||p;S6?H=P5|Y@_2M_ z1`C;ezxMr-;wR2?kZ|PyZSt)1=<d#lhLn7;m{EveU}&gdVJPJSl~4RFpgY7oz=b|2 zm_au@OF+Y|+eby_`^8ot6^W8qkPE=EM0}g!wHZhg*xB74ETF+_Wr1&p7$IBAK>-P} z?`1#e(p464sR>&Dw;xLcd7KBAkO{F6kAO||=<Wu4q!R3r3Kj<bmgS&o0=2jVCDrd2 zppn<=qQb%7A_?lj_L_R^XJvSq^zZ-w){`at9%#CJR6yHOc{&eqo+{A>c>)@CyBAo1 z_J_K&xO5)-eofij;oC9B5^vZ-#Mhyq)qo<PC67KT0?iK?U+4306R;NKZ(aks2Eqk= zZ5PAK`Tzd^=ikO@&B5Os3%R=#Tq3;;`2YXED`+912RKWD0ta;3s6=;(ibQvfij3k% z&_D<$XfvWni3G?h0nUS*-xaSbf`t^%cm8nf1Ql%1?X>(YU7+LysVjVXZNv6~f)=#5 zUE?fdA_x+Y(2}ngsY)`x2+0wks-f3Z6s!?)EQ2gW22|z2%d>wkEq=mRg&}WOhSlFe zpor<b|Kh+Ia6CRhtG{=^>Td~1{k?QA$e}Nqz^W%}=zwciGV1SxXTc>Oa{Udp!5d<O z0?3Bm-2w(+8zev!C|QE?HwpDO7pVB?Zbs2gu>OWRWY-?BSEru_xpcP$q`q+g*Vf?r z8{+xq89FHS_lq+~H4p<>({2u328I{s!4g<%AZ+z_5F}SYY(uSt;86>%zcs-MyCH6w z46+E6CBTWNo2dHR;ta@p$o03yTu_pL1vYi+Z_Ln304JU13#g&D>NJkfn+TS`5_%Z* zxA-}5LdF(!&=Mb<TR`>q8&F>B?uIypoWT2i3LJRw`Ws}@i)T<lZ1wl8v(%`+JuD%$ z8&drZ7NU3k9e`4QH|+%F7qt3Y^CY;mf@Z1oozVI_0<HcA%OjFpvjS%Q4Hm*ye}6lU zxBdntXQcWYT)^S1zZqOG>Tj?RuKIfcTKyeCRQ(MKw*jcXA8yAIK^@1z*<}V={SEdA zk@Yty@=)sUj_u^s-z&_9VEqm9yHBs}v~8fE1=ZgfM^Wo<aLI>Uf0sjY1h{JGH4O!8 z1eZuJVj(i1Di2=BW7gl`!$u@LJHLPq8wmz=k2;^fU^)s~?6gNE15|eNw=^(;P8(@a zIRN6qD+Hh38WkST?j9BN4jJUU5f1oyBj7fVPv;M4vpz(HquWP?r}a`vXzR(+z~)Dc z;Es9ch1L)JEo&JV7(9FTsC1x|1!Y@7;el2b$buZ%yGP}M45+_u8nu;`;pKTyml@QJ z09EXs-7P8&pt3E3rSl%7r7Ysvy$2#@|NVOBhwm2@e{kM!e$Logqav_^v4jh928iLe z*UXNcA6z;Qa9-&A&UwBhpYzA}OPm)Ke>#4@;KF%K@!a=otsnSXga7~k53*zeQt=ZE zay{5du<{3_;s$8)&Vi*9+F1Yx8(0jZ1bPX&>S`b8<O`4uAOHRT|9TE6kZ(YX25?;r zGI9c1*#J7@9AqV^G3nF!^Ee}j0dfo|C_w=ZZr*c1&RQY0d5?5j)2q!`;$q@qP@&nP zasfHtgPaX^B~r$32Xz5lz&CO;I38yQxe?NE2e}j!Y>=F<18KSUf$e&cd<bMZj(JSS zV~~UiDwM<jg0}XwfYU8x=qm<v-o;^P+x#8aR38<Nm-k=|^WsKOGUj**Iz%1Zo<+$I zA<+Er=^!LOd;nztM1Js?hupLQ83c}4TI7cxDET366P7sO1%*=Y9u*E*aDMRGge^bp zz>*)pV${qJC(!c)*h!$o3CorOvXCYRa()1d5uG0dVvu@>p!~oPiQ3o2nIAwwNyYqd zYXg?JXgmN)u`MbbaVW0rQ31P>*!%zrHkABO2e#`)@P3f#c=7`%VIt=TP`af?eh7u; zhe!J$`Jn=o0TB7&0*FhW`XM6{d8{XFJ(f853vy)d9u)^jez01PEkAs~k{`fg)XWbI z-r!~+c<BbXegHcOIX`${$q!&LqVt0XdVVM%Ha~!Zl8X7^)LJZYQMebBVp~)k(DMV> zmBi);P_Uuohdi)dFP!&)OvjTSKnW8$KY-FLHS$9kG(TM04apA-^TD@%!`e#`ATE9K z!wr=D;I;-!9J~cNvUiV41tdRct-+QbG^`jHy3yK8U@>au2MhH40Co~`erUjwAHZTn z=Z6OL{P2L-`~V6{D&~h>tFXjH;x15%ZBeN}&ktZ%5}O}D!G@9_;=p#jFy09=9Z!A$ zB~0Y}07|#i$PeMr{BURoBtLY3G614}cmU$kCqH;ZA=eLPE3w4EU63Pt_o!@u<Oivh z*z!XHmizz~qh@|6K+g|gCn4vD9a!=MSd8fWume3m1Vj<pUIGOr74yTY<yhh(a62f) zwy11C&ktZ%5}O}D!G@9_{J?g-P~HYI9Z!A$B~0Y}07|#i$PW?F{IF>&BtHl&K&c-x zKwSFdhaD*SL2Vh9I5-P(WbYo87m)nGwG3N+n1LlffW@epA6B5}2e6Zn^8@HC3DA@y za{mD=Ms$AofSw-?5St%BK}p5@Flz~xxNzJ8O0g{}FVOP?*p<ZQ2T-t~<Oe&jT`z<; zgG|ShA3zBcIX{5XEgAVC41OMYB&eg@dH==AP2j~aGe8NSzhw#dJn|194(P~cWkf64 z(xZ1b0~ctnW;1A@*6`Acc#ux`<`?u4T^7(Bp%4SZYjcFg1<K$<VbxGIf<%#04oD+d z2%d0wR6KfjpWp-?1^>+O(u?OC@r2c}W{~4SA!Q0$;@sH`@)-PZZlv?bqhS8u2=>3i zLU1_%@qYz~gT?;^5dVXQi9r4b=|uP+B#Pz@kPz7aP>mpO8D4s!hN=-Hilz}PgzA3_ zklmeN|8KzSe~{ym{15UNHvdP%{J#P0{}muF!{VP|5lFJT*#Is6AAsD@y%{tt0P;Ub zC&K?AQ8aIWguwoXY6N);<bPC+AW<}pU?EihuK?K%@&9_f{s%c8$^RgaVe@|s$cv!# zzaH#=1CW<t{_g;Bu*81=H#q%+x`817gLESN4-!T521p3(f2c-~w?O_!)d&(r(+Czq z^}htjZixTa;q^br@kss$c?_HXV`2VZ2loFCke5qrjx`G`21%gCy?{sW?hcUs-J3y8 z{?~j6KFFnpmtF+pcN54^kelX0@+8PIxSJ5G*C$Ls%Aau{XLR0w!3lAn1ISq*_ssw? z(BcN<z5@{Vftp}o_d)qk_ibK_H_SkWBDoJ_86o$@L*19T794&jK+XcWPXSbfU~%6M zi2FcQBG`RUKGc1}_}vFG6v=%c%Lus-bWJAczJ-%(!0rnGISZD)SAaNZZUmL@3ZOE! zdoySujp3yi^&p*y^bHb4OQs+paQcR7gnGseRU=3gO(R$cHGRL}fR*p;Yw*Su$nl`C zfR^tdkHNzNDg7iu<LBgRu>Ws>yj&80tl3~GNCGK+d-U#}q09i<h{Ea7YdUWZE5mC; z#GcM(uu~E91Xyb)aJCFsjn~;AdqK{wf@A@Zd*IH7X93jx8%ZFScHV!%2Ju1y$mJzQ z$C`J57~oZspv!hAWUw;uYn*sd07{6xyDii}b?i>absNWCyuzO`K}LaGwH)FqkQI<t z1>`0Vr0`6JI;L_JI6Pl~+)xsGtl421=%$~|peXO%&7lJB<ALTfJbF#DW`o;NAg91@ zcX;s=q`!A}f-+boXzs+L*VF*468XM~ORMmPCdgKhyK5ls2Dt_^G$%{|%^!e{?uV>} z`L_>rXDldFY*`8RL;=X{{4G)7RgEV=9FOki3{a)V(e1$U{lfQ)iU+?PWaMwL08L_b zZ+1{)VCW8G0WF~eFT?^bTxzu|RrKiI4U%)|cIN>vPHHXZDCIFc;K+G_f9iqGgWoUu zKz!VKu*4h`aSEUL>sYU+fp(bZpLnqi6u;fwAQK?Rl5z*|a0l{~xTW!z9Z2J^cTo{| zo#%lbx;(S6gzoH>U;iVvQ{9}&%J4c1bm$f6p!{wFs57n2LEPgmDk7l4|8574<{J#! zjvSq!>$_c4WI%%Gww0&|7+wM=Dnv}`qu5nC6XYDEC<HCF<XMR~7C}J{ip6@+EKp}N zC^|gAGxwmo7eJW;DgC8F)8EhK;HdinimejkW6c4eg=a5}A*o738C*ewq7WJipk2}k zC)cdN>mHC<AonbTq$-ddsHqBa{tb9SN&z}Sn+EMrCN76`C>ucT;cuyAgiO%h0C7Q+ zAsL|gMo_Y9e!%$sBIqUv#Z#a~f}DnL!O7?~Q|Hmnmz)Pn>^-}usDN8uRV<zVzF$)| z0<RARt#;c7ikea`!*8ws9r&jn>-?m6f%9t#59bTcPl~4$uPR=6&1Cqt^X2!8tp`gu zcY|i~4Bx(H>U`aKgY!j+18AaEK!t%}ALtgEU7%C{U(5lAM~})4WzZ6*IM$XFRtCcZ zY5aPO{L>F89&UYG!om4k@u=cqkip-tw!Y?X0e200Yg7b4WsgV-C<$wvc<~uzQ0H+h zR#>h8E%=FJ)l31M6(H!M!T|~mkcA%I3?7{<DxSS-R048Ah5uup-VhZIk6vEK>8uR< zLCyzzco)dmjypgbDZ%$I_;x<bQV7l}%5rcF0+ZocWmy5CS!FM3SAf#Skp!Gq7lCFb zK`{b~<T&u5gDonc2=iz@q5xX&8Rr-ui`Y#+VFIY^Q1Iydye}P^`l^?LY}n1Q0^C~w zog4`&F>pmKcwHy#iis1BoCiwOJi0fpP-I}}wu4?ValP}}_iKv(K(SjQ4ED3(rPn;2 zJ}RK>$n#pc^E&vt4i3%>oh~XoCBmEsIj_4Y9tW>F<N+TZzyaDxsR){21g{}{abgK% zeFAjV<m3<9pk334A1ntcsZl{be_eLT*Z-i!awVdmArDZg4Ou!5F5F(Ldn7Y>WF80$ z4Gs0^Jm_)orGm%77xr+Ky)BHt7#J83I~+j;o4|{cOTjCqp8i8#-0abL-=p*9i@lKe zQHX|xF(`aNcO&fs-C7PRn599EZ{FPj3Ev5zQ15QWnRT#-uY?kg@D+lEFLx)8N~eno z%WFk&@bajDLzksQ2sw0F6wi0sfO?(+N}$9E>UrfZh6F9B)?)DJ1_v!<tzs1m131u1 z*}9n+4}dOWnye5H4)=r=3=I6+idZ<$m2iW?jsvui;I$0@HZK14Cw8n}wQ7|I|N4U- z2VW?%f`SvY2?7+594{_F0`d>GfGhzQf=2}40SPKBC!n;SGeKe3dH==9MUWJ`l7WGt zr2bfQ0*K+!-TVNQWWWIk%4i<S93IU-8NnAd-B5&HETrzyyBoxT7Ns7&rV}Qk74k2# zKp6sESt5!u$DN>R_XWdZyk#%QU7&0k1u1(uRx&W4mc1Y^BGm_3Q2)JL2=?Cukheho zdjVp2bT<cpVhyYRJd}|92jU?7w_y^x|GGd4vUfMCvPKkTQ2!|`!s|bfyO8_`axWJD zq1_jj4fP}o$dld8604wlZ$O>({|}Be7p!7nV0bNkthoZjfE7iME#mwwTS2;ecWa2k zn_51-wnrzTc@eaxDFtl)?g&Ygi=p@ye}!G%6(9)>GcC|^Zb`U-9=)a|U<1JmoDdal zFgUXPG(37)<3SPHdJ=SxoTr0F>&X)K<Bk%b+Gi)o(Z^W089h27K7(Jo1Wlf>y?4%_ zu8JspD2l&D9lXj1Z2AvS)1mbwf6F6~XS=~R@V8tAt!aVU0t%zoGd#MRUzi{n0d`e4 z*vB5--5-p=_cL+3v+%coI&$C|c_(OBed`J6(stNbCnUeuBl0^tXqUH7?`}{KfpTvr zXg30=B7<Io_Tu<La4>RucC&-;KU0CHH*kTC8gigklNcz=qQsj=uPN^YR)*K6J3+pA zdHmo1|J~w-2doA8=Ntf+JFO@9TO&aMjjrupKU#FZsF?rt|I37b;5Fm0_A#go2CX*B z0R>{`{TEZ_fiu+#P^#i@>0<)z;AdD3lI-pVm&UNoK9JQ}u7)Q;O&NCZ()ri<u7;Od z4><BqJp?ZAK()6=cQ+_Mg3jm!E!{T+S9jn$usB`|wjO|NHUS+o3|^Q9U6tknS(Vm# z%!Tt>=Xn>->m{O`|6DpReZS<wc}elt_Y0f{6)!q=o^$~nQ>^#_yo+)LV`-4#H`msa zp!ShRcQ*$p&K+4gkAJ_eYzf}t!U?GaPQK<eJlT2xw8Q_rW9JV?&JUd@I6st#I&vQ9 z{Pg`2=O@KO->-B2RJ{28V&^Hx)(iYCEg<jr?w%kHPy9Z;w&i_j!3)YwVxZ9L-aP>| zHyxM{P6mP=-OU})+{E8v4C+|)?gk0KQ-!G#*ih7T@q%%|*Z(hBK*~`Lg5YoY0CE?^ zHjnOZ4P!(~2JJ6LP066eQt(K@nUX=S!J3l)&I5aa_>}B3AG|miDa<^2O`UsL8D48+ zrr%^p`bAYN*NYawFLunqTh4-#DX5(F25rCSYz8G^9QV1wj`_$11z_j>7xQO>6TJZ_ zotNkwYwiFsP|MVkAkfH{DX1l7TGIn^*^9{8c%1_>1mv8xAkTF+gA9jsu;CX!d31Mg zfTmLT^>Cbq2f$SqB9Wc|Z-=q>=-xa-9NfIgV`+ZM`2Bk4J5cixoTfpU3fy2WW$Aq1 z`A+dC=Z6v=&V!u)6tBT9Cj)gmT2G<feFkorI(T$%HUO2edeDaHRZuTi@jW<8gE9`} z1~!(?tDu|DIA4_TavtP-=c0HFW;Ep9v%CNP|M%%$d;%0i-IE#27#JM8eN<S$sjwT= z2m;?*2X<~LpJTU)is652BM1KZ#|__hzH9!*=xX@3^*iW-wYQxw96JxXa=t8y@#x<C zL7Rc0ThGy0M}^yurSshPYhV*VhiiQWy9?~fQZB={;EaE?^Ihjl&^>SGKqkHDe6RS! zmGfwcfD7jf7tZ4@isu~_|0@1?&FpIU)}{09_p9KbhAi+r%HLWJ%44w79<+jxV;|`H zHt-E;pwc;G`q%%U$tJL$9Jzf|Sit&A`5n7iR18mAgZ4SiIcRtQp3gcDfsSCmSPClW zL_h|@3p&_xOXM9-0f^uO`5I&jxM2>`D1hpcd(*!D?{-lU==M<&aWrO80Xqh?)2sDD zi6Gcfh6i2?Ha}zp?S@K#9^Bq}5N;%f!{FQfpbi6VHst6oQQ_%^ZT$NQI>PJ%=Z6x0 z@V$4OzZ5?yUQoOUy7&&1wxC_w9iS$q;icD{po)Nh>Y>gHod;bwUv^$|;e1yj%K6ce z^R1)e*Y6iN54kA5`hKbN+G|#D@Iy0K>qq{U*`Vtfdrjp#Ss7kVgCBbhYX5n5e)H&V z(*WfHj_v@K?-xNk@h*J7pm@U7@MQA?Mn`_vi_ISxLCF_VJGCAM=L&Ful!khCw}Eqz z0jPCx4YXbC#P<t|9}K^NF3NR12hw@^`(;OPpS$(&Zcy!2%G!C_vGbHm=S9wooi{iy zlz_H={Zc&S2&#!LD_-ur*9ls;d}0M-X`<n!)^Ge%4|#UCO)v$Y#a{q&;WNh0@87R0 zo(H+`Imm?{o4+%5e*AtB98#@6A&1Vebbjpo;MfToHUghgBLb?Gu7AJId0p{4xVHNV ztL<DA4}jK2gN7+VLH*j)we@6)hDWdH`*v0apI+7n?W_zQozD@;#Sxr*U!0lt_5W)Q zkT#INx>-~};nmqza2ImY6NFcC7qsAepM?nngJ<Kh2oMi)+MG}4w-=|TfC@?2UB9F8 z0cm|e;)4^MXbca$P6VAEbdrDSLC@~C2v9OG=&oS-ehsv${nz(Pil0D-2r}}!{%HQh z2pZvmCev%M#P-{<^M?zlyzjind9Fl+^Ao6n13G*Nyw?4&;^EF4pfN?oi{B11mT-Y$ z6PyT4S`UEJ!0T3U8o1MnJq?_gf;9~&+(S+SD)+!?fB}*QI6yo^8aOryISqjNWiag1 z-S#01ROT~uwq3XfVmPpLwq3agsxbF$0FCfB9@}vbG(c^5@@4&`um52hp){yH3cGF< z)F$1R2d$f#LCLOncLF=;%mLG%Eug9wlpJqQ1~)JqI6!8C1WrK&D&PV;Ap$>yU@BHX z1Pp{>0@EP^8E}C%h`<84Kq*Av23#NoA|N0FGb0!x-~ktKf(SIg1q>kqJKzEe5P<|y zm>K*Kff;atf1q&g-F*Qr@D?J#AqENs+uzNgPyja*L9I@P>5!lh1DOXGeKid%S^*XX z1<*=}85`gNGav#l-~#Os0R?f8K_D$<5CH}Wm_RB-zydB10ud;H3phgrR=@>}AOZ(K zZQ@HHLlq$c4bq^rVR{?kmlx&`Cnw0jtot?*?1vu;FoCBK0nli#A=t1h5CPDn0z}|2 zL;y6g0}<E+5dck4c=VcHXaWZvXlCNYiK$@IH)w+bRW%CX5TDLxFIGb&Uuc7@h6d`h z7gHgkpsQ~oriVaG2VFP_5paeGfNlhX2pB;GKv(ua1Qa0xpnEGI0s;^L&>{2?f&UZ0 z4g;MM4H0+;5da<I3K6&q5`Z;TK+7zgKz>L;IxFJYi<eWr{`Ukok{B2s*!y(mfJRt% zTR5S3=*X0>|6x)qP^DHva^4MZum;c|EGYISLqr99P(*7Xq8_NC=@8KdRM9|)=nhm- zYl!FvR8duksD>|!ZTt|?1XR(V&|HKn`WPZw5C94w-Hi>P=m(vSF}oie#w!9qCW8dJ zAOa8I0+kQ}i9nc&42VDgTp%1G&;b{4g9sde3z$L#e!vA(AOZ$KFhhkw0^reY28Ivz z;KN2hYhJ=Y^6+FQ2l0eNJXjQTi~`8T91u~11h6QmCIE?kf@Cj;WRNH*#y0kWeYycI zumB?P0xr-G5l~2hX{mz<On?jIf&`G#+X=7?+<kQrI~h_z20%ssy~u!wT7X4CE>Q=W z(!09=EC5&L2vN2IRa6@y`T#5n()_9y><x)DkR2d_n-GBjxWEaBKnGl4J4E0BSODA# z1O?DVNOt=H76lnJ0itZeY6gZEQ$W^&hohj~H0XdeWc~+wA9#dE=Vy=Zwg;e!(<7j{ zhLMAz#LA<4_X}`!C+btj%3$~nY~PC`{h*F>iK9pNZjf@1UQ<1g>?Me-*`%-kU$cAk zvP#ymGIVn^KV<aio(wXjldIc-rTGD)M|U@v>&W8KyE_4N(oL`F-&#-_1_z%<XN`)+ z3kHyfIv;@2PJmCZ?$ugW2G9{65+DHw56}rR9-T2N7Oyp-buEa39ZqO?31U!pjfw_n z54FIHO%uNU_vvoV09gjxy$3$sutXZf1tl|(=_0SiLFT})W3vStNLZkr4L*niKM>&& z69Yqcx6Xa=6rI9-28PZP2Y<*Pd?C$vqVxE{|Evd}GlSYP;FhV5UGswnng=hx?bK1} zj<I98{JJwng{AqYWSvT{J4d$&+YV5lO!GwN!OM?0Uv-M8G#}^b7O}hhi1Suwhzd)y zib{QRiV90T*vX|lppzkgu<^HXfDRG@x4FR{0NuRp(H)~=(e0z60%~MAf;*C~hW}e# zR20D35!|FJ<%D&f4nZyuKUK=+*m>Ns^O$Sr7gx?d{4LCk2)|86^V_k5|5y(`V+M7* zz!L}EHbxN7z3;41;pomWV!8abGe?C7<U6fiH;!%@CWP-EbN=p(QQ-i)viTTKw}=tg z!yzg>%_%AzAW!ntgT^QfLF2P545i%N49y^)x`TSLC_a?{rvdQY@+B%Vpr+^d@7KX9 z3{STH0#CAmd)1|&UK^+n(|Nt~TJtx?*6*b(ofkVVI4T}<<UC)Z<I4Gu^Ms4y14qT< zj*7=#%fsRxM1!q(%>!bBv8&;K$M4r&TmO|LfyQ+2fd*h9ImM^*MG0s=8kDY~xx}aQ z)r;9Z;EZAd%_xSD9OBdY?uB6g*Z&0aM)zct<O&(zg3ZkExAOn}|G%3FG+O(T<?sLh zork{PYCXX3dI1zMpwt98OBFQvqTtc_?8SrLum4}(Lstl%RRd>RkU~h9dUU>fu?eIa zbhrq}G;oI<S`u`-vw#}w%KV_lI(WzvbiU4k5@D2V1j#Kf-61Lh-7YF3pziWT{+3M) z3=Ew<Dn6YqDkd(FtmD(Y8<f0UI!_+_DSz;#v<u@&$Idg32mi1-9()4NKhE7O>dg-y zIBK4B={$M)MJJ1jb9ab3%jH*~%;5-1Xqt|_J}MlJ-6s6to}r88$;;0;k9C@;IQF`z z@Hlp}uwQ-vnHB5iQRzI<{Gh&*MFpItKpq4KSBVnp$t?=t#PnLpvH1~b#Np*`76yjq zhjoSrnt!qtfyO)(K$))FMMVXc&A|cVqoVNbAY-X$>wyv(P}#u(DzE~Mvw+SiW$@^B zQ3-$qj%RO-3QM<%N_U6~i(}^nN6rtR@=T*7%(L^gb2p0(*r!h2I@Xupf_<nC^`Q*H zhmSdLIQGVXyeA`n`7!5RP_EK&_;$3uRH^wvee)0TVkyIu5MRjnfD}TuD04JFVtlP- zcoL)%G+=x}@mObx3J2#Y&}m{NBAnMi`Qd=#ArM#b1L&ApVNf7}lL}}nE!3MFAa9Db z9w?Coc~b`DO$XTNH6VXFK>X>_9it-Q(p{n=<Jx+=MA)_UTPdGo^J7NC11_C6Uve=r zFgSOcfPMSUsawYS@@tT9JMVUWs8a&P9S11x;BoqZ^Mhk=2*}4e;+LOt-gW5=QE>qI zqg1H*K}GWq@iH#MOOU~i*F1)oKr;MO4>@*Tas`F(g^~o2;n)p62C@y7QVxGRTwf~K z{H(tDpL8*N^peZ)z_-Ko;3Y7Qil;aamGC=qUgA9HqIgj8l%wJ)&{0vH7r$Qwj|mzc zaA~~=9;hj0>Aco?wezJ5=grPfE}Wl0xx%4Dg!7`~_sg!F_Z$_keZLACdi>V;0dx?M z;<-(Xu7=;>qn{fYOG{c0fX4rwyJf)P^cobp8cy9h7SN=DHJ}b7`{!u$<ND^O_069( zI$wOhpm>9S%E8vR{8JAroOa;&=)m#0gx`VVBj-{6sRtBp@NfI@n#u4R|F(mm5uMh9 zCG6mI^}4Y28^jeNU{|~Xiy|dZtgbkO>WW8TSA6mVyW#@>ltUm_98|dI!12j}<6{Y* z1IH(bD^9rZZ~MT%?T`z|4WIxy(0UL&s<eTzwA!`vvTN%%(2R_8w+`4bZ=JeLtS`UA z9lJ-7-Ej;Q6wm6LzxsnrS9}S&|KJ-aG!DCPTyWvIT*B|dae?z3|I~vDH~F`ne9dHd z(zWvz*uVVS4uHmMTaT5nb;qcfyv_%Y&>nN{h6V~!cqoFbL{Gkl9Gj2ufQqxr4>*s4 zOlXD%NeNLwa)5u^CGd(YaFK0zp!FKKqAO){={)4pc@UH;FP8{7a^B*+sO+QSqj=g; z@l@vxkjpK;UvO+ags8Q;T^Kn^v|A69#Ci0J7L*{`b}w4NRaPmq%JS$n4Ju(}c&*ub zpv1<bSJW3t>0XFZFN9KkkSwH<d!gU)_5W)Dk6u<GkbY2mP_Tqhd(iNJNAr;g(9)Gy z(6)M%#VhFd1A2INUiIw!(%l#G5Yz-~^LWU>(0S_MFZqM7q!~|jUc+dn7&Jb5z`($u zdGRu&rQ%}1a`^>l5UWOoqxk_NXhF*N3$4dXJUzfoC0Nfz0CaG`gh!x$J!ILKJZObr zV%yjMFm(?gJsglahQ|<f952m9K;uO{DkTp<cayfLWIUjb^Y~j{f(DVGPJ~zub|T1X z@Tj`0;Wual7&MNuqxI{5$L=1Lo`)cJOi^h71rwsBN_=Zhs!kP0YYw#`2RhadR82HL zwD0r*g{(a&WI;6uxE%o+S8u(@-};#WbSNOK)xh5ZIwl>oh7VM!LfWk_FEB7LxOUG` zF?qzm;M?7!qVb4<!KL#itfg?%vGbJU!C$P72Ol9?qt4wdg5ajYX_wBMmtTP9{hYf) z1R-q&9>?b2Qgs@Ry<VWE0w=Uuxe0D6l&Ekx_Bw$o07ht2fu|dKkVx|*`_2#*4v2?% z5I#Bg{hHx{)=&Jcj%*AJttSzF(PaZ47bybr3%LG$DbEHvE2cz61f(0>Y<2++vMakl zP6YvVLP3gOi@3IaD?vX(4pd`sbl0fxIClPU<-7n{2?2`JBM%_~vja6w4;}o)3Jnu* zrR~_QBM51tgBs=%j@>1KESKMPf||`gW$V;?oj4r3Wf+kg>7ee3MDrmY$8Hh9%a1re zb%v<OfScMrDl*NF*gHd1B$^+xclxNvfcyh)K7oowP-v8DfI|Y@I4Y4i?xF&2_<%#< zH7~d=^_=l_IHcL+q5^gwv_S!CH<fU~nh>vr!N=EuHG+Z$)V`4D_EC}Oc2SW56?Dfz z)$cDzTjjVT_@u`F->*A%Pf<Ao>Wp24BooGqo#zhzV|D4}`3mZZIkx@+E$TaPpMinD z1vEena=!sc#-;OYH;ewkXRMl^Ixm6~j)6z>S(a`SeQ;2jH2;#VQ*-R~<mlFcw7@hk zUVh4Xv@=J=z_Ixtk5e}b|K$gqA3$x}ZWk4UZXXqs<_GMZE-D6)kTU6XQL*UsQLzE7 z7nFE{=sNJX#501j<{lM=C!oS3M8yKO)FP$<R2K8M$gx5cfV6@7vlcHmFf%ZKMz}OU z?gytQ*UnQ;-7MnG4<Bfrf_i|%xAU@R^Jx~RZWDR1CwM@fP{ryA4#!?c9!N78<OiPa z7*JnMg{S#3JE+6f`2yk@9@p+YDv)H?q5?{GE}cIi0qw&0)3Nic<H5hIjt8HD^CLLB zJ9nFCfb-*dm(HJHM+mrgmuRp!UVi7&S)wB1*!*9%PSdg16;x9)Ky&0zXh;e;_PT;{ zB*WzgF5sXQ>Gn|(=q^zafrP05=hx<E>>y)6!2nK64&RQlmq??w<RxB<ftsimFZVDr zFj$^00v+56I=q9yvp1Fnl$^eUJ5AtVbnG^<>bwYcD2HP=sP*0Iq9Ot6&+@l`@-rv| z)f{^rIl5Wc!LHT32z4w6QZfLQJCNd|Mui8GVK|zfvv=00Ac_y??i^MIP@sS^rGQhn z2{Whx^IsO^9Z(sC5h5T%1st1?g4z!nP)kK%6Q+>p5rBk?h~^=~1Drqkr$AQI@VlJ) zf1=YzMWNG0MFlhYm7*m-1z7UCTMJ5lKHWJg8sLhBzhx>DYC=?asm{p2KuVZESHUzt zU~hiN-u#nM^3wN9oQIlEaeTii`5&~7yR!zVkVFY14wNwB!5KyzXko<Djj$9{A3(y0 zr?W;yq0>i21$$UYfNlcE46AIku#$j<)y5k1u*!rgg=JdMnZ2Nr@`YIa*Z(gKK?54S zE-DHyWkF*tE=Zn+7KZ}gK+|FHngL63$l=lr8gYSD3_ti=4uH<0?e0;@0oRyYR1zMc zG&(LE{K0zg0XTDlGmB%l4qNl12bvebBQ~Ilfel_UNY$zL27oFCv0WfngA0|%oREs) z04P(kL6aa-#Q>@%B_P!#X2rnY`W#kGf-499mdlW85>Y|CJj%wv;Mn|}vH3aUOCEMm zY3QONfk=Se9H7PIpkfj{ln6Th3CwY10mT%E2`UG``53$oSP-=w;CRj3Y{60s%KqSm zS)f)5<lJVE_JYUYG8x=32A>EHI)xZiSyX`AbfUqTh(_|^Do||mw}8%@1t|gP1?~F) zDS<YB4Qjvse;w3%0J0wgqzGybGe{A1AhjB5P8>9?K+SoO0UitmReLX9)O`K_QVyJ2 zSTAHi8_=L&02v1khUN#1ppXFb9a%u_0vm9fbBc-qsLe@ETThnQwjTDJ<)R|c>7yb7 z3RMe8s6vL5Z9&1{01nO&6#-b*DXWA8W+XHaKw3bx9B7LMDCxa;TK)CEXXkOpZg!AA zLHaux9lPCGUdlj%Wn=mv3>HX%%+Y*;!|-HtiV6=q{}jl5Mf@%o{+|Swbl8H(0&5Tj zSAc@3#0n&d5j@ALzW#sB3aa4*AQ=Q4LZGpBcyQ4<S%AiJ*}<L$jVf~NV`gArfb7ut zRR-EV5y@I*4Bb8<07@h)kP`_w1U8^2lE89A2!NEMB#<MOXdwX74$d2(5CC%^MFc2h z2p18Yb%-LOM1|-3HBg=c6{$!g{6`P|W$hJt3u-yGmVh>aKnh#_mhGV48K^4&lIpzB zZDVloDXZp7aGz7aqxl3&w~hh0xD{#s3F=ZgadgWVAh*3i1LOkDhmgj`kt%smUrGQ{ z!is=4IDwkrpb&h36oULMKR{<3cJEPn0S!fXEyZ013Pt{wzfc7rZJ>~pcnNbhxbW<} z+FfJH0O>M-`^w;!aE>Vh*x@{Q9L|B_aB$7r`~c)=4$wd~C`s7k%m*OHbi-zz>_JH# z=6-ll;av)He~BYV5~LjDc6c$dul(!(*F2znAc2w{K&HSG1DFF!43N-y@v<0{AVD`7 zfXoDyq2M_aNNV*d0WF4XQ30i(PyCR>d?QYN;*U7-i9dn^a+v|B*AE*V;CSiC0$z5a z0!n1yDi&Iqlhn64&k63^{D=2#j=yFy1odrN|CcDj1|1R{Ks_P@Wa|xHvNJI-`1U%n zfUF0{q;t0j7pNl)8Y$;+?q=b*`~uVm2KC56?I(<W@HNm#uw!o!tmg+F1n!0|=!2v? z4oHL|8nTcceW^(60Z_6BRazw+u%0|<^#A(>SpM<shUAjYvj_jmAABp#c((IBY^Dr) z*G0F8UGviinlHg)`yAalb}X0Qwu0)D92K4t{pO!i{C%MD574Zc4AQLGW6sN<Zb~z3 z+U(WK{R|8Ykc8^a(T!IrXq=l8FP5l?e7}Y-#9K>L1VACq-{Q>zYTw`(gMfs6^C2E* zNUs|l_MoEF9-MC=MJXG+C|zF&O1TlN-*urysRVLb0JS#3164bUzy60wfEUocSXKbi zD8On5)))u5$OF8MEdZ%oS^_SIBcNSU4p?P<vJjjD!8H*`IVcB$u9yH<)HWrsa+m{B z6M?kDvmTfOsfj=%I-oWTXha98P^JE06z<?F0EYr7<AYMC40v>`MMVHKl7e**5!{>Y zwm}_46zE18L<F7E-wA5S_tvNgAeAC)1&~q%<bU*1Bob1JfTIpHfCmarc+~wZM31`H zdElsH_{1N{TCNR>cL82|9S|J{y;}uT8FXMo;{^0*WGX;JBS<;OUU)Q?7NJEWNIN_l z!5mNt+M)ttf-Z-FTm=IPN4kwN;*Qwq;4l(&QE>n{2sBm!N@<|63hXHj8nH-|*aGlL zY?0=lIGe%(D8rs2%_%AZpf-;PJ1C<HAhmhezz!1dQE~XhAIW<_6BJ#b8brVabTv-o zL2&3vcs3q60Ucz9B>>Qo??3au{&zgq3flX?2p%2xKpGtf73Fw}aiWWolw44<g5?EJ zO9vEW;Lan~-UERk12t=~&ii8tGEmtjVh;&2MA>#Z2jn1Vg$qg95-(+c|3_P3iL!o0 z!n5<5XXn@MzL002`G9F2&rp_R5MQ<k5ZU?R-wwLr3A8?byNe1BsDKAm0^qO#50`-R z)GlrY28NxW1~6!`eRST}|A>U**}M0{69xuX!zYH9c7nE$fyV1O@<8MDy&z9`c2C9a z39vmVYcEieEly9M#Q|*mh=2P9P@m-B3og)9$f<*`xSAg^Ha`6SA3S9bYHM;h^1ECC z)s>#Tdo`Yd`sU#MUWO-Mgys_GWN?cF#mOA-oW|4q6Q`3oP;wd%X1I5|sBktvVD9!& z;cb4%3|j@{*}FFZ>P`Vy!~f957cZ9Q5a(K21u#1_fZ4GH@O5Ya&wx6d1GM4)#h2`X zbut^&$!yJ!7!ALnrV4(SYfv{|KykAJk#43^qJWL@LDDe?|MrU@S2aJd2Q^wj?MF~& zyYVOosBGwk%;P~8f4+Y)Eelk{wjqjG38W$xyzU1^U@vc6UVzIRix;@c8!DwFP`U@T zBLzSVP>w`QCV|Qu@cmE%yFhoQ!ONT1nc(t<15`2buzU9IUGWUPyqS>+PFo;Pcy>?4 z?Frh%)4><q(6q&koE<==sQ|RR5%KKZ`v4Jbh9_S<%@|lGBNvfK*#YcmNOl0ZS>idQ zj1&N8VM8L_OtZAo?V=(GDI-N8Wh6(Zj|z`x@7@5YJ2{Zb$maBc4PmThBshE>`CUL| zBq)G8pbm!w@P)L2bux}J66|P1qBwx!W*H*gOr=DDR@XzyND+7$2}ux*M}I)w2<i{O z%E+QrV#-KJ`3OEwMgZIz`_kRl^AcP-HoU}MI<lyM#>tQx1vV=1HUSH$O`w8p(SeK# zN|S(ve>;mxCyNTGU}OQEgwgGy!U#$1tSE_nkID>CVGX+81Sz#oO#vmH9u<&NK@DGA zZiNj|;9T%ZYzqdhvO4$zM|x*bfhKnrP!IkB$myW7xeQOfc$7TQPR5zuSyUj&9qeWf zQ0zn9tVg7qsgoqSSyVt<bwTX`5fw;MXF*TuM;t)T1EmJ&751PWS9=m#QWpR<2f#<^ zK+-pKy*#|Xape^_g`aqZJ%v*()qqkr=olRi5Cc@MAco*T^}hh5zrl;#-*}w}uK%GO zQ&2_v5~Kc41f`^2kS9F5r{eYmZOWj7FL<E!KM!*K4{F^Y_ctU!K@5o}Q2n1koRg{4 zWB~;?;cy3aUUz~BP(QCY0TS+z-Yuw-eFfU!u@h39{(GTJUmu{AH;~-G1J4bR$-2g) z9neq)ji^Ih`u;^_JX&r*ZXBcJ2MtJx@6o%@;SB==WT^3UG^ihsdLN)??;MpAZy{|X zP!$6jr39Uo#1FEmyGNzuHE4}Ni%Q08l(}oN*YokWWHUkC1F~jCH001DaC+YR|NnpR zkx}4NN5DsJl=5~nbRInTQl9bP#h-?kK-Xk;hNy^u3g8!SAg%_54=AQz#Ke955B4a? zV1KB=;8X{ieg>NfHty)bxAKffFa9+=3EHm)X?N{WQFsg5y;Y+E3IvdGpx!@M+}Hn( zdqIP#aC5&$fl_;q%AYr&aG9d=;SB>r=jnsL<qy7=W<1?_4`ZF9QS;*mnm54{P8{7a zMv%=W;O!Vny?GqnI$DmJXI(m9UVh5?7vvmxO$yp-!UG-%FHzxXepnA)z4xphe1|n? zWFK5nSFm)}s7Qd;jyZz;$NA3@yfLAa)1{lkQS+oLXkE9Dihv7fBos6NXYv;0>^&+P zZ&8Xz(EJ&6oe(&{x@Ghs^G%=?1{~cb`jCYPU}vlLx^i@jup=hPAlqU%nve2yi|9kw zr-A31KnEFs*7m!AR_lR0z~iWS(C~l@=Rw$77)O4Wlc<Yf7~X;B{lPQT{4LzjNobCD zptufEfiH%+5Cs}B<!|AHDgbE%mADFqm%!_QUf9Qe{r~bJcmYO>$`f!Ro}zLCl!y;P zR!A`(1TRHH-#hd00eIgJc%BX3^x<j#Ayuc`>&MY8!v!{8^WbIZ-kIJyo^Bhf%g;GM zIzUGmaCC!q+dQ`iZNF)LY7bhg1Rsb3pPqNVRHXGSC<f8yW_(mcz*lw&@V8830d0`p zqXJ3droo=93@^X2fP%n9MFBFF4+;_ZATXE%83YC~LH2>85tQyr_(9bXXom!NmBnk; zW($TocIX)lpyL-H6RI|#pg{~Tf)WJUgsQ=7&?-Ifsc9hBgVG;?302TwEwK}-kO_K$ z<`fkXc98qQ({=nU-#}{$K#LGTMFU72Tu^}1I5@$-{0LP5_AkMiQk*WrGE)k=ybZLd zlH=lw<_FApmkoe!SwSj|K;w%q&P75B3Q)j;nz5kZ!#?B<b~;j<8?=fDY2Oye%~;28 z(FWpN!1YRr3Xfy+19nh`=YXun;{oOSo;Q%SsSTjw3N@Oc`5qjwj@>evh>S1b*d3w? zS*t73{8Oe*9lS2k25D{TbI$vqtMr<W@;G+eXkLEKc^$N14A!&hJk<P%A2g2H{E#2C z0tFO*;CSsUfh_jo{K(&;!p6Yhv4bCU<RNIT9yE>qvJSM2?F6Wn2Q68JoEZvBa~EGA z4g~~N0~P229~wdDfCq=Wj|vaARdlYB2S5P;iVhLt;sKl*P~(BavAaYQUIOEe2T&0V zjfd}@H7ZDDFh69a9VixfKyFchEww``i(%^$A#3d#k8FSjENK1%l%iiof=i$}#B_GI zj#wvX7iEqLN4Jgm<@e1$q(IhUmXzRH1XQ!J7+rn<zUCUqZQ%6?pm9MT@UT6k`2)I_ z0%||Jey<4wMQn?T47BPM0HtN}N^?m}H-Z*=aNsJ<>mj9iJsG8Wi6AJIf?5|Iy{4Vc ztPHOcJbLj4q9oCQ2%2_-?9xQo0iFf`H+^4thk^nOt*wH{{KU_}ND?^*11^GGK-Iou z^MiU&LgR33epv4UYGZ*?KjGE~xD-NZeF$`i=)=n(kV9NKx=oN;AI~`Nb(W|IfLb3W z`j?+^g68d!mgv-j<{u!<C-49*xcqV9JXs<KE{$N-3OLsxs+HF=E{q32MbUBCk;R~u zpP(uabp9qNGl9I+>7xQKpZ=eKFQ5H>6O!pcZ7xu}L_nL@po9!+UccBJOy_t3HzPs2 zdLPv{Kdfgw+5C%9^1$~CoF~5DlDq^8AW)kc6hUji4W%tA3qY-b^9TRSAAB#(c)s)R z!N07~Y62Wk-7<QO&%wKQeuDQ-b99&Jv0Q%F{Fo8E?Bf|DsFlii*zf@7HCRiJ-{my8 zp$98nCO`uPRJwoy1=QD{9fa1q(E#=Jp?i-UH4nfd!?F1RKPYiYybML2ghc88WO#I5 z_2~TU)4R;!BecH(vf_jvW)}!_dgDh#tFy$+qkH#<kD%68hzfkAMSK9L!C7Js+IS0= zgXA+%-{8gNAfy?3(8;YI85qEAGtl9WAhsh5xc>lhfIHX$0+3}M5>T7Kvf$0&FVg)% z4ixZFk@&<P1DR{(@aS$<_=M&_jZbI}+~*H>AV@K&F%0U;fE{Rr=0K2Um;=FVM;4Fn zW)KUse!!!*S>QbbLmGeh$uxewgP?^?7G<F0>#y6gGJuW}Fui6AS`lk_(xdbEi)R7Q zUKhxBnZ97xGkoHYV7282O|3_=#&UsZ9Z+@xEkpv%h%j`zs5pS~p9?5U9d}Xj0nx1o zDnSZ7z<IK_M#TXsSOUPo7Rh=6Y?z>nihxIVa|C*zBtQd20Jc76r5`*{K#D<u0?LKp zK#>iE#hO4jhe!8hkY-q*fZ5<c0kJ;uqxPAJN-%l|t6!iem_-3Ft2w|{gHjvJYA_pQ zH6#soH$z+iOEE}cVgm_m(v!+$UwEisBo%fvr-2d$%xPe@Ba268j*3Kgx5|6aKwGoK zduj~~Sb>`Iy_*C6GcfEwu~VF37wDYk7stFn%Z-otbgu@5j7RV83Rr%A@!JcQ+9Fxi zIY1{;<fuSSvGeGB|Dx9O>wk~l-5cP_&mbv(zz#abszxOM9G;*-B0rFFcqU-I&JIeo zkqkb)B`OK~xWMPIe}AC~Qq;Tq#!vA05$uqZ$G%{j1b%@<L8TdJ)BahAs0Tt6G^DT{ zBH92E<pi7i?ZrZ0@X7k1T;S0wDy$3|if~bha0Q+9oTDP)(fQt|^BL%n>leOX|EKYX zA4ucZbWu_8=$^ddCj-Mv(Amx&y_*YuGBE5{fQMX<CphFhu!P(}PejPQWdj98i3;Q- zLZ8m>FPuHV{y~J?BqZg_z{){uszA926mlXE<q<!?-UkH=$ouDfz=lkKh=KwIbPmc6 zi0BDq(SI)%`5=3Li44U10hr!@>4WBdjUOPjIiP_7(Cq+f;P8C^g3%Xr<k0gMkse?F zceT!9U|`^Coeespnrp`l1_p+gUZAFIck=|~0t8gF&wv&npm7F}SuZlYz*!~3qkA_< zF{l6m4KaY_?)ZSh_o!pHD}zUOH%KdJA-pRKj04KV%^=}!502)C2OPUYIhr5YbDru9 z0F~$v2_A?94^)DO2H8p*l&xUBC(sd}pFlxYq9U+M5ivOZ{e_=5WRMgzISpDhwL9Y- zI6fgOVZOci=k@i!M>oPhjGzIjZU^vyv5N{1=$!a>AcMgNL>Zn0oo{s-qy^S+g4(<b z)SH9Oh(CXE(+g}VbVl5xclQlsOP{^y1epM}l;hw_Y0!y^pyjWiD^noc)&u-5pxsnF zpm|-7?iv*fkj;Up`lL|xfw*ve9=*Fg-h+G!cJu30k6y6PeR@qln1O0J=u)6>FaARE z{sOQIL5jb<cm)wcarCzrS3JM|M{>=#7l$Fr5Y~Kqu>mB62pVXxGIu+$H$P-<e!vV0 zT<{vE-rWuFLBR?cm;?<Ofl84=kak44h@<)s#6|Ys4!HmRy)Z}BDTb;O#6{Nm0ZHdy zP&UVKGE=t$Tk}JE&?;o;wE`Z!yEQ(50u(;Jei&8fW>C`Kg)%d8(E}|VBtT4nB))$y z8bK!X?w;`h)aWtwHw8tE;mH?SAYp`)AO?d5Z^8W^P}x)gH5fGX&tvb=yZZvfV90>_ zzZV*)CP3nWquYT6%}<SoIX=Su<k5K_6bWzKzy99=TBmZ%g_Qxa954f^!8!q@Ju2bZ z`Q4{?oxx{#WAyzCYZp)fa?H1Pc?LrG`3n^n$i)ezLfsrYKsAKsiRK^j{B2dBjEu4a zSD-sbMdbU{?igsb=hONA#WQEHp{=h=454+~^B0$#A*1Zz#yfaWun5%p0^b$@9%cvk zd|uakcDMgPDH1)q_cMG(I=21Oi*Q#^fym!-2fSxtKS()r$Q4w)pL7RX?%2)d+1(G) z2`UlYSYCqeN7@OhM72TF+Mre*xEToc%;g7$mzsad^SdKX^ac;@IdX@wfE`!L?#Rq$ zc&YOsI8%hE@Sr=>4C+h|<b%=Ixquv6V(!@ub|k3ehPQ{6+>zQ}p54>IE`@B-fw<a{ z1-!Zwd3FWd2GBeQZl!B}YW~N_?+@Om3huFjS4@IWfdGX9v)kAIFB2h$pie*m^z?Au zv-68j?>2`o@Fem5g@zL-rXX{!;D{1M-ZaOe0uHg){Dvo+Eg1Nx9PH##>2^^;jL3lZ zH}I%{_9}u;1Hyj*dh3A_Ay8+%^<*h%5sl%=mz@kqZkgi<a*K-!*oR=ph#@=1MMVJO z8ezkejTZCU7#aAdgQ5s>#t`VV=@96`Yw!W?kfSj`$3Gna9ol;hwxzlA2Iq&)_ng;D z1UP?uzsz~}`!&u_imw!ZfzEDeJy8+^O~l_{@PeGvdDNr%4d@mZe<LinxPXca@KFrD zoj<b_K$o_h0EK7s5e1KZulN}lKy4H7F;s?^Uc|Y=`z_yJY;*v56%y>-90wnAfdbZn z<=_J@(E5dgFIhb@Pach`;qo~6QoZ>HWSi`%?>E5xe9$l@4``ux#TTSL8hE{a!xvC_ zh183bbOI+z@Opiaa+Flr<ARbZr>KCmgWKMqeN$i#WWB!37tkcm6cvFlD3dtw@f@f> z5DOzvS8+(x5x0tC8;?pSj|%@b7Zva-4seM9YN0lNWJK9y0-jeW0cUDZ{e;-ZSrQ2f zmJLY3!ruZ)GN8RZJHSCB+M*BM#tDj;7k?Z;fy3W&8ak%~(&*7^ng~*IX(w1ort{bT zFKa;Zy<1ckd}d(SudyB0QJ8B7DtnHChB4?BKrG;8F55~}SUO9Pav^BA9~52SVvM_p z1$_K;DLemqCc|&gQmh2D*lUjps5$`kFhC^}tcg6;5wgz!R3~`!npWw7Dm(BAdM~O# zSpb~=Md$zg|KFoGMuo!_)D-#e)A`P)^Py+wjVy*2N5HC%2!J9CoOeO)0Tpqe1Jncz zzkwRcptd`xlXSxo)KGr^!oc?Hf5)zNP)pg7s~yx-=5IO21iEFa9n@fEe7OO1xLq&n z6<t;aP-+B4U$<cMqlRvS=4bVs*E$X1qJb<RVbIZn^_&+vA+u5nUy-tg4JiIKzJjub zXpb&9{z2*H#UDFR{Fk_Zq(K@ydQEdd($FouxsK3-3?p=rHkg9U0p}6uzFROKV(AN{ zgjWK#^aCW(@q<kL21!xv5KDtWmVz{R^qPLq0f#s^!ToT6T6$Xt;&G4>m>vg<V)J+e zhQ|{i9`6QO0^N7a3~>Y4;~)(ly{0)JX{g6bU~UN4LGn1r9AuA!`Jm4EV^F+<YkE-b z1G@}Mg9g?i0I2~-CW;!+!d4OA?iLkLaDtKz2k7=Jfqi_S{Z1faCktq56<p(i3tW%R z`&n$ES!|9$S&WYVx2S+z^FrDle3Y{R$c_u2ky3yuD70^UW?=B>d}R1-C&<7T|7^gq z?+uayDfR%ZQ-VkpgUsnX3^E3!X(z*h|NpxM6i@B@Z_mKcZLRp7^LnQROjg;0MY))T z^IT^EW*rVvGXXh9EJ3!-0LKW@7U*J#Q_Mk<AmyM^8a{0F%<k)dkItjruHbwC(%Z?{ z?aBg5{$P$H3+w<o(Ed7*&@NEJ2b|hK3lpB%e*F(WK@#L3P%#JJXbBD(P<%i_2Al?; zSc5~x6XYC_Vo1n<r6R$O0f!7o6X*!ThHl~JNA;YiI>CE+!J-~4%?}&8gIOTr0pRWV zAcu8x9DK>y{E!h+*>xU-@L=tKurg3Sggedz<N|Pt07n}*)4D?(2hLs~#Sq7VrS{l< z{r{TTqw@jCS&X1U2&5M|&4T&J0k%g4>|l2m(6WJp-!Fm=HmoldZan}!u@79!fbJ<_ z05yBQUub1f;cxi}Zi=?3fWijhR*zm$bxm;L0uHJ9R^UJc=W~!kk6u#_kTkSn5dgWB z-J_TFvj!xegWW-e0&cYi*bU$Sk%qVdoX^2w)oa=Vl7{B<9vf&`Q?7xO&%t2?YMY`K zaE{$ADxhi(lu5zu0Qf|hW9Lc7gFjgv4?aXXvC)PDbQBHfMAP@3HY(2DIUJC4L0OuA zNI(wKaqJf1fURwK#QCdJM8&Z;5VY}B0D2}U3;#A9l};TM{%tWTET98Zk;)lpyoq<0 zgA$qHD^Q(q4|JSc>#0(a)^DIL8Yl$7(Z>T~ftsD*)eao|Epg!bJP@95oV#ThI}cud z-6^Bu)UCsG`EBzL(2*}J*xY#w<j&@UphH+VE<fM|9m>MOzYSV1`>3#V`lztrnwsfG zOELza{sU;}7@T18EWioIAC%@H2?nxH0WA5@3f5E;0A+k?C6+QZL}Gbo4z?dTv4n%9 zp@}6P<N{EFby6cHvGjlw3n&kQ6AP9}K<YFayIEBDx19ht8=;{OPbHl`Dgqz}fCDQC zlukgiPMoJo1U+{AXJB9eVbELy$7?xwm~u8htk*no+(iZ4T?8HX2fgAER8_<CI=B=E zRdk>T1kKoh<7llJH~@V?5eQNaD#bzVEU=`4C8)7@3{?JtG=fT~2FGqONC^opIl;o< zlG71<X$`1Ig%q8jDjIG#xRL<Xb{@T=`&AIprw_KBza{6#|NkHtfHZmZn$A&SWq7Il z1Jrh7od9C%{D5zxL+u}WfX~zO>D`y{4c;gF|ANB=RQnu;2dYP}XrU@-{5A(VehbdR zpm6{>Lr|9n+Is`F$+?|bO0aj}M7o(l7t4T;Vi5r6P8SssP_q=gq!rwh0Cy~XR6wWT zl=6e_)PiMc-_Ea&$6Xnqx;Q|mgn{yQ>&a5kDr&<^uXCUsxc@JFjKBT|w-`WuNpLp| zye+qs&+uELg+m=Hg9HEkV}}1dJ70AEX#T)xc&YUm?8wf4oj<#CR5-e0RCqdHaGvPA z&-oqPU%bls`uj!BbBgyA|8;)y={)-VQtJhHm+}9LTSk!30ymj?161&J89h5+f-e3n ze=!vl#NaNYfdFckQQI8eW&Ho54rDec6u@1g3KoVEK@blV5RS*$K-6naP$#|P5a_tD z&UYZUf4>a!1w25kjlTYGy;~v+icN4s9o%66g-(gT;Q{d2$7_F(BsdBn{Zh#2C!}M_ z<B@#QBlF~C-y{K#&Ql%-U)g&c{Hexx5xs{h@Y-+}D14a(HZUH1#O0BE$s_X;MAtQs zgYWD;4*pVO{M2%Q-}My#wgcd4T5tmplsj&GLu$8yJGBqKfm-fJ%_SFOa7F`nYC+0D z84ccC+HMLjDIp8jK-$4=08l0eb0D2smv4|xt;IL&omzqmw<U;NqyXyBg8J+n{M$lQ zctHEoKtT)+f0&cF16d&J0|dZxk)U`5#WHx53>-(VHTc(qj;Is>ovZ+o0vQ30rGqb+ zJu(l7=NuICIQT*d)HnMITP^?!&IK63xdIxTpmGb;D|_+P5D}ap<)GjMm0MuR3=>#z zLihB8w8MiF%yDG##Oxe5=!43;qoCyopgt$4X$n#dJ(==_qA^OJbCLqM2L$SozF;#3 zHR3^IE~476|NjRqCKLco%yhno^f`Sye`GPd2mq_X+UJZ>LFseef01Gg>2vPULz|r8 zIo1m4Y}SDfHfvGY@f|7gflK)V-_a7^aRYE@nSsh!kaAFH!4sbq$W@((yO}`|3)0%j z*zF8%s)IS8mM1h>fdT|1whL4{K&L1Ezpyew9+n2hUjv4VI-o8B#UE&y)C*IHi@@~` zNIA$wp!fqzt}p_{!*P&NAdR4U=Z9mrc=IE6Sc@Gj461j2ICh7#KqLZKz+HWKB7?gY z+_L};c!PQtJ%$k1LdLp5R(N2zHUP=BAbl^|^}*o>?skEc!(0oN`~|8*ARRA|Mv!X{ zfVvl;Ni1+B2^Ix8_5iqh0TK^j0o5EFKE13PWLX*Zfi_$tc3nGyXH&pEMxV~_S!|%L zBFKy^W)KVH^%u_!K<Vv>f@gP&$`6db8Us>p0U7<`xE|R3;AR>~In4cFNh?E8XoG8c zkj9-1KVTh4cF=LKc8H!MNLty0#ZkEoN7oVTsh3K>;I;Jx)bV`_@Ot~N9=+R6d}UzR ze*r!$#ij#t!*R#%-kcwx5^ie359|dTbsAvs#xHc#&a-zfXtEVt=e#(j4XO{1diL(+ z0F8uzd)S}^|3VQ|JoN4b4MjtX1ke;Nw>~H;z**nnB`E8=s3?Gko4)&W{`2X4nZ@uT z0;~j*@4@AtXZKW4A00eq02yim_3lAKO}YA@Z2$koQ7zC=QyXNcsSP~T)Vc}O1?X;N z_=%L?%{{yKa{Pp3cTna6ne*a?4mi5KJ-hdU6vJX0EawAqOXpF~?pBaCv{8au23TZ+ zWkI6^rI4uh?A`j|I|IZ1iXE_##9*y~jxx}!IV8%&wO~<Z0809uj}0L`R*%kmFS2z( zrS@J>0}(0KLUrM>w(2V=)>2So&A0PG7Q>7AU{$CQ2g(GXnG6m{#DTNWc3nuU@oS>T zT8X6Nu{Lmd4W28pK$`m6@t>W60kr5$5j-KF3^|ZN#Iw8A0y&1wJiGTgKw}ux?-B$Z z<@`+x9K(K|-FrcbK`{&}&%tt8y0F?$z_Ys-q;(eq!@vLChKkqr|Nqa((5<2Pm-B0< zCR9>6kVUzIh4Xr6CAdt|Kn%=))4<OE{0t1BaU^9AmQE3sP9Mk+E_j8YXZKdH@t|T5 zS{*!pp{)ZhU?o6P<TBt@>d++L3R2sRGJ*zbVSt;@(1yiUP?`kg3h172&{PE|S6tTy zn<>ByTKNt>3ldb%GeGSJ)wT+|Ky!fLf)g~OxeTH~0i;2N*{7G)Q-YOYA80`W_+&`% zIFloI{u5rz@&sowItFAhJBDPjfhrP*;4G%lEGEbB7nPs_p&4|ieXGI`q`YVC*}WIE zv;x$j(lGqC6J-307)?;j@VD@S?zZpV3(^3J9Sy@v5cxCOplovtJo(oP(hZsX^X!Ij zK!dWaAYs^Yh%k<3=yC|pZm0xgIYbzIImF9_e-Q;PQvay&4ajrgBgen{^ezLfya8ty z&>FO-YM>l*7#7(cy`ugSpv&r>f>nU~N1%ZJrwr*Ifuj&KnuMi)!~vSEV0bOu&1}u0 z0`4Ax=Q=>WDNua?AF+V;j=*;?@U<Q&5rhs``E-7T^^JH`T2GdUgY0QNP|6P)bn{V> zXnw%>axG%Y?fDB$klSHHv*3;ZjvgDN!vsDV58h(~H4ngv2kIP9XRQQ04XA*)2U+E~ ziwgL_Psn``perwYR9L!mR1`pmKzF_ZpDr!Yd7SfnXN`(Xi5TZE(DBmWuW^1<{Q3PV z=L^LXprcqJ$Mk~E;)VCNp1;UZ1qD28g6@$RzTTEEsJ9iGRsKR<9aLI@Cg@h7^|tnc zN}TTgDZfCq=JbwVWYwBt#Lac>{Ljq5pbVbxYD`gK0r5bg2~Hc}RzJ8iRw|x(QI(B> z;ovI)&5Qio4_<u1c;Vm+(9|^O=o!eww8I~yYy_H^_V|OS!oW>HQ8iFDD)I5`-Vag? z%0lqwVW$SHfaCD&?gwcF%{BZ0O|q~<CRreYps9u*pj8SF*uirwj@|uleuBg4!B4Wn ziRd{&=+GLhb<y3<f$U);&+h#KAP<8Fh(W>kLQNIyU1QJg{UD`~@nNvc9ChgUuxEEa zNK=<UhaimUz|sL~okQA0p55&rd2j)H@n!SFdeDxX`c58|P8Ssx&+hgLbSE^RI$^O2 z+zBA1NKTMMaso&b)CpiF*a@E9(?J~1?)DAHmY8^U@89u<0dxfy*ei|@8%#aBw?mZg z2Prqav=c158I-F#4|#UCgY<N9b#TC#UMw9R@KOZkO$QeEKvzG=v~Eup{%t2g3(h$X zmIxpZma(Y3R)FgA?1rdi&}KaDq5_(oVE~!J0zUf?TnB;59*~fWih^f%KgcC;gH<>V zmY|Ko3A~o>W>JAE1e+-W>gPfX5b*47e}NnxpoIPb6zIK>aRIQmb(F!u4qAZ$QVdGy zpqd;kw?Gw^&;>lZ`$1ZvK@VnvgC16Zdv<RJ34*g>LmdkPxC0K!kG$P{2OlywKK#KB zTAKq(5FwB{9ApQBXZLnc4uUTuVPobqywnLQzc@g>e$Vc9g}-Q-RpT!rvx3Zck)Z_l z14uE*51`Bnmb;?@^8+Zeg0w>Y0A`~3p&caH02(IZfmaS6Ui0t#$H2g#%+dUlvz*hT z^8ut#h8I1a-R<B6080^|%b1~QK?F0(I9^M`G%#qhfC_rlQPcJvztFsU;1`;AD;441 z1t~`H?ptMK?}D^Ky$fa%<y~(6Z4AvnIB<9soFqx{Dp&({uYN%H>JN0U_9?)<3Q~;X zRVEa#g0w=t3TA@6>I<51U!u|g+Ou{XK8Vl`N~z#-s`+6(Xbua$CQf$|A1j05ZI909 zKD{X_9Q!yyGpQf~+^};5H`_pM8U|4FoGJ9heMNA+2%f|TSL)Ez?9-d0q5xim1l9-| z>7AkoZbCv^grEkz0K|AuDg~=TY7csLzQ|&741H0LWInjX3pyK=+eL+?glG4EMg|6O zc6-eZ+RDewqM`~C0_}(Cj8T#C>~4=h&o~Lti~~B`7G&)UeR+8Bf)s;-7qry_EVoz@ zmXahqyZb>}p}`Agf`b<+^Mf)@a~%tVPiKirMG21ePzRdr82P6k=wtz}hXOUuz>RN^ zbqwI<5O`4(`q1?kM_69$O;G_IP{9Fe+JP=dE>Q(#LU6eWvb#jh13Xp@8rJmyZ8rk* zUMnKnaiCVw>ukgS&30T4{F9D@c1412MgW-tjy}*8-O4p80-)ROOZi*Bfv;!-H^h89 zpTbAbYg81vOH@=8e}c9af$vz5DG}j3$oWt4nj+{T2pPq5oh2#~pc~OaCToC-WU%*q zR3zYwzBCZ6J)Pq&DjFb1LkgB|9~F&m7Zsh>lO@84W@rSc8QKO~TzK3?#R6ocXZLha z$=Pkx9mLZ7jJ^3ABk0zI>))@p{wrbY4pFgrE!lbrq!?s$$-Cn&DmEY%I9Y<+<pDCJ z^V;`o$|Wimpu4j`&Cn1PkJo~&mr8^|oeH1hE-D@%J;#|qWOs=QXzi|#W9Lst&W|PF zdo%(-hIn>Q2UY$2+q9a$GVyPVV(Gl~{Zi}I67lXFm5|q3KD{m~CXjp744R)azOHP& zecVMQ0Aysz-QzAQAs`m`1~8Db96%;^zWRPiIYcGk+aX4Xs~lbnw!Ve9%H_C=iUUXs z)Kxhu4&5OtE{dSrSq#8?TTMzNL3SE&{^NY*qIgOXwBOZ4@l)q@$4-mx5*3rqPhisx zK&EqoEr#Ay55Dv8YUevnPy|MFUgrcQk(d%O&VQXHDiPl=a-LB9@%<|2E5!?)E-Epe z-R&B`(F$vW-;lyO!VtP_<cu^pi`ja1?*}OcWw8j@v3d@2uq+k<8nt8e?4AzN4<0E7 zPbBw)xyWs-?XZl7Cyz0Ju3LlTF;IY_Wv>!;P{!kU%>$YR0u_p&poC6_fJOswWiEZJ znM(`gAsIvt^XV>8sqpN4^12L^%Q%s98CVMBAdIXAa#D(l!fT$^ZzZ6?dT@?|<$7?h zr$$9Z@g+FB{Q%`*&(0?$;vkzPI1h5ZSG=wW7F9go`J<D~v%5V3JytTHu>vaiK;C^} zCIycbkYcb=uvl3o1B(?2Sge5bBgG1s3z`t2PkX+bMWxF}h2`J_W>rudssVNb$hVu2 zjmsRMZFW44kY1Q?cRyM$40K8WcwZo-o$iRVdt62Zv1ys5`KMT&8rIF@HY$j{<Dfmu zEc-xxFJ%*zP7@X6o*1|!2G>d8=HGtMyiBQh=09+E?7e{IKmP6KF1}>^dGIBh;eXIf zb7zf;2;(Wkla8D}_@^A?cRBR`WG4%FjK{OPz2F~G-U9VZD*nONB7suSiy{ed9GZG| z?*}RM01uRcWnM^u#yE~RLfXb4ZJ<F8a5tnM%tPcT@Nk1q_Zn~;0aQ=>h(XqMmvDh< zH;@lrb96H_AK>bQw9>)33DlhdyN-q1M}-A4Hwa#*4blTDzYu}&+OV5}e?1GR7X)5> z36ebyi3wO2;NS~3kIVz*v-B7svr&j3SOK;Ka$RZ(sN1#yH3;&>!9f5D9FS6^Ab2VX z?Y4pD^QMEefzz*NcR!d73IIfV2qeV6&47Pf0BDIM2dLc*%BSGy?7aT{y5Y&zf2H!^ z$^<-)QNj<JQ3GWp@J#V*mTn)=T`Uk^b)Etjt^%OKmDQv3;cJ1`lO;mXD%_*jM}_4$ zBS>qrjS54FShtS~OY6x}K9GIjpndrixv|E-je~!i189K=e5elG4)W~Y4stYToJ?XD zsKXB4#sHdM(UAbRvn1r3Q&eQ+LG3JseISE(fe2_j3$(8Q+>8S)#boyA)m_cP$`JZO zPy*4K16SIhq7c%T0$&dd>EGFK9OQ2~#Q{1G0kjwbgh2};G@5HvSQz+QE`elvMI%{2 zJKbtjI6xJV2G|AQZl)uceBmYxI^VjT0Xcp_-7^kQ{6ZJVgB<*#R}>t>pzaw+F{q$| zcWoFYU<DPZYXi~>ZJ~jg;1(Jrd3U~lQ7ZiPf42uqCl9E{0q%IVo-E-><1agq#$WHE zlJHuyo1^(4SEr9kg5jlRi?96C4}sXnT~ty)DYi32CF8h@N)Cwa+uaVzL>|3+R6qmE zpg2g_2dcGqf(S?G*xjuclSID$_vvLl%)-imDSh9g^U#X`aj=Sw;IP`G0@_K4sq)YZ zO>yv$m1lSR3FH<nDAiy13+~>7EcWPp^&(yb99p1J8<0}NOJFB~W=XD!fz1Ff2<!*x zg02UIupL>tT|r?8mT=_gcIENxhRX23vb1M+J4hOqBH`m26Oi2m%A+$tt!%{5%4uP+ zn?SAwDTU-waNIhILG!3*cRxrIH1@zuaO}Y|g=cp=C{Cecjo?fN%0eEXy%u2JYXQ(^ z19r&Teh$#u4w$o{*^vX39R;Adu$u>bh3;#a){`ZlJ-`M)jN?%ObHGi+&TE|KO6)*R z0G9*&+X_I1g97MwI#4PAmvF7$AgiYNp$-J~91r|3{082wUZNt>da{J2^-_rgT-pGf zn@d!{8_ZiTm8gL-s0OI5DFDhS65#X-PC<}#^g>qP>;KoHttUY@s=BBMyk_<2b^&d* zbWzdp>~5cdUeParR`j6ZaBvv=3V}l(lz%{qLHP$X6b_a<C<4nr8lK(#Ag$0C05hR6 z04da<Js_w*x&v51iw}5qf-*liAYQX|GcYq6o&=Q^@CwWVId_9nh65;fBT~jjL9p9E zDFdVwDP^dGTn1W{>Dk>6(gbxEn2Ft8(?RK@yNCt6NQ=9WWgp0CrJUVN%#8fo4j6s| z)hW=`@8Ib4?A{JC4U~BWPz%u4Lf`^a091gAfD2F#aPft=0L}W(!Vvo6f)Jtr1y#i0 z^`D?1aZv$XL&yUuKxHroMHF6(b+f2wyQqMwNEJ}>g6IN`n_WPU;~UU825tWY$77}d zI2=K73{nh=W6<_bu-siCSR8}K(?D9GVF_k}!_u>NJKAjU4_;{dfj;d!%-P`mp#8|; zyzpWVFR0);?Af~?Z8lg~5Hzp2A7wW9-iyBipeO*(1{<9Q%`3X7fEI%Ibbj~geCE^n zGmGJcmmo+9WKt2D7N)~ygQ1H+Kr^+V>6dsx(7fXF7u$I-<`sEi^NKGSK})B)+aDmO zc2Hcr0Ht>5P$S5|7YF&k@#O8<y&t3)6i=X`MzEYI$Wfqa;dYQVoYTT!S)^%U-_9?d zz1z`dhAnwO(RYlz;da>JZ!FC}p~LMuOglh{8GPax==?Vw#6hZ{<KGY~S)s%2;CKTy zB^h~OQ3sh7eg>Ttei6YBjyjYn;d?Kf_(Aakj=JgBK~a|jjk?D^ozHzbzxj0j^zD3+ z#qgpNtPnK<L9@c3F)L^Uf@Xz5(`@tjK@s@<#W$|6|GSz&v-w=jvl$o|O5Be%gDP*v zm(I-K+jls$T~t72yAC4rL0dGfdf)&52Q}9CTf@Ko{|_pEH9!p>j+fTo|Nq|sokSJ@ zPa-QQyQqN9p;YngZkPCv)O-iEZ4~}Py2hY<3!W(6$OBFfexBX?L5e}?0hDjSa;p5u z`4*%V*CcX3ND^rh8CLOmcDIAZJfY)!_MpA5kUASwrGd%@2G9;g&<G^nDdBcdWdJpV z9d!Pg5BOpl@G&)@WC1b-RAWPxfbD@^8ws)q)>;LnYEZvh0&>_mXkr<(iNB5)T-Zv0 z=80v%6U*R+r)T$ekgq^9(He+}Wl)avg=o+KY0v>{fQ|*VgU5m(UV*yPqSHmi2IL6v zNRMZCJE+Wqx)Z7d6p=olT_Xw}y|QzEvM_+^;{?b=HE22+ytM;)Jy0)e{ZAH#EH)p| zt|rIeEH=kb#I&_zP!^M87--s>(eeLwP%k-)F*u9aqj!x8=w4!oN{|$2SOX#s>Q98e zm=4M*jYmL=Ae$FeL7jlk7L^VL28QD;DxmZ1Knc^M7b4`*tIGg(EN|;Ch?@gIsmB4- zkT7rrXIDpXOD2mkiz$mSiy<J3F-sxz#e6nU7CYk5?V{qs?V=LG?V@5*3OWkO0CXr) zfaCuIF4~|&PkQG-o3<e;35MT5-HK39nbLTC0cd4Jh>AnEk4k{%MW4?9pcNjS++Y72 z9`I;90_syBEwBJ@Dn*(PZ+?^E(HWv*0Y33&AE>5=78U<rTw_O?=LR?Pu-(Jx0G>*Q z%x>qX@O;1O(v3I~;{S_@>|g&ow!SNo2Q~D4R6rvs;OU^&lO_7_mVUr%?{0x^50>Un zjGZUGUuZqV-!hR2eDyLoPZ%C}3F3m!^ZY5_8*rfcheKzGN&sk>4ip2;HyJv8R3eVM zsKkJ{pyU$p;s!hDz=vxtDv<UYXaNK($U~jSU+iTEpJ4$${sem8jsoa_0tQ9~`08xX zQ9cV87#TpZ2Pzyu+3Lj<4shWBKJNi6SE2$c9KdoM-0;Eyd@>72Gq`)}(cKMZ!;kX$ z|DpyI<(&sX*#PW8aC5D>l7*p!9Xv?!TCCf!^&6O3!fkkR2dFDyc<K8;*m_V<9^?S! zyw7YPpFj__xq$Be8(=p==GDM%yuuE5KS(hsd4eiCu$%`M%>9r<Z9tk~?gz7x+`oqv zREZpaQ3AGJfOpDa7KTs!(4YhzQNh886qKOg5@3V`C1^Po*g8*$Q%pR%cY_pzoC4}Y zg5~ydf{X`kl=A5A2I&O%i(qW<;TWJ0_2^~*6%`=+Dv<57^61{(fNtMSHjvl(Th20r z&Ysx~(tu*8CnwDNkb`wV2Ee=zV!xco%)nrHp!FpGRM2Ts;PehUW&v{VXh{GlE(O4; zue*5$azua*00Fxe+UfxN&>QTUl1z{8-5|vvm%t-pKgZYqug&4PFn|RV7+BH@e+#JU z1SgW0%Rog_uZs#K>Oj`P+zw_VjjVWdH-r2RPv=+zYYi(Tu#SL+_Pa3yO9tdb@J*FC z0;>ab7cNQ?egO(T<RskPoPZomp!}8rO|S4oq5^gY_~<N<Vo)%_6UhvA)CdD<hItyy z2BnkTAl8es%%EHn$7*{3luQL(R4|eZ$aYXHgK|6E_GK)fPypKw3NjSirO<2#X@=Pj zW+Ua6J|<8M9)IBmc7_0N*?y2Ska7zs3BV%+<P=b(p*Tev;S`W!6sPpFp#}s<Gt4Pq zwj+zjUQo(pVEAAUI)Mq~dr+Q3u@aQcP^?_R4EH@qF^ZKkXjXzW!>k0eLAyzFz`+bE zXHYBxl_e+^*&{3hDMqnqGb^g!K$>9|f!U5MKD~=S{D<#zdHzCy5fs@13?ALhAg36D zP9lSzF97PufhV@%nY9cg1gh>qy+VQ310}qmQNz}grL4^l7+-rqGvV_Wrx`#;*mQ$p z_7lGVqv54Aemw@za8wAmfeP*&f+jpUFF^E{@N=H{ev$KH>xojKmj^(H<$wllTTk$} zYzF7l-5Lyx4Eq*>RxK7VfI9|os}jIgflD?AkM3qr3_=S!4Ug_-kf)*C1dra;0l5qe zpkoa{t)&c5^Tz-*%j@CM%ggzRg#oft1{C$+BNQBWfYu~}+5-$e-99P~SqhFpSw&e2 zj=^9uG^;2B<RZtgtg@^C&=Ckn8NdGbXgmU*sqSvxfL_M#0GF|%+#gsNU=vHljNnA$ z=+V6!q}-#|^zC~V2G}IVQ)cKk#T)Ng7{JpQ9^I2crhucg`2nLxcQ=@elz9UGfB6qO zERK@_9Id>8d(bkE1G2L~na2a{ETp3o?lFKJ1*(QXicu0+5XebLB^gLF*fk#A-C#B- zfvuil2<j!6Dnk4M+S~x@N~wYJb#D%6IMtNzJqyEYC2-?v!h{L1dK$X6)~EA5Xt?hi zC^)-!FEC_acwzPb%YVpyanOkW|04b0m;a!I32qU9i*-<&3$k2=t($}KB=`_>$Uu1K z`xiez%3<jP?AA~G0vw<C<2XO@#~cKwP!|;mNE(H=y7=3cGcquMj_QM04ob{mmVpP< zVGI939p(Xb*z*_X{(^)ATvR+h@khF-7<}T7Z~-4O$Y6LNjbD#--fk8K&<r}Hvj)x! z{NP)dpvf0uokw>wC{W=7a9g!8ZH<7~>I1gb2W%_2!4|{uiC=)VY8MLw_=rK!yelY$ zgL5)?2DaNp#Q}5|fs2a9aTgVE-|@9LEM_1yD44;08qkzBxCYlKN%BBV;y#@pN<i-0 z!N>?p-aeh5UQGP=<$vpe5>UGZl)|A&8+3LHJLA{?_!G59_heAygKP&!GNjp81zniL z-+J`#|Nq@g{|^|Re7WoIe?(&N=xzqN0^Cdbei7uiL*Fkco&t@Ya=|7`Ut_yh9yC_~ z_8td+3uqmNM=w&r^Zoai|DK)4;L-g2_m}_BqD26$Xp!*f<rRO2r9c4<+M^dJXoX0_ z-!K0?nvVo{^qM|=4K52{`R5rZ1w-;rii(0yuPN_47KYcdIP=doka}n`{q-A^CIyjO z_v#?`gMtH;90=#19U$eT=O0k751xJ{F!N6$*kQ=oL=be`Uh^9caA|D#&G3@r4p2`U z5^S9xAw{u+V@Oufi;zFyumYXVhc}_z`3FxZ7yp5Fgz{c}gC%xA<L8D4Ko(fM_zCfD z1M&a}sO{GQ9sm(Fdxa>(*Zl@3aZn)+Qtr`fDgly(ZXZ_o_vJt6C{I@ASBOF!WD2<0 zgcRanF7zZMl!L7x*$-*|OMpkGh>B<DH=o{p0ZfdL+a^F=wWyz<V*jvj@3I33;pZ=$ ze}4Jj9l!$aMso+VfR{;tCk9HnyNwMmSsU=rIb`^@^F{Lq#@*l=_fTmGG=cwr!S)lB z;E#It?)w2V^TnqhUqDk(U^5)KeIX`;m*|x8Id=Op7=E+n0B<fm*?GSCJEP%&)_<ie zohLd^bzbCr*?ElfU5N<i$IkcPuXDav{QmtC=LN+d-!F6?ZoSFh0;+R8d-rvK>;l!R zFWP>9?T5Rk49q_c<v)Ls2Ie0@abM66a5#AOu7g$e9=*KZUSWxBl)9Y*ydgD9!7(JO zJWIhbJgXd%D??#b`zuiRLaX+DdV;9CRcrrz`S01?Cc%W%iL>(T-lxC>>cok1y+EXu zrk{|s;@Q0qq}-#|^v!c{CI_Xx7hiru)5`Vd(6r*&Jq=_EEUkEU_kp?4w8DWtPytOZ zj^U1Bj?n9R6g)exfd?y=&0vNnnCCAvzk><{@L8uUDn7ldIauJ5?_cmhCDZuzSX9c* z;359y8<HlE-rWu`O)qXiWLQ+d4ZXG-kXG9Tkd5HD-1Q9<mx#Dq^$lKl!p0lkznF>; z6hJY(1|h-W(>oa!wV(!^fKP9ViUg<?r{K}cTl*4UO#60zgty*8P}^|fpon%1$|`%o z07^lyn6^iY>B+x9&TdX%MviHZ?%f&8;F#8UhKT7I-yt#W(Y+g_+@sf&3nU9I6}Wyu zWBTh;XiR%_PX?I+i)oMUZZH=b(+cpIK0IN<gn(|p5}$6jj84Rj&DUY~i9_bPT)TNJ z8XtiVj=t#9dFt{j(CH1`H5M$LKQ6y;;XL2`h_O>d<??$_<p>(`ZgT-$1n``(b04Tp z;L%&B;n8>uw4Tkg^8x6D#TPR_efb{&vKy4dJdU>=0Bwi_$MU`ftPBhujmK7Sflg0k zRe1_d&!Ft}GUd~k|4{V>pwVoI`V5Hr2yU?YFHgYgLDv$zG=Zu|jJE~2b{=!=JnPx{ z-J|ojPw(;xvfK>K`xnS^Gcc4Wc=Yc6Aj8c7F5O%-U%D`U^y&QP()sGe8gR*Q+@<rY zi{{(^M}0b<yMPvv{_1@Bg7Mpz|II%bOC3NPhM~faoiAQkf}$N_o=4+hgNb4c5VKr5 zKYDiF@Mu1Ql-FMF`3SCekc40%1M)5MenoWmd&q&@A0Y>G{|Z@b?tk+goLjKD-|;JU z_g8-Z0y={iWS&RkVU9_7-T&tUx$b9>2f1HB9_Ic8Ic)CN!R`LWFWB9`6O_e!cZ1CH zXgs`QGG6!FknR4AOa_ML{S}#@=@U@;Ig!DDCH>?;N-J#PfABNd{Uvf9y}Lo04Ntln zo`j?>P%<+53eNS}4Z9n7BpDb>L8rAc@JKSe@cjDaKU$JI_VvqupWekFQ(O%XAScp4 zpTGR~XgoY&3Mdqw<4K6d@3BTC?)+1c1@eDK7R>)QGO_yqE6((H@DsxSAkFCh|ANc^ zUoidu1?+#2Dd_(H1onTyRD%A0N232bvO)fzkqz_zi!7}E|AO299})fsX-4<|XI%dO zjOqW+VE=<mLH9qXd}%x!FpZ%9-;(J6h+L5WGjd`6-;smW|DSRD{{zDRAkFCh{{;4b z7+O-l{R!+<kOnlb3PQa409;_<OX?nPuzD3-;G(4W<BpwYJUTynbpGu;;n4|d+^n%U zFdY<<?_D|%y)gI)av^`q8E|E^cZP%{!;3$lVC>y{K}wS0#RmwxLRymH#S;j-Kvt6B z#SI8sLQaz5#Tf|u0Em46!p@MFWO%U!!uC*-WO%Ux!k(cl$?#$hgzcar$?#&r+b{n? zTN--T8bBQ7(Rt6M^N~yE&ljSgu;@JO(aoab(Yr_E23Y=^Pv@@}-5<aF2ak%tl%9GE z68P@Xc@HF=1Jc-d6l9<WXzT>43a!@K_5o5GPnh5s798Nw`Pp;d9ME~)44~y#povV? zSKvCNfe|DCuJu2^`trZ!0DsF$(7|85b&Q7JUNC?ZbvOS2jaYXxFflN6v2b)WdvJk9 ze0E;|pIx}wfQy0Qh5Gw1|2=!_m<+$YIP((RY`Ve3z|h_O0H!AcqK5-C!_d1q0Ho*h zyD$Gen~yOYegnB@Dny$BSepe*TL(m&14vur;Q|n^^B%;jaW6N)3xqhNDjC!s4)$n# z18NU}{N4G_WB+2f*I($q{PN$oci)La;-FDb571<KcK|cQXD%uppkYL?6JRcHQGv8? zr+_CI!OJrKz3}+`<$s5ZiYIui7Aj`)<IDdJ$jm2bcol501k~Ubm5JaK7@`sYRm}!c z-E6=O4#^D=?@54oo%dec0;QnFBOnh%JH|Q2BYAGZgbCRE2RaBC)J8M>_QLlCY5qg= zMc#LOzQ7U`w!gp{(EX<dGN<_l$Va`qLGv3PjfW3_c%AoN`~u}}-2Q{5SJ3K0h^Ij5 zqx3m?dUbvQNw1(OXHQ6a4FD<XZcg9?rM(PJ28IS34(3vE&*o!HkW{As{LBAi%?+S| z?$-sLy>-ln-(E;VH0=P#*a2oFO)L;izn;M~F}=3-?5$%p{PyD6GjJ-uzyh-A1`7j2 zvkeDB2?sQ39`<ZL#s<;67p|Gnqj$Fg=!E>n!x=oFh0*YY{PHy{vx4Fm=KrnmL<{ym z$im*;Ncq+IImG|h5&1O$q^P?Yk*XVPIG9S*P!cs*NZ11-l&lb;#QE&Y|KrUXybKJr z;AFe|1jN|_ybKJxK*L%dh)nqR6*%XE0`k&RaG0Rj&RlAZZaZ_ShG+9JXxPq!=s|>I zHw(xA6P;koU$?@76I}2^iC|E9zyBaSa9%`1j6kuLsZ<6uY0U<Tods;rDCIoXe1VsN zf%!E%gu&#|ySo6~KRLXE7aSqcFXLVzMFrSKh<(Qq_Pu_B&71n@-h?<I0qlefBqvnx zL7f0%fSj-b;)Db~s1t6#L~#PV{5}tN0w_JCf?R=;9*mzt(gSFj5HvqHfE0B%XMjtz z0w!=cFqCR}bT=OW7wIP$!9q;PS%l{aD77o_GcYi{Hil-AACJLaM$yDvYJ`Z!>yKfY zm_2%TM{t5ViHAA(!9IdU;!9>w2@mdDAs5$(`spr;|5`y-qWCZP3CMpX<xsz+fE08$ zbFhNqSb!Cp0~0;ay<6f3^@K4*Lj_nv11r?$NNG_Bq5xqcG%cRsXJB}(0QCkaYeNf@ zM~^_+1SAU@we{%T%>aqX4g8qd<l1vsq{8F#HHv?yK0+_wk{^To%ippQk-rN-in^N} zz+Uix`5Ba%(R_uJnQg$XED&H|P*}*$;L*F=0^-UD0ZdmKJcnmyR)|$7=Az`>ClA4C z0^t^DXn|~cJp&e6u==X`jRLqb{_nZ(H;TXKf{a0l=j=xif4759uYr{76(B|3%|F1I z33T2#s9Y}r`P%?=BucjhJ2*C(OT|6VQv`pDC&U~s!*4GPAm(^*fYM9=2SgKpt1Ngb zA1x{Iw}OtF^X#qTHvIPD`-3n4_k+�Uw<Qo_7Kt2I6XX0CGj_i>r@7<AUwr@Y=v5 z%)rn+K|+{;0kpUcG(6(51Ed=?><U|!@d6x)A6P*HBR(9AD8+|Mw+M%4=VQ=f9Rcu& zhvSY!1_lQ3uy|IgV^CJIWAKY&P;v#Gs0Ui=(JUbdZc01=MQ-nA20;b}@cQ2uu}{IR zEFMU0r2haMX&T@P(f~w4idzeaZUsojRRHORmh&%UK*ekG5d}z71lq`ZejjX(060P< zKzB`cvv7EH;&jFJC-8)|<~}IbfGh-s2&hg3S=zf9Zt06v5XGQswjN>+YQ%#}D`@o^ zcOO*nL<oXPD^btpV;m5Fy2FJ*<<Se!7LUfm4j@m0Yc7aqUKT$2@_z!Pd<Pw$3TbS- z;D*?Vma_Q46Lz3<18SGN=Jn`qKEVLug4e)7e9s^Rjsp%*cr+e<0SZOXT$V@Yz37)$ zA43ZOh<$vF3=B~FX5RycB_ch58`&!$W>r9(J^{o78M6;&47hFr5fJl0^Yl>jywT0` zfVeIIlpK3^zW`O~jfX9SL7V%*n_FBB4}hI`=mE%y@bXCl?nF?1^Yt!z`E>XmD4&+3 zLCfPSAO+pcXu;-zXjN>6C|CdvHBcZz-Sq?FE(1uYJrIVbT%<O}Oi)<_t2rS4m4`d- zMKr`*3vgO=U}IqDa^Ya_XkH)!j*JbE0PFzqKml0$2;@mnz(FyjKN$k*GJu@s+4<eG z^Pk6Vb+`qf)r8;gfYR@Nq}JEbyCC25w|oHwXKyvAw{!`lsJk6h+d6jl!>U{UmWL1} zpeE9Kh!Rj0<JjE~tF`!B*MXEYA7+89UF^K`<-cQh`wcb*22bYp3!s4MbWzCw&5ag- z<_<jffF{X6g^5pRib}=t7Vu^`kPN6pBH`J)?ZR<!22iZ^-vLjNbmyoffTs2%Kt_O< zXMr>dfXWvR@bu1$u)AOW^KWNPbL?#Q5CvV6y#EBq_QvA|q6`eXK-PioW)XP!<$ve< z7oDIGZ#)7DF3?bQoMU|KVUOm+Oc2+fzWwFDBmefcEr#X{_|5Y`HRI(*Py&RfKO=Yy zfP7MV8$JCw-+{zHB&2)?#XtZ^QFrqKaC>J3tP}Y`6r2(gApO-Fq6`c#${&E@6Wsil zf#|sbu1FrRLwbVE0%Bl25umW|-TVWj#~GrB#qisU7q`G!RsdX&NN_;)7(nz)fasAB zV_<l}577fE%C<oCWPt4{fY}oO(F4l99=)3_#K4&n6qBxo2VNN71-p{X@Y{<ri0%bo z-78?a3n02ZK=oJe<_J{XpFwG@`4~H-L^OpMFaz9SS-=8y@&t$h5s>zM1&9YOAUUEP z<6Z`V3PM=6gXR|G@otaCH(;APKYMom_XJNSK#Cwxd>y?B4kASR7hI}Yh=Z!T)fd=7 zp}SfE!~>0CynFHN9@wj(NbH8_oB__ypvF5`X9P%X?_v&6cJAHm0OEB%_vkcHd9fOz z6*Tq`a1&G;RERS$fEv~bU|TjPh=ZD;H7Ww2BL+BL)IgMja-$+x`3$J?84%?SP~`?7 z<r*)1A<99q{^<t9OW+{PfCb?Wkow-;6F@=OyLkbK*IA>Y0Mae-f*+zA9RD{!Zo2`s z%mLz$15o7wAmtt}9v~vT6|DRRRJjJkZ4aQz6F|x%UTlOYXE*%zA`GltLIUFR50E6t zAOZ2Y14y~WizbM2PQ!06)FEC06;<8c(2|Ogzm*Y^l|W4;R)`8v;nUp>Dv2EVw@=>& z%F@;%93{yh-VO+lu_PbF+XdzEw}93}fkgJeL|UOkN}`6}UaY+i3I<R*U;+<vy?gN* zlumo=#0<Z^m;japMK#mw9M9f59>Z@hYQSP34a^V?uOmHs>-Y`7y+}frd9eUul)!66 z&)zyA!*4I_;Ywh()k2gA8-9DC1eOHZ#_ZGi+@sq><wZP1R@(5}3zqBP*hU0V7Ym0C zYYB%97k?{c`npcW@Y{>K*TBk9!)YJH=Nu*Wpl~<<;W3tYgLsFaywVEK-Z~Y-Z!czF zgM|pxgD-C024@CU@Mwda;kOqRa3xT0yf*Xfty47o_96~l=EWR{W+lUKFC4*=C?2eX z$Z8pWd!Y<*If@5)SZvt%TNgok9NLE8Ui`a?#d}8}Uf?LP19|8egvVIo1>&86@ti=s zQ&3)MkY{h5x#71L>#l-43vv?EYa`FzI$gtWFJ^(okYiZhv$xLhHH&9&or&SM7gb<+ zkREtEZNCK$AsfSQFJd4rM0f+#H{frD_L^)BzrC=6s6dUPGZ2?S{csM#V=RdTx%vW( z7YO2Ag7QieJ$vgs4Zpp3bp_!EN6+3md&6%pu3Z6#Qv<k0=z!G-AU{D9EyzpGU@y5D zetWSTZUo#*Pi}&}<Y)NpMJL2C6fd#Eqs8Cw+lzFF3REv$fjAWErE3r#G+J)Jc;INc z1?7RGCED=Y3vsx6Akh+N`0WKVSPbL^SfT^@Ar$O~2*YnL9$$t91KbY^5I-asetU8B zGB`jGe&}N1;9<1kgnJ{=@Y{<85JjloxC3z<)EoC8Jg7Gwz<6M9Jc9DT-pDol_96i8 zB#1YX4Zppx0gHjW0nJ{oLEcCQdn3#6+Y1@6JWA?{yaA4iB2fCjgyxL~8xA(C-Aq*$ zP_wD7*6`bllUOt{@wXlYXRGa?wpn*Gs7Uo-ZU%YXqq7CPmD=NYi^>KD2Jorx9^iFQ zpe7zj7S!?c>}`K$XwCrQf)>qsfW#n5J6lvhT@i>DP}>2d<^rfi*&Cvg0a`J{aplW@ z8^%(1jNWmn3FwAmP(QF6)Efj1VwQr+&N@*1UjoH1s4`^+ogyRP0ouFr!uC2SZ1y#R zClX%Vzla$;%%%09<$~bu?GDH=3v+3XXYalyh@RCKv6=hy8ra-sh?;h=8c@v)w%Y(? zx5kTu5H&3jHOXK#pz4<q<UR$E8i^NkA!^zoYV5JOkG~aky&y(d@V9~-=-Ep_1ic_P zg3>R6B8a~QwABw~1PhdFz-97MJc$R?Qv)R)&@n9F_(w@R;FTS{?XRG2GXOOfQ4)^@ zSOnC@2W#$Z0iV|6(K`h^^#MvhCoY1T+9fKUz576S*@4Et&x0Zn)S3ZDPyi_KJzgkW z1xI2JB=8TN$4nfIr65CkAqp0P4FR=rz=kA%42gJg`wG|)&|*TvZ!c=G7{bQi3JMPL zGSM4oIFOWyps4~B7JDv$!$RG&@fc{*1Jb&_ehw5Ipyn6YCk`N=SiBGfmC}tzK#gQj zKPeV8nG<^$Y8H8}e?pGyLC$6cJDa~H^7ntxOkJ_zw--w1!105asRPxVr5T>RbtRzo z57<lS+28ImD01pbLFNBhke|`>s4PT5nc=q=hrkLz8I%DjgZ{bn<^Rjezre02H~jWu z&RMW4K7eb^ACNIQCjQoq5EYf6`X6i#x=Xfz%;`oxIULeOf%NCW3*s8zfCoE3{gc0* zdu}21C!fDig;<MHKY8@7J|Mxs(9sMceR_A#U;_14Hm{IiVDRbu4_e1;e+lIM8Wm7y z<MA1=!x1L%Z)4%`X#T;;-+l$$)#N?6pM}9AnWfQ#@x=cJp1n0HpkBlpur}w6Ztnt6 zc=NYT2DR<Gn>E1Q3Ii7Kpd=%IYYjvgWz3z4zcrPefx)x+2rDSvJ%15@=F9(Mt_+MX zK_dzv8$gYFuQMP=ZpBj1fF?L$CwW1t3rG#)q7vcR+xiZiwp>&akn0xExC6L2fs_p{ zDg~f11`f~~|4nDXg;wh&P;Ucc+_iN#XaJ%42p7bqTTg>s%KUOBNOh-<3dpslp!h!x za_w4@UHgqR*Mc?~FhU)y2yz0ZgSjBWh{4fj8xD5<*3Y2r^1U@GW`^HhygvnwbCd~2 zCjQn9pi$Ruq&S@q)dOns?gr~|Ht6=Y07ZC7Np~}7l*)s-88l=Cs;fbzF{u0o6-nSh z(H)>+LrBy>>h|t#@PHX}H)zboqq7IRJr|?}G$RYv(%GT{nlJ`MP6edN`Qp$SP)Wt# zk_U+h3rIxhfStpla*ToVB`?&Oe1_j%h=9eLL0;+ZhSl5rt*1ekMl>Jce+kMAp3O%> zU-pAUdTUe+48Og&e-adVq$jm6q($Bf_tTK1mJaG=W5!$nsO{B!#1P^`uan@U#_&=P zq#BykKz&a`uxm+AYM)4REhwo?I|Xs@Hqg{Qri14*gBpu9Dxgm9>=T%2in&C>qq`X~ zB-~KL!OqOzS_RV9d_)}L&m^!$P)xFdPXz$wC?~^jFT75W7b!nT^X7|9Cn0{k1S;(? z{kR*HPMVK6Lma#HI5<+6Ud{vw_tvO@IywuEgT0QP=0NQk&*mfkuhl_bayR_;q8z)z znV|8C<|7^uD}o?aK+9^6#v`E8A5rnXYyy{yHK2=AUZ@=>FN{8r7)E_3AYrr))W*UL zqv;S~lp=?LzZEpj?Ad%o5aO?@W8k1;dl?7vZf}iBfZ?|nsmH$jM{7dhFWvu;;BwDi zaFzxY@@J1jJn$COpTP9MHBhs(`A8tdr5BEZUCR1$3rM)PMg`Pe-hGt3p#DXIgF!A; z2D=n%C&#n-h!@0#dJq>fz83XtK4J?IlR64Yg`j-I0j=gEKxH9%`Z)|rjFO=K{}GV- zW|S5SbEy?bTFUU-i_;KkP#NCceE`y+VTShpnvY0B>|6#`1hSLqbr(pdyy3SO6TyN= z)yQgSFe(^+dr<<BgjXYRXw?WT_QACQ$Z`fq1>&L-fmVC?s3d^q0YD?s;H{MK8XZ({ z^&SIN8YSVL%|{d=PW^ot<Xw<c8DD}n*LXG`k%h=Sgv&6$JOsMFp!tZ_%LGsZv-yY> zM9Dt5684w#K}vdSRFn<By;ueoZw9#&5lE$+p3O&8Ufu$!Yd)g(dM2nv2bu3NV}j3@ zWka+gMwUUfYANTj<_t*&1{Tob4iE!00Q>=zyBZG%NFtA_gQvQ#ABE2XLCgoOID-sc zzu<<Lj~IW3nJ*v(H6O$PnXdsV_!<xYz%;)cVLoWRmSae;NAnvA&(1HNo&P-d8!<32 zfDS3%m(KudSw4Sp;|OSyc8`h#=%73PmX)A;bdI&CfEK4Pyx>0m<-cRYzUv^l5^m4l zde9(w+96P;Y6A&^ZvO-Mw419thy`>A7=OzZMh1q?bIsovIj`}zJce?ZK%7rd4l{_u z$OKWw0^-O(IjkU#Ih4Z&;silC>>y4ql*0kybU-<rAkJbahYQ5n2jy^sI5(jj9uVgX zl*0?+@H0bPzz5=JK{@;&jw_TS0OBM-If5WgHIyR+;!J~bgh8B*P>u+Qa|X&01#zB3 zIbt9V6AQ#raS%rq%8>wZET9}o5GNSQkpgk@pd4usrxVJN0dbZ<IkF(mekex{#JLXT z$b&d<pd1Ad2Xq1xD1H<{95K*3j*m*57a{G)1`7soEUOuQd(m(Jw3JrVcLxi@OE%C@ z3RDi%{YZhxz1+sa@bVR?*I>a=;_um851QEUfXH11$(@7BMSJ$vgZdvj5V?aOxlK^H zbkE*;&>V#TL~boeZW;@y^CLPD#O^{;2bzs~u^;TNsUSH}$oTY%7J}GGNa{ed5(gma zYC&>t5c2{-Y%3&n9ERUs%z&ti1<A=l)LDYqLP+XBJ(~iEI#-a~H)gQ=6hZ7)pjCSo z3?(3cfF>_IAnH^>a_1oG7(whqNa{e#Ius!4SV3~jAnIOjWnp+Z3rQWX;kOq*_JRHT z6eL#%QFju=E<#cVYC~Uus5=Uh3xlXz31WL8sRPYitbnLn3X;=<sOto=m5|g4fZ`vb zt`#K522qy@V*g@dU;w2PkbeaYzrBcns7nRO-2-=8MLj`mP|FOa4m2HN08!@)lG_GR zrwL-OLNX6DGr|E;X9|+*gQ(*Kv73<8i5Px+@nA1FTtq=~X%KZEx3Dn0j6zZeYIyH} zsQU|&vxBI+31S-|sRK2ICqUHQ1<8p))a?YZxscRJ7=C+^0a3RXB=?RHoDLR(*pEQ# z*`VnFR8u=Z)XfFS9fPQA1hGML-mq{1RoM~{b+sV5c@TApAoe6A^FVV#ANGL#8w-*v zgQ#-^v9plWfhL7cK-AfS<oqD&6hUk!Bz3Z&@o$JaS&*C>L>(iDErp~GJpThx_jfZ3 z!%NWB20p!_4?*lt42X09YCr@))ZGQifm&uhy`l#}>{C#6iJranptghtMBP!49BAo* zPp{}g5F0e{0}2;VSpk||Vt}Yy3X*FB)m6Qsjhk5*Ue|&YMR@ksgJzj-?*<1-2S_5t zr&lx|#E1r|0996?%6=zAMIK1P$){H|6vVKEsQ}G^O^2um0ZAzM^om-87~(J$s)pZQ zltNTkZDwKc>1AEL4s?oCj0(p-MbL@=pmSrON5z7MDM0;c2GHH<@{U0--W&w)B@h5z zWe6IHGyJv_q{pN4){BSxLE8gL`9PQAfwsamSEMkM=!0%^1o1#;M}QVh2!Lk$7$9d{ z?f`9Fb2U7fCGbM!0K{B))eIi!`2XU}F3>_SHw};010@0;-3|^Q2K#Xb3D5wm<1t9B z&gjw0D)r<4f6vYqaHa3jd_)4&Q^8p6JpsHvsbN2;qGu=(^w<yD%>-&YfaX7UfeuAz zHsD}jum-ixdv~t@^)?$1gH|N?^s>rrVqpNKbO!KJHE_FU|1NmD2h_F#VNm-A$$Zdm z8L0V85c3~^1|v|+KfwXYa;$o5LA&`3Pl8QOg_#U(?|}ClfEqiXCBz^D_Z5K5=)C{p z^=?qW?FQ{6WGJaT*8Bt1uz`mnD9wNxIITNDt<Gl9g6VD#mhTr^IUKvd%^m(0(8zGh z0dOS=stBV&DxoJd`}8gbjRkmgZw4=E=zI?L|BDxUzx>|?TBHe@=j}Z9;>1p*CKWW^ zM0VndH;5}ivF7vt|9_9pW{~S4YaC-hqq>Ko?g3S>r+0u?s({_mYr1d)3j^5d7r{uD zmUh3M3<`YEdT-GF-H_k_SmUr2);KH#Ww_4gFLr|xRP!Dc4bVD5{ua=(NKi6r0C8Wu z+k@m2SlD^)0C}ZF1+=Q9TL5&S(IfumPfX1Z_&bk%zvgOqp!E~~l!L7Y_@^FLI1T1) zyIjIz`0cf;W5)^5rJkT|8r_jBo%g<9Q#J(e%?DjKuoHB6Kq;r;$=2KaQxA5Y@BHVY zc#QK@2`}e0&hsvc#}$7m{(H@2cnNd@HF(ux>-SQY&I_FvL3gKf9xRClwNyb{Il7Iy zt608YYktny`Rn^7#ZTZp<*tSYz8zu&=QT%gtd+8M{&MX6)p@=7JELRkuToaW&I_H_ z6hFFf9w_1CJm|uC%ti5>;s?c#pnDs>UuZp8l8=&#KxxB%J18WksDSpWfC>Q6P6Wem z;8kxg9`8ov{s+tq4B*_a1Iqm%9_TVqkgnq_DxmZaJqgkgRsg(EMGkmq61unzWE-BW z4~jETBC-MXa5`JSQHq?3KuH2={JQy#26)lzKhOQ3b*JE5R0PdMOF^ludG`iTD&TJk z;$dJo*31Fw`}y{+0~Ho1L2=IT+Y6IzU;cM{mw0qLBX1?XcJLqT!Dq~{?Ze$72HhnF zESFzhe)s*F;x)%ER|Zhb^S7*LU|@iz@$-h?UOe9lPUE1ZWY7Te=rz5y5>y_5@AX53 z)7~AR1X{}gY1CdY{PtosM6U#BaIV+%<qD9d7iQa#m0dLa_M!!%>;)qO1Hy{kVAbIA z3}HnS*a}DoiN7_Fn}OkF6gS+tR}8<suz;8~0d#I2BtAWQP5Hsa7x;=8xLF>ZH7XJ> zJa-{G<|=6Y?-sCsBTx*`13Sj>B#Lf@7u+biuNi)Ou@kI&wE~Ln56eLwN74QM#d&ZU z0Sm1gp!u&YpxSkD1*j|AYq}e(33N~>>sDkp-ZcF7A{cB-Gbm0#Ym5cJXTmWsbTf8) zvcL}A={&`Gh`)s$)CoKUI#4h~g#&a07UwDcmK3NUQzw$(L8u@zvY;HO2Y0CX6F;&b zE2w{SsQD8MiXbZkL+7F9Pprs-%Qzu|Y{-J%Yzz#|AJ}2;<UGOOTF1%2;Mf2Q3(#Ve z!p)#qSPe}%+n0d?5OmVt&&|kTp=0>%g*!-PcQdFG0G+LP>ieZu0sfXoPLy*KL0p6d zw++9&5P)a{7k`jShrcD13E3HUK;u7~z*Q5tB!j2|<rDsvFO2X+ch~USi^H3~{70nR z#FZ=zFW)mFoAu1_+lzS+vrueIW=2-^9JKxiq6))CCT6&eFATrE2t={*{R$R_mw!=Q z|H1Iv3mu4AC^pK01{~l){n7B-3pR)<3>)1MHhuz)|8GS0V=c%=&_P;=PzCiWcWnfR z7m|%7i3k;-{^SgZ3Jlxi5w?N4lZ7a@@q@D;YH<UaXB2qhxdk~rfI1-NAPZpU-+)hA zVqkzB3=h3b3LaG@Dxh=pKt(AcV|Rm!1tVA)#ow|QG^Ns8FZOaR8$1T?8-9CnegkrN z{9O!6q1arw93{m*H2n5r>IP6_4tm7{+=U*@{M#JZJBfB;KT4_a$ne_>TND>HgI$DN z=ycbpD7=V3(e@Y=|0vpgFtl~Pf1wQ41S@uLu`)2ce9VfRazQ<?ee2QVp1%du+eE}a zsQ)zsq6#DaJ(=L43+jFqu15}C{$(r-FI^BSUKxIS;g6z16YOkk;jg|4IfcA2{Psc= z<OGZq;=#nf&4G>h@aKo6JpPthpgF1D`nNAZgC}6`^0$C?26*<?E58J_d7;(m7tr{} zI&6_Iz`xA{UYx?te!a;E-NbEp;N>+$fdd*H2?CkYyL$tqs+h45l=xoUU5D&b(BOzR zNEzs`G0<_(9FUuUIJzBpAjgC7fLl_^U<ZSn*dQ%G)`ALNQ&+H&pxUP$#Z=Hx%2kjO z0^!&Ek%{vlq^vxNa5`u}WI9Z@5~z98-3%Vjgq)3B-~3Uj`9VGB35Z51M1+GzG~(7G zCkd4$EDSGS{QmzR?0o(f&}wJT-g=Ff9W3yK1nPHdpy&!)017E=$%J78a^Qh_*zeY0 ziz!mG>5Gj}bNO3}nLsmv^$IVOnc!!rb{+&p0?5B5O3+mD)$rSkqBY2V4Ozg#@X{L* zjNd`)-$1T|r+{hmLH>GidkxewaCH7O{Psc<qzn{S1Vj5AqS^Py@Y{<ItC3x>Z4nE@ z%aaHdpw+tPR)bQ?ZcwWYG{*pHIx~25PX@&&>WOZpY>?C3U^PBk@e69!!i(P*6)4FK zG$NOV;*t`OOFsPk{~sD!ps_O-6cwKqvM{_nhfwhgwEhJ}#RYKU#+C|xt%U_3w4MKQ z6}V6XjV6F^U1kIo5NJ8b1e^p*pgOj%LJqjUb3qY<Tncx-e{p3!%obQTt_frfD4Ib1 zE<}~U$iK~j6=Wmmh+VW`!%}P2pqLBl6ltM2rU1h+;3~lttPN7Sm+*NuA3qD4(E0!3 z?Mgg-97q=g)WgaD4DKd_x+fmZM>IfV8_?xXxX%fm00}aX_d&~on^%I8lIe*#puhq( z^MqC*hmfA(w-*T@C7=TUK}8vOkbu8s5(9D_qz_vE3sTifLYnmGT@5NkiAtJxS0g(L zv?k*83b4Bc;O>rEiLAuP@Y{<OE0B}Jz1biiVo7-~6+i#~k20R}-*cZXY<!>?R8)4} ze=!>zEYJ}maC-ax!X4~}-Qd1Juc;&0DPRj;^n$YzR5iC}ZyjjJLIR>3lxux@RsA6D z0cAm-&Sx*8k#+ESHXj2oB>Dd0@p5o30JX;9huB(sbVK&I_lk0WOo861SFr}v)h@C3 z=-v%d?$K-dY8KefpwYS)uhxN1AOYY01vw%QH0T4p01QdMk;S9&umEUPROfx9PV>vz z>rlqDQ2WoYQ5De1o5G+w!Aqc{Dyg7!+`LBxG=;^$-vX*iL8B_5V;>l>b)i9HQXiLr z_GS5~lz4W#s9@<ugGxLBP-gA6F@W``FTV$0TIpiIa`^>lz@tV*08-J4e813o98|{h zx2#2!;-LK5wG1@3w?_pOd+_{idSE6v#6U+EAPUBKl%xfk`tgNo2901MG&f_@tc0Q& zlnw--nnArygl0EvnxC#fPSl`g{^g|*XM?g1LNg~e&7ky;$n2mVz%;04P!ja$HN8B8 zg#mo=!V6hYn8Uj!pk6>WR4HhMGs2#QGr++E+O>)Zo&`%mj)WFs;H>fgg$_u2H=aQn zP!a$YVQ>FJ#%Dn(1g#8%Rx_Zc(cLAWG|{871~gUQy+;MKK&$h_!5{JmUq~~a=sbMz zH|xR2%*_uTXddhqk?$^%2M?|^|CFwi?RDnp)?o)<egM6z<W=)wo^BiY%g;Igb$)1m zAm4cr(mWGre#Y2(fZydqXNii4XK#xNXea^H$yWdkU4y2fyEzO`LDWis#`_*Hf^J>p z{P6vP;vvxSZx@?CGPYg<53BLJTxdN7Nq2`3bvLL9C$t38wgD$$&@doq{BXyAc?O1V zLB&IjpBC^lFep2+fNn-@Jyj~w3Oef@q=caabW*ekC@+Jqy9FPrDgd#;4>1k{Y8`A? z45~a@R3I+Ka4%@=4JB4fR3t!0(;y=B{9;gq)-o{gw?1cJV0Z~yl>&_n(7aUu$oyXP zoYOW9oHS5#jw(vJ0!?NKgUs&kAu1+K<hw)USuVf3{0c`*zJtf)ug(k259A@YsR}ed z0>|Tp&JYz5c-k#d5kT=Js1vL3;>dESSHaCT(Ck>zBAO;O&>irgmW;r~cPPoN8x~)N zr!Ky{_zDqgod>~MKne3ir;myZ=g03C6;FYZ+lA&2h~##$^$;X6fT9)}S>lG@UMyaS zmfS$?Daw*t7$>}$51J-)T!@_9z>Wo7N!sneg6?!|DG!`mkQ!+(f)+w!gunG2DDi=| z{zCl&>Ka^L04e_*P(~~{r(j8ZD?mvNUZ{a)<fbhkK5j`)eBcNL-LHJ{6|Mw`?pa70 zbO4v1upu}l!*4I%%_rWSWE6J?Uot_`m%zbyOt@0v!Iw-2UqRJ@O1sX35N$*!#7l^B zPucL>3#s{N2@$mXj<SU4!VXV}py|yW^N<rF#I^902;ssVk2NWR<_?jQ;_-R#r1$}p z6u%*S1R5yy0NK~OM+LPWubYS^DayhUHMp1ojh%9X%%)X22#HouS_DNQj?xgk-yF%i zki@tdRQ$m+4XF8_IG4tWkr|#CnQ<pZ=7X=G>R^cx#D&Kvp;8ekF@icPy>rkK;|@m9 zwegfx!g{Rm#0cu2XwN}Sj3Cz{B}Nbz?s%+;5p;bkQtZ62nu9Yjg4e&#hLnq_?ZS_J zSQ6s|P||}(v4!Ec7j?6Vk7AO`#e?ra(F#e7%s5L%H19$ZqZ+8ZhP%|t@Y{<kvxs*o zStTP2JTbE1PK+!EUqRKu5+jHUk55905j4dMt<tPP=l{(@ON@|l97+-+=tw-M4WQk- z^JbzZMv!Zf5+jHUcRbd_2x{;fo_xvi<v(oj#~C#L12VREj|#Lq(b5C!PMn(wO{?JI z&IPpp6C^^r;tmv7kQBy(GlfC?0B_|&QrHnt;Rapy$=~Ym`TzfyuAkwa1Pz)d&46Sq zP(Kmg^#skagBs;HN81ECebC#UJ5ig)T%bG$O56OcO<({2f7$UBZY^jO{`GW-wV-wm zinWmT+yDRnn_<^BAPw>nwstZo13|3q|Nj5~%c<Yt)~bWnKSQkr)oCc!LTYQWt(8Go zyY%P(|1Vb~1__M~zrFZ74dUAkpi%YXE-KK@(G(R(xlFdTOF_vF;@jQ7|Nnn^@HgDI zp#9`+P;0>n7};7#4#a0IWX%#NN?$yf21@Oa{x5&)pAY~4zhwOQAAC$VXsH1h!^W4u zBPIVm_sKCZFn~u&N}(eq)-yncKu3(go#^i`o==5jTTs%25B}JIR#<@2o=2}JS10&% zbY9RcK2@{8qd%b_X^;kwUenhd;L;x~{d&$9$fXI_I#?KB-OgPM5B~q}HdMT}AKd?x zQ2fpLxl<A*i*$RWBW&#w)MdU<mw{3zs>?vh)1z0k0A%&IonWgU%tW{hq`{-tG!P_x z2_hW`bD0g)WgsJPy9^|Y$7P$RK*9`^fKgorO2HnzqSx9HVdjS9GLQz3UeoO$X{gJ# z&xVHCqIO7_fsDZIGLS4Dmq|ih21?_oE(0ZUk6uw5kk!yITQdU@W*`k7y{57tX{gI& zVJ_o<x(s9lZkK^%vAK-b^Zzl>fb;hkHIpI11{(4}bsT6E!J}7nej7O0K&!}J@IxFI z3`%Ms4IaIw4It@D;OpjJG|YkqTXq{H*g!^f3pPJ`&~4ECOrG;vry(ebfkgvZK*A0z z%@5=`FLXMxcs3q+0a}vU`5bxhQEnE>;3ITBSFmU2H_y)h9=od<7#KiTIvRrSq}(_O zRF>3ffG#>QJo%z&GH9y>e@ho=AQy6kR6j^XcN-`ZId=Df_CYyzPyc6V&R{LVQIhT0 zJ)Ox2#AYn1b?lzb24VBJbc2SxyQg!(_|qVKJ{TW#D}!VAbRifYG*;!<JzWgO-wtv> z^I^`H(-{~TAm_Xxj&u4m@ymb5<82c-7(mC#FuVkvrR&jrm>J@wdk9%j$@mhqdd&lV zkktW%ET}wso#oMdm=&UNDMAV~N%Y#^qxmo!M5+rR1sa2XZQ{{<m>nXOkB|aYny)22 znh$e8q(Tr<px%;a@4gM7t+I{BKu4&7Pc8N7{08>iOV4RYkp?RNK)W*_3l{%-?(2ic z8R#6NzY{>C`PHyEJ2w#$XC~mSSl~mFZ-Z1IHh+ST2>}h`9-aU`=nk}M3lzS+yFtmv zqjxjtkUg+_UK|9KRqzv#Cr<$Frv{y!3EErI0oqd9c-R4SI3D;&rT~cB_k#35M(vZp z7B_=V7VPdm06H0pg#*+VmS;S8@#p`O9^K6!KnGz>{=vk+V96uE-wN8h?+H1-R*j(J zR6rIr!;Z22)(;-+p8!79VFoMY_$bhpquvk|4$y_%hd>98{ePji=*$0(W{@X5dUvDx z;RVxF(8A9n5RZV)(S#n>Hw$7m*s<Lp$AV6hJkZ%}0rERE*cuPRgUtguIO?V#MJ2dC z1FdKE*bh4F8j|r|SVN2_HkeACJi40^{seFS0Uz!PKDQ3E#}*_6K70~1)(hEN1rh>n zK?0rW2R>gG!~jL(3CN1v7a*^Ij-UHC8M}Mu!V}$#?mlo(lkZ-pQli}py2k_TUJwK1 zUIx&ryvD;5ASZ1{zx2c5UeHQ$X!zdl1-thG`26G>&=aql13-s8_wJ5>_}>D=1N(mx zcK?IUbAX!H4Ka@cydPcwcFfifkO{rJCqT@50OEnnTZh9u&~|C4dDal~Ks%+oyYa;z zXv-}k;=!kmE#P5b0PQFP(V&wgIUqZ}I(RU4l(qIlJ%&-9fR;`|oB}FO*7l&3Cw0A$ z^27#Fo^*p$U@1?EdqCxh2dLo!DNhtYVbQzUfERj@>x;sPplxKZ6V;u2K;=mWFXTut z(25g}#=`-SgN{L$jzP+kT#z0}c_IY1xEZwB3Unb2_#gsi{#H=!0WCE?bc3T>102-` zpf$5L9P;pT1vHOyuA7h}&rAS25_&A%Jcx-5;KSZP%VR*nj-_0=HWyT`fIQ>TyBpOn zFIIud!Dgg#g%i?paDtePa4oc40R<uG+)+^QH6DfsA4<6bDj&hc33&az$Nv3@{Q0^I z90;hHA6y=wCb3dbdP4PBvj86?J%MOYc5{HFrysl+>B+Pgnw}u#8R%#LNFsWX4Y421 z<Q<^nqkDHBfK(d`Ks-?X=z}Foh-W6CoZkmqU;M{&Kj;7<i20zyLO4KH^ujB>n_ZAZ z3YtNN?mv9i2?_+Ht*XZ01Y8fQ_)c|#5?%u61Zj`n-QaUQJ8eMsPI>Snd()@$-HY|T zpcWB$&)O8QiK{^eT)K2`2DN$jgI4T=7CtlV0&Qj83F?_Rf{H~3=$X5T-CzEDb-S1f zcyu-w@G~%g*bH9XKBgQVo!uGW)2Ew3&HxW??*!fK4m#?zTSvvC^F7FRLy+yw$H7OD z|9>Ie`Q<;@O2cmu`#_Bk!~wrwy1x8(>}pX_FfwQ8Xinp2U~uH$-l8IBWX_P**_;C6 zcekiW8JRP5LM)X8U2O_lkO8t3Y$56_;>%4vV9$f*PN#Q(g0mTsR6!>(@wYmII-T9k zGr;+60cdlW4F@xSs}3ZrLHR8XqVWW{UcLa@A_!IiTB7UOTMwEpwdo)_HWVQa0`16S z>-h5Dr+f1Z&@thw7cep~fI|jfWL)V4wbNbnV3Fb3&7$Yo?W0FzTrBMd6${6~S@-{o z_IA8+k<kf>3w6}EP(_UkMI&>Dm-(Qj_PzC>@e-qUa3G_XZ;wGUx6Q`|K!wKt7rZc= z(fo=?2(LRp9&J&<JnGp+g#(mEJ$8T+E-1-@rf3B`y1@s1ba#VJ{qX4po%Ia5*b#L2 zsswnOgd_6d&RI-Z$)PW%gE9m7Y-bLS?f_5_g3_@^=VWlI?)DJy=<Eiic#m!e36IX^ z3Q$^yX0^t{&};_U6a`Lt_g;8_@-yl(9M9f*(44Pa8_}tQxeJ_JLG!pTTEVFUlvle~ z6O~oBwSxkU?9|Z?N?gsyc_DF>*@`!Hc(p@PhaPI`&_PWd8lcn>_y=t?1hU`CWB)xw zdH=fwoNQ3bdvM_nI==-Ht)N<~SwR3&xPxd=;a&kL+&Ki0OFi&rsfc!H;STFBdce*{ z|L3{?DcovMQCthM9Z?kfwL*$wJJ3lWkged+AQg!A0)HzDq<8=o#r7?rU~h9b0v#OJ z{6K(#!Lz%~9>Ti;;prQhGkA9QnS%wpF9?8+3~fFEqI!2jkH6gjIw~HNoL=yQ;sLV9 z_(?O^=y<TcW&uI4(f$y_!oh;w9N+`Dn?Z+>d-U!GZGQ3S-TVS%7^p0MaR`)=;KlD0 zh<W8;t<9jbx;?ww(jn&Mg9W=mhlYD}HXDG9?cKcsbX-s4VbH<nyFlha>Tl@L-f1AS zA)A`5o5AsiUbcd!a6z|VfXmhlc-acMO`{%EwlYC9qL-~_A;AkOTOTw*6CzS!0m}Q1 zpmPmiwbX8K{4^uZEAZ$R;qd4#;o$J-oD2?|&duPE>UQA(6`r7=#1>qWL0PEzIJndF z|3zvOIQXZ7Lk1SJ{SY2BX6qq=*A7bPpqm~%d+R|<C$*cv;elSMynz&he2^^0hQ()~ zvJFuTLgOE^6l75WDMT#<LD#Ay0svA7b~l60mFn(3z{J2n)PeU+pnyav6v4@~b22#5 zLJCEY*P(?XD7Awwqktq6cpCQTy!XPR4crrjq?N;-z4f4#Ji?7cMCLn4;352i9GT#R z*|`~<OrenpO2;11wA#BFo>pHRYXw(#+>p?o(EyIj?U3jLIoz|mZ9Rks@{wnE+k8l5 zE(Z%@%chNo;a+_C5vf>%v>$)K3zZkz4dD3i05`e8hgBn`N6?}59-W&%fU+3W3qL^Y z-rb;)I*-P~7X-nj87RX+DwpV&T}_D63|4;rMA)~x9&8_IDQI^$Xm<#5kSzcoY`+<N z+C9|14IrW3-48%*pT@%-LKyZ*q1Xpm?-vs6+Iifu^Bm}Mn9jeQCwzLBu%B28K3xNJ z5tw=_c+fJ*qjztElO)57?~PynH}8D_>UEY#c=YboSPClk?zwb+0^QA3*$O^29lTi- zeCO5=kN{Lw<I#e3VhrGmyg-UQJ70hnw}Q?<e_7b}<v)xAzqARr`)(|QyRW?k)qUY$ z_kCCfy1EVIK8t0@?z;)f2%U!!?u&r953H*3=!C5}+;_2+B=<F}g}d*0Gs1nok_<1l zH-N%#!djU79;`ujpC!8cc7OzW_kvY59-XiShx-gkb6>|gxcl58?h9+)I|H<z9UP}G z)*`!UDX1_<4l@;qo4~3Xj|QyA;ikDQXkmssJ`2{v-Lt(39A06~dmBLAf3SNltV4E> zD7t$<^`A%YUa+dhqXrvrxQCZ`_e5-fyGI@Fo(#|lvtak^SdZ+UR#3r)96l@{2lnm- zt7<$dun~uQYMY4;ABT-__e^hug-^gnSQ=We0ogrY8`1J$3CMxHd%>z2kAA@Ap0`az zyGLUa+&%1Y_gHL#xu;_zvU^g|-SY$_(7P9`s`2QBO*q0QmU#CFY=*n1ya5(I3Y%f> zDcFSUo~xiz967#1AnpOHYCO7OGY<EhZNwTrpz|^VTsn_-o`zh2;nTaEVZ9hb^L~N# zVhju=vYx&BK*b)ozoL1Q@ug4azs_4P3>rZzw~sq^zID{R>j=Jl7ko*fOXtfMEp?E~ zBNd@q!IwvZWnDX8y!ciR@*sapEi(f{>+MoiSHlCY;Cm#&26w)EQCbaBP{QNcyRV{K zlHtYH`Y->Re>0Zrx4tdWb_7*M431#e_;iA9lI*<I`SOJ$LXW{TNro4VU_DIb2F-t& zinJkD%R7S4i9piCgV3~Nx+KF3AFw87kS1o3CeZQ^N6^Rz1CpleRdCli%#>t!Ar98W z0@B0+(gd2EaNG$Zku)trXgV=VlHtW8Pze2I1!-ahX#&k)If5sukTm5YGzH9&WO%U_ ztceYzi4CL)G)UnH-VlwX$pWG2##~8;7ZqSl>>y3-AWfiA2}khGXCzI`2u%s|B^h2g zgEeu0G;x45fu?C3!CU8%G@Yx22gHj7k_<2Sz?wKgnm9q4K(il?J3%CprkMy$1&bsZ zUfiq&#Vr>|6BkGm=pZh~ogflPQwl;8L$4&mizQ%9+#pTdAWfh<ryX~KNF+`A2u&S* zk_<2M!J2qLns`8(K(kVg;N=fUntoNl{Uk6!lHr9FSQ9Tu6E8>;Xav>~JVT76=_o?e zjERyAFId5v_&}QYK$`eKb8<UDB$B2H2u%u;B^h2^r~w58KS&clNE7I^5yzb%5=m1u zLeq*Vk_<0q*L;E8HVn^Pk+om`do~^eWmxbHtlz;hE}bu7GnbI9I4>Kk!OdXQtF2-6 zn@i_m$If%$%eepg^e%q@E)70_O9Oe2-o2m-6P*8CG(R%l1YcYH>4gHw;?CnPnxFrJ zS|=`@pbJeqZ@#Fgf|LbvP>tZS03z$ydE>=PNEUPiwa%7GGQ2oh4fPR1Nm~u*mSAu- z4Q_V6he&nagtfO`ZmB{m*q|exIQ(;91E_SnumM!Mf&2ria54N7Py;UCF#NNk65$_^ zMl}COBK(ulA<6LKXBCEjwt<2kQqAM=&yz}${Ig&q$Uhr4!u$iOurd78P>tO`H!2YR z0ck|@k0+#L0L9OPHc5sTnwb81Rt1h9kY*hI5h2Y#4VysznXn1wA5evl;hzmv*!{zS z<R6emH2+jWN(GRAK6FYlyojvCh#xVCe?XdX_{XJ!r1(kL4DwIGW|)6K4F(MVJgCI( zAB%EC{D3r~`DZ1hL;(4RW4R>5i_QuR|F~6x;|HV}hkr`SN%D`w7Lb1ew!r)YYH(oq zM*xR^GRhGC0ck|@&&_gh0SxlbkHwM<FLst=_@}G_>>rS39R68SMv{LNwu1a)uodPX zP$vb$KMobx<7Y-G!apF5X#U}Z_{S97z=0H8pki-RIoJ;%4LJPppcK;&ILb4HZD99r zgS&sL80@lpMETrMj@|t?N|4;YRg3}6{hnpu_&|66r!t89w~8^~aK8c;_rve^0-ad} znj--XfqZ{4H6Jue0H07Q6@xDTs?G-uQG;eb7+yPhLN1w32dPGkidqzaMny}U5!Xq( zffRH%gHG`2?gpK34<4Zf8RF5q8*~ngN8{lRX3)?AWY`dV;Z<V+tUg4#p%m_3HwFd< zgnOUnf!x~+Iu*OS8+73q^z?TY{&r9g)T5X8bt1~?@8HYE)<blmjwSQAg4W$2E>rEw z19{kkg@J+bC1~Eyv$qa3ZdVM^i#Ewv=GlA<JZAU(MG#yc^Gooh$j!$@UKe`y)`520 zm_l@;*vwSw@7a7z;<dbI^D*#|uHPXIgt(XNd7$B`V_dJL5jPos&V@OP;kAxu^D*#* z$@dp`;KEFxLv@cyLE`f$T$sh9clQa%P&nvxd&5geA<mzVJah!Eu_5DCpkvM;f&HR7 z7cHb4Y&aM}i!DB|KyT6oF+c<E;KhZFhe6jdgKdd^2?}qpsR#mMeil43Ur6IJAGFK` zyf^~H0GSV7BG`Diffbr=qF?&w;c!3b7&WN-cjsWbp9$oC(0mZsd=LX<K4>Pzqwz54 zpf9NV-{;~mKL_FdXe{P~!{-GXG<-k|kon-{dX0xccg{e~@5g0+F2elZ**M%kfgNf- zhygMmyjZpIa0NR&eDradpNBAiHWu@lLE&S;0W}}Q0GSV7(bsrbfdg*-`5YYK13Fn3 z8vfQ;%x3|a4?5Tm9R45%$b8W8P9BYiLC4!c!zUlpd`S5V?JpnhJnPx{&!>0!iLIa` z@%C$MWnggWbWvf^JPm3A|L;82dGiHV5vbHX>eKnW^PNZMq0XBxGV;OYZE1!_ukD;9 z7KVM`$rUD$y2fJ{Kt^?b?|kRe`Ka?HsG{gBgw}r`u~#6G@<Pz5P^<~bEDW&j%YUEF zcb%`geN<Q=nK;G~+RD!c`5%0ZA4~{7HVMiVNd9r{Jmv`U(07l{-#)#|XKZ6&Xx_hK z8)yJR0krI5EA;3Q7tNP0j32>m{#P$_3PHX*?$Y_yMe{9q2*3s8%wL@^Uo_@Hyy*a~ z_}=+|PIP<mVkfAa?cM!jEBKn|ANRx<AZEFAe)R0Tf#!UhELfq8)%g=}yFXw%$o&bR z)r}<}_ixyS&HXtAINg6R7rXm^f-0BZ-5~Qk8V^fc!|VQ<OrqWI(g{vapbJQSdY4=5 z2RYvZwDJTz{xV}9)cKk(!5yy7S1&f?gWc}fdDl_%Ep+?^6v&|Q7Z#}F(_7z`)}jor zyz0F9LM#hhrKi9oR8*inOpv5!#+Uz&okv_dmRW6<VQ~C*q@ctAw4QR448x1PSzrFU zb}SSAufpKjyRYM)3d4(4SzrFY7DJ7;!%#Io-6|^0e;La|TW^;HVi~ppS=W^bc8d`X z>D}pH{yTQGon}{MSWy5T5OQEwWq4td_2qx_U#9Y~=HE<3fmjB2Kt}7sjRvg=MH%}6 zNhd&zZWe$W{exeX;l<5NkkQN_qnSZQV+;X-^j^t;xf-i9E5vAPNx0D)Bvct*)Pap= z=Wn0K$iUG2n}xq=D(FnG9iX8ilo1(_6@_3c_*;s=GmiTzEM*y9tjz(rjio%$5vm8I z6DjU&!Fo!Ja7gE+fdliiBHURSimD7Ro@aneWd-?|735=#p(v1Z9;L&4j8$3~Vsx@G z+~^xdsthlBz(%uyjAjEFjWJ*aGP)gZG*;;ysbE)2+rW*UV6DpV!VqNiZ+8Bs*`O2C zpeutwV_Qh!p$j(|B!LmcaS)R)yTDEMa8YG=aXlRr9^9~K;($dHWDE>xkO}1YQ)!@Z zfk)GUb21Ds*s?(eaDbu-q6b7GIcpkN4=9>I5-7uJAR~{bfIZyp3wIWSuPVa}2e7j^ zL1D=W3QN$q8p`MzNUt^AXspsX5Tl*L;70EVRb_bbG!5inE|Ae&AfrK}ZzyAMAfq3o zf_w^cHCAash|#~};6@k3sWQCi0vY|Ao4*NkK%V0cQ0Soy)PYQHgPV+1dV4ZBJT|Ap zP1Z<PWq4r#GWjn*fBP(OZsOr@nh4HKkYPQfaWjw=%3v$_Tk;^eX~!2Ch8OYR?8pO( zCWsyoi4;xmQ(ztjNx-UF@PISONCk+qvJ2qOdXTTm@M2~vC@gtFVaW>$ON;?Sklrb9 zqp?aKO#=H^xe{*lj0#nT7j|Hy`9MbVfs6(XC^{k!D}s!+gd2@jItya-?MArK0gb8* zFCM3W2OmMEf<g{u+!18z<78M^V3ihunCd+lZt8(asthlBQ;^y&zd%F(yE8!Ri1vZ1 zGteOAS8(mw`Kt4#Z|4WpO5~+O(wF~;`W<wIf=lO7q$bLC4`D`z=KT@Ej0_AV@}PNk zAx2oQ_a%6e!lm=oi!P9boyR+KRCu6Gl<)8+$~{p2?>qu(pp>Wx_;f;LUOWTEZ1W$+ zQa#7cV;#-bn`J<ikAX+;?hT+?5R@KWIyOgtQ(^Gv-F@M!3d4&f$<SI5e2_Dw?R6Nc z#_%L)PoDt9R>#g4FSe(HRsru`A;iew(Ri5S3pfm1z|A)vND~Ojl$Vx?NUdB%cm$xe z*A7U60^@=tC@?_n9R*25U?AFSHR<3s1Ge_sMNkU(!B~pkUgLzg7Gxf%dvXlsTCDB0 zo&=)XJ815&kOH~C19bQ~xV?4*tNZt);dDQ!{AtGGe$O;;XoJl2Xgpj1NpUWnudurR zOgy>nH;@Lo-$5D{{u89Ih5w&aobC@yhO{?*Kq>i?48w~9u*`!<Ikl-^zktjJ#l<_k zalwGaFSyf>g$&639x^cZ&ydFEejD8GpO^%3KYIE(nF4k*$UMSs_K71m{2gRL?hlZK zxqpESHuu-0;0*tZiP+uG32{HjJi_kpi6z(l9&#Y}N65k4zd{z9`}ZW{biW`D_j@LT z!yjZGVfUYjA=mvCiXiuQD8k%-Ljjxn|0Lmbe_#S6{6XnI!(5i(#eqa<<$;v`lfZrf zneEYdxZxB2^pC|axYK`w63G1%lwj_Epoq==Hn`nCF&^T6jP#!fb~DI4!fy77CO7;$ zltJ#Fp$v2X3ngssuSvui{ukr0yPp%{evo;D-QN>MuKOpbfZV@81?K(_%Glh$CjqDX z1#!6F6I3Pj?gp7h*!^cB$#wq>Rgn8vsKVU;Lj{}r|HR{Te_$*m{6Xn|!x>QdABUO# zK}CA+Zjjj?jfX3~;ZOfq{DM3EFHi%ye*@?u5^(*?poY!;Hn`nCF$Us(jPwsG(tCG< z%p>e(p9pfpe}y{8{X5iQ;m@It&HXiTIK%&9G<NrMLfj8BkFfiD!pU|21`Uw=4}k7# z28X|Z1~&KaiN)!DK^*S)1XW4ByFum=cK?|$a^3Ht4|0EmJ}mrK=wWmJpBS9(4~)Vd z{+*y=ymvRqJdei1FSg-L^E_m`zo8rC{u$jc_dn>u=6)O8?w=Tm-Tfy)MSAaUka?i+ zKZn=-KB2^fe@HNRea0Es`i$)vvp|k-0Cj{xJ)ylPX2Ko+5IkJy()s8`Lo_7pH6Q;! z4DRbZ^XdH6dGp1M2#CYAJQ|NW)JQUbPFr<}f|NbqT{=Ok96N8oms<RcLYkI@lqXR4 zocHMb>(jfvV!IfFNAG@6Oo0Z%!K2_TV7rfit^EfX22X@q>*Ue9S0Ye~;l%||dDVEd z0i?b2y-VjmAMk=)(1>>{!a4tZIv*iBCkxp*(D7hY_uSY6c8|v%F$TDMN}@nvf#RNv z;gDbex#tAPJq{4}JOF7&bI;dEc<`XR=T;<A@L+Wh$5F6*Dvltz=Sd`ndmNG6QxPP^ z@L~g~Y-~I#0Md@;o>biK@gnG+9ml}#(Kv?Wo)Ap;Yz#w$k4CT*!wUt7dk%oKqq*m5 z1kUi;6+tL`Zkz<W$KxcDdyYh4gpVSUdv1W-GXYfgHXeNd(vIdHSKRK=BIq8D^I-Q> zoI`St38s4{h9bhJBSebf1p~xA5+Lnp?%5iSGkj)+6AB-Tt6=x6xPs)KCE*z1!-(Xb z1z}PQFA6|qW8+Z=kajfpsN!}H7eV)|xCV9)XeI=nzeF(IQy78>pAQLA3@;vl%DTp* z8$jC8+%q){XZTcw5elCfcfs!AxQi4%Enyhp^Dr3Uo&||g3@-v8?pXlRj^-X#-0pc5 zO2|DO_rdM~&2+)T=SwJtdjgT%!;mb+@ZvxSWQ7GtJDPh+Lve;r6hZg=cnEe+#zUm= zNx^i_!5~EVYyi2(0OB5wN1(;UsO9t15S;Eg6@n2y!2z(jE}!1*9lJnP*9_1u-4a=k z#^Wz`LaQ#sMAz{UP+%R`d=0L*AoGhiUnoM|Cj%P315cR#a)iwvf;VIYfZPk7`UG(y z)1Kh^3dQ~zdqMWE*bA!nLH7UHgJHirR{IwQLc#~9{TG5^bvZu!R~!J@zvBSRevShe z_8$+%>VHD^2M}fdjME_dSDc2~|Kk*f{q9)pUl>5h|3Sp~zvCLn{u$R`_P@A_VgK<U zto|ote*jVTS3CsS-|-M;|BVM2_Pb-Xf1y7i{|6G||BN>v`zzkS>_71u!~WxeSp84P z{s5xvkN6I<KjS;h{vF>i?03g%|3W`P{tqC={~k<C49)u!n3zBt4Lll;uV7??4B{i@ z{{XE1CuDyBQT99Vg6t3Ah1tJ=2g81MtoASTCFFm9O#gcvZv(9}0UZ<X(YtR3=qQiI zW1w>&KxaU|yyt^7)DK#oF#&Wok$^|%=Wf3ek8U@tr_Qs0PPb=3J#^kir5k?cyp0Ov z$axlz?#ZA9V4$t%93Gv|UNC^%*SlE&ybun&+R>x)_={OyU;cNysBm;PgCx3LRCqd@ zML>sT^j2$lG#&<>&;xQSXd`94AJ~He(U7tg<Y3VHs(oc3Z*|^(@!u0X@&#Hr75(y) zAINV$-EE*HY>wRwooxp|^R}SlnA?tk&4&dC=pIXu6)*WcKw3b?!Z9K|Y<#-i3_4F8 z{3U<zl{Dk2PUu~Bj@>K<j-XrYU`OzKTz=tk`Can^#^z^?9tWQ(cyJ!`=qyp;@aWy_ z0k(Gf3U6@+aG<<c=LKF#XbAE@DA1ZKK>LGTRCvIg8nAM3D1c58067s93Yy+Xp#b)O zIn4haVE=;`ruCYBwFI4d_u>{r5ELjLy_<iqfL1esH)Fi`-~&<4%D@o)@~|)1@7;Yz zKszD3+jfBNVng`$`oaIK2cIL|+-K44VgWi_{zda6Mv!Z#f!2|Aw&{TOzxM8ftSvhx zzy`9-@Z`%<FR<woCUp0ifEMR<w`qW`btA?68*B^=oo#m@=0nzg9XkLsUld{f5{UVr zrIJXoMcDlv>>%@dKsP@0?gQl+kH%vK;I(CjCtq&$gu5S{HoMzE_luEaK4@84XPXTN z1A|BJKFA8iW019Fh9_T!BFt|A#d>!eC?$|&{tFI}``<v!w*VQ^c<cgr?V91qmtSEi zySwiTNUFOHbT=hQ=Fb3Kq}MhFbhK3OK2VnNXgt=y360;W2=h}wXF7GafiA-%$$Sqk zko$eOz~KYFx1;fx0T<MKQ-t|fAm*O{-2g~R`uPDi{}04`&<#x<jmI9q%)jamjbCtk z%@Mrb&b1S~KMj1eUhjGhO>PE{&hMSqI#0QD9`x*d@uJWfTpshcfY#Ic_AWo6$<5%{ zykA0#n}MN33S7Qv-gIGn2|oViTj#A81zw<P<(Nz7Tg|)RrE8##GM_qMzBuj<HmNkQ z`L{&54tUc$XvZ^nWx%)2TcGt-S6sl;;ryPB$17T;7(iz=2zo-=z|X<PICj23o6bH6 zDh<KSQKY48NdAKj>3jo^qO4cYLiV6<=ZhB;oWRa1No(FKpv?_hak%$@7B@qQG}v!0 zn&6S1_dcE9K!ZJ#Jt3Zh4EFp759565y!qm_8`zLiMNq35DhzKn!yNWf6q{Q?g)naS zcIcqH_kknWDv*0CbRq85(8cB6#~#?-YfY4UQ$d9)de~xf?|JaqjDJ0P*MHDMb}uLa z89?1z=G(h;f<7qm?0ujQN<6Rt{>gX~l6YJ?f4wk*IrulISMnS(T-AB=MVBks*QExY zjYl=wKuP2Mi*IhwqybTd8Qx3X!F34gUMy_xKjYa6O&>4xk=+kU9|aCzn?d1y!vGTA z9R|3<yVxCjc%OCw8$uv`e03w)y#Yq(?mb`+whH842V;nPKN#V1?_oFW?v*CWy{^Q% z7m+@8m>|0sls*I??&WW3U|?YI?OhsS3Qi*{OmU_WKA5A?(nuPls4BH`Y}l({F2%rD z%J12Dbb%QpwL~})NG;{AI6@!!d@oQR+p!bjp8_*<|2Wuz!yoP+0duf_GR$%M$IcZR z326SA1}T}q{yAX@^N)um#6KMl1pKqyg=qgE(!YTPvVXwo-xlm2P<qm^gruhn7P!(= zu?y5I;K6WcLD+fo#c4<(Kq&n?<8U$V^6`Tux_b}Uz}@@83gX@gR=C`I*crQfrHOK{ zE9vfiVT<lw0fc*R*g@ReVTa4T!Z_TU4Jo7ugzr=*lEXK^0o}a`*6{FkaD=$`g99%2 zCOcsd-`$W*Pr$uT9Z7cY0~d7nZm@#8_kt_Ly$!Co+`HKkyL-8ba<3`r?q%>mcP|6N zy&pUv?p@%4%e~Av+#3xkgb0Lhs{_g5+u)Dx-T+H@_!fW;DD~;xs}O+8y}=IH!*?~L zkRjmStM){?7g0Vh2tsx*sJ>ZX0dX&=J>n1yE}JI=<1CvO*+U(SRyO~F<XUih<i|uv ziJWFfphOlW-TgCy(cS;R9N~V85U~3@LU6kOksWsTTVZkkGFt-f549sQ{1NrZgb-x+ zgX$9nsQc5J_cnw=(zih<uJob|b3R&qQVl7D2&9*#wj{f^BNW}e1!j=&PHWy<5e9Lu zMi?&l7TZFD8_m6^A(@(hd%xNc>0U(oZU{qmFDQK<Fa^68-u_Ss2d9yOaGYsmpAFQ} zXlYyw>S$1V%D@8F{@7p+N$ngK1X7DSF5gU;;BmZ-!yhzS2kr)g`llX^$3FN$yTN*v z&~7TIsSd^g9^GvcA`A@Okn4-VLoqxmpgm!rQ3hks87>b&2f)8zw*2xx;_w6%^^iNt z4k6vs#iP<0qQc_QyN|&Hq4k6XSS#2**m#&n_cRI6;l8~!DjeWT99{@n!N&1U1TZi_ z#_`SsfV=@7!P*c2^21J91_sFX-Fq)4nt<aJc{C4no>%i5(D<WA_p}?JQ)R*C3xV!+ z*klPazakK3eoY|Q`~;82!wG?4^92#+8)7rxqq|K(5)@pWeHuXw4B*i~ogfB=<_C<N zCpz0eVu*24fgq5XpzX;AUOqMk2R)MiPe_6+2l*egDdvR`+<iAd27|{j?*xIA>;_#$ z=h1lh0N6P2;V$4E%=cdO8KVb*M|ax-QHc9Gf}!r~35L55B<9h(4?M!xc&s28;XY@G z`#iea0;D1OEkdCBZ9?GsL1G@g`@n;NjmH#15c-)R`jPwxJ|(d8`91?s=$lx4`QOc= z(%Jk1WU7k_OK0<&5C#VR?Jg=DX`Rg<plqJB&gL&6U{6Da01saP+p$w05ic{1kUWjc zz9Z&P`xb<PBb%kOc}Xb3z7<e5$i6k9VEdrkH9JB<enPfS6WKnG?zS1?kno8JgN9E` z7(9GHVjjKwpu>v}VIZ@h@oxx@e~<3A3sC(W;ZXfN;c)#RF^}GTkgc!BJ|O8|4A<WQ zjh`Jb{d*AlL1G^8;l~Bx2>X*E`hB|FUT`rmfXA~lB0vlemd?JM2nL4chm4#DJNrO_ z9=-cCltH^4j|D_POn7Mm(LN!-<2Ype-lMzkggOHQC_ivBFmy8*Gj_75cyv#L2=*y} z1U*@}y;wSZR9H$_IZt%5sDKhc+lmqfhR(h<C7?jxXCV)^W<m)AgJ<Uh!%N`A{o=R* zyud)Vk3j=$Uj)c#xP1`8KCmEG`xGib_Ni2W?JI!T$58>b&+z1nD1>DbCZPDwLmO=0 z1Qh!qf_-2?to9W&f$S@30^7Gk3GBa!CWL*j^x>XEvu_8)z7r_+K?M82f>`al&<wKg zN;BBL1W2^)XolJcKGf+&Il@Zh@SFgNKL#G;_=5=cfd#SJXV40=&!iP>9|I&jC0b$j zfi}dwU`N;oI$z!~Bsc(aPS?H)@GU+6UraRs?bzS%Va~w7z~5rc!NA~ntbGB9=h@wE zpbWhr+qZZ54<QDIRu29a9d__(V=s6>nX%h}!=v>;3Hxz}0#H@=qFo<+`kKda5Rbv5 zSJX-objb?n);G{Kub^3?FD769gX%HRDB^R^&Kob1jlcZ&?OpD`4>5O(A!yq;nz{Pu z<~~w@nG4!Q2{LyM*j(rpfIgjIqd6c(2M9roj>Km46+JX3x5JGF?fe57?Fca%6rylf zuQdX@+Cv0l^gjbEuC7EkS_f`4sG<QG{md8?8oNNAfEyhIF&cDtfKTW17mKkOEr)LO zMR{0&fKO5FJpQ5^Vl=3ph8z9e5bWw3JP=oVU^Du#E?R(;VHj<I#ppQ@qZ9ZbM!yBc z7<%%^KsQ<%ZZxQ601A++M&JMe)&6i-J3@?}0X2FOHlumbjXod;3lNymRalIEW&jQl z(1Ea^ur|kH^nTC<H80MBWI7Lf9CrY1yktP6@B+9!pz0Om1tEw%po$Xig*u2mpd(&E z_M8VrA6n=@>`BFC4+n-ls|>+Gg<_8w#GVKNNGzn|wC68K2Ah8t$il)+8$20#{Dl+5 z9xVn22DpFL>4U>9LkeQge^BV7`R7HIHd;c`g&Pek44xZ+ya1XHh342Wh`9@(<}Sx( zt`fSrS7l&MhMC)sZtg!luzPPn&GpA-?kO!a_ts*VYmRR2GKjeX(vWcYu7jl*C_*<^ z6~o;7`e@<c2Ql}71jO9=*vyqeH}|YGdN_c}W0b=7n=UvU5=0^9x??l<kS1C<lwz0* zDvwdjod+@Z1+)-<4~io6^pJ&at}NVK(2*OUtaDut6b|5Hx#3C88DeyT48+M(uo=yd zZuB83SWv)>uEApT3mtH1H1I-<w!~)i77et}NQWB@+RhGgwIal5P?-*Qb+68s|1Uvj zV}r80f);4&>V8la_d*212GvO~I3R3LCGz5rCRh!q)PL~-!Uh%7FP=cypmO!a4G0@l z5WYAAVS`Gp7Y870Q0ekw3xo|S0bZ<tutB->#T*D5l(Sw;fUrTi;YACC4NAc;Dj;l7 zs(X<GVS`f2iv$Q86uU1%AZ$={yzqdqLE-np2E^`m(*U&x1U$MO96${A;|>zw6BHnA z3Pz7!*16yR|M%={2Q@fg)0}aR@rNgX+9$9+#J);!(R2UBcXiNtC7V~6Gcb5G@4f(P zmXsuUbT|JHVPNn8PhNs^yfTMJ^H0W7UeMK5A`A@86)6lQS{{vuKZr0ez^_X;-6sKB zMgeMzfHoq#8lLp%y#HdV)|dZ2ouC7Z1RxjNw1Bci@9rH4tv<cB&FETvI{&?p0;P<` z!wm?Ppn?o>9cSl#kg6~0U;cmM7ht{el$pV&m(~9N|Nr|yjs`7TWbo<y?$i0vqxlHb z@^)=--vrb_hn*kQ`EOqpG&EkSf%;p!11vx%t7IH&UIAiwbT`jXg*MY#IZDJqN5JzU zdwaV$3&U$wkM8CGC4@39s4|c4%^AvY)rObA%~ZG-UX<gDREPsWaa#EQ|9_9pW{|@m zaT<4c0+Rbdx7mThS`*^_8z5(w#2jljumpLmdpG!Uq3+2bhZueXA7uKXP6ISc4vtaK zwL8!l?cELH5ODKh4bY+JI1(bnQjnW#A#Mga2JU81xWh1#`)feX?7aUXQxzQk2_R>K z+`j|F@aW!c!2{}^Om2{6U@-g!zHa8lK6SYJ4S3)wvUfL#<I%ktq!gMk4KIO*QV?Oz zjNknrOOf0UattB&*FxRDQU&b(7a(VY-0xrolIWfcasTcD(Ct)E_y1FayFUZj{UDA< z_hyg|T<&*P#~c12OOf0UattB&*MXebdH=;rWw84TK+Xoa{{)EP(LEXDaF6cYpkXXn z_}d}eFTjfw{veJ=_hyg|T<&jH!|Q&KrAY1vIR>lyLqUa^2IyS*?lw>Y2aoG@p1Sy| z^XSF5%}*IY*Au_!eAoPqvGrOBALwRUaKrND69(`YMCYOAPmHanN;tu#oZ*4jOq~Zi zPjMb9Q2{9ho%_V#(LH$u4+F!#|Ns9pc3UZaS1w}dtWi<uEKyNuJy6Qhda^VIq!zRQ z1*8__XEe1Eoh2$Vtp`d>K#SM}JbFV^6nuJJR3!F+D$SiB!Vyfqs8{;(zx8dYsz<kr ziU8<#8jjcYttU&^L41YRtRPAPBrMSSw&b=)uc)&y3uIv&$T$HE;|!GGBY?f&K_Q;b z=9*qmKX&&H&{$;S;e=k$Dis$M4#P{}3z$I5<*XDy(SJlEIu^8Q5GjAwL(|7eMUaBs zKS0R>ls*DLM+JIx?*?6y<<UL)10;Wfx&trdRN(3R19JKRaXh*=gOnoD2dFcG$e+n7 zc+&^SQl#_&atzk=f#iPBssK>=$O&<O1IXDR_ul|9Jh~@C+`rp^4_v;0-5;k6cfST7 zQu+pQJi0f7bl`ITVr9JU2U&{bevo4bxxWz_{+{3n+Rb4Ds-I$xH79@=9^I2c4)^HZ z4H^bE{I(P9{&}DTbpTwxUqE(0h~v?{8KeW3`yVUebw9{bB=>_HgVp_@><Jm4hGowH zmV+-@Ixif2!P5MIvGo$a%OO}M6!YkA&fo(NHu|W5#^*a-RCr$Nv>qr)@#$^`Io6|l zGDra^A93sh`4H?)M=<%qQ684uHTq!5U8fJ6+%L$0hNTV*^dXWvD7Ar-ySFSTH6Ia( zKI{Rp<8=e9ZUWIr>8A-A{wL)?I(AP01$#-xvE~;b2B=M>f~fdG?E_Go@i+_kN@|Z@ z)Ajt&_JM^WLK&!i08-}Bz4?M1xP1WX1sh%h562?%LbxK{xBxi-6c^C;0mxzSxIl7$ zGt~W@5cf;iLeozHh~d$_dj{xMq3+2bhZug_3F>CQh*N;4{|N#}=^w-);O4~&c-;)L z6y#=T`vBw^xSK)Y4#P<9Z-Khs6C7i^7l51%3jZG<2I#IJwDuXO9Su$09=)dGe9-V; zffoKCWuUyQ1P*^t?Eozd5#fJb9<N_O4gmQD8vY=M!To~de(>eHo%dgK%7D|4h8;Bg z8$b+??%g{;*MxOX{=g3|e?Zm3i+ghL@ZZ3X6#gI%sQl!|?Pg{CZU$Kjax*miL5_jD z85Z^+I@B=?l!XmYYFJPfW(H+pX7nt~<!bm1v{Q%SHMim0)&mawQ;#}!zH{mP!1;o| z<rpXe;lt^Z4}j{R&gY0~>xI2Ms9)a<t;a#OFoA4gLbnA}P=G?Ko1@c5MFKP@<)R|< z+Pw8ZiIzwAWRQ$cFRL04q;kZpW+kM*{C~}fsI-r}sAzyjQoDUrWOjh6d2SySmYpC* zDPOmXiUc!@iYka_c(T()MWfS4MW^*`38!o8H~tn@@ZxXWE{4q>z=}AN<1C;96d)TY zJbIf!Zf&knVPPmWZ#~K1A_O*$0qidUh`&T$JGLI+Z}|WUJJ1?)22iiY12p#l(e2SK z;M2=$$qn%=W+Omb>dSwSSD|g0ZcwOqhp31^JQ~OXx=fL=lo!<EU}l7P^HQgaib7|I zii&INw-PmQVGC-sXn<N73W(@}Hd;<ee)<1ewDm2dnW6z{rYL}#DIB0>`kl=;;HB{$ zNNM~*8eAG5Kq`$vttU`vyjL8QH;x!YJI2Kx?&eW>dE?)Iu=7FXBEw7L|L7OOA?2?& zP!M(Af1xP}GGq4!P__b<4;=O&iSFhEwDJMew?>o?-#MY>LjhX(08$1jAEa^Twe8Y) z%LkAHKzR*XK7bqs&ud8TZwEOO)c=tHyWaxjY*71T0*C>s`9PIe_wEHUi1J~d6uf+x zA%j#tfH<K3n+$F@GvjwN$WoA-q2&X}F>p77$^{rktf%v6e&gZU`NgC2@4gQ3tjF^g z^Tk249(z;{fPBE;0=h!l@mPzBgaha@v+foZ1tCyn4@&2!nji6Z9&3Ka-~5S{^Amr| zQ6|s?9dq*o=H`!#ork_(ay5M0deM=8%CXi1{8JCRD10_N(0Y-7+im_<&|Siy1P&^> z89ckUfKBxQ&4YtyDWDgM{C|-o4QU>9`+&BKFn7ABa5O(;?ga5ZFm|5$eyQ~Wq8q?z zc%bzp|I`DW2VFb9!cB+d;)|t%tp`d(KvwV^cToY&Ei*VCX9wlW?hq9Ykolb#Tohk$ zo-X0#yuo?VMe(KLX~h$-nGA1(jspFD72Ma@54wTUvGpi_t0^eccjl;Qc*252pxc9` z`4MyTL+0jBjGZUGUjP@Wu7>|x5A6UIRNz64Qn(urbslW~$k=+Sl-05GIOqhncdnfO z_*>?K(o=7ZiU7#{;QRv%9Ynx*fVwg-+@-)lBhc-mA_5BXhs@2eAmN{K2pkX!7vQEC z94ZxSIZz_&(d(ij0?OwK$6ZteK;8#+P!x`XOQ>!i6@hLS6%oa=j-1C!_+2>va-MKe z{NSi~T=D2@W>>@iVE?;x{`h`D@x=<p5>Bw63=h2KGCbhg`X3bZhdjD-R5Us-a^CDb z#(A$qkn^Jp=WE5!-!E}qP`vT|Lg(?;pZqPLo5Vpb0~H_)@Bjog9-ANXH$UJ9RS=yn zDl(u_%mOq(wD}S<LmI!vi5HW^zx>|^N{Qgm01Yrc5Ce@N#j#$v#LV!CU(iLxz@s-t z#lWXGL`A}*m-iz#3&VbpHzC&vf+E6k2WUzO94Ee=53?MCvx>4<9D}mTUbsLDGLLRo zTw`^Ri~!1H&;Ni1>srA1#H0C$2k0mV=n-|0{1pPKgA*WiaDp5((=<P1Z~n;C{D2*l zKUy#HPdNywa2*uRIvBhxk@e_iod>EGIzgSuZq_a+o8u+ua8r1gfm*#X3=H7vu3JFy zl(Gj)XNZbKr;mzEH^;%363q`8JAG6%K$-L)gbV6Yp8(Z@9?fq!JbHN@xmg%MnZd!Q z*GI(w+$;x2Cgi|a!vj8@|FalhBnyE`wIc%H(DdmoQQ_DJn)%oTBEWeR(uxO%rBCN~ z-_9Rdl8!+y&OpKubWQ6G8IZ>hz7&KwNdf945Eteo6{wTsSRqcb069qmTxDT#(gi`7 zlTuUyFkAy_fI(gJ9ON3I|C>QBcwq%|QE!ck16bEikRKeuq)+E3-_8dwo{4<<@6hrV zRC$UDaY3qPh=0MVAZk5354`9V`ttv^phq`{2WS`{98;a3z7KeRpTz`NH^ycH1H%r6 zAOHV%cRNgAU{E}`>pwdKLwAXafZ{dIbDf((g38S<6BrnjLsUdK4|Prku|0Zs?|@Xb z3KI|=AJ7C7sHzPT0Q)`xl-S}N<H5cC3CQD#ouKlg^ZtvQ;9%PA0m>_&`g8$^;nCfE z0j)j-jfErnJNucS^=Sv%cqmAjNB8Cfkopu<E*W0h333#oy`3(Cw>||q0F-T@^(n|< z@VW`9KJ9|KUlQW}3m|8gI3H`)a0E$oHw*BC(o?qs3p^u%t{oR(VBkDa!U=WZYj%%b z(*O?8h&I@bFW%sH8pv#r)22a&JwbNDod&KlLF4a^;Oq<<wDRoyx33%In$G(#ioo%) zI|Af7{+4Ja28LtJ8$cY7?q+C38Nkwcjq@C+T6hGixHvjPRCpY|9b@Ki0Ubl#d9L{z zBj+{#7SL^yAPy6VvmJEy>PKeIi~OzZpq+l88Vgi(fd|S!Mm>NS<)Xp^DkCL8Wn}+Z zXc?I&gkDDO5rmYHYtBN;NN|Q0@ac^K?bzhCWye=WdUoE-a&QdFD#~JV49+ThArC1N z3|{7fs=)5u72vxpMOzp^vr3R2=p8|D3rEnSySYFR(!$|yu>&dZ-3=0eWO&m+1{Q{w zoFL)uW(@&Q2b<&IOD;%3A<*r>(|HiWg%uPc5PiH)86X9P0H~ml*aw<w!ctHy=LKgK z0iWI!6$$YAu3ez+F|^nMX+kb2wEu4gIpKw%FhrLJ#ADDtwNK}x7wiyEfhJNwegF@< z=XxM}s6<8KwXH|@Wbm3Y6#Xww34lWcMKkE+Q;<m>-H^L#o8NHk0!1?FI6{`d3tn(u zI3nPQYYGXH=0Hif?k{+@0hAtLH=~1Rkif|pPC&Yc5+0qOL20=MnwFP=18nyLQ1az( ziDU$&WeX>eB&ewXa+yc>W>BIAB{>0b1q=?%7kdT2{O=BdG#xrGLfU5noQIkpfZA&! z-wrXCRCJyKHHt!11Ug+nMO{fcNPwvmF2LV11vIw%5tOVUY7X+ZUIW?PJsC2@v3mpP zmQ?5vM>Rh<I6(<)1w4T*2PyB}4HEF^-VD<9y4s@~tk0uY^vPdl&<q493B0g@Xa~gx zNHsVrb3g}#3WA&7pyJJ=*L2fgW`@@eFcZ2bN9cju@L&^u@qrzHVghJf2xOc`_hyh% zXsL=ZE@aP-x10opI4C9?Kz*prW>9Q+G#`<Oc0|5ECEPI#QXY9g<FFUx_s;t-9&v+` z@9qpxwDGqHgUh1>AP!Q~0UU>*x?f@!Xj&G$nhmto@*6+6K`8<1QG*&NE-Dhu57|L& z3UJrbM@7Y>SG4sHGkEk`VIQaw1=jn*mh;Ph&>69w9^Jdam)-V?mi<A5_Bmc~Sb-wz z0X(w63nzPbg9M-f<<V>E@Q0b<r6;IB=oJ;gV$l^2h(%5?i@GPzkV8aDDmIJw!N!3t z0;LK$28NdpK!MdO8i&P(B8UzAE#PstUQs73YWyH-O6@)1X%pmYXi@RvJ`Wb}f~#eS zcR{WI-D)1|(JMOtA7*I2X9s(dzqKFaOn7O6Y()*k3Q+0;1t2*6f>Ixd11(LUfr^p( z4)WkleW0`gN`1#5<p?Mp!P>T<a>S!o^v_>RC)+@rTxyD(%0Mx*3p8N66LftyD1lAo z{qnydMMb*4Aw@;L9yB>C$H4G9+@n`CpApjBLQYlB*`VRz3#yinyQqMB3sCQ&8f=4Z zFsKCX_TV`9k{?o=Xh3Td5EoXP=s-txJbHOA`~uBCr+|75J}MgfK#oSMP@cbtU<XZx z+o*u5Vz7sLYg8;Ssuhq{aN`-&pghV08W{s;325T*>HPI#KNpttkqOG}kn{nKIgj2F z6^oZP|3G6Wp!Nr-GXWZt2bI`;pp?;h|HT(}aBlwq%HaGhrx`#cwuduFvb$LWsi7C( z(YqV8zydmO;?rxp?*}u3;U#eK4R67LX5<%fflo^St-ydPHoOGB2@_e>>v)guW(lMg zVhGfHkM7N&jt)X6czpwCxQxNo@Z<|ugyEoQlm{2Kpb-FcJ6=e0;Z3C=KY~&zA1GyX zHiP^RUng*Q0!n)roQXQ`zc|ST4yp=J=zzv&E`S&w-Mc~UNssQyAZHtXgA`|SoZtuo z<t`57@mmmwfDe;7@wyviDahT>@fna~P#c=i8XDYx1VuQkxj6w8r=9m-FhhL7-~wKe z`ke(7;SnH?2ey_m=L!DS%Pb5GKHbd=lo=QtzcDbDuseQpU|}rP1!<54HMv+oqbSM( z-wrXBaD&G<3=eP~d@TYWO5r^CnjI>R7#ID12{io*%|Ne(S`U=)d7zAQc8jREfbPv{ zy;LIT+Iq5-r}+`1;oHs&FTvv*(6+HfcLq!I^ZMrR9?ehdJKuf3=4yDU^*g9b{FZ;} z0fiHWZ(G0fZ~IZg>S}oDwYg)*DUc6#f*K+v?2e$(mWPbTT~t7~2rz&KoP1O`92HNs z{x9Kj<ou<0-cj+?YbL}0puq~T`(0Wul(KaG?flt!g7ZS>dl%00CBmFPzF%_Wyy&R- z^ZP~4vo4BfUo#oL?R@$DqT)gDpn)T3p!NT^gN*#GpeuV^4R5!;b>yFV&=J%DdBEt= z-3<!ZZqDx)LEU-9Q=m}f1nUIPN*{OZ{0|yQarWr$-XPDw(5=~B$kP0b@%z=zPv0*o zz5=`J+aX3ra7z?467-?-qvClN&I2WUoX1@_54tG+R6L=0{xy@~0oRVxod>^PZ2gHC z?*dJGpLgNB-+9P|^Hzxj=XICPd*83QaNbkA*8Gu?^MvAu?-v|94}HJxqIlim+i^z7 zo*+=`4cuXfI|%JC*s`H_7`j;@9fs<Iunq&H>74@}Q@Qw?88W5<TIL41B?jyPa8DsL ztLViaR#0X;0y;3CLl!ibXX^F^RNlNWW(Jjr;M538jBMbv7>wvEfx2~|0ifoOte^mG zy;#BtUJzt>={2X}CD171q0Vca*PFjHZeRore*AC!S1N7zt@RTB)B`0#4jsoh4t&4X z`SCS7RN91*f7^l9gC$vTPiG#0dfI~(-P6-pAf5&tq4SAfkOev}kpu1{oh9UF4w#=I znGNARP*(FGHLHPq5B9kuXvqJ6>#5Q@SnliIY+=g4(A{lg%D~Y4jj{9m_v@|yO4vZT z?X^VfNob}ld4JqRg#|S51x{q0=e}Q4Hc@f-c8sxv3oPPlc=9!mtKrGklb{6c(s{D; z9Op5Y&hwndON2T9aUN3q)%=msh4ZB1#qSp#J5PMS=Aw8Eq#Z30N$!V+=MQG|@N{E_ zgs0hlXd>c(B_aWjUfw`L0lFQOR+_<y$N`dw7(am%(K`mbg)FE%1QoK6eu29}pt2Iu z6~a1RG7(y`u4Diu+1(wW!W2|LJOD8~x_5*7JKd8x<iX8M(5m+r|Cr$QgM<*IcUuB# zeStUx>NRI(yiNyM3UWHMegHWJYyE&UUNQ;l{+Ith|MzI#E#L~8pUgPcoB?8ZbT@mT z&2NL&Q$p)!k6zR5ADAKQ-zF%4>w@nWTRFgf0V(t7-t2%<M}u1Y@OHq9awfcf0XYEV z7wC8o$YF55Ah~}s)cu+e_s;-18x;N@Kn&2rCD3Zd?#UpB7=8n<z<W{02oHY&#EQn= z-5?GDHy>ui>t>LpAU8w9ALJOgo1s-Rm;%?&j-c~%JeuEFfV*J-_klXFpp`1||33c* zm1u4Z3?86Kz&v&ahW`&<Mzb?8ybJ>ofgr*MM7V<pClFx^A}m0JF^JFu5t<-E1w_b$ z2q_RD3L*s9LG^wG=<-ZZxuyXc{h7EMnhy&YzWnblVCgJT5jgImA_A%{cm4bS|35g> za~JTGiZ@qqFqHB%S}bT|WZ-X;<X~U`*K)0=_*)LKfrcD6|8QksKyJ!|_FjT)l0fS8 zpI`)c`X#zuRAgYCehFBoU*_8(X8smX!x7ZaXGHY#ON5(I`}hH%qprHULD{UEvC~CG zqT3U+8A}GVo{PT)6jYsunm<8C3Vc*VI8X7noClp@b*T9hGuT+D;47%00J2~J=(MOq z&7Xvj1-C*4g^>k8XGno80?nWbbVAKE1RVx-sQHr;vdRTeL1kpY-B3XTWI;vHSxkqT zKN%qlwm}7rkp&k*1p|-;*|`}QKu!um7A%Ac1|tjhKm`+!1>ZsilaK|&c_3ycBMTNm z1wkW|aKGP$3UVQ<bmE0*=SCKcg$ha_3+{soN+AoX@<FsqBMaI>1vQWbcS8lWkOkHF zA=<T(1*bv<EszC4D=k1lXN4>%CIHcHjV#yz6^uX@oCXz)LKgfE6^up}OcR8dnSm@= z0~O3d7JLL6`S_3xnk)b(uM_+&{z9OovCSQzjm;h`prV(*#gQ4D0YU4gK@$O>9aO!l zlCMGao=@kq7oUHA{%?2*yfXUPi)TMS{|DttNdDgr%m05qqs@@*`~CU<C;m9rb=#r! zuz*KzjtZy_ZiLmrSSQC|&ABZ0|KK5`tg;u%e?Ya&5rdaAnHU&ec7TXx5K#vrDnUdE zh{y*KSs)@6L?nO+(AfCPFc2#cMEHORcM#zOB5Xl~1&A;P5qcm(6GW(h2zd}81tLU2 zgaC-(1`%u^f)PagVPs%<2|8}`<tGs9Er<YZaDDk0#JUF}Zi0v_AmTiTI0Yh(f(Xzl zu`hRnSld9vMi8+EL@Wmpi$KI&5HSNpOa>8sAfgjQw19|u5K#ppN<l;ch{y&JX&@pI zM8trIa1ap$B78xF2Z(S65uma0mzE%w35Wn4_y1B0!~$J>@lpZA0$qXhQVhfr1Q9$S z0yKU7k_p86%fP_!5;TSI@-v9_4n({J5uhcrFYkj`w?M>I5CPh${Sq`<@bValdk{qI z0TG~UJzs7DvDShJ&?NoK#UR!^5HS-(fNHsypicEm&`ixs(DJC4pf#GW>t5Hqu6kYZ zy6kny>!Q~Muk&8#yv}-^@jC5w%Il=p39sW`$Gnbu9q~Hsb;#?W*8#8nUi-ZEdhPMr z?X}Bmr`Haz?Oxlwwt8*x+U&K-Yopf&uk~K*yw-ZH@mlS*%4?<93a{l}%e<C)E%93H zwa9Cs*8;EkUh}->dd=~g?KR75rq>J}-Mc;97#Lnm{QCL7XSX}{>Dyz?ph^=|!|(k8 zZX7CrD(U7U7NF@g=-ln=t*<w~-uQaG52TX{nmth12fCVX7l?q2n1D}~1b2CSI-h4T z|KAK6MR;-T7dUeWfG5;JQ|ufby`r`snZe_w9AGncg3Nfq`{gruyj0txceBI>28R7F z_KGv?0$odOc=E-AFQ8n_`?DNW5b%Q5_PlfeA4e$4^&HcvL!Ti=WnnXFD#WOD5Th9W z{r~^+EBNF@Ok1-cN}C}{<No~r|MC>9VPJT&^%Q^W)9?TPzgz?!rV`C~h3OIth)eie z!Bb<sn>|1t%h-eP7(2v@0*Dn}fB*mA2a<l74sjBO`s<&;0pS2q|MDA5y*WfZM!+qH zs6S8!4mfj=Z`r|0MK8X@bZ+M-NWevdPAo-tY7E4vqY$I^{()J&2YhZOX6Wfa)Vo5| zOaK1=|0U#zO;M$1m{#*atmbb8uYJOj1nzzU`}|ue*eCBm#(~<0*j%~^qEs4UbKNhP zONDT_v<aeqHpJ-DzyAM!`3Tek>lJNyis{mnkBC6R2yb(UQ2`L6u7F%Q9c&b4)bl`; zmO_*|!^1NNtX{P91*X+6KY*>~Zv_v1VM$IqK7s>D5n^WEZ<x;|z-D5)p#!4+V+lA= zrQmLO!3r9nz*HXrQGXDk{u$i-9bomMkxww)YzlF6X&AQ5$N@1=5n^5*DC9H1MqwtW zyC1+_`&JBgY9T0OZNW-KzrVq>b^m*4Na0FOeGuc8LyQXs+58T4yeO7HO@=5v15q0O z85XFAA?h*GfH6e94@5oRkN^K)P6jI#4aMS8QHV=RvF5oK@4=o9gcv3B6K1ss*gVWY z+5u62u?QSU=Ru_t_&n8K(MPW_18LSfXdvM#oiZWDU4j^=3Ag?v=pb1vA!P|szX+oK z8@zOy2~m#`Qk)R=N)YwoAm?U+m5N$paqjE4VCRBE3d5*<@4z8-y%6m4-5{gnz(!#v z;>i%De;`WZe!v3tGiXskujmOZ<#++aYIr%0VZA-X%m|2?nIP-ugN?(qUIe1F7NXP> zZat`xh^;hx@)qnfUx@n6-(k*m0h=$Xh{d@F-ylK?qY9Y<G4F2yIHX+R=7BEF#g<1? zAnI!&>a#&!10NLKE4mI#72*c58h1G^3NcO(V%)2*|Np-PwGXlR{M8$<&x0XKU%ZF; z+zUK=iJAI$LDXN)2m5R$$Y{_VpB}xUJXl;h=QSjduog`D5TiOEMjZqhbsjV*gQa3} zfhdiID6RbR|NqM+V5Oq-uoU5H5L@|MA?KrGCXcVL!JdwT7`OWi)YGpcu!YbGh+;#C zVs==DdyTabH5;OMOCC6Q_PqQ5e;=rg1=`HodC0T#MixUB!;5F2EZKZS;N`Ec|NndR ziax^9zDjxp3AtEoPBMkqG7n<Q7P$LoU{lNiQCtX7{QWJ|voAA1b6CBixmYZJ`VwM! z0ygt@yaETFBE-C6nB^}ez~*5Fb_YcL$6RobOoiM30@9@Wilw2F3b7wv6ky~p6Ns5} zA!bU$-7p1gCZ-!$AnJ1=>K)<gQy}Uwikd4g!G3(319tQ5kN^L_Gyy9W^~2)aZ7=Wy zS0}`%PY|PI;5FMz&_p+u@;wrw{t!fc=^I#d?F6eAy@sW=WCpRHzZJaa3rqH4g_tP^ zF>~Xm|Nmd6f{nw>-?v_Xef~Kc>~l_#QY#!v*F%(^fGGV0QpyijDr$+vkrSUo0tr+H zV}y4K#Hd<`QMMqXj{E`T4a}&tgeYAEQCjs07Ctk<>P3%XX	|tj3+3o<0YA+7Dvp zm5(qpUBPBz2GUlD`g2*}K$`#R|Nobw5TzI?wF{#3Geqewc=`J3H^_UU@>rak{tQn@ zSwYMThL~6J;s5`av%p4Sh7><UX$3?n$19kx^1<pw*F45-t-N^(u^MZ}-~S98N*WOJ ze!#sV4>k|e{(gx1ADQ4#DtQUB|2=4aq*v4gi~TuJ`wg%aJoXUtRzS?N_y99+KE%8? zk1+isgU`J8Pr?3)fS9)sR91ugh5iupmS8dO%o9lXU@iOSL(JQe0ru~N_y7OD{Qnai z%ZXTwYQ$$$2*jvdh*9n!qqabdlEY$@89t*pAx0@djJojd|Nobn5TkBC#0;?4kMVeL z-xF|vT~7yl&;n$X9K@&@Sd3bL&!}REQ9Tf&Y(Pd`291pLiiTn_Diohl8W5u#Ax1I1 z{r~@E2gE2LEJktRGwSYRum``Tfjv0o&Hw)|Z6QXTe}EZQ`yYMAJ(@BJV$^DgQQ9D* zK79u#h8`?Nl|YTcn(o~pMs0u?_4G9?-7klj=YqvN6@2D>e+2er0>r!`xOt$yfk&_C zpZl0zz48#^Rjlq?4lz#vV%|J>jl~Xe-xe(9wLs0onvcRE<`txZW5EU#blbmygRT&Z zQI`0O;(-{Y3NcFQ_5c4bb09|PVKM661BfrNy6WIVaH!o%0lUfzWRxPrsMq%}18gxq zqskyg^+SwG1Q~S=(h^*O#i$5;MrlKga)B6?_45D!m)#JfQn47ti_fSB55OM$nGE*e z29Qw>5Tlf^7<K4Ap0Jt<F=`#es3cJR`1K1o2Jhd+46AaeQCJg~7sRN|5TnB3mDnnX zdDF3&r;g9OU-!YjOoo`(@&cBbq9Eq^V=?dgJ&0Gax^ET4JYk4=eQ@)*Am*`SF|Qq; zc~KDa4kv+QAq{TcuFv4`+kXc${LJy0#|1I37-F93bC`d#Am){0G4J_Zh<~wWgI)K) z;deO^?7qt{{{Md|1u@D5i&1m%8I=z)ssmzF709UbpTNHScpKA~f%uG4ff!{AF>1-P z|NmdMK#W?4#V9tYQCK7N=3TG{oghYCfd`l+#Jn^t=54zJ2{5eYbwbShlmHH}KDc== zKZ3m~hsC@!eCAm~%v%I8@5EDBgwBMRckLEt(23zQ@8un^e}f?AU3vyH&l6%^9~SeD z-NxhJnGo}sAm+8h-S_hY*uQRA%qzoZo+re-P4VFHGlRQtCB(dcH!=OIhR?j8x555R zf|w@>cV8sLylq&_yLJnYe^)}x6M~rM2se)tVqP8=^V;y47YQ-%P#oC53~=*yz6Xb& z8W!`+@R`R6F|P<>Ug{HA{ANPTyLSUK{GQ#!<KLaPz~QF^G4I}En0b;A^QK`jZyG-H zG9l(&iUs?Z8}7c7@4)``!(yHrKJz3Y<~2dgYkdTBUnRsmHZ10SyMf2QCvSrNYXmWG z3fw$Rh<W?2V}{={eCAa`%zG3A_U|ls_}zR9_HP*$^TP0%rwK7{62!b~4`Kf8gqWv? z#XL5A=H0vj_OBDfyk`$!=2=3_dv*=ezuT_k3BOK=d7q-e{{0U(@8uh?f9GK_FAbl0 zmJst6LCmv($Hz>Fd0|-06T@fT%j;nO20_gG0S`Y<h<SWi%sX}skAG)E%wvL>w+?RJ z&(~o89=nPeer5Q~^MshUDGD5ZckjdEV<p7AIxOa?;WO{&HL!n^Am+8h<0BGco*5SN zu3g3B-<1&agdpY}y$5q2C&avWS1|qChR?i6h<S%1!Tv3SyKm<!aQH35VxAd3^Ee^q z6+z5ng1avhVqP2;^PXM7<KLZE!QrO_F;5F_o+QLPF)ZdygPMo6L6r$HuPFlT--3Jp z|Gzx>671E>mobCR8=p~f5Tnc?Mu~xps)HCc0gF)#P@}N6S}tD!`_cwt)aE;|ST%;2 z=Yhq%4VUqRS~JAFH{oDky28zS`~vJ%1}x?!;4{w{V%{8xd0g;Vm<%y*$0f|56ToNQ z<I7<G`asOvcNgYgXNY+PSj;<c36Fm#L(KaV2KMhVxOtzSgZ-<4#k>Njd0114GsHa5 zS^7S`ytTLg|9`m{V${=%m|j)IXVi~NV6O^7oThsB|NoZ}5Th1hG3wSuJic5DF-i<# z)D3v7@<Pmu!eU+*)I6-djD?t2777kEr(6I3zufx_9AM&DjIzgPln}%yZHQ4#pa3g^ z7<J_WW`KRU0P!VOR~^3y4zLFyU{}4p`Tze*b%;?Du^6=ypHVdsqozWPVgR}7&Qq`l z{jeAn2Q><74mE@rH49=?*lk#fod_|H4U2hv_{@8F0qjdJh<Q(Lz{~@+XgzvG_npTK zwSDIyp@!9c6CvjP3I>PTky|kHK0X2aw+xGUdHBq8gqXJqV&1l!F!L5d%+teSo*dLX ztg-s>JlMZn5c70EX)O?9)a!GYUcGz{;#I6hErS@fKM3sAv!DdR1~F;{7Na`w85IpN zssv(G709UFkHG<!ip3~fs8LuWKmcM?CB&$Z>#zVTfS4zX#k{v?@%Zw<IdFj83<Ue~ z_KpAlUn)S1x^)&az!pJ`!s@hAh*9?-M)knc+SNy3uTH{ZUJ%qgtP!9JG0zTSp6<2( z|6hU{{vN%e{#cA+hZ=>|X}8XTed!D_>c~}Cs98ar#*W3j?PnmNhSj_-h<Tp_z@f%} z4QAe}hhVSnKZ6-`>G;gEf|$1$V%{9M`({DRE5~A<IMh6>LHFtm*uTsW^LAhP|No^I z#3&OiMx8kg@hVoM=0l9y;Scuesq>)uj|X61emsro%SL=gg+PqTg%}kKiqI_(qt;<D z$_$@ToDidwAV$5q3Y!0b7?q91sMn|PcyQloa9CaU1A9;v6jpK&qcpG>wE&+{#So)< zAV!_O{Qv*U%lE-y_4E{GScT#<N&{k)Bg80HkOw;;MlHf(6c;|D?w$gB@S88#gO@-a zw1pTIkHx6{Cm~^lHQi5w81)-sRP|+8y8m<!>`QSh=H=rv&k17QYKVEDu!k(-Tm&)i z{7KAElgDS?r;}i>MnlZI2zOr)#JqMa=AAzQ@h{fIzX)R9ZXa;aEx7pq|4UF`!=qQ! z1&dKF_>2mN7*zl<D)Q3*|1Y=S1&10V7Nacj8N~xJN)=+%kqe;t4~S9wPGAPuyW@B~ zc<=-`z;1biJ$Ul;|Nk!)Ax2eWF={bBqskyg^+SxR2YK+?9k2&2uoxAA&nRt(Q7#ap znn1zc4KeELaZC^LLXE<jVjmm_d(abN)aHw@6zc#nZ!H${_8x--7*_KpK+OB;1rD&) z7hvXnxDEDdDi-r{@tNlUF>fWrJW;s&7C_9C#bTZ;KJz{t1N%1;V&3EPF!u#O%)5FF zGyKjT#pB-v5c4=8<|V?-1GREJdPRG&m{$um4{MGJfS8x*2@b#DlmGv}+;9sVbY57D zGQwvRE5s-{h*2^iqf#M8v12jn`4NaOvASy4QE;eT_5i!;GpLf2f*5t=C}x1off|K1 zf#gGsx&bk220Xyd-vs-z28($<_{@`snAZU@@Ag?(gw{jMGr?lspTiKZVs+p7BVeyu zK+L;v4rZP{#Jo30F#Wp*pLz8V^Io`vgD&(8%)I+I!2Vr=#k?4N=IKMsn*lK|{y5CM zeu#N7Sj^+WXWsq8VE=kR%xi+X&mLl)2p0499KsWR{SfnhxPkq91s)&ouY>)2<}haX z<=`{V9%9}Kh<SN%^X5a$Yr$fk3_kPT9|HR~0%9Hm+&q7Xc{W(gJ97|^f9FHY<A9j= z=M*eH{$B(8_sbzn|JL9$&mUsm4p(saDZt}nJ;b~<Sj^MGXWsvVVE=;l2mAE${y7bE zUp&OT6fEZ5Ie^E%>mlYzK-~8LZXT$S@6jtNgT=faeCEYN%sb%%_HXMEnEUo$1&802 zgP7rGgU>vEh<Ozd^R}OanU@bSuLp~HZ}#Ky@BRbe@Y8^p#{&1SJj6T~EauI@XI?(U zyc^D7|L%di@B9_8fBzi7^sf&-^W-7sbwJE3Isx-<J;b~%Sj_vg508J(?+5$W0%G0= zc=@6aF)s&;d28^QR}V4og%jAn$Kmd~e;Mpw6)fh(;4@DjV%`jhc|q{->xY<kXFq26 z@!&J>{ywmOJs{?NJO&FtdyskEsM|$_PX7Pz)4LgEyQuD~4Up}k?_M0)58p2O?#1@~ zpZ~)*wUwv{fH$P!*wpqNzNw87RJu1G5rA(8-|?TBfdO<-tm47uk4(xgDk7k>Dq1g= zO1B;W9nu6ka-xL)xQmJmhy^|r<~0jwlQ_r((8+2HpkqvweN-gA9b_!w0v#;}Qutc9 z^*{+f@*y%VDgvM*WCUD52Vc8(UU20+!QTQptFF5nd;rE|@BtVf89T3izt;MZf64*S zDIf<G4ub3fpPOxPqExWuWQizf3m@p%CeSuY21n@m7~M503f&<pDxKFj|CKnqbkDwH z!oc9tdH4HO!vo5zZ<sJJ@J~75+IpLR>OqBr{M$|{9_Kt&qHK7e^)3ID1Dw|tk12jq z{Qg?RvH2mRWAh`%*A~ss89_9Y;ib+C-!FjA?l3&r`U7;vBSR@m=k?BOj*1^0Ie(Q1 zx^iCR{O6*0%u(@^qvA)GPS61xttU%tJ$k|RdO!|nU9%T@$O`h_T+n$+;C+Xny}1gZ zS>-P#f^r-9l#Ch`@J8T{YUoDb^aJP{fw%65Yy@6f4c`b1vCCv17P~-a!@=!xa16^T zf+dQqGNdiR0uToTylgx6|3CP2gbDEbsz3+OgU+j(3fd&ldH;pWUeFH7-Jq(Ifxm@? z3v`SDgF8sFyBT!GKsRU`v@!>O_yK-R&^@iq;Ik#WCxedu>lRWL=oC@$=$;G_Yz7ND zuy8xEd^-p_Ie>+~Witx{L+gPOKW-Kk&{^x<-5{mifh^pD${{MC6LMKV2k{6pFmxBP za2qOK>nu?LiNIAU9s=6{G1`NLn?vzpCy$CpH`E>7U@JX2ls!O4*mHP5%=hSqsCVGu zcH{wFeo+#}4LS+dMFpm!JCKJvkV82{g$H!@C)^1<+=U!qH}F7Bf~ZqG)ENTO3Nh7# zhuZ_>3?GotWY8gS9-z~q!3U2)4%>Xc=ktGXh`FdJz}&*1>;gV&5ia1s!VSu)E-ES| zF5E6E3ZN7HKnF*2gHC)7QBhGm1v)z3qkA*hi*+pAc8b?KYgAMe4|e(>j16Gn767Y6 zn9HH;q9Or0VIO9-0}nUoL<koZof0>0(8>EQDjJ{@1-U_o{)edOfc5LZZQ|js;{aQw z1GY*7W=sGNcK`=itp+GVgU_)56)K?fEV_ME6uMnhRJeImI(<|GxIq^+yQqkiC~~@} z2z0Zke7^t^0u@almESLN`#?P+(hWKk*+oT%+eZZyg%aGL3#?sKWJ;7cK}S!xsBnPw z@+iBg$bi&>^+H@G<IxQXe&}V<kiak6jU4!p^d_Rx?V`e>EZ7+W3OTSCw<Aj@DC{j^ zVGoaSNZM2Zo!-OE1CIu98t*P*;Wkn{sQ3{Q1z>}^LsU3Gw~i|Zf=+ADfEoiycseCE zumFdOLlTs7h>8yAd~=Ye!6B*x%|zWrJlsVbP#eI(49fEiurswlfd>v|9~FU49u;T; zVkuGNWC8h5031{z$}TD_AeG>tf}|G^U!xlm%%C%=IiM+m2OP{G_e+5F$|$?2@Sp`V zk4NwB1A3r~dY?njE(9G*rwuANAmNWl`Jf{OKkbBvKj^e+a8710W&zy|59fo^J}CS} zN?1TC1e%|_9XO03`BVZf0!q7}JS+oNDFMz_;DQL8Ef|bJxeCDt8!Q64hQ5TE6G<3k zE+|iMz!Eb&Pw;@v<?!g;{X-9Q_58D!pNDmk1r9*)N#@|v%%i&-RDyLgD1!=YPy~U( z6(;Jy0?8&KB|+SvfClS>Xn+>sBAp>BBJlDPR)m8@z{Y}9flE)A$=%R0+(!i_0=J7p z*^vWu+zd2|;Ns9iTn1c-gCbD^CIu_RWjaGtWZ;f~7vdlxh$}#aJ=htbbOrKYH=+=i z02O8`phE1pi;5121~sKXXYNA^GX+q|1uiN;g_#0!VFoHA1i-mW1YA^t_~6rbK=~SU z+B>u`(*fr)Q2s*{W}y5p0oE%6)~f@K7;usWWf_<kIh8rU^&PmV@#t;_`v(>+EClLR zuwwl6Dp(0LPLS(WusD`_6)a9dy$V)e2#*pR^(t5uB1$+wQG!~(@PNuU7H%IE1!(;O zDo<ebi;Ic^xb#v1S1>#(;9z9|2P>$40TpjN+&<tdXF&Cf4(K8SaFl=|O9QM`2U@>y zfc5f#^~yjCKG3<J0??xGh4Bt(@rDsqu&d=^O%t%E5K#p!kB}qRfx{S_<Uyqpia0dM zL(3*`$^d6QaF*>xDow#@8C>Y0mZlJKP^pKUrMkhT9#UxvEA@IyRNx*A+73Fd1LuX5 zpvEESxQ?r!74V(SpoXJIGx(wz(6y-0*5-r>prbNDXQO&_w}G3H9Nhsd2VY{phKLjF zK^B$QHmwJIx|>hvF))-Udi07OS<KAffn2vcLTdO0n?C=4E#TSB;MwiL;Q>15A9RmT zH;YPV^9RUL|16!&UnVdx@Naif;YjOj{sCq4q;)p`nE*OmV7Gxj`0kt=6F~La18C%e zj`MHc0BR6}?lFV=1;oJaA7;?CN*I?nNqRs$fpi@Khes!m%4@CG1104i-IGB+^yzM9 z0J#B+{|;>Y{2%O_6%)Z17qE0TubGJO%?2nN<eM!M!M@1=nbdfA!bEi6Y+VoYjR(ZO zm+6qJf1u}$dv<>F=x)1U3_A8`ABPD8L$`Q$Ig7GDcLa;8;epPNoHtAOI8Sj)aGNXM zQ~ap-={1w#Nzg?qiWk7A@vdMj<#7d_OX|o!^?*y~q0S4SgE9?3*%#ce^z3fyFkoN+ z-!K6=_E*HSyALeH`TZj2L5P@uYwLj$4PS^_P+OV<bWM@~xWfiMBh3*^zF4v0^Z(Z> zt(Qu8K<BwYFLo4x*#T-jg6=B;wf8|6CN)1`bnI+Pm;??&9tbaG5(9&0@4f~43=E!) z$2=y1#v8!5HX5Gv>HPMheH}QoBv4NF2E{)3{92FZHxjfDuM9(s@B#~A3onoap75$z zk0ZQ5`oMQ%VTPB$WaRJ?nT#A>KVabnj(bRug{;LMWOPek9!4191s1{<ULXlP;pMRo zM|gqs;Rvq-DB*PkCA?NlhNds@8GVrOQdu*=;iX}W5nf;+Y~clxz!P2)YjK1ZNFR>y zDwu+tzDlMbr>}@9u<!yMYY7RjFRQSJ7v#LhkYJC-H=whwAXoapYnqEMJ1<;(fqvZ` zm#g7_@C{JNm+HND>HGn@R1b7C7pUCw0JQ);y1N4m7#Mc^2VHF<sCcN`6V#drQ2|vp zJ|I<~J5U%ry1NZPxfMx)L#L053rGRz(rAzZ0Z{TpQee^PqhbS60J^gPq~HTofwCiL zG{m6OMa2YkwT}nrCJ+bEIGF|LlAi+5opA=>juzy~3{bbwaVO}YW$=KIPv`e69><_8 z9>?G;9><UucOm7z0Vwtoz}mo7r%&gn7eQ-2|A*YW_aAb_3CK0zd;4(t8hlkBNELVt z4~wrsZ8GphztHpGyU~3O>T=3}OaRvk9^Kujz6M_}0rIs5$kz%W4@-bt9su&S0ND9h zeU0Shuotf&g|~rg>;DoJkIv_yIwS#PKmgbPu>YYAi1<~X|G!pleG92b5<I$nR5D(3 zfhv^%5IX`C%^dJHg~C*Dl4a>^R+);Zg*BjTP%W%86<iBzh=SV`98=M2Vf_`K|9dnZ z0VPz>v1W)<Zy|LHh=jK<j<bOKA|Bn_KnHMobUsJi2=U_i+Ry*HAuVChH4r>1kUJq* znt!sCinSgn74m?bbPUgXueCkU?{VQ#=?>rlHCwpg*#k6K0~&n+b^Srz@8*taU?1~z zHup>e`|JY5X9d%s85DGLJgBi0wj7*01)>kb&cj#m?ELQ0-3D?y^ky8C!=phbiGwbl zQ#fsKvxEy=kGuq(WCuzcpkwD5JiE7paxo|gaDa<V@bPXwo!?))TJ!los9pz+n?UX{ zf!#X?IxZb_=^WTN!vn7o7rOCpI}JCv^BCyz>QAN6OXo^dkS?8L1C4igmp}$`IzMrq z;yhTw#d+%cHO>#<3+E1i2FMjJf`-QhJ$8T;gD~hoIf2)Kp56T`^cfhs1rNSrZhizh zSrvR@>M01f^(4Q`#nuC$9%@ORN3W>-0?6cp04QlnV6<_LEC>5i0Nh?_x0nu&2oVU+ zW;&>}+<ybydO5Bz9TFJ=yI`f|zZb`rg5yIW+7Wy;1nAPumto)rAN2lF1&_|JL`M&} z)%9{3XfPO_Dz}1S$FuVpYTWp(MoO5>ouGsXx@d+4G7kZ}l<wqfPQ#O+6T=U7p5otj z7<PI#=!zxA)=#AZttU%_K@raaoe*$54v7~LmF^f7md=Zu2RUz)aB&{|eu?w4;$hG| zfSotKUr;=;g0VydHC8xYzGq-y*kxoG6ly$m1?X(<-ZiES44@;o4G*+_0^jKa9>g!z z-{tSdz+gOe14z-{Eg(hzzF$L8!ee-e^F065L*TgT1f6sa4w%+|r7WEnIxm8b#Vt|y z=$;Br1V#tnF*QG9>?~1{=ni7(yawTdu4n!PxxJjf1$1bmPcN(3JZM4y6;zlBVfWI{ z|66bKw}7va=@or37owU2lx#4Y#=T3y$%do(0b^(D3rMN~wRt;R-%JPP;Ju(k<I#BZ z0yNP;@|NLAkIr{5CN732n%KjzYtkiNbD;*QK<jP()?&~}HlQm`Urqzxf&nVIAefBw z#bbCI9FQ-k6OqE+tiYeb3@?Gr!jZy2^HJwY1zImrFM)M4GB9-S1*I*QZb;(#VQOOJ zAMOH5WT0CUK7g-90N2i?2HmZo1m@D+3QA$kA3|KfIpYG75?;fTpy{-!2f@V)_>5-A z#ec0Az#^qJjo(4(8D#W#u&I!FEQ1~31PnI6dn?Fz&_xEV7m$?j8Xj=rJjXxv0QedN z&?&r(;I!O&9dy6Jq0VESA2?6AbYAB?Un0W!15}!Fo>Khv{hA}^Cr8Cgpj##{fv3b2 z4;mi$c95}@8+5fK=zM?2&I2x;2RRRxsCsnwf|I}C#aGBhAeaX(0YT*-=;&=|;+LEa zNzMY;6aT(Nki-wZRi#(-^(=^L9EpFzBBaDWV+Od$ge3ksGmsO1!wg7?$pNV-z=?m# z0;I$b>W8v}ngQ5Te-S+O|NIM|Sc4@031p;y$f5s4m9OtW*&9~z4~p{j5d#CmPEdL@ z?giz6?y2DN_1gDqh6h?df|E70eBB97p1q*t-;F3=k(KZmp5(mFKlLCuO?IAd{>})F zA8`44qVp7}+%J)WB``x+;p)i(89a~yr7BPz<<rZ0cm^b$;7C;&3m~ZqbWE;Cujo{$ zY8<J`Y5`KJ@|cN~s(fZ5rz(S)&{PF!6uo=lI1edFwO)eU=Zrl?mBCZgGPLp(={$ar z=Rl{}&zui3ceep(cD5w-SaS!6;nCf^1MNP6A42e3d^~zh(<eah6L^4hAG11W;17J( z_2vrX`veS6A}$lqT7-8T5M(=O9IyoBh0bP>tB?=oM{+;7cn95o2@aRtJ3!7Zi96OT z0P1RihRVPW=>|E(qnp#im;<TeX+2m9y7cD&>{17i!$BO6ZrlzpT!`1<AZtMmuZB1r z<Q!y&L-R9q`~rNDz`uPnKs8k7{TE^oFF1f)&fmffzK?GPi1We+RF0s=g(K*$MPUX8 z4{*%{ZZNiTl!ESD%Mga#QNiDG0%UOSZjb=t3WzOz%nUEV7xH#DBi;JR-!coNy1Ur` ze1Ua0=oVG}Z2`=n(Y0d--$^t-V+38K^`V=w+mq$s3&GCw2j2@eKW7A$zdsExe80f? zz4-wn=Z{X%Oim4G)~2}t<UG)H2i8yrxvIG$g`q^%1I>xx;bP>(@^?PoFb9PZD9q1+ zj*9DS28AEm4SJ~I4XPzTNq*TJaFRa(3S$12h2Yz?6g;UN3Kn=n!2lXr;7|Z@;1Pup z3g7494F!<PL7`v)NqHb&!9xMn|KN!3y#Hd^Y_R_WKwjo=$phaVxB|rS=<Ws`a1D-X zPy-ZlJ07@d2i?c8mjQAJJ`;#@56WQ%aU>WaGAtkt=zMgL9##-%EmVdL#90Hn?eqgX z=(?&Opz|)fo6+xY1KkD+Dth^u7#I$|;BS7w_!4yVJLn2I@Mv=P32?T?%+H_<Fu@p> z0cL?r@7)a&@aWzQ((^J8B-Gsux~#6-gQMGl=ip0z&}~i!U-36T0w?hcph?H(hm4#T zL6bqR^AVPUu5`jyh@e^uI>OxpZYfC5%b$=$1+^4@OC89UpgT{IZ>bZ3TL+rNL@%yT zZQ2bo7Ge`}vUv%<<EFcL1|+ByBthfvm_Y?9`amHA&V<DX7lYKl_VR#4%FFAZOa(U! zl9&mY1u8~C277dG2C0WS4OD?4mH%__CT37F1SRHd(7}eC&7dR-OU#A`JbHapL^>H? zUV_(ZsOft)C|El0zc@AnoW5^>k~DvdDY!f}@B&FfS090Ha0d-mFn69i_>LJ=!ky%I z`Plir`8#9laYVl|-0(o_H~y&y4!&Xnm3mCDQm^x0^EXCFGurTE>orJ|gxm02>wgFS zsmB~UKe%)r;yl#(o%48!2<I<QC!h16;!nr#7hE`xDW2>62$||w!C1l#8b$yQUcGi| zJz1jV0}bz9QT9$~n*-c@0T=bq>58AzKmUIXODy0a839mH4Z7^TyLkoPGG_sDnFHcL zV+cAEiCpqF&%zr`pwI+G(-Kf3>1+mtG%T8+H64Tsfc3^bj<@{)6~CZJ=-szM0yLy` z?7>Xvke1=e7fDk;|Brx=_kia3K<mlC)fMRe!)f3cO#nqAf6EDQjP3w&Ji422C^ImC z?!o!^{h~6Y4Gy_V3)H6wHT>WD&4GXFagXlF8zdMQx}8`$kA1(UYy`UCs)P#?q=w&K zgCg$$B=SDGbe`h;)%k(*M~OJ+p-#|!aX%FgI)1<C!g)^d-1lps=xsd&>p|}hkc2mj ze0psI+CeKa!M6*-lRRiy%NLOQdv`yOfGbAH6(A)SAxaWNaVvQTO4q%+El@76{o2ON z@Dfyqfu`|6SI>c#*0pl*w=4pOHQ0e3-J2iiBbkfpz!zZ<t3j><wSaIq@`XM`8OVhm z-J5UVaOevUgeyI|Hyc2dff6}%Iusr-FXU$6O=O@51|>2<NFoD8zDM&B0mvv6Bz+;Z z2j_yk3%dVt3OK2}0HqGl{ZbCzAc^kX6Tqe6WKcN3?w69A{`r6F0dP~WLlRPTfbYZt zaR>xi@^rjT2U!YoI`n=ikYlj42Ep@x0UnUcnZe_go%dgGLfl^fa(0Q{vE~yXhDYyi z39$P&SKx}BiBs^p2xJV%MXMpL1d#DqT!a*!;QJdp@4u*=3=Yp9AZLKu*8x7@@N8ZH z8ocf91|<V*RU@d9nIQ@dR8YkL;^0d*g46K2A7m}a{m}L`$T|4k4?c^!^ZtvSlfdq8 z06DuP{#f%35W}N;H>l;|(LEWIKB0HEzTle*PY(>BTYNx`R9%nW-5?I0qzoxVUIgHe z0Fb>P4^%-S0OTG_4+MC0w}BFBH^;%3%%Gkqj|!-8KLj20J;3h*YNW7q_N|!3z|c8u z%`8xtao+(@(r7$3VHUVJg$~@l5SRceQ6c#RMuNuEA@@mx?gaPgHGSR*x)8TUMPMIj z#W8sJ6@06$Pv=M9&JQnQrhWbonl0i0Ujhv_89Z|C2qwXUK|Y<&eLH_-F*=65*f$jv z)JFtB<LRI|gyx9Zu>LK3XLHPKkYjg49DCSdHUsQlT93|qpi6WU`oX#-pmQ0Z>s=>! zbhkN}g4WNqam)ctlC*i4GBBidwh2IZJ`kSB98g~wqOx}%1E_N8-Sz=ufj;Oi8`l2M zkQK{F=^I>RcHVz6a{|cP-5kE4`}7QtH79@=9=*FQAQ=r*aC!8aE}jG~*g>Wtm0uI_ zCRvb4pcr2PiE)q(=rJA&nxFw)?d{Rsb^vre6i0UkOY>94?-x5?K*ykwmdd{jU}Rtb zuWE5oF#xsGKtAp61|{KcPGitY1p|-nZVs?$GguV1PRInj91&fQCx<b3fwKj=F6crb z8?Y`5(43h9XhaP%QwW~&dXY2%dBKtcsBUEduXzP8U;^{O3y44qs#r=iAuCA{>#0EN zia;x}!3qVxU*ZmA0k5QE@#t;_i#dSSl4^8<*H%MCKx;NZi>!D`v^ibClPZWsR-n~K zpjBXCy@4Dc19`v(@_=0L;L-UA(vSkpkG_~T88lZ}3SRHw0Ge)*c&+BqD|)*P(&mx? z4Td{F)Pwrh(EQ!d2OhbR=xjbP2b`>BI-8Ho0jKF7;0$<p1vnkLs7Qb+chE#uZx1MK z9r1{c1*hf-6TtBex<`<>_%?^dH#krs5sQd!(84;bvCRTorHZTr7TKV+>JV{g5`jiG z=BhAoSTcZ?*h5x{f%)J_1;w67i6&@`E%L%e&<a`58cnc5q(}v|R>5N6NQEsOgNT44 z6%=_g;7A3noI@;TlmV@%^XTpdt3-}e8BnAefRZG1&H@~*`zIoz)c_Q&8sKPUX@x|q z1}It$Frsy34>(#iI-3jTf}>TZv$<q0I9d&)K+V*{5pzMsi;Ic|ELyj9fuq#|9<8wA z6}}$=)_w)I!8-51Faalo-4j4%3xCTwaQpQIh%<2QSMYtDy}L7{;O#=6UfT&ZpkfZG zBLHfdmiK}7DeYc>PzeeMcrgf45&}_j1CJ6zh!O#5xP9oRyxa(BS-e1R#ri;;>Cp>z zgGcw~0vz_dIMe(2zeo3G1|0IBh2$%HK~>srkW)Q+cY~Y*YU<(8@S+-Gpal+jkbx1s zcxwSrG=pjZZb&N@lmrm1SfB1T2NMPc$8Ltswg(G93<s9ZwkHcf$#35SE>QA2c47gj zrZhbH(!K*)i@}o{sDAum0<Iq?ECeOeHZZSup9D9^gl!cIL0ZAB{g;d&-5%X-43PTN zVG&q8nAf{60HR)F5lFq^$(L8!Ay#>Gw{bw!e}Je5^LqDnK-AxWsb7LnF91=$U@_Qy zFt2yt0f_pJ#US&+m%F|!1Ze@S<AkUWSOQir0pj)U`vFmJu>`E14OE9ag49FjLkvI* z=8n6lfamsMYZ`iMR5*}UH3;^C^EF3zj0z8^G2)`a(fpXb6U6(#0^0tic(g>+qnq_& z7ic-Ci;9FtH|yRmW`@@VzTJJG%f6x0#U8!9+dxWsYg81#OFqEU2+-dDffrispwa&l zoz}Od8a}<O)ljA2xdMoKaD53~B4X14>AZt?@c5``fR=<vfQl+mn@Pa2vyEXXxC|0; z>}+FM3TpAVs7RzacD8XqIWiy)&r;Bg{k{Ou?uo`@FTf$^0$*wiT5>4T1}>otq8;O4 zRS!7PfZCU!hA(Ucj0-XX#)UKj2ATlj1nq|E?Ax&v)X3bk6qJ_sb%6FUG#*<3vb*yE zDDS>l*NWc41T|+AK&z%eyZs<bp4u$*85nl{XJ=sO7EnB;>;YPj1ipL}REC4AS^>}< zq71u0tB%0UO2?ht3=9n5#$^`c|IMH=jTgz?U=Im+baxkkRz)!G0xhCa4rBq1pn#LX z&j0cZ4BR0qGP^*GQXy540%jK#2~`lk^Pu4+(2`!zzO2sfjAaZAos)BxfjqX`!vK`c z4hJj)B|z}9P4EIJQ2l+j1#GKAG_?Aj017{le>}STKr6Xix<QLj9S^?X?mT|*JvV54 z=m5XVk8Z~9K$e3qcseg0e96=NkP$Rbf69gN0BD^2Cph_l+VLWwJxwf~(<GKNFm(3G zEC)GeUjx`N#~7A_oATgL_Gm$bGRS_A#i0HH$mC9V|9}TM3OoBwfDD~>24d(Ah@l&h z4E@{;HWXwY$Uh+SxKa8W+^FVNtN<m2niXJ2et?*luma)8#Srrl<8h#P0kxeOJi51m zYyhpm5P-xnD0V?hZ}K}o|8GoD5dfu)#uOD15Di+G%?ny&fh{%oc76aQh#v+F3@?H@ z!BGR=t_qHSP_+PBuM92*z`8-i3$D)3|966t0%$`OcvBRIvX2T+r;iHH4p742_EBL0 zC!KvDUMVlLh)Uxl5VP~5;Wzl`Rrl@#1`G_`<t&iI#ly_s_z<MX@KWcAP8O9;(5Ay? zjg_EOrLz(gM!Pi(!Kq4MB{YoSX{xdb>~P3xU|9HjboYVQ{K3<cI4C`dlb4=ufSl2H z2keY}31DX&I{<Tr;mH?28o^NyFAqQ#gW?}=dg@pOivONfAiwRK0Wq{-71VErCtoav z7z*<b$UJeB^dyd&o-9^_%(GbyHtzz&JcZQ=^MWDf5lT;>m4V>W0+gQQ+sR8$Hw+mV zUNE(TqXkEL0^0*lPrKTvlb#kB5=>7oKw&ib4LFQ;a~Ofs(*;-<!PApw1K8oH>B*(r z0W`(oc<=>tC-UHdM|T@|5v4`92g||tJe?;FzTg2Z*gMJZa?pkGc=K~c&I{ndW`39R zojl;aTW8;lH4F@$ZFAOu<H`Z-j$;jL5OKA<9-g2;@ej6u15)zgET25qg5uw2E!fNo zh?xd!5oQKM%mkSSDm}VAkOxF~Q2YeWe0@K_2L6E<xB+6|10(~Pzy=aZPoPDT;E)BS zr}Hh)^u*7=04|^SK`pK4`}jcR6R41a)>fc&0j{l}<<kx$28I`#AXNvB^aQu(MOF)* z^kmP#04bmB85s8cw`X90l~48z3~1$3gAp`6fmA`tCwoxi38{Qqu?|!|tyu>O^W87N zL3DV+I%t^h1bGNl=iRJDOHbfMwS?j`Vm&B6W7dPr-lqVXe`-ADupVl*;mH@xwcs=Y zicgq%yeQ=ZFKYR~u>oWr&jzr05fJk}Aem<jF%LSPvu`n|=g@io#hE&ASLFlvI&ITK z`Jmnms6Dg<A`TkU@#uw$?*t9zyx0XQj(fo)^M;o|N-H5sLBp*OfeeTMXrSNl61Xi5 zQq}~~#~}*}WRQR(M41CzzyKof!IyynI_&dOw;9r`2A91c0yG{M?Adt@)MV=2w*%~K zTWP4X|Gjt%ii_Uepi>_}Zu$4(PVMLaj>p<SGbxOQ2ard?L8d|W<3q>yK&E!Lq1-tR zzQV8bvrq3nkcl2J3so28LBi?Ti<(-<ITHVUI-kAB2isnw!tse;fK|#GdfLFxdi2u< z-0LBy4VYVlPa9xS@$7u$(aYOWkL9cZ(4takUip~i;24xugml8dx_WTZGeGzdGN}{; zUUv%eA*g)`>RWgF+4yw38FZdH_)GraD{014o!1WjV?Fqc*|D3&z_Gi;fTi)#0|o{L z&5ItFUwB-8*ZhF7`5B|f!Dk8{oX0#mOH?>KdN&(@FPogM;V%xkxcSAq+Ry)64wM)| z#xEH=n<JROt#MEh+1VTeR$i^)(RkQ_2^8y~b&mI5WP+j@G%W#YBL;hPe%=QO=KC+~ zYru&SbP2aduc>J+D3D)BK?FHKv(deq8JIx^ffLmW15iQUyIX*nfg$=Ob0avVbocdu z6n3{YfTqzAzP*0%KkLEg%rH-Oi&%8KSg>4vb@@f}BSz3_&1nWKphDY(1)PB#K!!9P z16`X6YEr)3S_?LP!i4TV&~@q_-EAL8HU9zF{3j6eH$cok!2<G);mMbw2=jA5q1N37 zx)~lRwg|g_0xQV<Q^2?3?o$96(s-<b6>9$18o2xKfTX(HE-*1LkYv6C8_0YY@EyYY zCV&iSJf^`0HGeA1e8_%~P*9d?e3QWlD$l-obpGDAl!1W(R7J#8eg5y+40ay_e~UEe zF6PcTDxeklj>p<6Ktt-T-F_vW-DMdrohJ_dkU#iB+J*5%=W$5%f!fHRH7E?-B6iIW z9yn?qbm=^K`Bi6#iU%l-7I<{ls6@DQyV$WfUVhPe0Tiz;DjqJKppHbRi;9n9ci$QY z1_saWeW1w>m<5a{96Jv=9{k1Xc<>Q3$O`cO3a4%p@#Y5)G!I^W*IA;X05UqksoO=I zrPD_x<MNBnkD$^Rv=0`#drrl*dmZRXdC%^3Hy9WgTsmJK{3(C%rL+s<OUKSLjtBp+ zIv#uiHXhVAVsPrNQDta;_`p%~tV`$1%kMjDR3tioHa}$a=v<@H!N9=a)SaUWvP%La z{kHQZ#5x(*?s=f&V_Z6K!i>M^*m)jo&}qZ#jtBp<Iv#w^?Abj}0F=aFy-KHU5o?#u zo8X`lFudOUh|!~Sj>-?PH8IxU9;OTDQILw)oOhcaGj_(Ph!}q7Jlg!6v9m^nqxmUg zXO0R_2^%NKo#1W3kZ1sn5j<ezd{N4A+(pF$H1_M$xkUw3kif(78%V;VyGA9V1f&Rj z)Qm^3JBvqWi^>a7Wb`_L*&o2{92FMN-gp+5ZWk2=m+lf371!1SCE~8F-%5oXn;$T` zfX25So1ZbhJP7q3I50tLMGLx3*g>fQ6k8G=-92E#yIB}rI(t;kfFtB2BGx22p|OU% z8zw|0!KZVI3TSDLW4DbEXg3NtUIaj41&ULT?l~$TXLid7Uw#daEYP}_&YO^^5dnD_ z+_UoO?g2-kN9QZ&ZXF&-1m>u4cyvxt0Y!^bw+PqeSFkAM=)4FqfCpp%cn6SA_Y`pC zxOel2yL8@ky!_Gyw2j^HE686UmpFBs2)Y=a1)Z@2mf<`Eio185pPIpI-gONBa^8YO zngL`Tut^CU=he;}74V)=aG14TDi!Q@Q88$KzzB{Qkhd8?E&z8zzqzPLFuHU@f({&e zAUA>3fC2&J1dr}DV9$UxgM0-(`UtdNzw?|6=P^)~(Rs*)^Al(Qp+uS!bfOH0<M#`$ zoW~p$uYJGBdBRcgm`i7g3J>Vej_(&*T~s*uTU?;A8UPsz2~jENW)TO)>WfYnl>m_M zK}n`tL=cj-13*&HmChj`w^zXQB{+7oKw=Q2v%;f$3nb=5#2`8=E<?4|fV4rkkxc=| znqxPM2q?-x8XdYrL>VAD9AG+-_mx4S$r0?xo0ma4EV@O6AsQ@T8f-jzL5>HVyVF~v zVgOo{V*wgkckt=;QK{Gm+Cl~%hBG|yLbmGj|K=JM69%7dNH!|v1;^13e*QLjP}qRi zpo8)mXbemP6c?bf)3bLUxJKzMQPFq_T3rtE6u1<Fnd8|#4OAm`b3qq$q_uu5;Rm@( z0cOT)$<_lfc8M@Z3Vt%waR!i$prcGcIkNKu#D6~DFLoaPeqHfAC$uQ^0NDyoTMplj zGnTM}%#e5u+UEl{P6l=c7Th?{<b@1qw@K@PQqamSP#Om<U^@<KoWhE(?jn|ME6(qR zmm2^7|Iffs>eKoSBn5I&iOq2r6%h~%JVx}|u=CLOTdfE9T`z!K0?tXE-P=I^1`VBQ zfct4Lv?@OTe|h-d|No%Fvq0*=S+?`q_iM@}DgxkTF6^L*5{}n`pnVD;CVYp|aVC&u z-8m{8-Jm0j-f^DmEK$+uyv}*NvqnXyM2zzvh!0BKia)+z<$R@hq0>c02Xx*GIDu=F z<at6`Cfyv6EN{^5zyb+P6QnpaFue3ax%l&ck8WoTkJbYv0v_EC4j=~maR&)d9SN-~ zL8Ih*fBgUN+1UoE@Q;9MT+noIET{n(d)T*k9cbC0PiKxwf^YA>2o?s0{R&IO8FsAz zSI7Te=z?tNYyqcx6d9k+8kK?<e8r#tdv+cHg%UV5!Cen>_CwH)GSC4$phGzv!L_zq z22zs(+Q0;91{W#aHU^E*HYTK4?RGI>x%>iB#Rxz`K?Ghyw}ESDL<0`VG;oBWo5q1` z8hHPWiwciNZ;pxtD8E71mV?3$I%V(C`ToWFvd{lLd-uHnT@l||qmlty)};XLvb?xd z3YJs=HP}GS3h2%j&`zE0rJw)9c4GC4TCzjNK{Z@KTV>z-bUyRx{P=>o?DKz+*Fh6) z44&Q7c7QAaWq-qOpxGi&I(i{r_W8e0=kpikg`fYUg#L@Eg`fX>bRGp604@rl$r!Y< zAOKuefTsjNdZSA}|8GuF3E*!|Q3>J49}VDQ4n5!;kOR&E5)CfIL<4whExKtI$fj99 zOtbOntx>4}MFXVRLt8oVLZcMahXS|heY$g0GJHBgf%qb@1S|{+DM$s>`R|2o2|VO| zdRtTy7#SEqq28SWs=A+hbiVWG6<x^+YHEIb(O3eCfff}|&jC~|z$0M~SO_T|rh`;= zzJKvPA2}X8x=U0FUT_zHvcPeV<1H$nehI`NaPOkqgR$FzwHcBPdcd-sEh<+SK$B9S zCM@>M(4uk#WCjBR18UIi0gEF-55flpV2cW9bvoe~X;ImO!(@;+vdJJm#N-2@lD2n^ zN(88G>FoiV018-;8<C?4lv^4>Wkv56ut%Yp#HaK9i&aJNXadDvgiq&tP#&3Fgc4Jr zg&3fi>U{3g`Ol-5l?9xw4uNvY%_2xVfh!qMBM_EZ_Nah_kmBim5h%a>f8m~o8BfW1 z@Oat+4F+hY@#x*70uq9ZrGskQ7ivYH|2HoIi}E)wQ33U@@n)SC6;QVUWGs55fy9x6 z7Q}}H?FMi{fc7_VmS!y~pw2HglR@IhCWH78lR<^INADVNd)uS82W$c;(m-~jN7|V} zaO{9a5q!E+KzZxANADJ}&tH5f0Ly_g7&OxE6`({Ks22>1wC)^G_IeMAIWJJxuM-?| z@}N@cc#Db#$Oz<^TLTtC#2hI37!^VyuPX=C$p@{+>10s>l?)!pEg+CTK(X%A`QM}S zrB81UIIq57E&Tl7vAYk_ziI<DKe1IbEh?bpuh;?;B#s=IAU-58LDh_7cOR%v<=Nc^ zYR6(Xc?!r_EV&jWj%+fB4>1|MS*3T4iUl-pfn-451KEupO{$Qn07nxzi@XTVher;$ z^aJH7`+St>F@TnSo$o<yaZsNTQUHR|2Pk7T<U=wRxXXoz9-rPlDj*?5^nfPiCxaAs zzJKvL3ta7inv>uWw3kKSk@whv$7_~>N{!C@FZ#1UQMntGOgwr`Tcg3_HCYhB3b3y? zzhDH75bWe<U|@LBkOx-Yzyunv0r_vjgb66)1t2eYc7Ai|?gI_O_;j~vuz)(!Ct*F^ zla8II9S{Cybv*c(8QkMN>D+B2;oKb}!2;?CyubX)vH7Q5ovCB5Glyfh3ByiMqs2w@ z<mG3a{~UXrc^tcC7%o5Nyxe&Mv~m6BMNpp_v{mOs=hxTbo!6S5F?U{s2!7*tIoJ8G z^K$23u-fLw;QbCaI8T>~UVLZxwfQL{=WFl@t>DV#bw1c$1&F;Y2zwuJ9*5Y=!f^Qk z=ONID1l)=P{4OUt|6P0s7KDxE8vcWH;5axzr%r-8+~9r_bbO8TLh}dE@o*fTz0oYN zvj`o#r~P3Djc!9iiSc6R`GfyiU3yjif>LaAjS2^YZ}++n%nS_tEubwZpf&}#J?7H+ zz_Gi8mEquXR?T0X7cYZ4&H^6ICs-W2b66QJzwOLX5o!J<Q>W$F>!QNp*zLj!@tEet z%MUm|fOaoAHXq?}?sicHc@EU~5ou0Q5dbwrMEF6rfE(b>-6qVS$N`zI;nXd{42h)9 z5EY#|4J-i$GFZd0`7n=jH;csO2b{1Lv<4{3TvRlgQ&e=A`CFJlr4UMI$l|3aNUSqP zrNF1NM8yH@y&P817>nkq&Wo4df_h99Ape0z9zeY&*X|Hj2FJ^<nt#c-bYAX!SEmB? zX$iuo&p6+K1bH00V^|q3KX&1~?9+L?`7u9u$NL9xkHYZV3Vz3Zpuh$l!t|~6wj=-4 zV=kQ^n?EwTc7AaDe!+1&qf6_-(p=ZpZ;t#^kAuP#W)n)79);TE!pd;@fg|T37tX8A zPx+hw^7BtQ;P~wjKls31M~>s2r(HNcm&h4@YyQjcz(3^}|F*;Y+fKT2e0Syi@ckO+ zImKfxipPAqOH>>@JAe3eho}@d@^3r-{aWh>{uWPAwgmN`K<)(%n^ZV;n`ppezq<uI z7U$H>qH*~}^DoePG7(S$@Bt-&8eVt;c+UB~vqnV#k^n+f87@EK1f9()0@{-fIy|-W zQ1c`H&JY!Fv;I``BSyn-%@6rIeN;dz-Z=POL7VV-JiFUAfKE*I2xzWh<Y3@$Nn!zy z%|V*VAu1e(-@pO<VtEE=nK6IMDNe9FNE4{3&ha`KrNakqkb-)879d#xh+b<@d4_6H ziLGb%KA0sIFiV`EmZYLuVgYUUzu1)Z`Tt8TPSEhMi;Bfd1x^MA=WZQv+J5WYZNqf= zJ*W`q{MY%q4q6b%K!OWY06pe>4Jimr7(j&)sFzvF-Tau*5!6{{C^dI%{wZGr)rL}j z9EE5DmmkMD-#0&J<ZpFlW?*Rk&gj?)?qGm>L(rJi0mURJ<8pYwW70(9@;gvWmZ<Q6 zViGeegK{nhBqkwQ8I%Qinp0FbKv|iGAD)AqyG58FxfkX`6sI0T%C(T9t{FTSr2#A4 zKzZJymp3*Pvb+%78PGtk317%%f`>nB7{J3G7R^7H`P=kCW5g&qUBI!s4^-rNc25Hp zYlvao&SQ`{4N&0&D*73^ZHyp8xS(N6h3*_97I3kq()?4VPPNyKqg#e)2S}mjiOz$U zA9Mchj8Rc&KE~56Vs!ZtCumq%r8z}K0Tc`>{Em=X$+LSOs8&L<^ytCAtOuVmgDvVd z(eDn?XSw|D@+(kHB-`uC(JjM{Y{$LkqdeU<`j?+`{(_YJ;4n%+3ZoQ{US7ixNEl^+ z#)%S8!su5zIE->2VUz(5BQwybJW3dObo;1y82hLQ7=n)q0F5H~7`v#5d<PARyR`n` zZ}|^tpArm6@PH0VKuW*^QU*^zN}vR!Omm8g1SlY7Xd93LNC6q*(aZZG2ojJHpnwc` z37XIW4NGynOkf4|)7n4{4d3oQaFiZ|)}RMbn;DuXoV#Ttox4LMSvn71etr2>^AEYs zYn^xN<Q;pxIUKunIKk}<&4ZVpa^7|9E#`6THZi>XjPshqw_{AD+|5s!9Kma7OKqBe z$k#wMnZPwY<NS=I=@Yoz=DY<yv4Ou8G&IrtiP5q1ns2W&i$`~eibHo7D9U`gCxO#G zVu0i-BpHIzKDbD5>^9MAe)K@|BDiAbaO{rJV!8Ypyqxc+Or3gfC<kbedlxwQgX%{} zk<QV46y$!b%g;FPb(W~06azBcApbjei*SI$0Oo&GuRv;UNqBST;%mrsf(F<}pw<>> zLxzq|FKcKZBx)=`BLk@AfLJOxjiZzU4?zPcC}~^(RO&f&cYwmfqk954JfL$%j3;PX z7C<cqB_5CN9pJ=+u$Z#);Nm+-shNNhUMW7ktg-=+@X7#%7iyXCEEybLC}je8o)0Cw z2$TsQz)6q4rGycbGYFTkL#1GVg=hduh=%y|vR?LsglGgPL<3Mt*(pij5RHM9vJv1? z_BW{8gA$?!Xib<BL!_340jy>5A|BFw;Ra2IcJG5VUkqL@{0_QT!$rm5<t1?U46Qk| z4J-(4sfgx<GBdzToCY-!bijuv%tTY4P-cdgzTf}<M`;y-+xnnZkpQSw!~tm)sV08@ z|8naOG^OA<J&;llkWvMR(q9Rm|G!-K6HO_2SQDhw0Hjm`qVzmS=}XYE?OxXXA<PWm zQEuq4TPGK2%=iJLXLlc{>DB4TVtBx#@d&8PhcsYK*yY(EJDC5VIR~rD9Y9JsP>+Z~ z>2KZucNji<cK+G792B>m_h0OZ2Cb;rJp(jS%->SM2^vaV0v>=n*8Bk^*zH~7(H)$D zsQ(>1kHcnNL4$-7Kn-gK1_rlo87pv;!13~Hm(DpVAaT(AAE<Ng*6m`&(%GW|9wNH@ z!UZye*aKGM0-2fYQ2`AXba#WsbUeB@gGMQk#wC!-`UH@DF5Mz};IiKF@++6l5S0Xv z&Mhi87#SE`x_$IOHi3pN!Lx|asm27C&XbTCh?MSb(5MP%TLtKR0z`cRU2y=aPryUa z-6j@bE5OxBK<6j$9CC}w2~dZkbB_whg)ZGW7NEK0fKJFrqT}VaF0fh7knV2K7z-lY zI*)^c$Hnm8!T+G886MrupwSnQec;&a7O?<NS9=&<16#F61w5eA*`jg)bmUVF*d`BG z&ZC_@-~qhL?;Sb+LM9V@T)H=hfPCNi0k(wTgCl4Wzyn9kV~z)ZusR-m!0gdI88l%H zvJc#GaO!4Za_RgCnZz?Z*8G4G6kVWk5U1`CCeQ{Ri_5PZIj=(IQ*B(jXM<LnxOCot zS#iU$^9XoR!eRJifA?hY<kN8%74XozQ#XqeXr30-;&y;r0V+|Px<iyeRyZJ8;o@R= z&6V>kq&kRjY<|k<(wU<YQ^M}T3F=HoAg8u&7nKOm^f>2>Qtsm|;DiJklk@0|fz0@V zQ^_}|1Za916kVX%K2Vr~XK+D7=?p%ddsH5PN-604{0ea7u2H!FqI+vuJbMdSJbJTP ze0u{}e0y_LSX{bmR1&&fR8m}9|AW$;2RKLVQ30*@DG_ZwP%7xy{G8FHvql9p7w|G0 zBz@dP1)QKfx~Hgsasy~q7i1}D?tKBsqRurcGeA+SBiIeeBj84RfKTTd6$>T?2A}RV zDj<hBg63u~zv`T#0t#eUItzf!ri15$!6^x35hyc&ECTt&r5kKABu~5r1*%84h&b4! z7I3NojSF@9sCdAP0v|OBP9z|sKq=m_+Xmz~&_FtPF3X}@MC|e_*pdJXm_{2=>H<f- zXXh=@95g8Dx^#;ecSF)FG`l(&f=BSco-Q$Ea520Nx;O`vksU6-<A(STHnk6)eU1QS zdeERBD0w<|n`nR%E+kQO_o#pZv0FzIB=*+uJ2d@pzJja=hygjN0Hz<5_8hxSbU>*R zq`v?ZMxa3U>^#-2qY2Yp0Fr+TGor-B@IChw6;N0~@>>QZPvn%aab5?{qrsxM6|}~{ zM<t{AA!LmKXchpx#sC~I-&|Az7||1>Z|7BTdIaT7hVBv-P@~1C^OFnbug(w^i_Y`l zsa6}%Vg!&kL16$2wh{@5yyN%lE}TCUe|*2j`BCvir;CbBw~LAmc=FYPzXfzg3X=C> z$*%>R=DS%0V95=XKMO$71xjY!uq6Wpu=)HFkQo_}Ae;g&W<kAeP|AXs0ZLflVk84( z1}H1Q&B%b6kps%TpxGCgF_2Wn0#8*hKm{GBQtIBK0?JiTBU)5Injof30Z$o$3<A}n zFoQrzteZs`n!+xF%mGP*G7Dr}8pMMc0J<~^WB_P53}yf%O|b}o(ho>CNY1faL<piA z#DnQ>0lDwEiwbnr#S|4tasn-%fGmcn0OwJVMi&*N6&JlODg~g?H~~;sECa;i@aTp3 z40PHns96DSl2w3)%Rv_U^g<TY>;r9V+65vE54`vof!0K`=WpW$l^-BifaVYwKqfnQ zbjPR|_;f=magW~J;F<E?5*3G+lR=6>vL4-&LCg6-_9lS4YCA!B_C-MO=l|V4Dhb^o zDk-48I%8)GxK{pt5p*Pm;yF%mWeTabK}iB!s)2@fAS){ZV3vYbR>0Vhl@%eN3<4^k z;Y%R`T2GdUce|*BAeKUa=3-xhT6jp#^FVSQV+3e;-$eynFm=1A_<+t$QT9>s03DBN z2?|<^*Ul&nDv+-jz~SN1y&2|X2T0pZIQ;YfmskJ&|KEB5;zrQg3eeOA1JsQrDgoU- zDk0rDDi)wT)%gm%w8x?IIOqA!8WookF>nra0O!1)->-7M0OvoKZWk37aQ<^B$@A!L z2H6U37IylmL_k`Eoh~XdNbwS3c<F^&5NL;>iwgGD50I7^Xz@eBxBve=I-5bQHIK$4 zpynJ>ThgO<b^)lq0WF~M=v{FEy!asiy7&P!onjCKnq-H}>+V-TlJV?(_d*yf37&xm zSDv6QpMghr1IPpX+c@~QIe-@DC3L!|q=2QmJxai|N4EoxMG)XJ4|V>qM#Td$cT}U| z0m&&oV1v3lAZ<U;Oj&mWsJ=#O03xl1fJ8sq+6t`G@K9qR%{_>*xMtFz4Hk6QVqGiX z)0?9b0O~<GfW}xXK#Q6@5T_r24o7(Z!Zj4Mn#D!Mz^8Xd0%+>Dw?rku@X}6p@H&+L zpmqnyhc9A7pz1t&cgz5(>x4A>3@?GV4)}Dwdtnvw8ENsw8$rn8iwIZ4lb)S-K`Zq@ zt!j_X`=Gh7ZXXqc7nLA0kGrTqD?PBsCxBM_g7SL-VixSh1dzhc_b*=fgQk~Z>A|P- zGiXgCsHFm0wf@^5G|zktl;5FM0N6liy6|A+-{!yyS|Qr$qLKp%Z~_SfviJs6_@ajP z9`K?ZMDl>}K`Ep~1zc={(lv331Tv_P%?eQAifjdl53vGVwnMBSF2R5+0aP#4s8k>f zuTiN0ne3uc1Dd-A)ncGDgIUCb=0L&cW*8m-O&fCugC-42R5B2$<%M|=cy1Kb9rNji zBoH6aeDS*%vO(~~0jfJdi=06d*q{X^-#mI*_klO090Ii|3|=IHa`^EU70{}7P}qQD z%cC2bH1>eSky1xd5NQ7T`-@Y)$f*Og0px`*G)c6mOaK+?pjr_Wa`4i^gNc8e16yYg zSQ=D`fGZvs6$3(rMvDq)8WrR?)PUUs7Dt3Fgbxbd7L^*f;Y1Z6Eh+_2H=|nt5=XWI z#D`b`8X*FQR(A(6X`@92v@8vqFF@kRR)F{rD{?@-=v||70#t(c_JB<Q#VE)Tpw<8= zT#ySHP*Qmi07@!bz@ZFHEubzAs9*sdV#pYPNHv}ReLMex>VP-?C<*2O6Ldk*9&qQ} zr}Mv0=QodD(N<p2$#9_3L>puYXw3sC^&w&!o@z8eI~@>9yles>sb-2dN~-DJ0`B^} zSnCZ>Ivvnx00%50=`izeb71f6Q2|MJwy1#moM07%lMc8?hh@bANE|uPL3~J{r+@+- zlyZqJRzS;!u*EA#9N7vGA7Vue!V2P&4!FyJ#TOuPWGg^?h!vooKd6Y=0Zlp}8Bo#z zIRcb)K>kHfI!pZ^Ne2|lu%y#@|HV09q$C4g*0jeLCB1BbrWaT`i3M%L2iH2xAQO+b zsDPTApdbQA8dB*35=SasMEoG>B*zmuoq)T#;41RnizZJ{QaKJa2Ug#J8X`QMJu0AO zp`9%%G9W*LV~}921MXm930ROga=?Q4kbw0;#2qnBlNJ@w!Vr+((MuMPII<NWKEw*} z`fsrNi7Z*bEmSPN0Er`80pdffumSm^ca6#lP*C;ufS2chk_X5UpyUDaFM9H5^MND} zP$(lMkM-X0<N+#ZKw~M8hR2Jk-YCgr0W_I(Z-Evo-yv(M^f*BqB%$@uMQ>1hzC}d< zN67*bM@lh|yg@1E{|hU3loZqX?nQ(<D5V@lODUZE+Z=d1dsH|;8-H3<ctG9<hcv;I z0$OK;EgC`M$YBiPL&Deu6vkk~iL8TQE6C7O3P>E;3J@P+g$}|B;tCSbk|%7w0Er`8 z0pdffPyt!dyG8}vz3A-$FPZ|S6p$l8DFx(T^pq0g1xYEOP==-yP!k1I2Z2^u)p{aQ z47iE<BHI%srGPe8fm<x!eZY%Zc|fz@-~wfnCnR|=;7A@IairvN*b|gI-oKD`MM)me ziU-t^HFO1ae-5K16E6O34t$+GDxh`aoh>Sm-EKrRRY03Vumvzk965kNd`JL;286-Z z6I<Va28gj)0TM^H0>p<{0ook^wSu^00$$LCr9c6RBU=IDL#*I|CX)_Oj)E>&1SJ!Y zBS6Un<X`k;V(I}&CZJG;C6mtoFXG(c$pe&XKm`i4Ve8_Kl1xBr=s?M&dkwTedGFDA z&!boLE~w80PA<*vkmLgDuY!UM90*AD4@ev-xl92m@4WxwjT1_80bNTMqhj!a)frTz z9D{b?`L}s+^KWzD@9a?lt?%n>QF#LzuLaGFIAiVnwt!dif}DY#!9n9R$iWKYLxPnB zWF&O{qZyQcuyuc1z}uv-84TLnhHNm14>9-;D0Xp7dcgbDEh?amUf2u<i6a{f;zJDn z0%{KSu2BJPV+5_s1<8OC0?2AmLIC*<Jt640K@tKe9H9xpqk9i{HswWtD<U;Ox;J*N zD5(H6ya7rD(C&@seJ0R;%j+JUH(#`XGWYQo70`xUkS{<7H-gdzXstI&3l-FGp6&`N zE55&Y?*MWPbZ|}qG$aS=8-YgABtRTc(-y=L0C7O=7Z3+LatErKKqGfPy<5O@5BoqX z5O#qGQ1|~kcqMO(3Mk2e!-0u`;f1m5=l_r?A?U;p_UHv|_X4>eJ>7uBkz)bGhs44g zaP)#3f!)oZ${f4FpgoG%3<ilK8w}z@3<i}S-QAD|cr$p)0Wn#Ilx{#nD%cDLi6a{f z;zJC+15Gy>&;kS`14=g_t3lxf@*8@(`Qi*t8=&zMSb71K>9P=EP!$0Z1{ENnUJjcx zO1c5<Erz5U(D*rMxZ0!holoa4P{Q$chLjrtATvM?>z)i6D*?qUNC;76fOhl7ffRSX zf3eLDlyJa9tDr^0-(Fh1fi4;buNMZ5oq&2^@epO80cMY0(@=fzdSNSwASeTP^lk>F zSHnv??Lq5>1DwF7{s6BRR(1yOU`Cn01;s9Sz3{v>ETDOzeG9<rg^_3E4#1}SATx9B z-6HJH-68BO;9ZrkK&#n)%huUD_BwzT46}n5^0;W;y!_0C^O|F?18BuCJ8Z=;d*{uA zFPT8IruQ#`7UF@{vYg;|`SDs2G&sx-I=cugdmTmArSn?nW#8Te7SKXscEmzr&flf- zogWUqU^4u0@txtZ=4XtYpG$aQTjXB)gBD>l|CX&>(0b;Wp6HP>9=D*kQ|T*bly9 zf~|alox$VWZDRqNw|U=bqvG6cVsrVOWAks>&Jq=tI(1A#Ie&Drs2~{&S_H`Ac<>cd zw+#5oU(g&idnb>|#n+w3z$+?T4NpQ&31e~Oclih!4}-4vWdYj?nnTfW?zXW3t&99E z3)&wCTJP%tS}?^A59g<xM>}&=G?45CU5fzPF{jb(qoUJ!y!knMXN`)6i{WL%!=T)9 zzWF&L=*~5eq>GA#Bfrbf&KeaN$Qq*n&THTucp)WhoS!>mR06n5R06(VgUo<Q9CuNX z0cA|b?r9~Uz4#Xng1475Ug$h{@F#1p&RLLTbB&4wXuWg>3uwJG=yny5&%h)5oi8BE zr600_*As)L%K|{li5<IZSQ)^xfFaGlB<j?AU9l~e4gfE#a?xeD`~ZCQLkRyi7nK0e zu2v8uq|-$OJYfkcGZ~z_5n;&T+$~}QNvEKlw6HKVL4@Hm$Yxu|<^!NL)1V{)S~CsW zR?7j2Adcn~6&`lz^cTwHh{sDsP;cO!V|R=x186$_Q0K+VuR#;Jp#G9a=LeAgK+B~; zog>$7AJB5?m(9N<TsqHn-mg;t`?LnJSo%5V{a#lda43Ot&^eFJ9F+*4&Lt|KmNIBj zc7_B0wqu~l6Y!ja;ai86?~dS0z(6afFSQ<U<ez%TrSl?q<@6QD?^hhRF}k!qEG=<u zy#!iR4GLaWkM0tch+a4JFh1Pt#sglX4q7^W(1r6f|F+YOKS7He`KKIq{C4m^|I`Bt zHyk<cbsl!%xLl%W_!c7Tz(3_E|F+Zo+YY#LTyy2T^8G63QN^<^ici7YWgPjp9s7Q@ z^#y+mXlM$Q6u_&VK=XZ|5OV5<ubq|viGhL%wssnHL%NJdcaBO#oqDe?hhsO!@@WZh zk_gd-t)7+v-4oaCqawq<4YWp10+ik%Yo{9@f;`gcqaxGEq5@ex&EnbJq5@iZ)(u}i zEeV>r@7|*VDz7~{AHfz2Wm-d)AHCxPt)AWk*5uLo?Bymt&=nL&8)m^16re$I@baGk za2&n(Z3CK$0q?|s*;HcX*}V^JNpFaX2h18Ks5R|iYru<SK}&-?VC$!gY(M{h%?g^9 z@&Hc&d3HmN+(4`g0vY+zm5+hJz1sv-hB#h+2MSbB;&JMhvAFyiRDRXjLCP)@M1lCs zh4Y&uxUjZizx<r@e&-KRy8j8;$pPMG@%6Q$N9Ti=XLuPHz{PMU(%ui3&TpOfT{^#l zb-@l0<2+v~3ESxLzJw2UvLProUzdWNfwf#d25|<sTt1A=ri<^uqOfYf#qb-ba57Qh zJlz?i!U9e$C9ItHI!#o%SyY-oGa8;;!N~7&x|0XA7aO#74Lo}ePJ`VnDl6cdtc5o) zwj3zMw$0kJw?ze1JA!gCXrG&J?-mtMH3<?26@#EQTm*;%D)B&^jBe1Kd7z|rg7X7^ ziyCOz<#87kaN!P$4oJGU0j)F#t*X`li9ymmWTm-8XNigqwo(vOvTJ~oJfsu^)i64s z!Vh$4u?C0%I;&U*l*uqlLD0GcNJ0>?1XXIUK#@Rtfe5;^60|pgy)#6Gqw`erBM^h9 z`2lnDL*`D%G)ZTV3V80LcMW(egikN)8a2o=L8MtN@N5+1m}5|&GGsA@zW8VfS~_+_ zpt(lHhXJ$|G6TGd-J|&jJAa!F4+8_zeM+EmAJoGGX$JMoBDy&|yF(d}R!1Q%We3kc zqO8D9fUm$#Y5pmJYbkpI$_nfhPzZzOD-%GB6wt(_V>iENw-|Oyq0>1?>*p)rmew@? zl*MIf1&XCL-7YE>-99Qcut2YX1$qrU&_VNcoju?!iJ)Oy5Z9-dRbLen=njaMFSbCh zv497<3uLXP130{&gN}kls_R<7T`Ex80#5^WL-@unDgi4POU1h(+c1q?R6<rTg3mGm z%`-57w$3y^V(j)&kx&F(aih>(q9W5-qN39JtwbMGk|}V0R0M6%Qc=A4S_UMb0?N)M zDhkaH8DY^2P6?eH-7YFB;CXNb{ua<_1q5T=0@NHqiFFHj1lZt-bqkaTumMH3MW>63 z1&CqO>7rtTFV?|}MNupjfLkhpC)Nc}EEPfC1_a7s0<c&YfyTOvib1E3iV0|czJX6K ztCun;viE@3S>liH9y4fs?*Sj`!cZ#E4cQ+Jj`gV=sJW~KJj;SvQ-b!=dctbTUdTEi zSUqNC0;w+dvm;fPy^#5umv!u*wbAUp-E5#nBj|V^#)FQXr(lN%H9vfydBVM$N5Hu| zM1ZC9pyTD2pr!J%b<U2xUZ9pQ2e{=6+A83}dDgMF2(;GT0JK-2^E;@e`w`N?X#Ed5 zP4N9|bx=ne)OmU-#LmC~+Mo#UWwe5JD7ti>?Y!pFdA{>=^J8|<r98L!T|RT3D-}HW zmdOy*MdADhuEa}ont#ZG_UwS%U;=l;Ge^#kNN)Iq&4P0W-!XMwZ+^}W>RtTjce(Cj zcn*}tO;o@;6j@4GIj?n^s5F0KG(7o@MTL>y<y0q@$_lh31$6okXe<nrtt3FroX&Hc z*Z5luSr{06d%ajdld=)roW9+$$mdeQJJ!$|$gx|;7_tl%w9QGwvAf2Y1>E-50c8pG z-Y^cwZW&?3u7<~)pe+v?&Bs6?Z4BCb1*)F-xA~}mZqwEPF?2e8RCJIRK{<DuFm-~C zecA%90i3%<7{RgFIR#uZ)agPZ(*_=ppsf>oz-p0#^IGQ?u=4I6uuM0E*9oq3rhtV& zUCHmw&lwFbH9upAss<go%kT25vqpsn<Rs9z7pNQnZ7}ORc=<hap{;Yb3FGB=%|B#2 zw}5wl!<?i8chXbNznyEqWu9a6AyB_S0K91vQqDn3zi!CZ@y=rq>p^xMe8B{2b%J8O z`5CyQ1y$pslECi*+O-GTi7;CplwE67JU}z}37`cZNY`B$9(WOM3|^V#11XRp72sz+ z)T{|Q5eKwt6<@^%Uay2w8acpABNtG7<JiOHfKnQ{fJ#1xP8Ssi5Ce3Al#63GJE-D= zZ}{mv2iZ6V&cEF<Mj%^2dtH2b-B>Qa1!W%TUO$d*8!lM(ea`v2w~nXV#_IBO&cC3g zr=XraXzOY7Q}8{1FFSKoz{><7rMQk*=RxoyRtv{&8-dI3K`~dOVpFFKGF1j{DkQOj z3vd=AXg?9OfYGAcN5uwKgIYl2=oF;O587DC4!X>~(?vyr-{o3oh>D6&FY5<cP!#O} zj}C)kNdXi~1&FfM@W6|WhM)g8Lyks(6r-S;7hH@Mfo8jrDo+=c3Q%GMl|-P#2&&WJ zHK&V84Yb+>Ej$J(0nKBBl!H#K04e_lDTNtJKng&DAO+nuDiWaDw0jM>(1#v0)%i*h zw9HeW^E9~sE>fZas#FCyZ*l(Pe5v?R5!6Z+QM~vXd~%TpWYwtvC>w#QR}paaD!|_& z2^vQul(xVd7*Nud0VvkNv1EcLZ5g1XEfY|ZGU#+sF#s`4I$cyuXq2|*fCr@E$!m?u z7tlB-Bw@i5RUJ5)A}6T3Am@S;({XSI=ipl=&^q8X;M36#z6amX20DSebB_vW;MAv= zb)PgO<$y-5L8I89(MQlI<Oc=@29)$us1Hs*py6~#`T^+xryuYvI8yq7EbYXshKrFq zti4mfOIu;p@MaxQHC$o?s-{8RT+pc%phZKl?xeE*=l{@t<P>m^7qP*l2f~N$FaZq` zBCQH^?UwiLW<xzb54rw!)I9CnEd!~*K^=|Pkg*s3zRRHflD$r#S{$~o_a<b=pA)EV zVF1_SA3!}%SS=3jdcJ?T3AC>QaWEAmH-It*=wK31h5>bxEx;A^i(cK&|6lr`Z{YzC zKY=>t0U)K&bF7j;N_Tul*$4w^)xyjM73d%h2@talK^lI2`Trl(ssIJ$%RT@8|HrKK zJMVU0>%0#-<%=CW&<7gl{J?pxRQTXqri<?(?TYVUBTMr^y)aP20u(Ip&A>M=Kj1v< z*b534@V;g6W?)3i1sp6l4!&RlTYd30WLwrZewS;8he6|bGKi+d<xUwgn-(WHFZgzT z<!=dOhP5tSR3f@LK&|c!k8T5`KF{HUzgZ7H1|Q4_?&Egr$bm+}Amz12ca0oU`$DzX znWLM94bi-KzzH7bY(C7>%_0XavGMn5O_)Hb3N&~K8rfrnq%K%T79$uzePj-#8XP>b z2ils=4j$736|<lvd!QHxjnISGpcseT>Id#GfrjbLwZX^TfMl7Qmw;O(%pSeESHwX% zy+#GIUke)h2X##uzy~ykz7W%fwz@%GTclp?Qqb%)Qbu=C3GnTF0SaV9SPLM9HQhS3 zI4q@7r?xpor2-TIHSC}W09Bi?2mrA`5l{i@;xWJ@V4Eg50zk5$2mqZ%1d0G}F-Qbp z_Hof8V5%lO0#LfS{%9M&Aq4>TZmw_VJF<GZN}xIzxu*-ReN`Z}FQ})B+PU=wO@~8j zUr<8G@aV=78IYzC@(%r>)4>HDbOK5NBJ7~B2Dc?Z_ceewLkghA{VsLz0c{4%%_%A- zpjeL;0mV9w&Ms=izvTY-|G#55yKgria(@%~5F9MK(`AX>oz7Uw+WeHURKNL$9Kn6* zoVS`EG4i+agL`YBt>~cj`<$R1=@~xVLP&G0@V$vFDxh3}ybaw%#Sy*>odwj!Qp36n z-9`mGN@xPxgwDdhjYp-EM+MYb11-7-Utt0stak1;0qsc#m8KrBed)5DAu2wg87|P? zd>i;Sdr%*(M#TeqMg?epI;br5>2`tcFggSo3j{C0hYY$yrkQ*|Lv@gLHRwEn1wx?6 zt5MMawWkA++e4n6Ctk#<fjd4rkdBWAxG|K6(eaT0<qLuZBzO!IrGd%;Z=mvk0uM*$ zhXd5&gCAhQ18SFoE)e4YF+lf*@!%W(A<*N(IqHYj<LY)%ap?9@ae?(&KwDWrJzwxK zFreOwODCkH0tM_8a2M92m)AuQbhRR@sUReX6F{v_P#Xq0lwbT-0S9pkB#0BhL2QE7 zUx5tdf^sILz=!aST~s8XgMFX@USk&(8R%diXfZJZa#8-xMWq7LUjY@_;QmSrxGU8S z8K(sKi?K6ArJ&PCrKI&Fe+xS!0|V!Y=7)?R0Z<RxMWx{7C)9=?Y};dR4QRVWi3+qG zH%s~R|Cb*>ptR#)TdE-ECYGo`_vqw<loowLQ3`2WgK`?EISFdkf$ARvaQp0q9Y{mV z7ZeRRoFNQSYLB`j91=$`vq4b-G8@{Qe5CaG|I0}~|Nn3P1P+ShE-ED;rQI$nCD5Hn z1)yOcZb$H*C0E1$uX$Vz|AQy_j=OgLbLsrx!uf;0g&Q=%KumoBI;jHvM3)#N$e9)@ z@C>1YbfODr5F`ibM3-Bj&M_z-D1bZ5+gwyY7j~$CW-vgl9ehI(*ak*nmLmp6F)c?7 zjDjr392f<q73AVZq!Tin3CS3sbE`didA0dKIbsiZFcXw1K%HphOaUr-9xH--W1xk( zklq-mX93O~?r1~dE-Ds86+qxx4W$4w=!SV2(L=`cGNOkJ@-mhlGIDs=BF}k1o8sW+ zcejarcZfU-<Rl&0I$2Q5TL<YRou{1dK&@^YdDuxhpaIZM$SKdDu}bjGuT#LiWRG6n zhdkh-<q;1gAVED<P(WhzY3C|{LlH}#RtL0H7pbWW$#<Ya1JpqVCwz#Iv5Shu3dT~Y zZpcZW#x5#0D;UAMvOx-=WeJGssCdk^^?wPsE9XB)#bb_&$6qtM8vb|b{PF#QOY4Uc zq<q7SlwCj<AP^CKE#TeqU}qs(SdgtU&`6vEJ}eQGZ?r)tA)&|P8n6NIy5v^p6tH^! zZ4i??Ary!UI?u2Za`YliV-~zH_YwFYz6+gGR3NK!dHJ~^QL4cR+6dMIUZjhjH;&7J zV->Vy7?L+YiotoK9jyb?0y(>$aB&3LN{<n~pi>^;;R`y!0Z;gX)S`qhNIfV(K@y!1 z3qV|uFlf^dcrjKB_^bm^Nk#RX16r?&lykr<XM1^<b3(!wG;$BhG9Xpx;hQcC4qwo6 zUP$<Y6obPz8l!&#THMy%q5`6fA)&p35mfjxlnQsZsDPHj8Mml_mfC?&szS*l#~l@q zf%Ayt_v@~$|M*)>iR)@0@34c<XF|5qL0eR7z&q-ie@ggtLjt%CoF~vv2b%-lk_V47 z(9r{+op_)~0&S{>o}&%of`mc4bwFcA7?FkZfFMvYN9e#XXfX#`ONta(pvAHty}V2u zkjMfpP6kC5NEKRSy{wZ0EtT5?PDh}wMdv%;ZeIpkbT61d-3z8tL*lv@;9(&C*4W|R z!MOMWT<3$m3md#g>ttB7fua%I$#79Y>tvjK@lz7q0!Ha%92@Oqs5oE?S*#t6+bp2g z0Ph(VNH8aW7Tbbax5!!L#Uu%EFr#!dI;h>za5ek}?rVTcz!IV3kX{C~kpLPdf*wf$ z?mU4z94;y)tq1s9K%?562SF*xMWqDN?<jEWJoXZ_Mh0ciKX|PWXzW<Rqw^W0SD+#O z`Txs`k0`wYNEZoIpMkm&ppimQQy+R-)OWGZ|6i^}?RP-W9RQgOK5i3wTGSbk(z##J z%m#H!U}l5ZAhV%el-VE+Tfd=c04;rnX#lZ7qyM1A%n%QxgY<->_f<eu3QP}(4blUu zN+EiTL3)}oyDP4S-&{IBG=E@hbx|oO;f5T@>1z1xHM48ycbCo|E}%tF!j$w;kWQV% zxl{-3)Jf{~QDBxM`Y7m?T8s*!j{-XK60?tjEni{nr!+HyG8C+z0_n&g=PA$5lP|1A z!EFUB{gfCg_ETWqM)XrKy^ZLnfV@pgKZUXi96YH4?Wurk0nh{ss6`8!(evo#HDv&o zPG$^{z=ZTwAp22}1M|g4VQ@%d>8se%ps#Y=we=gQukzhd5!Ma^WgJjn<p-{8!$DlO zfpjH6Sr@6d3GJ&uB61D5?*VU1qsQeO)V>O+T?XoufEr?;LW9J<3Uy)^)LTJ`U1)zL z{vQ(qsE7r1K|u8mNF8#tg7S#C5IAPB^jD_PqQ3$QV^Bu}PZ)#BQk1qYNIfWwK@ueP zSEv)lpuPx77(@FkpZ`F@7}N~`g)vASdKhmO0EaP_K1&M4eHNs=0_)d*bNqhIwe>rH zi{(h{v%rcxP=5p^!l3;YuiuaegY;Wkz`F&}BJAZcUV8OgSU~+2mQo|)`Yn)UIQ*@N z!@c8zeccgq*Ttuowc#fyCTmnQK#Pu0x-Pz*2VdCng4@(6U6&i6ZHY(&Yc47hM2v5_ zs0^$55$s`$*?IBlWtIEE#NgA*%l`us&<UV5IvAaotK8s#M(MoF0M*7w0S#&LQ!q<1 zAf1<NuFwBpDv;25u>xs`B&zem4^rAeLg(ck=jZ<~myp(ZSq0MLMOx>j45X)u%+AXp zP`nc!(R5M4Ho*dE8|0`UCRorLUl>Q`53bG&_MC;;eevmKJ@N&Vr6AoGP_9CsW&xF8 zH5}k(1El-H(+ye7)%=6KEDv>ehs8@!ADU24d>;Gf|1bG|qji2kIzg>rP%{z42KAPq zJ@H(S22b?UkHIS`(0k%e?2x0>2u?I(o9BT=`(W%p9el?GT7eFk>Vee0$UP{ZUe?c_ zz(wSjPmuTo^`JoUi80%=o(&wCkRB8$GC?Xqktu>4nUHCn*E&d%2@!(D5!#5xNmj@X zYS0`HNJ%$DH3{=VNbN_W`&wzl<?><G*Mg@6P$vpCC4hQcKE13TKR}WIsJ8`50w9IR z4NFj#UdaMZ1(4nrC>4MdV@U;|aj4h&D5(G>1d3u%P6e?+Lr$O`CuGR!05efJ5HiyP zG6tpl3+h$Drzb#Nts&7hgGULd&xIN#pw5|3FKgI)NR)s&XP_tnDMXJFF=lX-Ksslj zC;=(P5+$HvKC~zS34y{A6eS=wC`v#<35gP2rqBOLnSDaai5NY(cU14mQE}D@=S(bR zJ#TpQf_ieO(F^Lz`Sh~ZzlB6Es4EAGUXVic=rv~qM=$8$9EMU*^nw(FqBje*+y$LX zfxc?I#1gb39JE!~qjw7AE=uSvQH}pT{Ra(=vt9cCAEXAhY8+(B%cB<|>z=`!BNx$X zT#zU%(SX>XL<5@9gCv?C|3CeIS@IubD(aT&5_6C}5Vwe)`^>}uTfF}5FT^R;7eSh! zTdsReSAS+=cxerG3F~|qJ57&Y9<&~bfq`G%fx$5>*rWN4gh%IR&(44QR)XeyJMX_x z`~B&^NAqsb9&`r&7JfzshGWg3bDkM+9o~<$Z3@~?LcMzz>utH;FSH&niH6-dfb+~9 z7ZvDY7*rz#5Qm%8K<@o=Q4#Uz-3^LcPzy%jbujqu1negS!TWQlhGKOmlA#=imtOq* z`RTuBw;Qgrf<fT~IxF}T=w6D>W>6S<G#`<Oc8ohbVZsE|@LmNC?`=Ov!@EX>12VnD zgBISMKPU)q#Q7}&E}e%RJI{M|e)H`7>(RTu;Rp|dN9Xs>Yn`WDIuCkwzIY+<?bH9} zAB-hszP(Eoj`A=#Ht#Js%EQ1=A`Q-$E}B0XZ+d{wK62^&^+M?Hr~jUv$6Pdj|3B>0 z`P`-RpHJtf&YLf?et}IXHSlaaYOzy>0d!~WwcnrqgDz`^s&eeS;n94A1F=iwW$PbM z0zei5C6);jCU_ig6JP}u6`*_I_JI$rX*~9Wg@M8FlHtjheLp|_pMU~Dxmf^maj8c) z`o*QtD>h)aV!MEj{pgOdU~#<s!Vz@Z!DB{`?rETzzHTWO!^7P{EH0e4I<J4f?#Ms& zAm?Sp-_4I1`KKH({NH(#^P&U))Z;D+CtUcqop9|u<;d}?#L}_(0i$E{XGT}f!!C;V zTQ7CisBpM+`l#@fsJGrORd(dxcF2YEysP3(u%L_L4aFawE-D<aIpGRH_fB@U9bjc( z=yp-z>1;c~3idYm?5D<KD?k@5gYJd|`TfPEFW?{(h=zs~Bp5up+d!ucb#rv~6|gZd zbUUzgwv~VeSbOU<JQ|Nhut7r3aYqUR1H;RE7~$s_?il765*z?65LZJpsOUG4WxEAH zhXwPubTWVn#0(GzdO}&Z2g~=1tsEs$KE1m^M+$+?-kbRS(|?a{2M*{(>fn9gFL=I! zQq2($(3SHbzfA@mIEy&s$fNW4i^^Z0{u_P+`Oyb-Z0v&<FMfRb|60PMyBTyK);9*m zQa2BXEidxE;msN#7lMkSfdAlQ%R#P(rMo!C_`}~ESQtyKkX(Ep<V$oHM}0wcvFOR~ zObjnQ|Ns9F($C*g@c;jRBr|4XGeZpBjJY5)SpWb356+*UL<_5eKs{a1*-eYV(YqTo zh{V9(a+?Vh;-DM17(BYWLE+Ht$kKV}`z2*TaNcy>^&jM*LyY{bpx%+I;cf7`&jXP9 z%BOobsA-0@G33y}U#tfoF*|nKh^vAc(wYY$hb!y_O@&{6YWR(R@p;fu_zd8Q@?uLz z<s5Mqgg}XgM|U$goe6Y%urxnp{C=tP#P<t|7gjKqaDs=t3{Sph>O8@D!nNaY=c(_P zS`U@7cAj(WJn7PT(uMOTXesKA5=qX3E}gf&UvlBRrFh8k`$ZSdbBfo#UvupI<kEVv zL<$<o$63Hbj~>0I0pFMyUV<)gBv56-@^`n0L3fP-%jH*>-*<xVc?C^7Z)9{eywrN3 zRO{OzM*b-WTfc$MsX54Tu=QJsxC8&xW8V%jI`U6Bz;Vz~;e(^W0ayNQ7x=dwbm_eI z{Td{scYs2=^%#HaUIqpR#b2(5C%+wH1P9H2P&rjng6otuw9=<r$D%vLg5~mC@a+yB z-OZqIGuGe^VBx$94P7t~wD04>_X~<IK<7U(^1EK_yxRPg5flszmM=<KIxlu!=R6Mz zeegDj)*q#;j-4kvPjy~&;k@Y5dBTPBLWvmXK}XI{j*5rAUvlKU=&E?p@%u&B&J!-J z2l-nXLE9R^R|R==PX_f3kWvYB`w%pRbh8+BhZwP3esTF#^8-fE9YKhDO}oL42i=t` zz#Ry>l1QY44SYkX0D3Hzs0e_vw&M=a6~(TGCtvIW<qh1;2~e5`<>7ySz<C&yAE3<% z$N1R86MVYcK*xnTb~ALgDS!_P0F`(uplQ2aSard{4k`3r&VP?yU4Ysnpt5e?T4+J1 z^YPPvkKWy&16F)`P49u)n3q5Yy|F;VK`Yn6C$7Et`~j>Gbha8q;1NXN2WVUXT)D-) zy#E!$K5%|_3<VXjX!Y@e<LLEq!#i+I3a*bGPJrv<2`6yY$4%gp6|+9R^$BbWxIWG} z0I84Nz7VL7zk*92EcG#N_a8Wg?*0RB!8U{4pKu!N{tc&by8jR;9bjosNFlj@#&L-I z+ddO;zbP*FdmL|D0jjq^(cQZb6rCQ8$0jgC6NueM^au;+2Hz;}(T#qiJbG>xvFLWO zVClSm`Tb?kf;0Zbr;7Mm50r4hPKSG~+j+40BV+4Nh!CipeJ#~`fPd=2P8QIrc$N|# zj)VN$PIkJeuypc(jw9-9i(mma1Xw!TVnCBNz576^&7<*{1L)q~&Ih1;^y2iJPyZtj zO@II*+dkd2a4!d>;lk3{#sg`%K-+5{klZVc>RwQL162Jfcy@mG=xzfQBi$Y>pejRI z;M*a_5^m6Z38+iM@H*1)K<i2VsRul}`#~pLb_cS63T0(Ohi}IiOE|%$pW(^ZoQ5Y` zFM&e!ROfZ@-9;Ro=fDTH@svn_FHz>`JpTPU=W)gF-!E}qRQw5QpE-8>+xT|7W7(w& zUK;P%Z6e{=9U=i;94}KR55Di*h5>QU>~qe4&4+m$yKN*u%j27$v4eIum8b}GhJgBH zF)AFO-D(n``#J>nfsU2h1tP%P6&-hik|Vg9_38Yc#TfiT5>(VQ9}xhZPUhIzF2N2? z1Tv1D?J}SvQhN7;&Mfw9Jk9_v*1+0*I{*20et5C}6{wYVL?PM{)Vu*V2m@g0&!f8! zRAGBS%FAvBW5!Mv6_4&|5WzlBA=>T9!tDj#@KwUfd7_gAk_b<LjzZ}>11?eP5<D7@ zZ2-9fl={F{zwmm6oB)G;P>gi!wsHiuqq{jB6@PX+vbY)^aO^zn$a%R$#Fg`=W49FN zWo|~r8;**H9Tg9P)*9dbe%-O#iG}lc^K<^@NBrP{W{>VRaEpgS@n^RK3+Dw;!-4a< zNB1<aXcP;#l;Us28;Um_I}dc8a^XDX(s{sz^G1m%C#X^3%6ZyR@$mPHj+`f46>os7 zIM6w*f`fs<rL(ODbbJk@VVuALDgwYhihkLSs3&~7+d!9Efa@0xPS9aAp!x;8IRRdL z2ylY*8lHS<{}R3Un1H*yd~g=Myqxd?QC>Ej1DBT<&fzRCC%p%?OEJs)S8u?kfXjP^ z8<6rc>>YvflJ)(k|FEWUEGYHFLW>mK?q|4&?*0qU!8U`-`vn)l?*DKRr~5Cx!|r}9 zB=;NKhq!;*TLSKPeMhqU6|SJWUjWJd2d;qKFK`v7`-O10KkGF(6u{x1@C@SqYi|g+ zzx6H2?svG3?*4#hV4K0=|KK{<{RTI1x<BYG_V8cz3Tz74{S)3o+%HDR{YT%B?EZvX z=<c8J6l^ot{S3Fk?hm+))BTg)V0Ztkmta%C?*H)@;{LGL1j3({boV#hMR)&&Ct#bw z?pL@6c7MS=obJE$8oT?okle4qDhnPznf8i+`(0m?9R3R)pu1lH$^8xw!S0{%5U2Zv zaJWAUQi_4YKY|D1{%bD@xW5%rb0G~05iEZWJVtkaz+*)CCp-bWf5Q`;?hkr}J^WWe zN&&F@56D2=FGk4yM_-a0{tup^yMMwXg!>zwgWZ4OIZpRadWqfruOOuW*!>@rA?^=* zK_L8DNq0ZPD|Gi?c!+TSf>&Voe|Uw{{g+-~cfS^r`xUey?w|IYfcsrvkR1LBZ_(W^ zfaLxIZ^7;tc!$&dLO9%?1u4bA;U8cGasRbv1l-^HoMiVqd_Z@9zyn11KllK4zrjbG z?hks7J^WWa0h<DL{|+aJ`^5;k|L8N4-JkFo-Tf2pgKY+vpA28X?hp8a)BTg4VR!#4 zNGSkz{|iru`@^0R2!B?>?)PYX1L~c4c7E~f{JU=*_?DpOFaA9E^xw0259Hi`{+4_W z&;{0zy_}B6T2w&y6)`w=`>2$7c9*Ci-_>v#aSRUlyiu2K9=Ya64>T`=uZ{MBTq(VR z0d%FbiyWv?Uf>A2xf*(lbOQ9+Y9Eyp$L<~#(4Zk`Y7De44cpNW(9I*=Ci-A2z*kCJ zcy#Vj0S$J0bV5#Eb?J`LXX)&L9AbC*b>~OOHW$#zzz~)V$STlQLC@|r;0=XHR$Vyw zgB5hi^Klmy@cI_t&POiYC9Di!`y4O7bLlKmF>nE$y2uE!4>Z04I{dbxJA{>`vqr_B zvj@B-@bW9zRlX*!-Fs9(6Vfi7KM(!{o&4y+_|viTO!LDBj+*CP4bQ<YefI3$1sb*j z^%KG82|0DMsJnFj1YZcN;b;iCSb7imNNlI>9CgsG&l)bAhd@f;H|*-TcCP~6VBpgE z0&+;X3*!sN&V%4H?2kGg{K@Kg@FBBL=XKxiCUA&>j*SNm_BnU6aJY271luJ6vCFwT zhXZ7X#AUeYGLDAdTsUtzHa}wo1%m=;L@z`|rG&$g^BPzH<aTf(=yp+2Xnw%Rc>#PT zD(K=Y22d=4=5#@7!0V2_xu_&Cg6<IjEmiXA4pFH9-`@*5CYS+q9V3Y0(d*0tI)E6o z{KBKRl*O|*ip8_HMuo+**OA4gyF|spr8`E&#<leuNEK-Pg-7QW@SSfZ;;yZ?ONC%= zm2hl+%=l6mbif-dW<fLEpmYg3AsB2|=PQrSyWKLNV_OR@gHC@m0J#~of&g?S0>}y7 zHtd%{M=64q`+&}428|OMfR0B4F-$-{1IHZ5WKi&Si!gz1Rc2sd0AEP1(QU(a`90{& ze~@wwm_G2q#gN@r-CMv{UpazCD52>HbWD_lZ|5OUVgrSvOSg+DgX85FklWsUR3zXT zNCsp-cqtwzP(k~-AQv?M1m_Y3!y6!vf_&30qiOh|`59wpiHZU!^}KfBhFpi@$aw>F zj#i9{3dktfK`DZugaJM&1$5Ci$m<}3Kw<6FEh7js$pfV7HQWRr!wZg_KOpmf4xsrY z@bQTy>@J)KKnE&<%m-&LSI|}8pi@7ZA3$z;2Dux2Ry8;zzqzOwFoM$tXoD@p?ma3X zPk`>t0)+?2ub>13PCFn+F?9Q=Xmq=%=yaZQ;r!NFq9W0G2sHf_q9Riw%?UbmR|2%8 zp7XP#;^prbIZrq$9(L)BQ30KyE(1OYP=dc@DQJZT?DBQc)!mSE(gMEo3v^erk4gbJ zuQ-4%Y6hna*k#WS;8F0-5Ebx!+t8anr+~|+ZWmDoSb6|OEBKaY(6lo2ie?uT)LWiG zt4~0g6XXC;Ipf&PBGe5}7Le6H-6F!EK!$K2R!;%nH4F-2=xyIqz-5MGH|Tn0NO2O; zEg}xm=Ase-(G#KqzTO*rUYv6`3nM5pK~4-nM70PbL`MKf?iD2Khk#CaasZWo93WN( z=qeY`azgO=o&lgyq6pA#VvtAnfhIq9fe6C`FY-YZB=V79^89VepfCqjOrSynd;_xr z=<Z_>4|JOyXmyll?;h~IL7-Iu3NMd>G#rQ42r#9d-BZAqNq0N3fX)(W<$_$PYymR@ za-}k)Uc-J}v5$&HcZrG(=omXtHck0{5j4)Gc%BnljU<3V7Myk+z8#02qi*n85O({q z38;7h4T>HIxg3-j4Z2-aOj=Kt2qSK229=F3&w_?-VF#pl7qN6(aeg<v)cpT{X=v*= zkT}Sc64&D{D&Q4n;Bmp%R!GappbKviUf%-tIw%4Zz*9Ug%I|*q|8n2I|Npx~Kqu9@ zsDO(Z@MvR+iUxSRk=>&=L`CAYVCyANaD=GHfT{$L&BvKQWOs~;M0bdaOy@n$bD;79 zIu@x?A_l%NLjjb76n}ib%y~-@bSx6+#t-Oe)g?us6*!;>1)sLq>7(KSzqZ*2IVL>} zFTKdS0h<4G)c{Sg3V3uoIDi=J#~ncT<}tw9AE4QjjPL*ddv>;fn<*ZRM?l6SX5SBk z&Zr07JOsLT7gQ*Cg02+;UEjRp0QCCi7bQ17{Rh<*pd1a7&HxSYc9y7A?9bQ_QxgDI z1HQr;TvNe3g1EjJbTGCJv^nI0(i}osKm#tpQ12hA0ToRKESFz2KW7A8PXftOI-vFl zr0D}WIR~jdf@B^z^3ctbKwdoqzWKpLMFwOZq<zzZ-o8OH4_sHEo2P(m9w?o5x~Qml z^v0+dfHr0*fbz5i=m;x~eW2l9_<g(gU(CM!>A!F99u?4<22cjIfZj?4z6uq3G1c~4 zpZ<fQ-T)lvH7W(r>zP59;m*GG>Hl%a(O;nZ$izUWa=55?fUg_+4!&mS;fv?DKK%z} zKk#W&psaoZJe^RZ;((ZWf5CJc6vOXdL|yyz9}%fO-6bj&pb4<zYanTG<bq3QMC790 z!AvN2!G$Y&=tUrhUIZj|V?eP%ICjBhFS>aF$mRt=%nJdTM<{k7+de_H2da^KR6vWF z5vD@;AcI?=N8Zc<U&IAkfd^6vithkWd`F<i_v)LVc|+9um9O9U^dB0fKHW7c1wNqL z$L_s2a04E-pj~yK$sbq4lb}WwD3gNj+wK*8BLeDhJbb|dG8T0I7^w7N0G*^hMFkSe zdsILdu_8sZBuHuJ`xk{*P$IhX+l&4y&^T^U0X3;X!GILauuGLeQk^X-pyj3bBfABB z=q<<;^uPoy)kY3X5FZklphIy%5k)BCAxD{DGaIy=0oiO2A7VBr@<C>UXpq0aYa*z6 zr`Q~o6`<O+w+CE&fFccKJE$%P`4BxREVvFz3R}Qo4a@c2TfqG<&?%w^uOU(eBtfjd zhLRu_Fv2fS1|<k^s(I(p%X&kIfx)BmkWc5s7jHqi_IQg*0G4C{5<^NBOd!{EzJC#Q z2{~DSZvUxxQFaNQFu-$h-~`qJt~U9%dBCnr21#|csDPH45=t1LMW5Ir4<wEpc_2O{ z@~(i7c7b(uahLayUGUh<25m1vHXFo;m<>Aii@1aVT3mz8Y>+sz*&sf|>?5G&O79$% z8PJ3Qk^v<QknNy^0rDYw!f3w=Nf@92g(Zy6?=Lo7fhPzLP%!~2{^wjlNfMy@&|M8r z`hphY{fCqgrvxA+#3NAVKHdVp$sFVbL_IJEEQUx5pyfwjK&m_6zwo&La*B(J1E`Ja z(_5pGfSA8DJOJ_tC>ep1-c68qKxNH~<5xcY2Zbl7`wq%z;1UCCMgz}#f>xl|Ag@D( z9F~I|o*;FQ@Z1AU2cYgc$ZSxD5nH_vIoSi`QS`zGbi^RC*&sf|Y|sH99=&tGcYlLQ za*zzjiy+(gfldnD1tJU&fCA|8Wk{W@zyg~515X_KbpCt60~H2M-9wMg21V1?OYms& z=oJMmegl~cy3`O_QFqS)pEl>wc@LCr%`Sr~Y{XUZptu4pgaMs747w==5m%ss!ULqb z^Zttk=O9i3&ANlvq`bWS6?!cocrF-3fbR!f4@#4r_g~nb14Zs`@G6L2(~lRJ7z{7H zkb($;Y8#JUQ<jS$!JYD;`vDC=r9kg)&^_PLFPSfc=Q<JdgC31<Kufs6_XGCjfbR!v zO90;wcoH^#bJDRB`6yLK&6CdEHWJRDsdbmmlb7Fv4rl%;S7+)7o?kbC9s7L}bd7{# zuQQKhw+zGO$DEftZ!|w(M!r+3^XqGI(COC9ofjduP=Rji==|4tx$`erE$sd$&eNr$ zuxqAXmv9|-Q2}K!hS&Ly%|GQp=W081ICjI&)joOo0q1duy@+$Q;Z}f;)&6(!9cW;J z8Fn<3A$Xlxi3$h!who>WHgM0G1GKIaJg(FH0n|C-@a&CdIqssu14^Eb-P24!*W+D; zgc9S$&hrQVv%2)E`~}H2*QjuS?#BZyuIF#L2Z}h@sw<by2jHvU4nAkq{MC8!GH9$p z0Ca(zV|NZK!)4HY9U{%YWa_jWL2E|AceO#TQ@9Aen!-gzz_IxVk8`(+D(H?pP`6bC zbj&V)bBc-xKd89~ZiqW~n=peS2V}a2Q@02+B$7HqRCGXh<6#6C=mc#I=&7#~mmhF~ zx;Nna1wm2fqN359qN2mh-@*(UQUY~Dz}-DiSJ>jEC`b%+sRQWhItQ@#a#$HacSM}( zym<L7NKpmIf1rK{sKRsY4gp<|_p14qj7#U`&UbYxP@k3{uE=}F`3@w=<JcX;%5eFy z3+H8@&g0FG`8#iXzo7U5+}$($wu0YrA1JUvx8i+ky$!nh&ZYBX^G8P4&JT{?FF0;z zbZI?Un(Ny7&5?iVaZs4TY;r-^^nmjy)Fu~J2GFH=hg>+XHb3QW{>#rl<$&Y2L;U<x z4=NmV<T&1W+J)nDiJalL=D++7{8NtcZ#&Gt?W8NmcUR62->-3=Q#|IPcns9=cJS={ z0UE_AaOB^1{QI@m5Bx2jplSq`0zmt=E1VE_;t7C8&_E#ryAw~Q(?vxDlmL8Guw94; zO#mUPup9A2kS`MA?+k(LD}bGs$=~UtA_7{m47m}H$FsZb1v3K!?3z0MmL$+RpYDC2 zlFFkuM1=#kJtO=KWP8RbPEf;iA4n6ZtHbd+8Kqke?lgkB;ot*~1i+E=;`Lcj7Z=5% z5?fD%B^EGCKAnbGl8R~xs6Pd2{Jcmy_v!yjEzn6Vy)G&iFBLc$7@WIxz-jv}=(-os zy_rAdI{$UPh7|-dhyv&_=W9qoU;?^#;2&rRpp?7$F(c&oqEd6m=AZI43XpOOrTjPw z(FQI*j&p+U2;y&bWoBS#{?6#w3GS4E_lrPdQU`R)8z|#)AYu}7%bQGRi3$%WCS5== ziIVI<IhO-DD}%Bi59rW(P*&#Qhv#7DZV@I(?uGdf#i_@TaxM4@0p@1#V5bJGa0BIe zk6zxBr$9T7YE&#hog5A1dhx}%GoSu9*QnS)4hyzu{=v-OrVm;<gp$(*9J~8KMV@E( zG*GeDc>*%#&Um5|y5JO4_&|Hv@bd#}R1~1Q^T3;<WpLexhIX=3bBc-rC>T`u9lOD` zl4timP_2YyDb5{Qa&@x3gpLP<hEW1i7^Qgh@@_o|38M^97$u;D(W=wnFv@|1Q3g1S z%s?yjQNjpxJCld8kBWfdfo}&H!B+tI7`v#5fKO?3Y5l?90=l7+n1F;1e;@^<1Uw*R znt$R9NC~8~XF^nDnp0FHKmjR3+kgx}3dj(TUf!4!kbsN;1!TZW3Gl#$iweif1Xj>u ztTs?X!?(K+9HsE<g;1LrSWax0>%7)^w@%)%7j$O14*bmWr<`{kdy7H0ix`4#7jgJ@ zjH#5n`6(0RMCDSO<{$C|&us^n+nl$+r>^j~f{ME4PmGS8*L*=!GTk964&7a#wC~eB z37qy3tz!5&?$E7Sj@>3&;Mp^9#m<2^$(;ujY3jY99FE;KLWrv|AP25<pdRIpQVhs| z&TfAVJGWg9=6@5o|DSPwhL!=K^V%V0z{S^)xeX1lkHG8kK;?i>FYCc$kf^Z$jc}os z1IJE)(>O{w@DQ{x8YPVjfJ!}w?ha6RcyvzyhX;Mi0;t8H#N*Mu1Dtpe7E@LpK#txi zQAt1vuN0qN)`dqQ;gtajFVr$&+Hr7rp_B=CK&>H^@FGwqd;ljs{+1HZRn|n5utTL_ zfQ4uPN{EK|^s?F?frMxTC`1ELN?Dg<;1G?0l(G@vQua5f^^6jt253!~5<{exg#oN( zG2<|#Wx)-;J_+7@F?hM~`~Ux-2^@o$m%t+;D6JI!mTh1`Xmdz()nUYbRX3=Kplu_b z-B1%vI}S53y!8E!vR4+m`4iMC5&*ZEAg!XSM?U?3xfS(L6BiZm)FVhK_(EamWX39x z(se)4Oa;%xfRuu-(2#(bS`SkC60~o#m-Y7{CI;{fglG3OP=gL}-BTZ!3%%_L)a65( zNkD1Ofw!%K>_B$8G{_ES^b<9(y8Of8Pyb(X{6*=)qVzXGeSdI&bDj%mYh3p}3s%s& z-qHK$!8d`7-bat~K6=phgigHo(KE0zFz~lL0+krYp*J{;-be2P>TZwTN6)|j>Yahs zz999z2jo8b1E38;828bG=Y0_O(cjzyslH(M(StM*e;<AJK5+jVqzTs12j52zwxl-% zaUXpV)Eel0^dJqO3JZQ8{iA)K(C?!MnSoTVfViXg(bM2QdQj~M8Z-lqV}s`ULDeMa z3VKj62pUz30C7Mi9*8q~A3gZOKG4<ZprJIMUe+5sA<az8d;35GOQ1jn-$$Rg8@vW~ z^geo?ZuoUr<ljeMyaN*Gn0NJ|1p1qu@IXhosBiQ>`bV6gW44FJee`A9K#`5(iawP1 z{<9q#-z45g52}t}^%s8&>^^!>jRQK?2(+pR*4taP6;fTo?xP3k1f5R=QZsrV{pfx4 z46w`JNA7*}$2WnpEAIQ~k8c4FzKq^S4_#&34Y@YFGe?DP_tBed1Vs_v`{)%ne;U1y z9%))+^gepf+ELb5>mVryylbGh2fT*Qr?&^Z01|nj(u;>1!088anyWxJWG@8x7BbxT z(Ss^eL^TY%j~-SHgVsjDs$u1IplTR$A3aDHs0s$lUxJKPPTuedaUVU%07OT!2fXsJ z6FNc(8YDy-p&Z=z(f?idiP-z-FM*Vjav%LdkcQFw=v7AEee}!MeEQ$KL<Q8H1zm>@ zI{gxKF*d_$P);Z2KKiyb&{j9{<`Z`Qw$c0Op*M(uRu6#|=YduXf=0d2?xR;*4UPbi zEGPm%>kL5=(7zHA0hoPU&^kl#z=Ch*hb+d>7p$w{5rERo9lei!U^=*<g>ppRN3XT= z)Bola6~uk?oy$S7j-#`S8u6p|(ckMVQ5j74(VtlgiahXDkv`~GMV@$ZZaKK)gL2=> z=za7dDh{Ca)v#rRIPaq`SOU66fVFZ7B#5!!N1we69K<L$tqke==((1DBKAJ|`%6Ck ze@V)H^y@$xh`W!z8Kjhy`{;u}8b<G<?~GAF-1Uflsdo<2U5}v4*-_TlvZJrB1!WA( zi&8v#dBYcias=d}6i`<Tw08u#D+aocK6o*>HwM}_#ZU_Bje*81!M(B3`{;XlRTqGZ z7QO|LfCTkzK>-Qcs)rnqFL)P$LlH}#7JTFz(&8b=B_pHv(L*kxAGrJIt>;0a6m*6G zC`v)63Lr-*C~ufA0LLnLKR7sVfE0uCMmyT#WXQHc(DFb6*Z4qA4gfX#F)tB`F=Dy= zx^oWrJOTJ6BIrfK8t{Hm_!`?=osjbf$h_b2Fyu5D@Nt#hCi2}O@+_C%U4GU46LiJ7 zGe@@$JL0U$r=0Ja5A$@}$b*im1npj72d(plY(s>vzMTTz-|5lI`+5!}d_jjtfWjBF zmkT|7ug?RAFKD|Lr0fAH28ZwHee?{Dbi9vVZ#E>dK)aeikp<dZgBDq%_tAgvv{6A_ zB~8~32DaOseL7uK0{C67b%v;b7QLs>1VtmblY!+v`pntj7BEUDWAr}y5EAaAXP*IT z4e$!gfCMx4`{<cwfrA;PqcJ@0qn|P36KVI+r-L*QcOShCNGU1z(Q|_|ka!>c_35Af zza;TK`o$nUqxaEsbYtEpNwxdv*G~auC|ExQvJ)S*`Z@Vx^)zr>0ZTt+^gepfYU5ts z%E{o;DQPk!Fd=;v$iXDYf%zhFDmWyu^i@XhqX*w#4{A$;&c7N|_tAGxghVT-3j#U= z0JFbx@<sb(aLi)quZ-SDf1&vSJ7h-?q{o7^DG1(YF`oblV^B8)6vmi+mXj}(CxOEl zOP^)*K6<M6Szr+c>W`pA7z=1SdoOQUA0)yc{g%=D=q2jZu<q|1(2fiCo1KxnE<U}i zYkEL2iR(W4b$#GAHA>fI^gj9!6$k8Li}gNwuWoSjAh;V6(Ae*zckKlSG)m_Me037a z2qtJj0BEm$1$Z2*1-wAO*hNKR1!JjrcMEtKys?Xl%nC;EakQXgVi-UJo}g)LkPcVF zZ{J*0Dj2~N)g?mEsc_J8-R>3@&>VMnj|xa_CuruUGeo7J(?z8ObYm!JNe<^h(43Hq zN(tm_;R46bV=qC6n4;_;1aDCV?I4u!=zIoQ_j9}Z6KVI+uL5Zx?mqf@kWy0aqxS=8 zAn`tWDUco#@1uX#_38i1s?q!C$>_Xb&smt$EIz%gAKF1#3etT69sh(r%>uf-`b{Ue z*#POjfUeSoPP34AA3a~^C(`btzuWQY|4ZWTqu<m4x_;3`g}^{I^t^7QQS2HODy@VB z?UDnP4OAWgh2Mz`n!P#riV11V`U~h(_Fh)=HgFNC&<2T5P!9?epCI)pJt+AOaAZPy zP@u>JsciniUPjS<^p@?A!@EF7{(*|g(fjDZ`z2|a5<s0O)RX|dk6yL~k_14#El?5w zDMU^Jpe!xf22KT#-WDhofD~g%1)!rG(Jns+34x**bon`m4O*lM>TyD<DuY&{av;8` zq#Prb%Wpf^piU*BkKjO#9)(XOy`pR?3Fq+_ps6H6$KkNUGbgCeg&HNG14Dg!S!Xpt zq6E}A14Ri)A$pXwwSc1p(m4Y~2}m)PC;^?|i54XwAy|}v*q|r@9i$D3lDW;FM(?9% zJ@}LvI#a0M9iopkQ%HRDf_ieO(F^Lz`Sh}`Zh%BDs4EAGUXVic=w03fj$Y6~p+wzB z4_f~XTQv^3j~=w(8?<o?bb2Oi#rVg1(28;X7TA6CAYGu?2dSaxKKhJCr2FVWro!C< zzK<T_7SU7ni1R(tpiY6^M-S5E(QCTA9&sQ2Y#1AKDkB0T&bL6nuX_e7FWP<G2~~*u zQY_ebLHBicu<_!$uREy``+X_9>cFOe?@RHhhTNB;)<EFCl&cNk^{AxW*R+EJ-TezH z!8U{Mdx_u#yMF~IPWLZr!0!HEwO~`g?yqQqxE~b$c<&n*CEfiuxY6DJpaN_&*!>ke zVE3Qk!Rh`-_1N8Sh2;Jfoe=jgt0NHpq4gw({|`QN_bVW|e+EC;{V(`&x?c&0`>Sfe zp#Tp58xtVze^yJt{Zs2mcE5xWy89E#!8U`#e}@p*{T#wL-Jev4J^Xi7gG~XupJN8Z z{c42Vf3=om_gjdfyMI9$*k-W%Z-|23uOWuh{fla`yZ;xY6ac&5Vm`$EX*C4GUzBwB zM@XQ%|3N9j{XZnY?)Q+y>HbGG*xhf1<o=9h5ce;uCgA?i8j{1mLK@xu3P|pkkO8|t zLk6e&m2kMf3Q~%J!+*wFi2I*a5pe(1YLeYQLk`{j2_=Z|w~z<Bze66U`;)4%hyN}} zDFAl=i7gQKs}XYl)hd$Rze5q-{R@f_?vGFcyMKiePWLaW!tVZGkWv8b{vW#`?oX>E z5dNa1yZ?p?y89m#A>3b~3U>bqRh;gBREgdFR!HvGI1F+BvI+w353M9Q{C}vUyI%px z{WCPc?th_y)BQ>~++PJL#lYboaSG!8XXOOkKed8n_e*G_yFZ~25&k>0!S3hK!Rh{_ z3hd#(3sMSz-QRH$;(j$k?!Q`2vimLc(A~eF0O9@{dSLf!=;L(%qH^r+{{<-p!0z91 z1LFR)G6LZ*O1k?a4AI^HARpoWABJG}dl=z#|D!VO?zcj6|BL$&_b)3Y;Qr7ulEc5k z1l|1#NbZ*~1-n1P6sP-@aJat;Qi_4YU*b8${m)7WxPNLX$?l(Fj_&@1JVf|gSb*K% zVS&^ANu}7se;1?_0K4Dg9mM@=gxr6%gk<;cutInLf?S0ABdo#hUtx{Y{fkPlyZ;xY z6ac%w;w!}cX~hJ>UzBwB->^k@|AQQa`z!3g?muCN)BTT%vAf?2$^9$-K-|Bqh=BV; zi%Aau9}ej5S3q+A3`em0UpV4)zY-4jS3ycKaQNR~mIL2c{H&0G`==I>?0yLsboVD@ zBf@`&3)uY}t~lMFRD?bJcR@-4u=_c<A?{Zr<o>ILB)i|j9o_v4vJmdS;SP4ch6hgf zFDk_D{$G$%0PKDXA&C3a3J8S1DCzEx@IrV0gG_|`e|Ul2@8ONp{f`Q;yWa}Q{TY%F z_b<yQ;Qr78lEc5k7v22|NbZ;L1G_)N52yQ;aJat;Qi_4Ye})3Y{m=3UxPNLs$?l&K zfbRZ;3`F=_1cKe)5s1_MN%`2re;1?_0K5N$I>h~Igxr5Mk7W1n2u64Rf^>xYBSOIL zUlD@S{fqLjyZ;xY6ac&bhaSZJX}JW#UzBwB-v~o@|ARDy`zyl1?mrQZ)BTTfvAf?2 z$^9B;5ce<3A>jVdT$028M<lxY6_DINBMR*P7g0FfuY|+>Rgh8)9R3lu5cfaJCgA?5 zIV8JZA{O2K38{$i-w_LTKSvx+_b26G5C2_|QUL7!4i|{~)d;!&YBtI4w@5&D|AG{R z`)?$G-LH{|)BTIGvAh2lq!a+Ve}^~3{b^YQ!e5kh_eUh7yZ=El!u>yz!S44+!Rh`- zS=ilgh2;JhK@j&Z%Ov3b&@7U}zakCY{R&9#mq-V@KO-Hd`;~CGzY0={fx}-S3gZ4} z83f!vHIrob&&Wh~e?k%>{4KJ;?(fLL>Hefl?BTx)QVM|G?~w>`zZxO;U(F!d{X25d z-M=6a;r@tRu=`i!;&lI_4D9az1t|r<?ytyzxIZnOK=_N2?*1G3=<a`zfN+0B0oeT~ z3UIprQ95?_TOqlBMLxv+%hCwAKQx`>@c&VS?tTR%_s=K>yZ=QoPWLO}aDNq~6a$C< zjWUS)pQRFT|I{>+-7isw?*4>$MELJ01G}H29H;w}(y)jBE=VZ=c0WfQ#Qkc7+<!Hd zWcOQCqPu@V9K!uKD#7m8sKV*~MXA``{|izIfZcD=3UPm03W4w!CEfiIHR$et5Q}jC zj~cN1J!)~f|4|Bd_gf*kKcffY{$<Go+#i}ka`;!&qq|=L$^8-yVE1P<;B>zd4)<3< zN-=Qw&zKBx|Fa|l?w^`WvioN=p}RjJ1`+-i&0zO;G~;xCQZn}N-vucJ!0taW3*vq? zLhiqsM6&yLw4%FzK{Ues5p7`iuV};R{zXaH-Tw<x3V_}JV<E)-X^8~FUzBwB-{?Sh z|AQ!m`zt!Z?my9q)BTSUvAf?2$^9BDA?{z6K*0T>i6n>rk8X7LD<HXlMi1EiFM4pg zUkQi%t01KqIQ%0vK-~W<o`CzOCXnoYiGFnVCqyE`e@8#q{Tvf;x<4rad-(5ylmcM) zcWj5aUyYFauf~(?ev3)y?q3jraQ}@-VE1cG#_9e=@z~w}3sMSz-M?cW#QkY;1j1i5 zo@Dn&OhtG9gK&iVe@q3t-(wn1_dklm?tUvI_rEv_asRSd0`3ouBia2GGtk|yfaHFO znPB&4%*5$_B^>Urf|O$5@Rv9XasRUz0`8w0OS1cC%tm*ALKq_aE#`pT-!TWL`;%g^ zhyN}}DFAlA#}$bC)d;!&Y7EKl-!Tu}{R=`7?vI!ccK?d`INiS}2D|%zK}rFz`zvlk z+@BUrApAv1cmIur=<a_If^dJuBCz{UEW+vjN72~bZ-wOk6^|h9Ulv8c{h`q$hyRZy z=<ZiQa{r8_VE4aRiqri{INV<aDaF9yf8!;@{m&u^xPNLC$?lg}f$si<U_|)uSOIoF z$4Z>;Pm01G{<|Qh0NDK;A0h5nBjo<8ktDm{Vl}$^7X%^Pe`7V+{Tgd<x_?n5cK82+ zlmcM)Tl|2yKP`el_=}S6{)lzx?tc)7aQ}~WVE22h$Lan@5!l^th2;K>{}A^t3n$?I z&<K*lzhWc0`xTJfFR=;i{)|mH-LHhh{Z)`s3>^M5*yJJeUtt8?KQ)|W_s`gZ?*4=T zMEF~51-rjvD^B+(g<}u@U64`$?EVwH5cjJQa{tvZlHI>!JG%Q9_#@mOu><V>6+3Xc ze^D5A_y2;F0$}(55P`TqEtEj`i<0jC8@tfm|G*F7{)*jT_n+8})BTS^vAf?2$^9BK z5ce+&A>jVdP?E#{$6j>zD<HXl#y+t7U+lx_ekC04uY#0f;P8)7hPeM(Fah^Z4I$b6 z5(m-UpWurK{~ZUx?&mm!)BQ;y*u#Gpq!a+Vze5Y+el<ewzZy)k`z?;3yMKWX!u>an zfZeZg6sP+a1!H&rFGwi>cK;4Ti2Kum2!y{V>F$p>j_&>k-U#>qI1YBd#|fP7e-wn> z{Z>fse_;V}|FS>=?hg$jIs7Y5p}SuJ$^8<i!S2sEjnn-~INV<aDaF9yFW~@j|FZxB z?w=Y+vioP8MR$LK7b5&E&Vk+EaSo^ZlLE1a|1L-=0CvBJJH-8Jgxr5MfMoaYxPb2d z1)d1^M_dHEf5k<d?q3vu-Tl8Hr2yFd6@C!+r}+~Ie^Juif8#Q``yY59++T48?EVv1 zaJv7IKX&(9A-R7=D8&8C{0O)|)Su+=|8Wi7{R&9#pK%@R{ukGAx?c&0`>P<O7&!cI z#6aBt%$I=sr}~lXeu-P??oV(>g#V6PVE1#}#_9efKkVVZ3sMSz-OrH%alaZN_h0oT z+5HxG(cQnm4dMP9cfs!0xQEmIi+r)W{}-eb0K4BJ8{+;n9|GYoO1k?a9-zDbfh)rO zKOTVH@9_|)`ycsWcfS>q`!k9l?qB9j!2O{<B!_>+V|4c`Ah}=S3E2G^PjI?l35WZu zAf*^M{AW}`-2cprfcvL<lkEN(&(PhU;DQK$i|1hXcRa`G{v>bg;lB$~3V_{zq5<N5 zHA3#c>P52qcf3S*{{m-(`y*a~-M``$PWLbJ!tVZGkWv8b{vYiS_osOh2!B!1-GAc^ zy89nEA>3c_7VQ2LZ*jW+ktcTdTOqk$qaWh_WgZ0FAL>bR`2Tp1?tTR%_s{qMcK?eH zINh&=!~Io|QVbma5z`><f96iW{Zl<icE7}DboVDXBEo;iXR!M@zTk9!k_Yzi-vucJ z!0zvu3vs_1A@^T(C)xcL-_YH^zyaa@8{fe0*Z7Xp{fpeOyZ;xY6ac$_#}bJ9)7%Jz zzbNVMkNAo1{s;C5_y70_cE86jobG?*hTZ*ENbY~J8sh$Ct_0j4>PB+-SNuVDzXFo` zCH{ilpYa!``;~CGzY0={fx};7GsOMRTnM;-sw>IvpYb2v{Rwu6@V8*#1MmN3;KRB9 z*A;vC?}C&9VE23Mg1BFeko&K?knH{)OnhkjmlxO~+#kUVcK-@yobF%bg5CYUAf*7< z{S^lx?oV?j5dNa1yZ;6&y89p4AlzTU26q1mHk|H%<c!_@R!Ht&aRTE0WljX#AL>kU z`2XNQcfSIX`)6>1-T#6Ur~8#~xW5Wgih;xb#(9YQpE(k6|5PWE-7mp|?*0U8MELLE z0lS}r7pMD^oUn)gE=VZ=c0b2;i2KzDx&Nvo$?mt{M|b}MD}?)R@Ppm2A%N5UiyX1L z{}-eb0K4Df9>o1=4g|tqlyvt;2%)?GfhEHIKZL;U_YlVE{znej-EW2D{*0#(_b;<2 z;QmktlEc436y5y_NbZ*q1G_&%45#~*aJat;Qi_4Yf5sb#`=8knaQ{?$lHET;0^R)y z7Kre-kOaHGLlUR^lkBmF|1L-=0CxY0&k*;k5pw@kJCfbMLmJ)v3(OJjkB|Yoe}xQA z_b;-;?*3npQUL7!AHN{(PqQTu{-UJ2|Arj8`yZGg++QINcK-=^obG>Qi{1TJNbc8Q zQUK2%Ewdru{!m+z!~cgOy89K7+&@DJ?EV)@INh&=!~Io|QVbma5u6bBKeHy_{;4)3 zyI(>T-Teusi16Q`3U)t-8cz2o*<cU<U64`$?EVe`i2KzDx&Nv)$?mt%KzIKF6NLM3 zXn@_Xp^4M|i>$G`{}-eb0K0#OIK=&FRs_Odlyvt;XrsITfic4UKeWN__t3%V{zq2W z-EW2D{ugo(_b;;~;Qml6lEc4358eF=NbZ-=2fIH*AE*13aJat;Qi_4YUqTJy{$~~h z+&|TlWcSZ7M0bCJ5hDC8jKJ>iFv98nBunh!zY9_dfZgw*3vs_1A@^UkAldypOwirG zz!2g72ve~8SD4~-{~`<Q?*9cT1;FmFFoC!~&745^i<0jC8|LWle_()ce}x6u{U<DN zy8n?mcK2H$xqpQ<#Qn?62)IAgoaFHTVTJB~1tj;+um-#Tg*8t1E8%c|6{HjchyM*H zi2I+J5^(=iGm_meVTbPi1bsyK@2~^ApTi!f`;*MDhyN}}DFAjqhZn^CYJ}W>)s$rS zTR5V-e}Nvt{Wl!J?$>a_>HbBg*xmmNQVM|GZxIM_f0_w_@E0ZB{Shwc?th?*aQ_b% zu=_n+ak~GJ33m5eA-O*z0^<H<#su6SYC>}OSGc3QUjfPe5*}dpXL#UrzY-4jS3ycK zaQM%Nhq(Wl5drs4H742pGrZ8<pP+*Xe+zH0`#ZdGx<APnd-(5ylmcM)pGbqaUyYFa zuNsl;{vE#P?q8sdaDRj!*!?T~aJqky5q9_gf|LSa_y5R+xIfL1K=_N2?*1DA=<a`@ zg>ZjGAlUsU0&%+kks)^XTOqk$qZH!)Wd;P?A8JT)`2Pq-cfSIX`)7oJ-Txv4r~8#~ zxW5Wgih;vFq6XsrXZi%(Kh=O__e+GMyFWn_5&k>E!S3gX!0G-Z1MK0y3sMSz-QUp+ zalaZN_g~c~+5Hw#=<Z*jfpGtgD6soAqH(%^kv?|!|ALePVE6Cng1A3Tk3jf~lJ5S9 zSakP4P)E4`M=aR=9&tF`|40wJ`>l}N|6(G<{mXO-xIa{n<nXUZKzF|alKUkR!S2sU z#OZz|9PY1zlw#oUmzW80|1%u|?w_hlvioNwqq{#r4H5npDPZ?^q~LUak}me}-vucJ z!0z{00CB$>A@^U^A=&*q($L+%Ko#Nsh;*>~SES=~{~{gi?*9cT1;FmFSPpT2nl^#( z7bV^OH!{)P|3C%d{)#NH`%h%ybpIo5?C!Tha{r2T5ce<BBH;c|ZIZ+PM-ICC6_DIN zBNy!c7r8jyuY|+>Rgh8)9R4@9LfrpMlYslDYLV=Ii2`)@CnzJre@6k>{Tzij-Jhg| zJ^Xh;N&&F@Irc)_uSUrIS2am?zeO>+`xhu7+<&7O?0$_BobF$wiQWCbAf*7<{T4?c z?oZPo5dNa1yFa1~-Te;~5$^v{26n$kIZpRK(!lP1D<t=4oQAl6nK}XYhiZ@<{uPzz z?pHu^zeE+-{TWp_-LHhh{Z)`s3>^M5E<xP?OpSp1r>c|e{uwps?oUuagug{C*!>-~ zINhJ5jy?Q$K}rFz`%m12xL=Ks`>(2z?EW3~=<Z)2k8poP1K9m58gROQks5aQ|ALeP zVE6xc0C9hsDuM79CEfiun$X?<Kn~&lie|9;Pc-9n|07lG?zcj6zs3uQ`<JN@aDS*O z$>IN_72W*`NbaA}26q38Hk|HP!r}fZNGS#m|A_Yx_dio6;Qpy9B)eat6W#p@vWW2C z(Ft}xM;A`_C#hf$|6Pz$0POybZxHvZ5pw@kWs==*(Sz>(1u_Wt-{=9mU!xbN`xhx= zcmFR)DFAl=j=vE1rzsH#e^JuiAJLEQ{s+<s_y6bzyWe91PWL}j!tQ=6B=^5yQH0EY zDH3phs1nKHUoi>Y{R&9#mzWH8f5v2-?pMO${whc*1`dA-9*Fy&DG+e~R7H~AKVvGo z`xB%P;cqbw?Ea2vINhJ5h&}vwK}rFz`#ppq?pGt^{;LWkyMM<FboVciM7Td<CfNNe zX5w`JA_eU3{{<-p!0xY*g1A3To<R7ElJ5Q+v(erEKmy_ZiaB8SpO}Nw{g33ayWa}Q z{VNn9?q4QH!2O}}B!~ZxdFbv}Kyv?#`C#|In2*!_N;uqK1u4bA;eSH|;{Io{1l&JW zj%4>sEJAmGf;b}lcPs+CpJOpj_b16=5C2_|QUL6J4g-k$)d;!&sw~Oww^)kq{sm$P z_up6wcE83lobF#Fi{1UdAf*7<{TAjB_ovAa2!B!1-5;?6-Te<l5$^x70_=W|l{nr1 zNCvz6t&rTGVFz*lGHC+t50xP~{3}+YyI%px{Ss@y?$20*)BQ>~++PJL#lYb|!xiHG zXHo>*KUJD!_s>{|?*0T3MEF~*2fM#xJx=#0Nn;QHU64`$?EVuz5cjJQa{pB+lHI>! zBf9$+2qWAdu?g({6`OFne~}b+_y2;F0$}(52!^;nO_D(Pi<0jC8(Yxb|3C=g{)(+& z_n+8`)BTSmvAf?2$^9D95ce;WAmIK`Ns`0=$98o0D<HXl#tyLiU+lo?ekC04uY#0f z;P8(~g1G;gI05%hl_1&u61&mepCE_`{~f!*?&sKp)BQ;j*u#Gpq!a+Vzatakel<ew zzba0$`z`jNyMKWI!u>b)f!(jMAE)~liDP&FFGwi>cK?n7i2KvT2!y{V>F$p>i0=Le z{0R5|I0$yX$03~Ve<X(8{Z>fse^Cx`|1wbm?hh3sIs7Y*pu1lI$^8;X!S2sEiqri{ zINV<aDaF9yFHsM1|1%K+?w=}3vioNoM|Xb$A0qrMPJrFtaRR6NlSHwH|1L-=0CvAe z8^rx;gxr5sgk<;cIEC*11-uCNN1O(`f5mB>?q4K=-Tl8Hr2yFd6}=GmrwJ1Xe^Jui zf8#8=`ycQi++T4H?EVwyaJv7IFn0G_A-R9W6o~tm2@!CAs4&Ul|KkF>`xTJfKjR|U z{Vy)!biWb~_g6tmF>v_bm<@6NGeH9GpDIMM`z5ZRyFY;&5&k=_fZfk=6{q`?gs_MI zE=VZ=c0b2ri2KzDx&Nvl$?mtfj_&>iTnP8yxDIx|#toeAUnGd#{l6fk0NDK&t03-A z6Ce=&qNKY&;ugC5A8;bv|Kk?e{T{b*y8n>?cK2H$xj$ng#Qn?o3AjI0faLJ6xQp(7 z1tj-N+ylEm;~q};E8%c|6{HjchyRQn5cfahBjEn2{3N@7#shTsCvYIb-{K+I{T&Z+ zx<82@d-(5ylmcM)pV$v^zZxO;U*#j&{W~6`yMF;Y!u=6X!0uo11gHBK@nLuWFGwi> zcK?rK5cj9?5(s}$(%paK8M^x)up!)E@f_^_6VGwF{}C^C_gf*kU*jCa{mXa=xIdJa z<naIT65ag@NbaBU3he$DuW-6w35WZuAf*^M{3EVH-2aT5fcvNNknDbmx9ILqU`2%g zj<;a<bG*ap{v;mk;lB$~3V_|;aR=glHA3#c%1yHSEk2;Te*p`^{Wm^<-LLTxr~4Oi zV|V{ANGSkz|BlBH_os0Y2!B!1-5>E8-Te=k5$^x-8SH+KFF4)*hzq;>t&rUR;uXaG z%Qy+RKa`8)@UQrW?tTR%_e*>SyFcSQPWLO}aDNq~6a$C9#3zXRpK%ay|5Q$r-9O_e zy89ED5aDm}3+(=mUpU>L#ECupcR@-4u=_oJLfo%L$o*G2NOu2@Kj`jXz=&{v#9y%c zSNz54{zV+v-Tw<x3V_{T!Jx$8)A{~I8asjT7bV^OH~yo${{aKS{S^%Sp#9e;82EAR zzkbAy-ThWb?q9(UasM(l0`3oGCpr9oF!7`9zg9qU{|siZ`(H5QbiWb~_g6tmF>v_b z;DfmT87l$zPh}(7{Ss{G?oast@qhCVM)3W)JJ`VP=U~U_{v<Z+;lB$~3V_|uAqH{3 z8X@;zWhL4D7M$qrU+@p%{u`WN_iJ$BbpIk&?C$>sDFwjpw~&RnKaGVz_=}S6{s<m) z_docHaQ_b;u=_oDak~Ez3wHNgA-O+81>*i?%mmyY%0hDZSMZ~|UjfPe5&~fNX9(bQ zzY-4jS3ycKaQM&AhPeM369M;6WhUAEGlbCHpYR6}{uaVu_jd^6bbk^v_VC{YDFwjp zKVbxMzZxO;Uu7cM{X0a_-M`>B!u=6qVE3;O!|DD-OxWH33sMSz-T%W9;{G&70^u)8 zy8CZPpu7LUFNFImB*E@KA&Jxdj~KDL-wMh78jcY6FJmC!{!m7e!~cggy89K7+&@DG z?EV)rINh&=!~Io|QVbma5gritKl}gjzu`%r&gU+j|9m<>Id<OgXg<Ob?HJ=2>lo)4 zANz7D1Ig}}kVkia!cRo_?~n((pF;ts`;!>3hyN}}DFAkVhd;#qYJ}W>_5a8Js9rie z0SrPN!yUsMLmfkc16(=}J9eJ;?EL20`PZX&y@e9G`xpE`xc`O{*!>#HINiVK|HuEH zoyS}>fB!!W582L}FMdHv0kHdbghAY&_K!gLi<0jC2vv0VKlqMt{|{BL`#scfy8qEX z?C!Tha{r51i2IlQCE)(he<X*0g$BC&6_DI7p$T?>h9*w;E8%c|6{HjchrdKB#Qo3y z5ODw0za+bVhBmtU6TTtB-$DoM{tg|S?oaxQJ^Xh;N&&F@J#rxKS0m*9tA9v#{|-HL z_b>R0aDRk8*!?T?ak_ueAMEb`1t|r<?yo3@xIgVTf$$e4-TgNV(cS;x3&Q;sMqu}! zFv98nN58SV-wMh7E2<#wU-pZD`$K<|9R5E{(A}?q<o+3^VE4Z;#p!+}9PY1zlw#oU zztISB|FfS2+&}df$?li1KzDz_XGHk#umHQC!xE?alYU_j|6Pz$0PKE_PKf)}2)Y02 zPm<klVU6zo1)mV^zhMn_zlIG?_b>X1-Tl8Hr2yFd784-uPy0b2{6$H3e}o;n`yYHn zxc`S8*!>>%INkr~2X^;cA-O+eI>i0Uz7ueN=ns;^zrqpS{R&9#mv92RKf?*9`;~CG zzY0={fx~~sJc#?BeIwxhsozO<{|py&_a}TngujI=*!>-@INhK09eeoif|LSa_n%k_ zalaZN_h0=+vio<qqq~2>dxZNVJizW>;epfri@srZ|1U@>0CxY6H4yiweI*e7qNKb3 zh8Mc~AG|}jzrq{r{uACf-T&w-cK2H$xnE-o#Qn>@5O9C!SCYg3hcCMO6_DIN!w>BK z7k)V1uY|+>Rgh8)9R3lzA?|<nnSlGJej(ZY5`pOMPk4(6{~du~_j3f{bbrzp?BTx) zQVM|G-*E`yel<ewzxtVE_gjRZyMMtOg!^xVfZeYViqrjzK4W+PFGwi>cK?o(5cj8j zA`t$fq`N;N9Nqm7UL)N9BOL60j|iOZfAk5v`>l}N|Kb9~{mVWQaDV70lEc3u3f=t* zNbZ-22D?8a8mIe}aJat;Qi_4YU*ZPD{m(uSaR1bgB)fk`EV}y>ULnHYA`a~SjyRm| zPx^>G{C7c00kHc$?nB(KM#%kFKalMH9SP{}U+@y){)j}d`&T65bpN6c*xmmNQVM|G zU-1m${<QZ5!e5kh_uoiHcmIPI2=`Z{fZcy01*iKTy~pl;D<t=?cnfj=vUddBANro; z@c)s9?tTR%_s>WNyZ=QxPWLO}aDNq~6a$C<jV}=QKYL5S{ZrqO?0$(XboVDbM}+^5 zEU^1IvT?dU=^gg)-vucJ!0zYx1986^A@^T>OS1bda?#zt;2FaGH*&%5*T}=^{zY%G zyZ;xY6ac&5f>{|d|MiAI_=}S6{)hr}_dj@waQ}}2u=_m<ak~G}8|?14LUMlw7sUO` zUK4PC=o^y5zoHo3{R&9#mnZ?dKcfVv`;~CGzY0={fx~}>AjJL8UJ-Er)Yl}te?}R) z`xBlZ!r!7C?Ea2&obFG0jXnH#K}rFz`%g$f+^<H+{a0U+?EW2<=<Z+e7~%eiDzN)k zRN-|0qF316{|izIfZhK?9^(GAmjuFJlyvvss6luCgGUJWSJZ;tf1(zr`yai;?tUvI z_iLy_+`sGv0r!W#Bsu(l)T6s!0m=O{8o=&<(SXzaN;uqK1u4bA;UA#~asRXD1l&LM z1<CH0XhwH`!b3#(?`Q_QpQ8n*`;%T^5C2_|QUL7!4pWHx)d;!&>T{CaZ_$SC{sj*Z z?!VCncE3hDPWLZ*j@|vgAf*7<{X1+R?oWG0ApAv1cYj1Dy89p8N4Wn-C)oWST{zwU z=oxnRTOqmsg)_wc%bpT&f9Nxk!@r^j-Tewk?w9BVyFa5Br~8#~xW5Wgih;vl!W-iL zXHN*Yf9g|`-9Mur-Tevo5aDkz0qp*c2{_%K^b~ve?}C&9VE20jLENuK$o*HJknH{) zlhED2;4Z@b5tG60Uojb{`xiaI?*3npQUL7!ib#n2(;gEDe^Juie`6}T`ybpvxW8f= z*!?G_;dK9_$JpI(h2;Jf2@v-$dqlwfp^r%p{~t5Z-LHV;{uwjD?td{8r~8#~xW5Wg zih;xbMmog(&mIzR|I|k$yI*1sy89DuBf@{j9I*R2=HhgJ(j)BQzY9_dfZflL4{^U5 zA@^T>NV5Aa=A*lR!7YUQZ_Ed~Ut<AI_b+;g-Tl8Hr2yFd7G)6kr#&DL{-UJ2KVlKO z`ybpyxc|o@u=_n0<8=R{2iV<jh2;K>T8R6X-6!Dw&<7-kf5lRC_bVW|Ut$^9{Ta(} zx?c&0`>P<O7&!cAv_Rbd>>dI4PrXmF`)8~`cYneSMEF~*1iQauB~JGz-NzpOyC9_i z*!?HEA?{Zr<o>JoNOu2@)#&bDa2?_Ph&5pMuULcA{fq8lcmFR)DFAl=k4X^sr`;tG z{-UJ2|He9W_dmFXaDT;mu=`J}$Lan@cd@(Q3d#K%vmowYc87rbL+_Ft{y#RNyI%px z{WCU!-Tz_}PWLO}aDNq~6a$BU#6pPspWP<l{;79JcE7|{boVD*MTGy3tzh?aY{TjP zq&wKde;1?_0K30q1;qVogxr7iHp%X{*n#f;1y>O6zp(@CevO?t-M{EIcK82+lmcM) z?^q9Uf7&eq;V(+M`y+OvyZ^yug!_N&2D{&54^H<#x`o~SR!HuDu?^z>Wj6`9KlB#K z;a{;2-Tewk?w8mPc7MixobFe`;r=Q}DFzOIiG2|FKf6J|{Zns}?EV=C(cPbL2@(Dl zhrsUdIE2&vNjI^F|1L-=0CvB}QHcB12)Y024U*lz;|RL@7hFWRKjJ9Z{VR^*bpN6o z*xmmNQVM|GUvUQF{<P}^!e5kh_un{<?*0cC5bm!y0e1h16FA-f=sI@yTOqlB#bt>5 zmt7;^{?O|rhyRaL=<ZiQa{r9eVE4Z`jnn-~INV<aDaF9yf8!R!{m-rvaR1b6B)ebY z9J>1x&LhHq$2qY3InLvBf6_JV;lB$~3V_|u@d)C6HA3#cdX;4NTU<nU|AKP}_use( zcE83YobF$A6}$U?K}rFz`z>BV+@E%ZK=_N2?*51?=<a`T7UBLMSHSM~xQf&LkFH>M zzZH`EGd@7vzw9yr_lI5~Is7ZGqq|=L$^8;H!0ykufz$m;INV<aDaF9yKjS;Z{m(8D zaR1cHB)fmcEp+!MoI!-Y#ci<rJ8t82f6`^_;lB$~3V_{z;vdBQYJ}W>^%BYM-*Fe+ z{R>Vb+#hid?EV$^aJqldCG7711t|r<?*GB60-681NFe-0Nq7H^2k7p9a0=o6iicqL zpLmGV{f{nUcfS>q`!#qW?q7C+fcrx)k{td&9;3To0m=O{o`Bu|;t5XoE8%c|6{Hjc zhkt|!#Qo3C6LA033naT=;yJqe6HX$+f5&sM`#E0VbbrzX?BTx)QVM|G-yscgzZxO; zUp-H<`z>CfyMMt6g!^y20=r-1HBR?0I*;A`zaXUm*!??{Ans2)M<Dz~Nq2w5TXgq7 zIF4}tkGEj=d%VNx{zvDqyWa}Q{Vy~j?q7D6fcrzwksSUNAJE;efaHFOk6`y_e8lN~ zB^>Urf|O$5@Ru-zxc}K10`8xBmSp$O_>AuUgky;CxA+2ff5#V`?oT?4J^Xh;N&&F@ zJuD#ZS0m*9t7k}d|Bi3y?q6^e;r@v4VE3>1j??{%&R}={FGwi>c7KIE#QkZf3535W z>F&Sr6W#p}jv(A$@eAz!6Tfh}|Iume?zcj6{|YyV`<I;};Qr9lB!~ZxKj`jPKyv?# zzhL*j_>0s1N;uqK1u4bA;eW#y;{InR3AlgiDU#hU!61OPe>veWBK&tS2rxJ{@8w_= zz_tH6=@j<x-vucJ!0zV=g}7ghko&KmB-#BI%;@f4a0uc48_Zz$Yp~#S|Du!F-Tw<x z3V_{j5d(35+6e;TFG{-mBiPX0|KK3P{Xf{i?)PBF>HbG2u)E(1$^9A05ce-TPQd-4 zCrA$e3QlzQD<HXFf(z{a3@)7RSHj``Do7~?4*wZh5cfYjM!@}3kCW{F89eCjPdI=G ze+yo)`#X4Xx<BbS_VC{YDFwjpKT!yAzZxO;Up+>$`*-l8yMMucg!>}|!0ulmfYbep zj$wEIFGwi>cK?qGi2Kuy5(s}$(%pYU2;Kb;_95I~Aq;l^31OV>e{>YP`>l}Nuh9T; z|FR<l+#h<B<naF?itc^|B=^q{1H1o)7*6*q;c$Nyq!a^(e?&XP{m%{)aR1aJB)eZi z65ag?dlBKkLlW$M4k?`OPdb7<{C7c00kHc!`XKICBjo<8he>w7g$%m;7wkc}|Aq|M z{Ti}3-M{ECcK82+lmcM)@0bd4f7&4e;V(+M`y=Gh-Tz=W!u>zw!S44^!0G-+hp@Zf z3d#L1=0M!P>>vU6haMt1{412u-LHV;ehFo;`!kerx?c&0`>P<O7&!bTmO$M9>;M7x zPd!Mo`)8=4yFXzUBK$4X!0zu*!|DE{gV@7=7o-#byWe9q#Qkc7+<)}|$?o5wf$shV zI}z@W&;+}Gg(gn-FFJtT{l6fk0NDK%n;`B_+fN|;MM-!64Q+JyKiGkAe}xX%{U>yA zy8qFB?C!Tha{r2*5ce<JN5K7|`$-P}AA0ESS3q+A41KWsU+Cj>zY-4jS3ycKaQNRi z0CE4by#(Apbsx#@_ee)~f5LV|_`gU8yWb)Mr~8xkVGsXZkWv8b{smlW;PFE>Lhir1 zmt^;6WTCr%!8U~ZIkLg-kI2U9{zZGSyZ;xY6ac&bf*{2GX?qBSzbNVM@5n`W|AVav z_iN;V-CvQ1)BTV3V0XV2lKUAXA?{zcn}GX6_mCX^D+<uvuYlx!k3z8fXB6UezY-4j zS3ycKaQGW2K-~Xq7XkNA-A%IlPZXoOKVb_Z{4+|x?%z>@)BQ=iv4{UINGSkze}X#1 z{c42Ve{~nh?tf8+?*0Xv5$^9O2fP19IZpR4+J)WyzaXUm*!>gqAns4wNg(`1caiLV zjw*EbKiGtD|B5QG`+rp7bpNBB*xhf1<o*Mu5ce<JLBRc?J4trGMlHJg6_DJ2q899a zi8`F_SHj``Do7~?4*w4}5cfaZPQd+BcaZFUj|O!2Cu~H7|BD8&`z;!Ax<6?L_VC{Y zDFwjpS8##2UyYFauWl#V{Ta>Z?q9G0;eL)5u=^ugaJqldcI@u|1t|r<?ho*WxIb+h zf$$e4-TfVH=<a{89^rnCcCh;^+Ht!7(KhVvw?cA%LlDIM%eE45f9N)n!+%95y89K7 z-0#r^cK?hnobFe`;r=Q}DFzPz4UrJ{KifjU{ZqG+?EVuy=<ZKghY0_SUa<Rj^x|}X z(pK!@zY9_dfZhKf0pfl&Lhir1g=F`?=tp<|g0%?ucT51g|HcHI?q9S8yZe7ZN&&F@ z1u`J+Puol&{6$H3KgVQr_di&JaQ}+QVE6x+jMM#(He+|c6_WcM@*(bDwuylILpPHg z{u<NJ-LHV;{u9%{?w6R3)BQ>~++PJL#lYcTPzG`TvyBAYKXnty?)R99?*4?;i12?g z6YPGASvcLFv<Z9o?}C&9VD~Smg}7ghko&K0B-#BLbI{$tU=_ms9CN|$kC=<o{fjnY zcmFR)DFAl=g%*hW(>4$Ye^Jui-!UKE{SQ_m+^?|!?EZ=cINkqf19taYA-SKS2jc!^ z>j}6&bOXuZzhV)(`xTJf@39!{{uzsLx?c&0`>P<O7&!b5CPUo+Y#jmjPhC&4`%f%I zcYnePMEGYc1G|66GMw&DT8};acR@-4u=^8cLENuK$o*H>k?j5#E70A)U^&A59V@}^ zzp)ah`xmXl?*3npQUL7!2@4_aPg_eM{6$H3KgSw$_di&MaQ})mVE6x6gVX(w)?#<R z6_Wc8tbn+G*%|`w4_!-g_-m|3cfSIX`%kO~yI*1hPWLO}aDNq~6a$C<hxHKmKU+<} z{ZrSF?0%0;=<ZKgiU|J~o51e3*o@QtNo%l&|1L-=0CvB^c8L4c2)Y02YLeZbu@&9@ z3zi_<&#?{c{)lZj-M?rxcK82+lmcM)2ke8mKW!C(@E0ZB{T(~d-Tz=Q!u=XM!S1iv ziPQa$R$+I)6_WcKjzZkOY$XBrhpr+y{8#KocfSIX`#tu6-9KXwPWLO}aDNq~6a$C< zhBFZNKU+b-{Zm(x?EVw`(A}S~2oe4n`@!ztu^*@VlU8C6|6Pz$0POw;mm%&~Bjo<8 zD@b<#i-YLyU$7A2{*FUn_un{#)BTH9V0Zs7NGSkzzrbyX`_q;a2!B!1-Oq6p-Te<1 zAl$#=DA@f!j^cFxqvhD$Z-wN3her_iFIz^y{h`ZA4u6dk=<ZiQa{q}FVE0R$#OZz| z9PY1zlw#oUFL()Y|Ffk8+&^_0$?o?!jqd)0`H1j;aT@G?i!(UgpR^2n`0s+00$}$q z_yBRg8X@;zT}rb1GtQyAf5AM2`#H{o-5+rtr~4Nz#qR!JkWv8b{tMqB?oV4nApAv1 zcYnu4boW1)i*UcjC9wM|F5z_lqb1ngZ-wN3hW`-vFI!B&{h>=p4*wNb(A}?q<bIE< zVE50siqri{INV<aDaF9yZ@{Jwo<DlFh=BX2F2>>hV2{qv4g0UNGB7Zd2zu;a#>l`R z&A`B5`0Yi|oR9yzn;mo+7@8ma^XYC5&}3k6?B-~G$jEuHvl}Gd-RuI9b?o+Ffr^7f zJbHI)$S^Q?^lsMBWneJ8WO(w$)Hxsjd-U#>&}Cro=-v!6Y8N8|1H(=b@uFf8Sn`83 zSPz3P0|V4Lu+}Ju)(<)etxRyOwh*m5AX;zeplX$eXgz_Xl^L#;38J+DqICnB)+e*U zZd!n(l?AT#_(HIx2SjTJn%0#NtrbXGLBR|0YY#-L07Pp7n$|ps)&L}}Y;aoxAzCfu z!NFvKrqvjtRRc*YJ6x+6L@NVCs{oqTAG1I)&7gxC(;ke_C<RG$H?u&b9lJePq2eGB zkKWxU<iPHEp$(1dRkOf>b3+>uUz~7<^ezBPPJrk+pp6<|`4FuekhF5awFW`7MnJUA zK+|dh(b|Eel^d>A9HLbLqO|}`>(7~BHzgox<$-IxGaoGZLKf^v4>Ya&AX+VuwDQ8W zE`(^^0MV*|rnMQORRBpVA6#o1L~8{^>klo|VDf-yeW8U2VSbQS0R{$!7upc5KUlyH zzJRP1wAYv61s6p30VLh>Al=}s_;w!HyABYGS0L*)Jo)1M3{XOup@o)EU}XYGqPuwx zMB1_2g9n;WKq4N!yE!0+HfTW;O5+T$T?I%EQG`1r1>%qkka8pf%^_|OtsY2PmEc-6 zAzEiZv>Kpk<%VcgK+>uV*ZOYm$N$i>$D?<50>lIksDq<lo}d2lKls)K1QCo{eja0m zm!Cq@!DdN-i%o{+2aKJ~4tk&>)PV)eanS?C&~5`o5VcuD4;m`jXxf-Mn<c>7JXkKi zfUqyVY<|et*)0Px;D-X(00x)=T4)BabT)s`1=;Jta_|KcgmdsE6WE9^pz^qP_W_U* zjfZbQOKXqLd(kf+PXqfEaleE|<C_Xb1_qDL&z_xsJ@*+iFffRMYYLyv?=MuRef;m) zyO!a!IKzHWVYiQgfq`My|NsC0?*x^&u7(F3cY=5?{!IV)-=n*^fq{XcySsw{<a8Gf zCXdb*6$y~x$6Hhs7#SEqdOUW33S5vTkgNtsws(rk4F(2Kjpxysqmtm!`R>J@Ss(v* zHqT&UVDRj%V>JBsV)j%}s%my%207J5g~PMEb-$50gJ*Z|aU*jEkIrrnunbs%Pw#FA zCXf?WYcMk~ID-0O42G9LT}lR5!vj8@|3NlH%>;R^j>+)b3ww~+$c}HQ;b3IuZ)IR) zVDM}{#%%Zv6c&<GKmK>=2D{0z`2fd$aRvqkr0@W7p@H!p6l$ICy0a}jn6nKaTELl9 z^WZK}X4X8^{PUmVUQk#%^7~yq?xGR^%IKXgDj}UADiOzBRANBk(|myAxQmJd$ly*F z6_?Hs6_4XCDn1}7kKP)U0FT}h6$fyA`(iJ`ZJ?d!42Itzkpwap>Zqt`;7rcMz~HEP zr1^({E5GkkkM0^34v)@vKAn$VSk3<U-|!M7e0(~;xpY2!8O;QWlo}NQP_#&R_SUhw z8vZx@_JV)P$N$~kpvZC5JkxxT9UK%;D_?8^+2d^C!<=m3)L^5)%-<>n2}U+ZFkYHW zY%s2v`tg6avxNt<vjHfn>;R=LaPaqfaO?v4O!LrQP;l-85t_%EfBkdh_xpalMdbhk z0|PkJdQ^@uFfep(Q8@uhkvl*k2PzIhrkw$a^oFQp_;kMa=q^!7co8}M<9}DfC!U?h zUAniZY+ztufW|hi5aj^559}b#qs>1A9Ql3UfCJR0^PflOs~0b4LIV^eC;+lUqVweo zQ2ul2{Qe?)%E$jN|1*FS7zZR|c1$8RWcsFnR2EzKFc+gHFK~Dur7?cL>&IPG3_yX^ z>7ruN8KPow+(pF(6cCWqr2q~m7ZsJx5EYH%E-E@8DNyP%0HrPkNa~75L>aEI_%j)n zx?o}P9^`EfpU!Wfu(&$|TUcBN<-5ispyCH{rw`-;9MJVQpfcEFzdyV{dNF+>S|QV5 z!@*oC;M2XifC&^^yDOL&7+&-FbZ_>6@B^3_7{G}WJ)iF2U|?W?W(>#4AOCxIv)Fle z``B@KbawxM6eJ%&RBv^FN8{le%%DOXR(^nrQPAx}FLz7^7p34z!!ay409=Ir^VlDN zaPiX#AOE8}0MvX#6r6`Aef;m)-F6;ah&3+&SMOj;J6ISPz%jWK<W^V110J3CJixaf z#l5scvKegs1W0)v671S}+_CeVN9Sjc&cB@}e0rC#N3gLnfbaP^^um4G$N#MdN|HQ! z_kQ43XLun#`Q!iQy#;Kn3=Aa_9=&^au(C3Ebl!96{N&R4^To_*AOCxFg6;_N=)C9C z`Rj!QsEmiIYCO7uK^&pjv-1Tg2ElhSO`QJmKa2y3%n1|lxG#ep?!M(yQQcQQ3FN*8 zc9{E4upzteCn)tGyRQP|;NHDpRgFh4u;Xyw$7v+FPk;~ZK6WJciK#QZxIPi&J_SCQ z`wDoG-4}`Oz8fHc-o0Q|jYluA;c#CdY3`HYhr2I*3OM}2n)hn(!`xKChwP@KQ_$kn z1>z>Ks>Y)e7;(4>RKK9aDem;fAOLsI^~o^z2nfL3lfaMc9#eGpYyk=M?gguAJX*kn z!#%oF(c%!ddtM;i;|_Pv54d|g1d!dcbTV4_s6gBUR@HbkfEkB-=1w8nJr@Mw;j?`b zEPNga!otTu5ZOJV=<b;U66oCvR@HdafCYzpc!_t<4k5UE)Zy+qAp~=egb=cOS|_1} z4-3RSU{#Gr1z2&or*<;Y;j=&(?jBHi-+G`Vta<MSVVHXugpu9z6;vZ2mjNXp2lnm- zt7<&@0hfE;PQvP*P{@62M>|h@c7FHl{O8lV+<{S?p?QA*qc{UYiL7VuK2Wg-?(%Ei zWPIt<`LFZVivUm&4k~xwI%?i^{D0J^^EtS{bm@HgVo5(_Y)cWU)$pXN;YqNpYv+p> zViQ3LfWM`dnSr78cB!hX;Q?3heRp7kJ72z-+5=Kh!sFSy??s3@!;7mEKK^h1%~-DA z`nE*daR;a|;|O+*Pv>)(o<xM61@Y<(FBXFJFqIoL|79xDcH99PWpUgIB9S!dAT((t zsx!RE0c&CgX<`Ox0=3*7!EJsdP2aoWuG^5L&hWw<tceAri3Owy)X;a_2_lg+9YScb zNKt2a!35UC3ev<1(gf;eIPL_INSb;PnhvC@GrTwp3fA9jAWdu_O`y>f$DJS&NmB$u zlSjHb!;2YUP3#~|>>y2`ir8@{h(yw)jL>u;L!IG8GFTG_ND~K06R0wF1XsyOn%;H6 z10o_zo#BNZSQ95m6DLR$XrRP#Cx}GSv=gD}LAE->i=Ta<xa9(A;sR*`4UstR1d&LZ zS`eBF!qgdF906<M25I63X#x$7IPL_INSgc+ntp_<GrZ^rYvKWE;sI#_4U0JL1d&LZ zq!5}KBGnmQM1eK&f;91hG=T<09Cw09Bu!5{;Q_%Bt<La56|9L5q=^rt2{aVqxD!Mo zY1)9$G$BTv;l+nuP(bj5H1UHpfm*MQ;QkYmrfP&Hi8ytJ7rT3*L#6P{RoVCPzh~ny zP=*EfYQBSITsmJuT2b&jBp3Ez8AFBDC*aE$&v|x!_vrlV)4N=O2~-*wFo8+~d5_+` zpb8V5|6DXbGT!v*{O8j7>4gKx;?CnPnxCOJEPnIp{MC8$#f)x9Ss(}12rdg;Izh6I zoi|=^_JYIC5!5=%Q)hVbvIpuTgpy^wAOCwms%db8@I6GT^CqlretD%Etzd&R58>@E zH2*L#gZv}F4D%1D!o~1UK`&^;9n(K2x)A;WX+-mnC8S6J`R7KUI>QS|O#fU11wEvi z$Kf9q(){xP?4J*C|9~oN4F4?X!S0_Qoe2McG@|(@6H+pO{1XtM&hWys8zX+$ApQYq z#^E26E|TKs01GI7F0jDj2UOu>_~$}5cK>J~`3IyC%|A0C864!FgkW`s7nNNY{xRzY zr#Fyh9R5k^B*{MuSV8{TzzXvZsKJ2Y9|j!$iReJY4@e`Le@;S51dx9!3e*{1tn9?_ zPg)n)KOoIG{4=G4B>yz9f&4Rp4dx$Eg9F1q23^?Wr=uO=ACN{g|NQKLmj@ZS>I^S# zc3}8tS|`{)Ak8@ZbEKUl|0J-3{8PXV^AD(#g5jTpPVD~K(T4C3NF$nmG$H;m1vhXY z1sAB;yVL>p14si7KQLhN1CH`JfdlM*4!HZ-#UYbX$mR2b4(#s#(Te1Lc5wzY_h+_) z;{)COLQwa!i-QIiJvuSVWQR7)IDohx+TJ|ec^1;xj1XgG@af&ZLzI=lrPD=)Me{VM z2KnE4s`KWHSM4BIgBzFcJUS0`-hAN!ac^mcN3ZRkRz`+>3ZRLCM<8{L#}YtBb$$nr z?RCBc1vJS0;IIdYy#k4Zw}1Ta)63e>#>fDx{{Q=QzUzF|?W4kiR!e#}VyPvel?%Lo z5B861=P^f+hrWAs{`Tozt|89K(7fM59MphU@aWy$A;t=el9w)wAHj9zs~0>VS9czF z>HO-V`4-%Sb^$r_SLe$Yi7nu0DRlrl9wO}6`QpXQHqeML*gTKM!xo0(3=p$iIzM`L zqF)p((}36c6OiHq&HWF+?*9OHzk@h7_xrSgVh5}H7dB&e|IJpg`$6V;G#-x7!0Y~) zdZOL$(g{va(EiH_c~J1*kOu`nsQ;oN4|TrgOK^jw^VN$9tzfr1cHVW=d<*Tryz}Y& z1?s;%X@WRDz4dKrElT&~Rp-qY-|E3xA_XR)q5^H9fh0BSKK^&?JmT81Y*mdGgX6a& z1tkWaz55huv>0B@ZTR@#wPRWH2_pv2-hDrg8!^1-YWVp7wHRuuI}BCh)2*V?{Fkvj zwDopLAeL?q$hs_uTa0i>&#wLW-?5|3`l1oTiUM$lYQqI1h8H3YAOAQ1WhxJA{>@Yr zh^2D`GMXQ5G^p2v(su$$J3x&7d=qYT#!VxJ7dz`gMl*wqW(FCJ(S-u(-BJe*K_kZ< zSf!uVd<40A^&_~^3XhB!Uc`ZoX6J98$H>6Y{F{ZpX(}TFgClrm1*Jy=vLX;{1%FEs zXc)eC-wP&fh8Mk{X#LAl9thKe)JX&Bkp=51F~TA32XR*NYq+y+yfR{Val8)XV^)xl zSwTJqb>dLEav-A*)xvy?Rr+%^*vFUuz>W6!W5n<x2W&JO$Y?f@(V(s#N{0_*bUNH< ztkN?eMt8Fs!;%04t1-h1L6FhE+4-AhgC>i1fck?NVaN+N8LPA%#AIhaxXC+sjTv5S zuLXq%H!PYsV9^BWLn3w3K#pHk0}2;-G#Sj$VtDZkoH{u`(FD;0B9XFK8CVY}nm`gL z-BOT|%d5a)`CAO`tO7A(h8GH8XK{kUk`ok`pnfSz?-Zn08g4XJX&;Euo8{p~Ysecj zyf|6|@-Y|4XfBY^px!G=9~NZvfohOXL9WIs{jn14>TC_T(GS#(8D3<8jQ-8d-}D8X z)Ip(#($NK(oCY@;tMqh;$;yUslV=zhGrSN0nf#ZZzkL=sH}UW{O$1v3>JX#!J3&@3 zgRS6i$%Eu3jeS}SFYLkDkp~n_5IrCgDVok#!8{C-fK|2N0UMB!3=n7CwuCz?z|xrE zMP)T8EO|j;$qNcgP*)qJ!wu3~0yi3~^wJ7&SWb3=8_nTl%<w`EY&0LpXg-k9pbj}o zw;W`&B;07M(q0gwy}jW^AMi3}cyYK2+<6C?iqUTenR>Vq78Y2gzm$U=ygLSNszHn~ z!;9Q1XxkUuC;$ze&8`DY-|hodXP{2LJGl1jeARi=xAOyPCGt|C;^Tir{qE8HM#81@ zC{hz;`;B5y9r>adR7c8t^zODOhSrfTnlHhFd@h}@USxqR>^$C?qrwAiqI`$6MLJ); z*ayo0oku_oloAyIpH8UEi({aeZT`bps^{2wtfP5#jTWfnG4SZ!4Xy=2>CvTQ^XdIY z3?99^9rhbBylAO})`AkCE`2O$o+<V)RE^<D(3F}0#8yxXXnHNU1p#udN8@1+H*grZ zfSYeTkR}k4DK90<5z|$8+B*SNpuk9|0tE)By|$qe5g3T}T1+jt&48`Fwh@#9elTLR z*Iw3uT?;bLqw#Qt1kANq+iN*xM7MX)+G`xuAoojD!`z=yh0XnQYH+$ARQ@z$ala<S z{UGx^8V_%Pq&Sz(S6JP@rj%Uw9{{`m0^I!y)!5vBry8gG4J#o{HXqQ!yggbBFBZTu z4<hBnR)gaLWHu-+9Pq})gAz=?Ao5cHTKJ!+0fqmK8d&&i)L?VJ3~u)qRzTd3-riqX z1$HyYJi>0)!Qy5_Zv{3ViLJkKp%&!+2emNw8`NTRe@qq5@ZVUD-Tf~s!R`l{N7(&2 z#h77EocnLof!zP14(5J~I&AKrQ;F04AIq@2UlZbfka>jNzov*>_j5FX+%M4tbALu7 zHuvAD!0CQNsQW?b{|19L!;1yw(8>cT{a1kF0%W#F<KY7?_|tzOreAQUe}QI@`xTmD z?k{M<=6)I6?k_CG9{wxK!EOebN7&6eSlmop`jKb>xnH9N=KhLiZ0?UK#~J<`OR&5D zC8$X6-3>C2u={fgFvFZU_bar5+;7kdbALk%Huujd!|DEy#n|1i32{HjJi_i@lTWVu zHQGS#w`ha8zoQkK`|p(EbiX0g{h;)(Fb!1xmtdxUQ1#Ti8)UXe<KZ11_|tzLreAQU ze}i_A`yJY0?w`<x&HXaC-CtOQJ^WXKiuB&yAoB>jSqF=oiAz5g9U%96bimv{qaB<3 zV@hy_|HeY>?tcj?(tCG<%p>gnoLtN>C(iv2ognuIbi&-fpaYxx=M>{~|HlIC?$?C4 zA7mb3_piwz*Znv8LGFLi4|BgoKQ{N@DZ=S~LmckU1XW4ByFuo8G#=LA#GB^dWRvTD zfj1!cYrKKEzu+}C_sigRe_=lM@Lvfk(tCG<%manL0$%s)5as@mVDNn48QA>h_8-4M zju-e1ay)2$GvXKA@ejeng)W_sUL+Jk!d~++Xmt9!OXoAl{N|24h{Lr!8jo&pRcG+% zeE&kF08;iqRXKLv0QGCY>%?vrAPtK{$`h!2&Vwggx9{K-XYlCV4~i+!Ksb05{7C`G z?jztX)<4KFxFghBCy(B}9a0($FE)V6-o~Q`K-xjW@&A0l3)(;<-l+)Z{PXF2gzOwI zWamKpN2u<R;0L>>gHN0R?w$}#_iW6C1Ov!D7Sb9FFBBl|Q2=R2bI;X$c<`XRXIDN_ z@L+Y1hd9_hJH(LOb0i-ld=!z~^8)0a381pE@n`@@JDPi3al1#0pnHBufZYS?*}=od z1k*hea}eP(Lq>z)1p~xA43eP5L+@QWk>YD>9?tNYl}9LiBxJzu>5xVWpCx%1;lqgJ z9uHX!h8G2(vbXW50!TYr_^9G`4;MlAcqoG1vqJ&NJtCOyDa=NM&kvA$9)QZy#-kA+ z?P%_qnu{}hs&Wa1PlY<zJulRd+|!ba5k3#I5bhCB&|r8G0C7(PNIRN)SaG}ORSqHd zywCu<2Q(7`Pd{IBFx(S}<emgA4Tcv7KxJLy(GMW)XznS^!5KbL1l@B(AM73veWdV7 z!F12TOr-G9)?j#H0CCR)kajfpJk7@Go>SR`!smn`*gc?`E_nD{$;JpDLnQYU=x8v! zSdayoVFhVNb5AI4_gE2hkA(@?Ju8fn!p8;EJqt4s;iI6d!SF%=;vNr>b~N`K&B7Tz ztFkb{CpZ8$*X7f@T|%0Tp?SZCG#hB4o=4;H3Mn?oJR@SFYk3wZu#RiK2G?8Qp~TLc zFBqZjlK~CifhSCVIl|@-!4;JO$i0x2*N*YAkR{FF`U=H<4LOkg7IHBAJ7h8JSI269 zV>%>!aN55i6V?_1IR}^h7K$MIJrrT~&rraye|aWW{}Zy`fGGPlbU^l7=)mmn(8jP| z9jpC~X@vZrL5%+;%t7{Rn8WO^FvGBac?MSh6SCicDEm2_K=w;G!R*g)#IRo-tNo3s zg#4dQjQ@Z5fb8e+h1nnBgJJ*jbgcd-WWNDX_P+=R+5aOPX1_-`hW+YT?QcvW<o`5c z{C^_}WdDaGnEe(>81^qu!|H!R_8Smo|AkzT{SR_s_8a74*sqS&{>EfN{!hi>e@E!} zC3wB^H_y)h9=+=uZlG^ZZ;S(*&)+hgfq}udce%n%&=&Rm1vdp47)qqTt9vwWx-h=< z=zQ<f`K|NTi-uHCFdcL0e5-jEyc7epaPm{<%NN&^z$TRjHvg6=*8%TgkpS=8dk)qJ zUM~<2p5Ed2Y&`zLPlLgy^ZyIQ6iAs4UKIVwvGWDm^vuN+nD<~yG7qDS&%(MW-@v_s z^%XafJ?Pu{;>Chku(L|in)hbh5@2BP>D?=FOMsz78tgY0O>oZz<Tp^~WpN6`bCAx< ze{kpJQ|HYWzZ1cRlq!O10jMy%8h|<Mr7AYJg8Jp)`T!CiXzqP*8{NGhV!&2`+<V~; z#Jvr7aJlz$GIsZR6Xo90WGrEe&AsP6JHL5${`Kfx?{N>=y`G&fUN}JATjtxl^u;|; z;@N9)AC!1t0sNEkCM5B=bpCqb1at6jP%Zl$(wy(S`C?WA*w^6m4QBX*lE(WNY)R0h z0a1k+-dmH1bpIJo&_=VrKE3N5?jyS&ls+1w!8U`!+u#8tydT`h72eHB*u(pFJlGHd z>4TMY_pW$|?%oSgV5>mxo$(0bUXMq(+<Q3@yL+{Xa&KrNk>QI-9|BL1-3v+|3J~}5 zw}5v4`t~l}@C2Mj7@p!xBXTfDqot8DNKsX4<=C)y0)qwvV=2F9<52+yNNUN5A&^?y z6L9zjvphlg=fqQV{{%#W!yoRSjAvl~9C(J)KYj_&NI>(?GDyh;_KyWK%s)GrApV&V zO~60f<B9eUBK>zfNA?dm{YQZP14>T~FCghj;{~qt)Ep1>3V3h_S`c>Ld~q962oOsD zxLl08eDrvU?%oUGaQ8aAg1Gm^OI+@~9EaV#+C;fGG!91y<94sZTXgp-Alz&44&vSi zZ*jR-8HanTA%zry@Ld{9vU^v&M|W>Q7(9Gue1N#u;{z`D7RO=_-_wvxPr$ujV@P(d z#TRt<9tefISK}+hy*Iw#a_`|7?CzB&%Dt|nyEo!Hx_bo>?)CTqaqo}sxZEp@!@b#% zLWn^4PK_oxd~f_icW*)nJbX|5hq$-mKQ8wsM`I7)-H<|tfP0@t5$Rq;`TT=X5beIc z4Z#rig4!c9m;^!h^}S#c#C2carYNX`(aL5nsDlkW8;@p0LrUbbNCG9YD(UY3z=ZDp z4?zg`PhbYS{{b^j_kW7S?tU*wsRM3L!Q8(sf`I!|BZ&-uM1Ast8QJ}y`osY0{<P-3 zH&_J0?d=X0K^*OEW0>>N>XU9rAw(d(Y>gn<y$@K>-P;fd3GcM#y%$&^?rmVj<=*B9 zXmF#s_ckO`6L2ppvF=5r?;EVh?gh1{E(Czx3vYi^uz}Oa2{xQ*<Xkw^(P(L04eDr6 zdujnAto@<D2ubY{!30u^c{q;H$Jd^^Q7nX(Ul}0&DFNlziV`7Eemzklge$)?;qXfo zq?7`u_Z3ZApwnVr91A7jgVHb@K0vSE5%KY(4Bh<>{^0lkyMIPG*!?fcak}3r40~M6 zf|Ocd_uuG*xSx%X`?rRY?0$(VboV#-A>6;C3haK4YMkzG3dQdJTaa82c0b2Ni2L0_ z2!#LF5R%<*QH$>W1HK6N->3z<U!xAE`wxX+cfS;p`wJFA+}}nh2bhxX{)h&2_cI{5 z|3?GZ{T_`t-Oq%>{ZWuo3>^LoRzTc;EQmn(mj;s@{uRyW?sxD(gug@!*!>wTINk3Q zj6M8kK}rFz`!B4AxSx%X`?m&>?EV>T=<aXuM!4Ui9qj&&cAV~S3c~LGTaZ!!?0$yr z5cj(U5(xjVfh4<sM<=@b4|pNmAJGMN|B5c0?mrZW-ThKX?$0<5aerF?0r#7d?*1D+ z=<a7ga(_iH*!?GZak`%ghx?--r5HH;XPkq$|Cm1k_m>8c9R5H0(cSOhi3tB06Tt3& zF#)IhodU3j|13x;0CxY0s}T3I5pw@lf0ErVF&W+c4IT*h@0bjBKgSfD?r-wP?*3bl zQUL6JgJ%%;yZI3a|F3=|yWe6Oy892fBiw&u8rc0B({Z~0kRNvUOCh;G;Vs1dZN3ED zZ%VrRBW9wzp8?7JKW2j6?=cIf`<ZaKKMGQcfx~~o7l`|h`4Dh_sV~XlUoi*W{SI!3 z@Ryhic7MiPobGq>#UB2%Af*7<{Re(S+|NeH{abxVcK?j|=<aWDMY!K$0oeT=3vjx> z$p^dpZ$U}{u=_Q5w88C9H*W&r|J9ph_wQJQ?*0QV2=_-U2D^X7Vw~<j<c;0^Qb_KP z5QezF&5MBhO-XnEhb`#tXFziQgsourKiG=X{Y*IA9|bAJz~R5c1>*i=o&?-q>P2$+ z3+zC5zk@R({5R|XyPshvPWL-`VGsXVkWv8b{uka5_p=dl|5i_u-EXiP-Te(t2=`yu z4R*i69-QuP^2F}`TaZ!!?0$)0i2L0<2!#Jv50c#<un*n+2OJUZ|F942euw=y-G9gf zyZfb(-0u+uaetdT0r#7d?*4*<=<a7ga=*YKu=^7Z;dDO}4);evN-=QwS0qB*f6R@5 z`%B$P4*v;9(B1FgfCztsqhR+p9L4E=CwJ`OKMPU{fZe|$1LA%*Lhj${MzZ@i97lJ5 zgFV9i0VlxjUvL7a`<vXbyZ;uX6ac&bMn1&-ZmtBv|Env>?!Ryf-Tepb5biHH4R-&5 z(>UFK$Q8T$rI6guQ4Vo`n+pN=o09JS4`<Qc&w%9q3FpA>e{c?``<ZaKKMGQcfy3XT z4&we}&IH_F>Oyk(3tU8Zzk@9z{5M<#yPx3_PWL;xU=ROUkWv8b{)|?L``HM&f2%Xe z?l-uC?*0ZFg!?aC0lQz}Do*z|Ib(PKEl4Q<cK?hXi2L1~2!#JvCz9PCa2?(K2dok9 z|8O1beuo=4-G9glyZfb(+<#&+#QklK1l(^*y88=mp}U^}$^8Pi!R}AEjnn;1INTov zDaF9y|6>-!{l^>#xWCkq<nW(x7v231R*3L7xCeHB!#$ktcXGrY{<9#Z0NDK+iy-c2 zBjo<A4kWvO!vl2pH&`OvAMg<D{sj+ly1&T*yZdiJN&&F@BUVD(?`BUR{J+|h?EVXn z(cOQ*0^$CGCt&v<c!JaYhwQPtUkb_n9UCC-Z?hxdepAxj|KS<B`x%hjKjAsp{SThw zbUzag_eViWF>v_r*bZ_3F<S!eFSR2%`~_a2yWhba5&j!qf!)vW8mIf6?68ObEJ!H; zcK?fg5cjhYa{pFalHG6c7Tx^~W(fCRcnfyF!aJPqZ?eVi{#%ez0PKE=V-WYd*$@c- zuQnvRKi~tp`wy5R-2dSN*!>P4ak~GI4R-fSA-Uh<EX4h7)&$&dO1k?CKBK#z0m=OW zU%>89_=3~@OgP*h1u4bA;a_nD;{Ib+1l(V0O>+28_=fI&2NOj28+-@5zu`Mh_d8i* z5C2(^QUL7!6}KVoXCvhPtyUzvf5T67_cs_L+#m1@?EVG6aJs+A3cLGnK}rFz`)@pg zxZll^K=^;PB-#BJ{-C@6fDyv|1%JWrKkyf)`wv-ScfS;p`#D}g+}~zF!2PDAyZ^&~ zboVnLxqkwKFlhew0fR8k`ClCFkAjq9;PAKj2yy>0a{}%!wIDhC1(=1==C2(L5#hgq z8SH)r7M$*PvcMkxvmm7a*!>wlAns=)<o>PZB)i{$4c+|>1_<|GU<12ffgPv&o6NDh z{}!Ya0K0$2e~A0t%m{@4S2L2`AHa$3{sa05_kZ97yWfEer~40?VRye2lKW4v>43*? z+e`_#-;{Ls7x19Fp8?7J0=!`NC-CBQKNAl3M?p$4aQOe=g}DEi2?6()nvxv;6Zp~H z@1TbWe**!q`x^vsy5GqZd-%_SlmcM)YluSJ&qm1oTTMuI{{|s+_c!Pw+#etecK-rl zobGQj!S4QBkWv8b{s<X}``wHQg#TA#lHGqn6y5y?bP(<@5CgmafEZ5qA2P=7ekmmP zcPK;L-)2O>{idY5|APd&`x%hjKS2`g{s)pc-Oq%>{ZWuo3>^MDv>@(3W=O#OrA8!& zzkm$7`yI3q;lDu!?0yDWobGor!XEy!Af*7<{Vxn5?q?(9{;h^2yWc<_-Te((2=`x* z2fJTE0jK+$46(ca7NisayI;Z*;(j*+0^$GFfMoXvD51OmfF{EIAC$oEcTmRZ{zC@X z-7kgYeh&wT``h#hxZjj?_ZO(5yPpBc{Q_!W_a~^~bUzag_eViWF>v@-xI^53Opk#3 zOZ7<({|OrC?sw2Yguj6%*!>NfINk50k3IZnK}rFz`&alu+|NeH{af`&cK-%#boV!? zBitXL19txc9h~lO(!=ilTaZ!!?EV{}5cj+35(xjVx+J^*f*!j252zvBU!V_m{{elR z?mwi9-ThKX?&pYwxW7$@fcs5JcmD@NboVnLxqpHY*!>TTaJrufhx?--r5HH;Em9!v zKc-E<{iQl2hrfU+y89he5#hhV6zqNmGo0>s(!n17vmm7a*!>yV5cjhYa{pFslHG4$ zf$shW6@>dQSb*KHV2RWHP1@Mqe+yCyfZac%2;zP>Edt^HRf}Zz2Uw%K|9~>W{U5Bs z?su@k>Hb4n*xfIM<o*+t5cjuf5^%pM>FzJELw7#|lKTbh!R}A6$LW419PW>Tlw#oU z|Iq+(|1k{$?l09OIs7L$qPyQg2@(DVPGI*pIN@}^lP31?p9Lue!0y-RfViKHko&i4 zknH{qF6i!WP(-*tz!mKN1+F;V-=u-v{kI^c0NDKz{Sf!NsS^nQuj(Yb|AITZ`wu7} z++W}UcK-nnobErQj@|uINbc{L262Cz8Ugp4lJ5QwUg+*;Kyv>CZ?O9xc;j?G6At%B zK}s=j`0tntasM$@0`4zWBRTv9{LtO+Add+D4SrzvGx+0lzmppF@Sg=K1;Flqu>|6N zHbU;-s!Fo^4Fb{K-ynx@|Aj!X`xSz4y1z*kyZdiJN&&F@CDuUP@1{Z^{J*M@?EZid zboU>SMY#V%2-y7&p*Y=tNCmt5rI6h3u^Hn2He~|tHznQu1>xxKXFzhlKm^$R2@yEm z&xFJMQIJv$9R3x%Anre=M8N%}$|Q&XgeY|PJIEly-yj<7{)T9r?srng9{#f+r2yFd zD-J^3&qm1oTa`$5|Ats}_cur*+#e7JcK?DnobGQ@!tVZCkWv8b{u?JC?srop5dL2k zNp}B*1a$WwkV3e>AQ9~T1Bp1@e@GF#`=yZF&v60b{x$^y?l&df{U4Ii-OqsJ{s}2y z_diI%>3$|0?vH|$V&L$%xDIjuF?j;+FI6Bp`~}j{-R~fY2>%V~VD~d*;B>!}0`~Bq z1t|r<?$5XfaX%X&_ivRa+5HAt=<aWjK)C-x7TEm?**M+bB#+(ww;-he*!?q}Lfr2r zM<D#a%8~5;fLwI<9}q{l|3fa={SJ9J-G4|9yZfb(+<)Q?#Qklu1l(^*y88<X(B02~ z<bHudu=^7Vak`%ghx?--r5HH;e|(0x|CkH`_m|3&9R3rE(cSMLh6sOy60rLlN^rX0 zNfvwf&w`W!VE1eMhPa=Nko&jFknH{qW$5m25Jk8@pd9S}1?4#1-z0<G{kI^c0NDKz zOuFFtBR6RR;r~^dWcOdFM0fuI5rq2-s=)3)P=(X|horH)Ukb_n9h?yNw@DFjzbWbN z|4@VOeg-7>PpAdE|3NKI_cP&ee-xw?1Bd?(0f_sLNfK~>sT9fKFVKMQeg|Ph_-|+c zyPu&Er~93xu!sLFNGSkz{|j-5``HM&f2$<P?l)*gcYlKr!u=PT!R}XR!Rh`cN$l>w z1t|r<?w62<xZh2JK=^-^Aldx^ZRqYlAc%1Phc>YL9olia|BwWB_e&wU-$M=J{x)#} z?l&df{RN%q?q@)9zd#q*{Rv$--Oq%>{ZWuo3>^Lyx)Apt6C>dMQgM>Qe?kws`yB)j z;cw6jc7H=JPWL;BV-NpXkWv8b{uL$=_p=dl|5h=Q-M^t9-Te*x2=@m}0K0#|1f1?~ z62tEPTaZ!!?EV|p5cj)@5(xjVq9nWj!X$L}AK*i{zhE-h{RbxFbpIhy?CzIBazBSN z#Qkj|1l(^*y8Ay&MRz{~lKUr21H1phG@R~d!r}fXNGS#me+w^&`;Q3|aDS-?$>A?B z6W#p|yom7MFca*4hFLh>?<9gf{AWQ*0kHcs0wL~aBjo<A!X&%jU=F(b8+Z`zzc2^v zeucR>-QOgP-Tk*9r2yFdGa?}FcM~EI{$GVic7MQpboU?NM!5gOe6af+7T|RMAtCJU zmqK#?iFk<n+XM-?-;{Ls7c4?|KLe8c1r~$dpRgFG`<ZaKKMGQcfy4ht8pQp_1PHjl zRFLHGpRg3&{SI7+@Hbcnc7MY%obGoL#2)^$Af*7<{Tg`?_p=dl|5gE#-M?W4y89bA z5$+FI33mU2l{nqsB!J!hw;-he*!>Zu5cj+B6A1sW{3N^o!fJH)AK*Z^zhDj6{Rh_I zbpIiK?CzIBa(_n+#Qkl21l(^*y8Az@Lw7#|lKUsD2fP2ldYtZO!r}fXNGS#m{~gT` z_aEaW;QmrRlEYtM6T15y*b(8sVH4Q>44ZMf--!=<_|Jlr0$}&Q=z_SPjgb4d@{;U+ zgRSW9Z(u{X|H4+V`xUm~bbk{scK6?clmcM)OH6{e-;IYr_<!Xg+5G`K(A|H472*C5 zJHYOD*oo8qhj_5NUkb_n9y1~CZ{sH5epAxjU$7h9{R~L%7uW-Kf5IM|?q|Z`{wPQ( z1`hv<1rYZi<09bxQf`vNf5JX=_dBp4!rx#&*!>Orak}4$8+-WAf|LSa_pewEaX%X& z_iyDQ+5H<1qPxF=8R7nbLtytWIE2&vO<dUBe+yCyfZcy%9mM@^oCL!ED<{eBzi<TI z{Rfy3?k_kBcK?B+INg7U6TADRklfF)4dVVb4g&5sCEfiWj-$Ju0m=OnPJrG2-~>+h zGvRQ56r>abhrh*Mi2IMR6L5bi2g%_ta2nnH4vdKK-*6i2eugtR-S5PKJ^W`uN&&F@ zGmb#q&qm1oTiHo=zri_l_ct&g+<)O5*!>FUak{^W9lQH)K}rFz`)8bnxZjP9K=^-U zBia1{7t!5+;QxpJ%|95y=kI;E2zI~2C7kX*#D?AdQb_JUaS7u7HdX@eHznQu1y|7B z&w%89fvaHmCtStpekL65kAjq9;PC%(6XO13ECk$N%1UzhPq>cmeusaE@He;tc7MYS zobGpG#UB2%Af*7<{TdG;?q?(9{;ez|yMMziboV#>MYuoUHrV|OZsT-+6AO0t--46^ zVE0G7fVkg{nLzk|WhUAE7w)3F|G*!F`wQ-Y-GAU7PWK;T#_oP8B=>i`hq%9uiGce} zNq7H;2k7o+Kyv?thhX<Vc!<;eOgP*h1u4bA;lJY>#Qnz@3An$MiRADXc!KVJhu?_s z-|z(Neuk$w-S5PNJ^W`uN&&F@U;Kr*pN)|Fw=$CKeuL-e?r->oaQ}tpVD~G$!0G-b zM(pmt1t|r<?w4TIgUo+15D5RT3?#ch;1#<25Bx;9|HCV=`yF26bpIg+?CzIBa=!-; z#QkmmKl}&X_W`}70`vX}Q_|gE@D|<u3`p)5cn5ZW!aJPqXTstBC`c&=4*v>ai2INI zBjEnh{~!LNUbh0dJ_>rDAo%_m@O>qS^KU17KzF~x4@CGId<46{;UiA>JN^Ig-?Q_W zi{@{%`#&J10NDL2q#*8RBjo<A|44TKhR^8kZ}^UIf4~>8`xkt{>HemF*xi2%QVM|G ze?t-Cez(5_!vE`ElHGsd8@l@sd_%au;5*p;2fpKU|DnIw-7kgYehy8D``i8yaK9<( z?*H%;-Te$m?w{}r?EVM8aJrufhx?--r5HH;Ees&;KlYn|`%C|j9R31-(cSOx6%qa$ z{({}l@DHc^o&I1C|5=by0POw@bBO!d2)TdjZ<5__z#xLQf4Sic!u=N*L_qtm6&OWu z?Z0mNjotmXAf*7<{WI(!?sxk|ApF1nBH8@`%;@ev@EPI$56ocqJFwt%|Dj*l-7kgY z{u8bc_qY8d;C@rm-Cw|l?tTU&_Y1It-JigY)BQ|1+#dxg#lYeJ!w2I2V?PMEzw{@` z;Xi>B-Te-q5aDmY1$KV}7f$y({lp&rvmm7a*!>zI5cjhYa{tyJB)fkD54!ssJ|f&7 zzzcT&0$!Z%Z~B4V{kI^c0NDKz(Gd5$eJ2q9U%!*={tNu*?mzGW;r;>vu=@`P;B^0? z@7Uchh2;K@B#8Ulz7cT0De3P2AcXFI1|;`S5C*&dfiO<@GvRQ56r>abhyRXDi2ILy zCE)(jZzPAmfEc>_9o{3te}fp<{S4wb-S6}bd-%_SlmcM)zbJsXpN)|Fw|*tr{RWcg z?r(U9aQ_8Ku=^FHaJs+gD|Ywaf|LSa_e)ej-0${<K=^<CLbCe<WYFDz;4Q-aA7sGp zcaX*D{zG4|yI%^){T}rY_qTl};C@rm-CrP&?tTU&_X{Y1-JhU<)BQ|1+#dxg#lYcT z(FSq<u}=itU;3Hk@SmWB?tX_ii10U12D`sO8K?W5K4TC6S&&iy?EV$K5cjhYa{ty( zB)fluD!Tg{UL)KepaypT0yUiOZ~BDY{kI^c0NDLEra;{9_K`sNfBi_Z`!8sqyZ^u| zg!>CL!R|kxiPQauK4N#j6q5Tn=0M!v_JM%=O-XnE2W@osGa$Ktf)3dI4|H(4p9zQi zqadXiIQ%UZL)?GtJpuQZejqvg1@zI~@9+{4{u}hc?q@K->3*jV*u#Goq!a+VKVucd z{cMEXzx6%I?l&+(cYnhRg!?ZTf!(iQjMM#1@3Fi87NisayMM+;i2L2%5eWaU??`rk zfGN8B4?IV>|AQ&m{SIb0-GAsEcK1smx&Ooti2K{#5^%pM>FzJEKzBa_lKTZL!R}A6 z#OZz}9PW>Tlw#oU|FIw9{$p<lxWDu*$>Bf28r}U4&k*5nU;}o4gAGpiJH5po{<9#Z z0NDK+$06=#Bjo<AZ%B6k20L{3H#|kSKfoUB{ss0p-QV;EyZdiJN&&F@BhEqG@AjHN z_<wy(vimPMqPzdV6NLK<oWSls;DpothhAfMzZ8=DJFY_9-}Z`t`%OuA{|6Uz_cI{5 ze}XI6{SRDmx}OP$`=cPG7&!cQ+=00N*h>QLFMUOF_zQTTyWin4BK$XafZfmFiPQZ~ zuds*zEJ!H;cK?gV5cjhYa{tzsB)i|h8{Pd4j}Y#^;0<=af)7sjH@(E}{#%ez0PKE= z*AVx+y&w?&Utf^y{s2F8_aAtOaQ_ECu=^eSak~G|3+(QfLUO;yCy4voo)d7tDe3Mn z2t;>31Csj%g23)i2*T-pCLHdMf|O$5@UQp@asRPr1l(WxoaFGI5Q6T0hX;u8HwXp0 zzabQ-`<<R+5C2(^QUL7!6%6{|`6D($?%(>1WcP0fM|Xe2eT4f1BEarn5P{SEP0z5q z{}!Ya0K5MNJH-8NPYHzo*QX@A|3VbH`w!ehxW6D8?EVAMINg8fDR%cuA-SJ}AL9PD zCj{JYO1k?$#G<>O0m=On;=t~I5Qo$KOgP*h1u4bA;cp=ZasRQ$1l(WxgyirSNJMwP z!(Bx9Z%72YpCJjS`<<R(5C2(^QUL7!3|WZ#*$BCR>tmALZ;*oS{)RgU_g_c>yI&y{ zr~8{8V|V{8NGSkz{|ps~``sQ92>-8-NOpffI=cH0+(x+nLps>~4jDMzf9Mf*_e&wU z|AaQg{cR5kxZjj?_ZMWLyPpBc{Q}uw_a|iIbUzag_eViWF>v_*FoL-M*aHIYFMUXI z_)o}1cfZ3eMED!zf!*JbhtvH|53z^;EJ!H;cE5%d#Qki9+`sh!$?o4!fbRZ=n+W#@ z6oTEqpb)3~n;u|y|1C%<0Cs<bBgFk~_X&jm*ZU;9|3Wdk`w!eexWAwT?EV8KINg8f zK6dv@A-TW91LFR+dj#BXO1k?$l%czy0m=On%E9h`P>$36OgP*h1u4bA;lINl;{IcI z3An%X9?9V^P=)S(hwF&&-%tg1KSMQ6_dDIg9{#f+r2yFdFTx=1XCvhPt#?Uwzd<d! z`x~wy+<&1K?0$thobGSBi{1UVAf*7<{St8y_q*L85dL59knH||26XoyxQcN9hX%0w z9U5`E|Ii)m?w3Mxzeg&>{cX1ixZjj?_ZKvyyPpBc{Q@mu_b0UAbUzag_eViWF>v@- z<Urhi>=ps{m)<5h{3o=byWimoBK!^7!R~Kp$LW5j+t|Z@7NisayMIM7#Qki9+`sh} z$?o6KiSGV}%Lw-ebb;N!pbMw_n{Huu|1C%<0CxY4Dv0~tZW0LpuQy3{|AiiO_aC@~ zaDPEB*!>53ak~G|P3-QMLUKPx6U6;(Hwd`jlyvuh=tp-y1CskEOaQz8!33P{XTstB zC`c&=4u6YIi2ILSC*c0l8zhInz+`myJ6uGB|Axt6_cKhv>3*jh*u#Goq!a+VKVt&K z{cMEXzx6uF?l+i*?*4`g2=`x@26n%~be!&Qx{lrbw;-he*!?r6L)`CnjX?N+y+*S8 z17@PT|G;^K`#;PCyWe3JPWK<WhTZ*ANbWx|590o|s|4I{O1k?C=AgTu0m=OWbHVOU zn2Xc>OgP*h1u4bA;s0YP#Qn#v5O9C#Rg%Mh!hCf1JDfv=zrg~q`x_SEbidP8?BPEP zQVM|Gudx>5el|kx-+F~)_itE)?*4|e2=@mp2D^X3Vw~=8x`N&Pw;-he*!>Y(Antd& zOd$NfUMAW77nY*C|G*i9`wNzV-G5*iPWK<WjNScGNbc|04RL?lB?9g@CEfiWR-n6| z0m=OnR)XFCU?ooXGvRQ56r>abhyRX45ceOuNWlH2mq-qOfi>vvcQ}m*{|#%v?q^tw z)BR4Du!sLFNGSkz|BI6l_p=dl|JI8nyWe0vy89bWA>4mqJ=pyU8*sY6=^}Rb--46^ zVE0R0gt*`B0)g=VdVys32W&!j|ACVT_kY*~cE7`BobEq#0lWL9klgQa1LFR+^90;) zO1k?CwxYYA0m=OW+raKm*oM>nOgP*h1u4bA;a_nd;{Idj2)MuWJjvldVF$YV9Zn#^ z-(V-${S7;Dy5H$M_VAwtDFwjpU-1m$el|kx-+GQ@_ixyZ?*4}32=@o<0lR;}9-QuP zI)~l;w;-he*!?%&Lfr3mmO%J_Jxj9tFYH5i|AAu&_ZRF3yZ^v`obEq#7Q6eUklfGl z72^K3GX&gkO1k?$97K0N1CskE90I%l!6BUPXTstBC`c&=4u6Y35ceNDO~C!7XGjiz zfurc|cQ}d&{|!gM?q@iL)BR3ou!sLFNGSkze+IJwWd4hg`?sDZ+5HA5(B0o~1mXS* zC&2DkIEmB!O{cND{}!Ya0K0z%7sUN;rwD}q*Ha|BKj1XF`wtvOxc|dxu=^d(;B^0? zQ`p@vh2;Jdf)Mw&oh0CXQ_|gEa1P!53`p)5I1hGz!g-wTXTstBC`c&=4*wq#5ceND zLBRc`CrJ+f2^Z1b?{Eka{sx!8?r*q+)BR2-v4{UGNGSkzzlH+D{cMEXzx4#k?%!|) z-Te&*5$+GT3U>d3t2o`?bOO8kZ$U}{u=^v_A?|lOP9Xfh9w*uT7p|kb|G)u+`wMP> z-GAT)PWK-=j@|uINbc{@gSfx#7y<X2lJ5Qwx6s|sfaLxOx54gza2u!lnQ*v23Q~%J z!+(b<#Qn#P5^#U%F_Oby;2ygB9rh!_f5Sbn`x)-zbidOv?BPEPQVM|G|H201el|kx z-+Gi}_ZvJ!cYnh^g!?Z%1iN415l;6v9mVecTaZ!!?0yLsi2L1+5D5RTM@V*mz!P-$ zAJ~g<|A!}F_d7hr>Hb4Uu)ALh$^9PQ5cjtoCg6Tk(%oP19Nqm4NbVPS0d{}F3!Ls} z!r}fXNGS#m|B4`p`;Q$W;QrFXB!~ZmSLp6{*n<dvgV$j9H@wE_ey79O!+#c}6ac$_ zMI^-iY=qpu^$^MK-|!aQ{SCVj?hkkecK?ERINjfL2)p}lK}rFz`)?#b-0yafK=^+> zNV5Acd_Z^qfn5mq7kmV}|G-C_?mu)8yZfb(+|Q8#aevzZ0`4~@-Tfavqr0C0$^8?) zfZhM#3r_bl;c$Nxq!a^(zePU8{m1qbaDV9mlEYu%JG%QFb|S)m!*{Uz8Ghh&ztaKi z;Xeyf3V_|8Q3i288zJ{^-A}Un4Su1!zhMW${TF_L-LLQ)r~8}sV|V{8NGSkz|BPCQ z``z{t2>-A9NOpg~Uv&2$*p6`jhreL=JN(1x{zLn)yI%^){U=%=?r+;m!2PDAyT5=z z6m9=C1Csj%7)3$*uM-$WaqYim!r}fXNGS#m{~z5D_aECs!2P9rNe=%B%;@fS*oFvy z0~WCR8(46<-)S%Q@Sg=K1;Fmtm<(}08zJ{^-9xhbH?X0*zhNuF{Q>M?_b*__>HelY z*xi2%QVM|GA2AE!ez)BO!vE`TlHGrS6W#p>wjkVJzy)^y0WO^GKeQXW`=yZF-?0$l z{<d8N+;2*{`#<oYyPpBc{S$b>?tj3G)BQ|1+#dxg#lYdeV+F+h$958Mf9Wog!(TuE z-Te-m5#hf<0PKDSL7eV)+J!y*XF*B<u=`)Ehq#}Oko&jpB-#B2!szaA*o1KZ1!1uJ z6-02lziB6S_uqn)0$}$`Y=^ktZ3lty|GI-@_XmifyZ^vOg!?~;f!*&Qj??{zc3^kE z6q5Tr_Ceg=ww-|cO-Xlufh4;78IasBAO&`Rf)q~oGvRQ56r>abhkwOUi2ILiBjEnh z?Ief)1Q~SqJ8VFNzkw{+{SC4>-S4y=d-%_SlmcM)uQ&s7KN}(UZ{0?+`!~p=yT4&Q z!u<gXVD~Rj!0G;`ZP?v^3sMSz-GAdU#Qknt355UGtt7kuf)cv>53EDDzd#x6{sYQ5 z-G68+cK1smxu4@U#Qkks2)N&rboYNyMRz{~lKUs9f!+T=4X68=aJWATQi_4Y-{KL( z{l_*FaDV9*lEYs>6W#p|YZ2kUK@;qL1}&WKciMtI{AWQ*0kHcsUP9c@M#%kJH<Rps z108htH>^Rp|AG$K{R+A`-QTnsyZdiJN&&F@XMBLT-)$3t@c+7rWcLT?qr3mWYJ~eg z=!4zwV1U#8hc;n%zZ8=DPke{CzilG{_nVUL{sJR(_cI{5U%(jb{sd#3?q|Z`{wPQ( z1`hup{~+!^wt;~AOE;1n{u4~m-S4mp5&i~dVD~qe;dH;#M(p7~3sMSz-LJuB2$}yP z<o>N2NOu1Q3v~B4tVFm!z!L2K1(rD7-?Ra{`)@%?0kHcccp>h0TTdYTzpf|Q{THm! z-G5*O!u<s{VD}%e!Rh`(>#@6E3d#K)A`thtts~%mQ_|i4!4BR13`p*uU=Mcx1ACnA zXTstBC`c&=4*wm}5ceNjOThi5>qriN0Vj0#J1j?p{{|<p`x%^Zy5DIX_VAwtDFwjp zf1w0%KN}(UZ(U2W`wd*t-QTbb;r<J*VD~Gy;dFn~TI}w>1t|r<?w8PlxZiCJf$;yj zhGh2#c%Zxgz*2<!KX`!M@8F5k{fE|IcfS;p`#lUH?r&R7!2PDAyT8C2-Te$m?icU@ zyFbAPr~8?3xIYR~ih;ww!UE#{W2*?bzjQUp;XlC--Te+r5aDm&4|acpKTh{Mt;Qby zvmm7a*!?T)A?{}*<o>OzNOu2*Ky>#vEJnCLAPDUK1wlC7-?R$5`)@%?0kHdTxIx_S zwvs^je_ctk`!9r`yZ^u<g!>CZ!R|j0iqrjvR$_O*6q5Tn{2=acTS376rlh<7LpZwo z8IasRAp-3F2N5{k&xFJMQIJv$9R3!e5ceNjPQd-8D@YE1foOF1J1j(m|AuI=`x#<z zy5DI9_VAwtDFwjp&xnDzpN)|Fw=O5y{RVO9?r&ItaQ}rku=^F_ak{^0Id=Eof|LSa z_s>X%xZiCVf$;yjjAZu*B%-_jz<h-JKO}<P?~sJk{fCxecfS;p`%h#++~2m8fcs5J zcYi?&y89WB+%J#{c7H-DPWLn6aDNn}6a$C<k3xw1k1ZkK{?er+hyR3hboV>VLxjIU z2H5=#893eVv=n>z&w`W!VE1cOLfp?r$o*TFknH{qS?KO>n2T_KKsMO@3$k&#ziA0} z_uqn)0$}$?G(g<%wwOTpe_c$n`!D38yZ^u(g!>Ef!0tbghtvIs7Grn66q5Tp+9B?5 zTSUP9rlh<7Ljk(`8IasRp%Co;2ZcD@&xFJMQIJv$9R54{Anrf5kbwJ37m*zP0ww6~ zcbJU`{|zNz_cN5@bidOg?BPEPQVM|G|6(e{{cMEXzjYzW?l&k$cYnhyg!?a)gWa!C zfz$m>3$eTZ7NisayI*21#Qkmy2!#LF1thyapbFjn2WBGN|Dg)(eurwD?mx5uyZfb( z-0!gj;{LYz1l(^*y88=i(cRC0<bHuVu=^A0aJrufhx?--r5HH;D^^3?e{3EB_m|Em zIs7Lypu68;1|s|o8o};wXvFD$r}@~!e-@+^0K0$1CW!ml2)TdjJd)kNp&8x%4bu_s z4`>0qe?bdQ_czVM?*3blQUL7!8#^KHcbiKf{J+j6+5H#V(A|Gv8p8br?O^vGXvgXP zLvyjaUkb_n90wupZ<|BF{idY5|3fFb`x%hjKcNfk{s&z+-Oq%>{ZWuo3>^LzCm`-W zHk*L^OXrXr{sO(|?su4q2>%VeVD~fh;dH;#9PHsg3sMSz-JfwD;(j(l?%z6_WcM3P zKzDz`6omUPOaQxIVIofVH_gWG{#%ez0POx5*C6h9n?)e}zs@4r{Q;BF-G5**!u=m6 zgWc~i1*iKD&BE?}DJ1uwxC?QA+e`xPHznQu1=G;o&w%89f$3oPCrrobekL65kAjq9 z;PC(P1mgZ<GYGi9bSBB+KVc@i`yD1B!rx#P*!>N&aJt`VCid{31t|r<?$>w&aX%X& z_ivp+vimp8L3e+{M1=bT=7Qb7U@lJgH_gEA{#%ez0POyV&k*;!O(zikU#FAo{tNTb z-G5*L!u<sc!0tb=0H^y8O~>wjDJ1uI{DQc@Z5jdho09JS4~x*<&w%9q35&t*f3O&* z`<ZaKKMGQcfx~|XqY-%i=-5;O?k}B2a`+1@LwCPJKO+1$ECairVL49sJ59qL{<9#Z z0NDL6I3Vt4Bjo<AQ%QEe!Af-ZH}oOge_<uq{R*pay1!{EcK6?clmcM)O9(*R?>2=% z_<x;3vik$ppu7J-FT(vF)_~pbuokEL4^6@DekmmPdx%5a-!_?m`%OuAf5Ccm_cI{5 zUtj~+{Rtazx}OP$`=cPG7&!bZ<RI=pHi>}yODB^Y{u4H#yWgP)5&j07!R~L^jMM#2 zld*^YEJ!H;cK-@hi2K<Hxqs^<lHI>yE4up|x)JUV*amk0f^9h6-!uuk`)@%?0kHdT z=s?`>HjzO1f1OCO`!DQ3cmIJdg!>D2g57^$Cr<Ytnuy)~Qb_LSFoC$gZ2|%Jo09JS z54+Lb&w%9q346fqf3OFq`<ZaKKMGQcfy3Xz8sh$A{RG@!I)UWy7ub*Peuqv(_;1(` zc0a=bobGp;fIa+YK}rFz`!k#%?q?(9{;mBayWijty89bC5bnQl2<(1^!#LgF)Q{c$ zw;-he*!?p+A?|nUBM|;y`$%?wz)^JfA81Fo|HDzR`yGzqbpN3~?CzIBa{q|{i2K`m z3Ao>sboUpWKzBa_lKTZtg595R5~ur_aJWATQi_4Y|3^5){l|I;xWBZQ<nW(x8r}U4 zZHVwUI0JTn!x^0Jck0C+{<9#Z0NDK+@eud35pw_59+KU^;T*dA8(I<W4>%8Y|AO;4 z-QU!M-Tk*9r2yFd5or+jyLA%?|F7L7yZ^#PboU=<LAbx*64?C*F5z_lp>FK%mqK!X zM=r$uZCwQ1Z%VrRKU_g~KLe8cCtL-)|G`z9?q|Z`{wPQ(1`hunB@p)?>m=a*(k_z2 zU*HD1`yHAQ;lJSq*!>JQak}5B3w!v_f|LSa_rIuyxSx%X`?q$I?0$pW=<aW5Lb(6J zZLs?l?%;HPQzv%!--46^VE0QjL)`DyK_L9Uc987;fP3ifKhTJ9|A%{E_dDFj>Hb3< z*xfIM<bIDXi2K{x3Ao>sboVb16GPwsh2(w*aWT;TuL<H}IQM_yaDNn}6a$C9z!hUi z|F?~R`%BwN4*vs^=<auDK!ksS6xjV6q;R_5sU3Ux&w`W!VD~%RhPa=Nko&i`k?j5l zGU)Das7JWJK^E-(3$i%f-_(ZP{kI^c0NDKnk09=MYb6l=Ut39bKZ63g`w!G1+`m8p z?EVi5INg7!6}$VTklerECB*%0Ed<<eO1k?Ml+oSKfaLxI%3${ksNi%z6At%BK}s=j z_+R({asRPq0`4zuAvydV)X?4UP>Trv2WnvV8>r)Szf%kL@Sg=K1;FlS_yKW08zJ{^ zZ6?|M37Y8cZ>T}IpFs=k{s1kU?r&<w?*3blQUL6JgZ~isyEPFA|F2CXyT3sP-Teou z5$;#e1-rjM7pMCVHDPzZ6q5TB*i69XXImoy_nVUL{ssEz?q@)9zk>nT{Sypux}OP$ z`=cPG7&!bV@Iu^wtbu_0OB+cJ{{u$o?sup{gnxoD*!>%fak}5B5qtR0f|LSa_a6{} zxSx%X`?ofb?EVL)=<aW*M7Y1f4D9|3W;os7)PUXnw;-he*!>@*A?|mpClLN$>q&M$ zgC)BA4^$xBzrYgg{tuQo-G8VayZfb(+^?VvaerGK0r#7d?tTRuboVnLx&MF-*!=>w zINi^L!~Ic^QVbma0a_6EAFCzc{?a;<!{5Ok-Te;bi12@44|czS15Wol)nO0+S&&iy z?EVHri2K<HxqoXd$?i{ZLU(^d8N&Sx&S3WkIOBAGQ!RG)--46^VE1pZfVkhShCuj# zts&X{4X)_!KTwKrzk(as{RM6~-G8VCyZfb(-2cEH;{LX30`4~@-TezZ(B02~<bDTF zu=^)?;&eX~4);evN-=Qw3%Envf2@jt`%9}y4*vt*=<atYL4<#T57_-1d~mwosTzCu z&w`W!VD~%tLEO(q$o*TZNOu1NKXmsu6eHZ<;172H1%I6GZ>qxX{#%ez0POyPP>B28 zDhY)D*GiJz&k%&}{sTn__b&(nyZ=KFPWK<G#O{76B=;|ffw;e|f`I!?Nq4_OD7yO@ zklcSD6zqP1Fr4mZ!r}fXNGS#m{|m_w_a7@K;QrDIlEdF20^R)%g^2Kf5CL|-K_pK1 zJ5^v0|5=by0PKE-Y>4~W2)Tc2Imzx%h(>pRLjl753^8E$2gKlXe^WVj_uqn)0$}$W z6hYkYRz@KFzm}2g{)RYo_aDedxL+Y2?EZpyobEqVhTZ*ANbXOlgt))0lz{t9Nq7H( zM0EEvAi3Wm3GDs}NjTllgv0$&kWvgB{u3G??mt#S!2PAAB!~Zj6m<7H<RQX8Ar<WY z4XHTY?^KFC{AWQ*0kHcIv_ss_M#%kJOGtMAgLHKFH{>GR-;e=z|Ah>k?r$o=?*3bl zQUL7!4}B2#yA=}%|F6X)yPqK&-Teo05bj@)4R-&BY@F^tRE*vIQb_Jsm<DlwTM+^G zo09H+g*<fkGa$MDKpxor0{J-I&xFJMQIJv$9R2}wA?`m`NWlH2MI?v6Lm|5R9kLPO z|DX`;euE;M?sqD}9{#f+r2yFd4ND;IXCvhPt%W4JKcNKO{S8?N_cN4&-5*ej)BR0_ z*xi2%QVM|GzhO1R{cZ&W!vAXl$?k6`M|b~$OoaOtD!}e9sKDv|Lj~B~FNNg(2b&=7 zZ_6j(epAxjzn}`;{R~L%cc=!te?m1*_cP&ee-xw?1BbuBE{OY&<q>dyX+Fu}f1noK z{SFz3@K2}%yMIF+PWL<IV-NpXkWv8beusk)_p=dl|JFQ`-T$Bg-Te*e2=_NMg57_i z5vTi`^02%A7NisayT9NB#Qko$1j7GoF3Ij^XhC=Xfi#5s7qo!g|Dgq^`w!(}cfS;p z`xl&txW6rjfcs5xxj)#W`Hg@_=jVp~*I5}D7)k^^_M0;>FfcJNFc^M&@h<hl|L*P{ zMg|6t?&bzY28Pa42Y<;Qd?n3zs`J{xf2;?eF*iPXz`($ud9ho>pxecO<?^fVmoC5H zJk<PvvC~C`r}+_MXNU?%=j06n3=EykTLc&wJbHHvurV-rG#;KIz`)?s>!QM8c**dj zN9Vm4{Au7&7KlDP0R|kyKrXaEb)g-?g+3q`dfRw(I~!oS&EvR>iUtD%14Fls0nDA3 z-*?uiXn>viqWL)^hy!t}PIvbj2CzpLFktg2$S?(LhAAK$rT{TarMvqH#IPGU4U@oT zm;|z65)i{=y1h$0x}7tydr$zIF#^cO2tbSx>F$<+#H0Y$m;`x{1DjzS$cAx14CC?X z4N=kX>5WlQ@aZj4G4Sa1QQ-hZrhreckBY=TP-5BzB6fgG^y&QW7~~lIVqX>{g1cQ* z3_6<=1Q{5*T~tgun^OeA>7oIWE<6Mo7z{7%WB~<W=RM!f4==tYgY{WNJH{cB1~`d0 zhC@;aC=Gjd{`1^F51KaiZ2)V({~{|Dq<6Oh9|Hpef6FNb28LtJ6(Ejd!#+rw=l1Na zXEglwf*~1{+TXB(6Tt&kT#11AF!Jc#y@HE@;WNRLNv2_&gI?%-!&U~n}&`JylN z!+#WKFd2S(QJM7Nzen%x2V5X`Y~Fw{0F+G-dV`Slav6T}>HPn~F6qO6&u%vjkJbYv z0v_EC4j=~maR&)deuj9P(W963_rL%DJvy5~LE_PTL?GHR&M_VuuAtlo3QMH&U@0_2 z4Zpp(m-ykoM|bxNE(V5f#%@oR?-w{vG(Ti)e!vJ%JRaR(CwGe}9s=cjZa<bz9~GVw zb<R^DE=;zLh1*W?pyE%ET(=(!H=p7mMX-Wy7Zr}qW&t5^%HZj277+rci~w$MiSa`a zt;DzsauCQ1Q0Gqor3c3lkS`=WIzNL`#CoXv_icoxhzM|M+Pwml2>4sP7(pq50Td-D zQSl2@{v~|)5Aqo}k9l-APvB-?=;r8lVEKNr`2m0Dq3@RzPklSYUn<gi;JAwlC|fa< za2$705n*HiIdpLcNWF`S$oC7aE-C_!U2UKQ$KRsK$iUEYpahieej9#!(E+lhceeyL zQc^KpoC?YWh9?mbz7RRWL3;lfetY2q)@z!Y%D}LT8Dwea^A|S~kWv*iWvGDEbvH+V z9OS|B{bDOeNeJA#P&Eu7H6VY0vaCn<WRP!n{Qv*|e{&TJ!%h&Rl&zcT{{h33oh~W@ zojxiepd>8-w>JUVUOvy}<3Ay(az#AeR0#@tP^v74q)Je<cr+i8h<1ztr%Px+{Wtvf zB0e6RGf)F6D+N8EDpC;s=WqS<@BjaojQ{`t2bUMYs5J?wbOPlK!*4H`;y?WN>2AKj z$-v;)&CuC=KnTQeVCif=0!f1hAZc)g5Xior;^4$|FZyLv{0E59*v#JvO?!slUMz|O zo6o@oGM1sUxj-1i0GVGR3{K-eAm&F1qniIK4%K{&`g#|_4!bx|3HAq4%736jDc`wU zK?GEBtB8P|xPS+o4LL+WsT--{4vPbou}1`=4})?ZTKTaX;l6pXAorOtfl9V!4JOJ< z*v{P-!0x+(;=Ua)_w54ZLrB|Vb1ck>;CO-&Slqh@;of&KAou1#+?zlZ_cn-v!V|P@ z)uVSeG(16vFo5b#j$Poi2yP286Ybu;2>057-Ma+h-WgPJFKB|hv)M!pIsPTYpz#mR z`jGGqjv+RD_aWTd7Yz#EI}rC?po)7Rh=IcQ35t79z}*XKQ$XCiIGR}Z?nk)yUKGf^ zBFy0Q%fU>I^gBTu<lZUb$l+Td4hvsU3ku@i&r!s>_ZGsvdLT{R%^B?AYAb-{;7g{? z3kP2?H9uf%y~OWwsPj<sC&t!OC1M_sg0GvS(?<nVzk%BBuXS1vl%#lcPX-l<KHbe0 zpv>P}qr$Nd)N<VkA{@cw3vp1g16SM$GO&s}MF#An2u^T`;UNR{k>Mp+$@xMN<T!BM z?Ex|Tb;E=SSn}g-gn!~9LG2IX`~xazvHJ%k<I~+-fYU$jpkxX5kAN)9KO(YV|4e}R z=LZ)51cDp~^AE^ykbgk!5tyIAt&zu2-|X82u0Za;I0X)d-3Fir2!9JFxHZxN;=Evp zMbu;9#sa938XW-+f(4)`g;eDHEms&A7~qvTsAT|ZMjC+??!LheO03{GH2emMt{X8Q z{<j_|<po7LI|D;=MG8ZSmPhaI3t*+2LD3CuvO;4E(FT!4cM`MVw-+bEK~CB&zyY=i z6uK~*@(?z0a3I+P;&^m#1}Vj5)8-f?&qEt1@!@zI5};56H6->x8WNxoMQ`3t@HpOf zK$d|4R4;h+?(^UUrOsn3WI?Hu)gT5`^BSIf*&YtBay^c>7059#fbyY7?>+&D`Up9& z`hr-H`kkO=*UJ#77LVg?3i6=#6DYOpy8%jKjmJ3T!Ril0gVY<Ie5npqJYfQ)y&CEm z671Re)wA=z=ROBm`q&I^&AorIDgqP`(C#@nAilo{3j+m2>kc*shHj65<{Cy0h7v2! z?!5;<9jIPWbC5;fc8W1DFuZsj1&ZvFAkXf-Amtvtrjj7pOAy)E*bo0-vwQTivP3g5 zcy{-K4A{lMaNvKpq2jgu|Nq-FbW14y=KS0#36)e1WKphQ;k@2i$>Ip>BXE26)<qkB zdvPH2!++1-y`V0SN3ZFoD3EVo+zv-_K1gMZ;kOslASyv!9G_m*4zNm}&Sx*yAuHzd zY(AC-YC(Q~kp@!V-3o3_!~ARQ*}WIkLiOksbq5*n4cuXT@jDXiUq8?8y&&Zty{4KV zSx9&CMQ#jeQ00hccPmJ1H%Ifs502d;D$S4hIZt&8z=S<mz~Uh)ED(tR7SG0`6ZjcG zYt2B-(pXT#^rc|ThyN40`=;<RF!*%0b?~Cp1{V(gU_JPNx%trp&5MrRHVm%aAq*^+ z-#cD@)%;VsPPsRL!?9aLz;P#NM8Q$>q)X??%a1s(Hy_|}>}FxO{J@d(Q1f$n$L2@! zo%=4xGcdSxPP-xxPEtD{NpOceD0IPIemNroo?JocJAezGz8yd<!Ny}2Tp-1UCtv0v zsf4tE(AvWm3=9mQ^lbR;#iw9!dlghGgWJOl+_3g43#g&mTdm>Ico@_Z1a(V2I`2il z3<&w~AI&^Vgn5e~=7DNWuz4Hc=52wPmjD_P;)b{sY#sxqc~%JXf*|HOFoT@M(Ak{8 z17d*M(<z`_*Sq@ysDN!e?7@R%<l10FP=Nyg&ArwL^FIZF{a*nyAC&hUyFuoQfTEyx zHwQRy5C1?m-xu9{Q2Fl|5*z^TjQ-!Z1>~mA`!BABeE9FvyLktw#BJXFfE`qTceR6h zhb2WV2R!$HMz}!nP{QNcTcZLhWRruyeV-Gcwo<QYXgJ7IFX}>&G8dm`^AQ$MetG`F z45Ya6umz~0(rc;#R_xJv|AimO+RpDD%||#u={U|Y{-t;1hyN%xf{U2vFFpq%+xImL zY#$%8ePE}7s-zPjrEuF$ft7-6dk~D~IwpwgW(0ot|A}9Kbpg0H&&u=v|NniU0vX&| z_v!rZ)A<qEg__8T7A-utLc_Balo)z9TY##d=G_UP;TA_|c)GM4C=vD8?*@%okLKf` z+EE1JW>8}H=rv`7yIBWh5NZg4><5Jq%!CqX2we>L@ZY2HFw}_4p`Z`~dHZD$lDBz1 z{~v+)dQku<%31UN|NqY)egL0`Ey59r6wSZep#Gf-N}Ro$cYyrc-TVMVwH_$(`Tqc3 zD2saR_d$4>#qiq;MTmO~Ac;a4?p{lfS*Tt%168zpVEW-^LOp)NAMA0cL8n4s9{&)C z)#EGuA<_L1RDkxfg1R`b<2^A=2d5`c+*F{LUj;XR0<!s_)XoeESr4cM4G;@{{==W1 zZiXU)cLG}e7l8&DsQmK-=YJ1IP_Y6U58wqcK>7a&B>z`H^8X56kpIDr^yrtq{zztn z3K&rQf$RX+?Rrqt_icwp<GBE&5Cezq_ZL-upw?9L4OZm3-J^RqXh71VS2Q{pG%~*v zlwV%(2ZQT6N008^AmtvtrnVs2OAy({P-xw*5e#bnH$P<b=$;HRrIV}Mfdw>X*WC@~ zI-*wPpe+5>7wiboKs};?;nBTY0@A>^7KCs_R}kD0AmtvtraM5gP)Ga;fjVMw5W*24 zQ;-}1=At<Qlnx3Yj_^Qf<#=@O4uCi!5M<3ah+kO|jsPk5=ruJ4$wD1b4s(PYk|RK- zAUOieMRNqG+<ol>4hhiEG)hQxKpb%<5D^j$f$)$3Dfj3#T?dkdIs#Omf}2`10}&ws zG6l&IU@n>?SPj3uNP{>6)PU=TwSTNUx_5(`YaYF#{vd0hA@Mr^?g)@_k6u$lkSx>@ zxxvurkU??;$P^?;fVpUnU^D#o;-NP<BtD==#}7zIoC-jML>a;nAmtvtrfWd5P)EE7 zf;wV)03swnrXV>2%tdnqyWzJNF%U;+fV)4imaj+mZUazF+AHb`vIZIwAN}DW0aEVK zYpM>Cg*pOMpMs-92+0v3Q;-}1<{~)))FR~ol{w#ET=4=2MgqD!KwWK*UeUe&h``81 zxC5lzqt|pkNEYgj+kw!)=<-Jd2FMg7cYwK$EFO)AEkNDR&imjI`<I~d@H4;MuV9bP z-#fptfSQM*Yj~I#JbF#<1~4$ZPym&qy}Kh0i8H)d0?JFhyC;CyGkib%k3J02>Cya# z!=u-9JxKK-kc#Fb9AF8MksiI<S6mQh@aWwyAt=d^#hAtD2pZ00a0CsSGJu(&p+|-n zpz_zFck=_V;@uAf@G6!DIjZ@Hf=BP>j*B4EcYn~stKk)>JnY?k0HU}7zv8_h#Sqhf zKs4;Yub~H|p?C9(t6--XKom<jf(I#}9tDl`LOdD|QVcO&;Tl*&1bz*AAPv2n18#s7 zH`s$cipz9HkYb4G9S{v4IMhMmj!VM@P>l6%KEWXgnpC~P4>p~{aVNMn35g^S6XN#8 zAjJ^V892cj81P3_5lBPtW($a73;c>*L5d-!cZ7mXFK`4qN5F9>Xe<L7lprR=IU*np zy_++_z=}IOz>0Atu;-xS4`TX?5U_>=_%&<;Y3SW-0a5$|zv51iVu<My5Vsq6;&o00 zNJH=D8xXf=;8&~-QVcQu2gLLR_%-|jm2kbAf5@qWV)X$RI0@hi#<L*B5YunSgEjmR z1Z%(*lyg8DdN)fzOg9k1t2iH|7-ISgS+MCD_%+ypH1uxnfSA4jzhXg<Vu<M;3SiT3 z;Mec~ROa?>&VYDSKp3yvH-i*6A5nl5bdd7Q^q4mT!;6`q80ozKLge@V|KMEm;=4Dj zKnw+q)p2zG_UQZyD&QKxi4|0H_<%}RTXi1>h8LD@AO3rEzU#dI;=d=Tk7PRoWNI@= zwAXeEh@I~B0cvus>xciL!C4Fe$VI0|FK@>G|NlLDMJs-RC{uGVWxD{R_p~c`oL6Cg z3TX7E)(vJ-GQ>X|(XrqH8eDRNN^8hi(<nI_0;3@?8Uh$0zzAN-#lWERx+T)y^WA@_ z0?;Tk0|Ubcdk9|z%2$BW51`@_5PsGV2+hC(r6VD<L%+kHl^-wpCI#4^dec7fEXzBH zzK+8Xd4`z~`alzet~m;!ryPXP3=f<k_WywBV@QCC2S8~bDE$U1{{l)sfzmgi^aUt= z07`p8^fi=0Xod|CafJYwdqH!03=6V;IJlMOC6{F8=PBeSRw$(ArKF}PlxLP?<d>Ex zBq|i8mXsFdDHN9^mZavU=9O?UAe3k35uu!c!C(ex6rO?M#B2!tU=@U}SPP*Q{zB*- z{~`2=SrGccN(en;4TM(s1EFvHgU~xxL+Bq+{TxvB9={>t3+6!Rin$OP63&o;VPrOF z90A4$(Jro0o*>%SGb8{+`-2E1Oi13t-O~d}IR-P_A2h0jA<W=fRFq$2r4SvOmsy;d ztDst}YiM8?tKj75=MwJe65^qdSW;4ynN(Vms*qW%P@Gz#P?E2pTCAs_;FFr3n4?gZ zm{XcstdN#pq)?KPS&XDSu_#r+KOn@@*E7o1MMuHI)7?WMzevHyKU`12Gq1QLF)umQ zN<lRjs}qc36`Xy%-JJYGL*jiyeL~{hkW5B$NE(q2G14<IFaSB-%ml<D!Ue`iE(q{& z40ff=0lLO!1|XY3Zh$h;9Kpcg8tmf;iXqT|Cx~_p4GIC#FpMFOCGJd+oE;kM8t>-m z=NcanjNS3XMq@}&s4FP$+#G#^UD2G4EdiN=9pUL`7$4vo<mvB%-F9N*4jyiX24E%; z4lsi{z-XWxU=DRayjzfKV7#wm1Z6>B0r5E~@faGInSl}#5usp#>IhFi${b+`4t<Cl zh;)G^*aiNfAqMd-o?)IYu9W6uLy*N_Cm30Pn1n;Y&;ZE|p&^m+&XLYO6lP{nKp28t zVW4MeX-R||3=wXiOId;?QyM~wRB&9-rC@+eXoAWvY_5S6ul}JShR~FOJ#D5CS-yi~ z!_dfrh`4~1kPw>(Dm_37NU$3QEImNVNU$5|lpc&gi2<|%8?)XrgcO+mp&>@}P7jb$ z5@Pc}r3ZL9X%s(D>A?tIP8!A2DLwGuP7g-#64RK@>A?tIP8!F%3{ZM7f|riQ1D77) z<)krv(gT)S!U$eYn#4Oh20J^t;HbEXZODQfu%MPKs6|V-t}ud^ktXy`h4AvwWZ+UE zyks;PxKs!)8BGQ(6&k}!MpHVc0%Le7XgXl2z!+X0nhsbhFou_hrURD>;N_tiy;A|a z6f_&SQ~)mt%?2(Nz{^ClflCFDGBLo-g-Shp6H`!U5<E0z3SknimW&~VBEk_g?%BKe z_=Cq5qoD0IbiSWwJb1_|)Cr=%$I&kc#BvRZa0Sr_z8`pu6H85R0xDzueFI$mf*nIV z{c(&`5L?YhdHDOd=qNaay2X3?=_t5Hgt!LzIr@MqeosFLn{X(b7{)5Nc!oLqcvCUI z8<-Jcv3aaQkgHp~muE<bYmg!KjxouDXG9KFm{=fNZbWCxEn^jegX3K(jDCErJWzTB zVc2+ie2^=6jMdK-Iwb;<1g#Q;j;G_25BK+p2PqA54e<lfXxbUz=KF<%hw@!OijXi& zzhAgNiWHW-VQK&l6<>c!Tffe(pu`^!8j06YfO35N!*vu~{KNgg!k`=i=3tJ}GcYg+ zs6#w}&5edIH{u;}C3ZN+1Ugy|q74Y=7*nHIh2Rj!ppejj_;5$h5bUXz*wO>kHvk0$ zI2jRPxpAyQn6p2qoS@ENub~mrXs;QBO@uQ{(40YOr2w@VG|UNQqB+7P$XNlL6cnr! zTwMJ?^FtY#=^5a;pxpeTRE3g^#5@H<1s7)@Z-iFRj1P*=a90v^LT6PO7*LFWSe}}f zn3R*6qL7rD2D05H$R!dwlUPj9dh+z9rX`l<ltAVw6*BV_T!I1=+=6{kZ371p>I_?~ zLU3koX-;BEevv{^YH@x}DR?RrF|U;fnO9RtFG@`;Nd--<fkU#OSWkf=BqLR!7@PLo z(&7?@q*T}>onb*SC>0myCg$X%7NNRHAw01tFEcM4dEU?1G}a1Z(k-)CAuqoKW+Z54 z7Vg^oGzC3FBU1$p)ih0o#Jm(xEGDIb3@yqp1yAUv<d^4xk`G7)iv0=<FbAN7i?JEC zT~Jy;lKtio`@v)6@c9b*27v|Doq#h8K>Po}1v+SF0EhwFqzj@!8(=^*X!9M2<^~ZA z3=A-N(Bejr5KNvI#9?4yV1v?pP#UHVv?~N8#0er87#O&qG|XI>IBYx+W**EO(CS5y z7Vv2h3=9l{APxfqgAkM!hSH!-1R$m;h+tq~fX%>z7LtO5Ks#qav?PdNU|^7f($Y{` z21?69X*nnj-B-u}+A{_cQUnnU3=B$8S{X{KKxtJdtp=r`)g}Y@NF30iJy3BiC=ClA zZ75#{O6x*t(DnroQy)YyFfbTEX+tOt8&@}m@=c($DU^oAiy4#;I%o*Q1Z_G4(V)#@ zAR4sE3q*r9V}WQJ5W&E}U<;)|o1;KXSo}d2cQ7zO7ke<gfF_)mP#P9bu=s(+2Q2(y z;SCGl7N|Z;DE$taF!w;|E+}0HrR|}#8kFXR(qEv9D{e#SLr{7pl%4>k%b|1}ly-&E zI#5~|O8)|R8V$R;c!q(5JYC|wL9~x^7`ShP(wGBDK(SkV2zUWTsBb*Dy&3B71nx6= z`=GWvp~@LteVijfZ0Gn$h<cZJaP)<Q`a|sl$s#Zo_26Vef_iXrAwfMj`H`R=oJ>hj z4^GY`s0Sx|T<T$I6O^<-7!<W23`)Zw3@WQY7*y7PFepue@PamwDnvNLSRgZCY!HoE z%bUb1c;*$9mMA3W<R@n<Bq!!6<mcs7f@|pV%#!2`(2}Q&)I5ct;1F9wJy6x_=@;zk zqmWplP?DLOs-Rk;kerd2myWCssWA*{NTIGP!tM^!SOrj%0kMw9*~c4cX$GRvp_-<U zR}8MnL94Lx(-cZFQWX+&a`MYlQxu9o4pD$80j&T6s|76wN~(mo96BE2>8J1O7=cpT zV;R{u!|EpZYK;MLlR3mq$%#41pr%=B3aC}DkXDphSelxbTxqMCrr?`VrKb=ATIQ5m zk(`>EQVb5Fg4Cki%#spx4bULVPXmRTZ$=fUB?2)6+?-0P1euTMHNXN66jx!+{s@af z?J6WkfmX?Zn%JQBqZP;qB$c)bptwL;HKnhhfjWqx1ybVa2McRWJ%xar)WqUcg~XIB zPy-Si>993l#UMw6MDY5NP;6U_sE~y%dZK>F-hnl^KpCBZfdSfxM`=SF8peVO6mT{U z2oG`z2aTn`%M(}^A+fkPwFs1A6H638v0nm8Q3{57rl1l)BQd$8G%-g(RnHid%QY2H z;#UE?wMI~D5oH%ycA;2>-6rE$1uA(A)iTTy+|VQzrDKaInDrF=QbEOXVkRWyz<tf) z%=Em(99Z`=FSVjXp(r&mMZdf#vm{j^zaX_J5!}ry$tcP%P0s-J7ExS)BV<iu6&zDi zic*V<6<i!$T!L&>lR!m6X<l(@K|ww&7NIo;nt==qur@QSt&HAA#^P(x2w-ps$c~)+ za-`Zw51g>_Kprp22UTO>-hMf#3Io?upsrs*Vo_plNoo<OWW?@E?2&2-t1Q8llaWF} zYEfo>3OE&`Ra~%wO98aL6x=sW%uPk}HnxlbTHgSvkHJ<L;;{mjgYj7bYa^b9Hm()G zqkzzi$-ux666y~g4R^!Uz=J9Uhra?!7B+^=F`yLV8HvRTNvWxM3I#>^=|zdTxv41% zNa+YvOsl3TlxJinXMiFuzYIKRkcv_VfvauQkU~n)Q1`$ngOE`0xFrGSL+W-k_rvFD zkXHRs?tv7rCsT;@fFY6YhxyltMD@lbsy87~y(x+6%}7-5?c)sXzraEOJB=-Uf{I0y zi4m$rE=p+wTO<x@=wi*$hA4%GAt>P&m*f`|q^6*l6O;;Sr)Cx_fSPidMWAvURAyx& zHO?U$4p4^)u@}dn<v~crh!NE-1qV1vc>r1s6c7v<<4jC0$t+7%KptKV2zK`IhL>jW z`d3c@tOs5TLo|Zc>4SSA`FW`d$U0H871l!9$RrllRxF2Ad;!5O{(i0s(5eqnoWN>9 zNTU)|Gk{u=0U4l%W^hJkS_ztc3?2@@|F3fR{XfL<_x}e@zyI?%|NbxP{QLiY=imQV zx%~bg;`;l4t?Tdqa`S%wzc}yr|EPJt|4*9t`~Qr2zyD+Jp<(uoU@07HVuE&)(o$1X zk`j}%6;e`j5-X9?5h$X;-5)&#&k_&^UdHAoR%GUu=0b;TVQQc|928V@!NW$d{vvdU z0(5u@*g3E<HCR6rTAeV!#;RarRIsrlSQ8L7<^mf_aSaOc53*87DJ`jtPp(YP0d1`S z56Nccl_ln6f;Kh6$ITq-Af`a|6o6wTKTSbHHANHTGf=IXlv+{_s&90S%?v=3F`xln z2oojd7&ySbKoBsqVIr`xFl=ECT3Dl646+fFLBZ7%xW<Q$xMt>oyH^-JP_RN2S76Vo zpw%;Yj8DvicW%L(So9Pep?zI2w-{9WTQR7nF~E1Lfa4M~e}Y!XfO>6?uwIh_s;$IM zdZ}Wq;jq@Q#*iKrE*puR99B&O*Qse}fyuxq3Qb;OP+A;HL-wP=(kz3pBv>4hnm}i) zXo2|*%$i^tG@b%Z2aKP<e1`g;5SsZHl!oqmV^o6hITa!FGgT<92BtydF<^6;)xmsN zo0fr50L*7#&;Zl0HZH?6WiX%N8FYUgBNv3v$_=3zc_1_^FN9|1gVOvET2Tf<GsuEz z*f=GFqa2vez#tEy89zg4hA$ABA2JkL%fJNYGb%zxv|;0^2V%j#V9?do1rP1QXppEw zC?uzUfYJfs5I#c$gx&z96CxpefhY)l07@4`L--0Y5c&d?Zit2O4dNj511LQq9>RA> zfY1R+5IQ3TLQAAV=o3)7A`QaVNQcljpmawDgl~}vp<h7h8Cej1!dXb@MSO<P1%i;# zbp;^^EnxwnJ@!CGhbxXiXpLhK+T$dIUU3CNbKHf{Kkh;3jE4|<!+QuV@ex9Ee1Xsm zKOnS!0C@f!Qgwq1J_RfAxEMqt+Stk<RzWohlpkDz157Mj4HYtxitx;01!zGEsuG|* zV?6~12GHruV9d_I@ShzqCjl`QIsynS{7`K)B-%!B7pt@wRExqpL&XZ9McSaPaSFBy zAj&hqClbYN&|w`=(F#{ulCO}OS(1@jq~Hgtw)2Y=Tq6A(eLbDQQw*T|3aU$C7&OOP z4xK2pQgC(*3W@}+!u9l1KpxC2Ely1VO@4wZ_~g=}qSU++1*|~`8Bi=JN-fLGFD*u> zDF!uO((;RP!5&m7DbH7cw9On{TtG9J!J$qeL5|KLh%U4aNEoYq#R|#!d1;yHrJ!aW zv;y&UbXG{MC`rvrQOGaI%}+^HFjr49G%zp(*J~xPaSlE3oHCk!AtSPg@kj$h1Mogo z1!(+&LboJeA-6QAB(oqVGa1su*QltdFfcSQ)P#-}g7!t`DP-p4fVWJ7odBv&a}z5S ziZk-d6;e{mG9fx))h={`7qmgPD7CmWrvx%En~_+QQV#0)CZ%R1mSyG_=^=s<DYYh2 z<f$N6ckl>hNxp&s)C0+hrNybm3Z;43d7wF6SOu8|nwu^HH?Q-PlS_+04QO!NsJJve zJ+&A#z*Lg2P+APy2nx!6Ad_ts3>Cl$Iytd8IWYwk=Q)W*>7en)lvI!j*wPNNhZq=E zF*7hUFeB!jz#C>67#P5d&KMXNB0vQy0|NtWy;=;Ep8%zkpftEq#K6E%0Oc1!=~5_N z4y7xgbQP4Yfzl07dIFT53Z<t*=^0RZCX}8HrB^`dl~8&$lwJp=4?t<qL5d*eRS?0z zz;GQ(gRZs!F>ith1_lPuLA@X*=t2+>{SZVjFff3Qyah2qH)4S34<Lepf#EBZ{tl%- zLg~*?`UjN$38jBSY4GW-pkq=&1q5h45R?{z(h^Ww3QEgDX?ZBE0i|`Jv_6yuot6o* z!U@U`fYK3AIu=UDL+J!4odl&*p>#TwE`ZXdP#QMR2Rb+oWKS(rydFw}E?5DHH$nL= zP#QK*I0?$13Z<t*=^0RZ7L=X?rB^`dbx`^Ml!nbi9)a>NK<TSc`Z|=p0i_>6=|@l+ zbg(?goj;&_CunD)0ZLDR(o>=IbSMovkQ}6MCX_!LO0R&@E1~piD7_9!AAr)Jqewye zuR{6Pq4W(XeG^LGhSCq9^g}597)pPD(qEzUcPRZ4N`HpZKcMtaDE%8s!#Yg@(9V<u zl$M3k@=#g>O6x*teJBk&vJ~Wx04P5KO2<O!cqp9!rBk7FI+O+-ya>`?3gwqWY0y!n zAn{r#zaC1X)}Np*0=)W$)TZFt1ym^J=V2|!5%rELXxs~2w8955O7ayl63bH2OhQ`! z18U3UCYB^;Kr5>tS9cvyMV()i0va96S4hn(E`==9DgpPjkSZNe9hIX{o|%)Q05Jko z+hWu{Akz|aauh%%d~#xOYOx*zv|fa?v#_;$@HeuctrJ*V3w){ovKK+RL1P#t8Hptd z#RaL!nQ58HQ2&8Ck}!=(6$WI&4py&&>fEGMg_P1%Q1B!w<YpE_JP2(pK->?C6HpBe zZu7un2@!k6sU;<udFk-_t|&D*KQ|Y0j0M<fc#X*^PpkwD=fY}PP}mkH=B7eM5cCu% z4MbQVfC9?L-`&$$0aW>d&HzBPbwF)aP@4S@J(&c&Sb%|nBC8RB4jXDiJ`W-Q6sXYl zk|DUg39=s>1{H5043Y!UAPi!I@Tx2ji8;2jK@KuPk{}PE4=6zB0!0X|pah{WK<Nf$ z2;Ts5Q5C}jC_O<HBJQ9Dp+7+B1?muffChwS(1g$%p!5N42>*sIgznIT&=&d-`URAp zVF2NK7((bDP<n+CgdbrHp*c(-^o41VRYE8BK<EdzAoPUW5W0gAvTCS<53<T>hZuy; zkbuw~(h&NE8ie-HhtL*=5PF3%g#O?Np(C6jw1*pncJPAGus(i8ab~VwN@kIMVrfcd zen3%vay+;#st=YZO3len21gK>i&I^3SxIF<Do7!Ib;(6##VMt^1q=-M)#aw<GJwu6 z$<1ZREoS(}!oa{N&1}u0$-p4b%D}MT$NT>lnhXpH3?Kd{XoA{%AO0(V_>3R^S7<UY z2ylG(KLbQ_e)zuzM00)ke*i>tfB1hzlY!v^_lN&4G#MBIq(1!T&|+W^kpA#rMvH-A zg3O2iHd+h}2C^Ui2WT-cT#)_nKLtc<e)!)4qD?>iU!ujpu)*rX|05vv_8<N~0r9;* z{AbZ-U~owM@ZUt6f#E^YhyMZE3=9n^AO7cPGcYKmefZy@&A@OV{loty+6)W{nIHZi z07Y~5hyQo985kDieE9zZ#4q^pUqXk0A))xge+$r}vC<F!Lv$Dz7L<MXU!cRlFrnhZ z{~jF%hK8yS|5t$c)gS&J(P3aPsQvK&fer)1g}M*_|L8C<6f}JJFQLo8Akg&Tzlkmb z!-nP${{wUx7y?>9{Lj&4VEEAX;eUrN1H*)l5C50wGB7A~efWPsmw_Rn`@{b`x(o~p zdOrOBq07L~(EH)Ph#mt&Lf?n~26_w(4*ehg`{*$+1Wf$!KLf;{^x=Pt9s`5I<PZNB zfJ%TVAO7#rV_<kN<-`9QdJGH)rhfSUMUR1D!L$$m1@svh8m52vucObvkTB!Je-C{I z28Wp+{-@|OFeuFW@V`Nyfx%$*hyQc*85jcQeE7dZpMl}S+z<b+=rb?~%>VHJ18A|_ zf)D?B3>X+bEcozW!+?R|!NL#!T?`l)E-d=+Kf!>3L1F2K|1|~-3<b+R{GVaKz;Iys zhyPnZ>Q;RCe*sh=uKMu*jR6Bg!0HeGISd&X7OeU3U&WAt;ltVw{~Zh&7!uZf_#a`& zz;I#RhyMkJ3=9G5Km6|i@z;O&zW~JF@ZtXs5P!pm{}({~jUWEM0P#0|_|IU(z;I#b zhyMyj3=9tre)#WT#K7?3<cI$WpjA?rKKySmVqg%s_2K^lBL)VAM<4zlFk)aZc=h4` z10x282_HZFXE0`9`0(Sye+3ZD@bSL`i01hCKLJDweEi=4q9s25UjU*NKK?%dqBTDL ze*mHlKK^GgVPN=R@$tU`h<5n+-vLB>eEgpPq60quZvfE|AO9}^(Fq^_9{|xAAOAl9 z(FGs>Gng_ke5m;NU%`}tA)w*oe+N?rh7Ii>|0kF-FihzG_`kuFfuUgf$NvjV85l0i z`}qHWDFcJSvXB2CfaKSH{Lf&<z@V`8<9`Lvs<yo!|2vp5Fg!T&@qdCD1B1h{kN<1T z7#Kbr|M-7~83V(FSD*gxFk@h7;Qjpn21p(M=l?&<7#ISCzWw(wXJBYh`u0D?oPohX z`P=^*a|VVB>fip)F=t>X(E9d&k2wQ_g3h=9H$eP`Z~p}>7#J2befzHiTKw4h?Z1x& zC|!U1pJM?^*WdnkSTHagnDFiY3JV5?f=S>09|4I^`S$;T1p|Y?ns5IZEEyOS)_wag zW68j<VA1#gHkJ$w24BDbj{(t)KmONPGB6zA`tg5`B?E&4&yW8*EEyOci2e9~!;*oa zK;p;$FP01p7bJiD7qDVr5Rm!tU&o4pVT1gS|2|d>3;{|%{%2S*Ff<tb_}^j0z;MCk z$Nv=|+U>{xBUTIyA3T2ie*lvA|M8!}nt`Dp?Z<xwYX*h~sz3h+STisvsQ>(*W6i*D zK<nrK9%}}M1ihdC*H|+!d@%j_{|rdn{OA7{)(i|2EPwuIv0-3nu>1L6!G?k1f%DJ* z4mJ!70`5Qm$Jj7182J4BUt`0-pb+}={~Q|zh6xcr|L*|tqkjIsV#B}?5c~802O9>4 z51Bvz3)nI+JZSy--@ulEAz<at{{bNWhM)fnY#A5|HvRnH1LE)a`G1Wq1B1iapa0K* z7L#86`Tqq-{Kn7!ETBcDcYgj?v14FRxc~FNiyZ@l!=s=7Q|uTR5}yA2-(ttW(D35t z|0Q+|3=3ZW{C@&Ozxnz91Bib6^S^{W14F{cpZ|UA85k~n{rP`}Jp+Toub=-xCzWmZ z_w&Dj0|SEs^RNFkpb=S)U;j@yFfbJG{Q58A$iVPG;Me~IM+Sxf(O>`9I5IE@i2eG1 z#F2sFg4nPBcN`fQCW!y~{{<v3@#{a269a>Q<gfoKPN4Yv_20&cfnkEwum2%V3=9F% zzy9YqF)%d9{Q5rwq)zVF|07Ne3=8Cc{r}*^z+j;G>%WXM1H%EOU;jOv85lk&|N39z z%)p?a`s@D!X9k7<wO{|wI5RK^=>7UH;KIOg!SvVv5Ell92Nu8nPjF#i_+b6({~6H2 zQu|;3Ib0bS1e|{TcX4H4P;mYAzrmG(!NB9!|2-hu^Vk0;AlmEKe;zjm1_SS3|4l%& z&#(V6ZVU_;e183JabsX8@cs3FjT-}lfZwnGS3tD?um68Q^8Ua6tGF{TBn15W@8izE zpb+@$e~CK-!-Jq-|5vy(Fcbv;`hNi=ANuRRg9ih{fv{iyQ#=?L1R{R@@9<z?_z>~y z{{{~Rh6|Cu{@?ImU~q`}^`FO+fx#gD*MA3328Itwzy9}lg6g?n|95yYFic4M_5X<{ z1H*;1U;lr2GB6b6{`zm@#lWCY@#}ww7XyRAgkS$}crh?6nDXntiZ=tpftkPl7kD!; zESU4_Kj^&A4fB8fXYgTQ*s$i;e;*$P28Zpx{?G7XU<lax>;DrU28M#;zy7EAGB7Bd z|MmZlF9XAbE5H7i_%Sdn`26et9)AV~1*YHsCj>As1hD-6FA>PVV8HhK|BOHeh7D}L z|8EFnV0ggx`~Q(Z1_lB4-~Vp}GB8|V|NY-0h=E}O$M63$f*2SAIDh~D0iwBn|Bnb} zU<ly;{eK6D=K1|!B7}j#f%o_SiVy~d0>0n>kAyHVB=G<K{{tj0@cX|`C<B9n;P3wl zAX@16|0$si3=YD-|DOqEVAvr1`~Qnj1_lF>-~U;{7#IXZfB&}t&DM+k{+|=Z!0<ur z_x~kf3=9(_fB*jh5|{e@UnCsVKKcFMAe@0=fz<E+KH&@u4${B>H-s}VOpyNle@-|9 zgM#eu|6jrx7!Jt&{;w0kz>uK*`+rRY1A~F-@Bc3%7#Jp){{GJr2`Vps|5u1)U@)-z z{XZv?fnkE(@BbZ<3=9wKe*a$*$-p4s@caLRNCpN4r{Di&q8Jzq0)GF`h+<$!2>ktj zNfZOagrwj9KSVJwJV^chUniP@VM6-v|0&U+cFgbpTcQ~l1hRhrzYxv9a3JgV|2H6h z_V523F$@d|*}wm*#4s>C$o~D`A%=lrL+<bY6Ji(`4Dx>eUlYT?(2)20|A`m|h6TmH z|2xDoFbI_V{vQ*|z)(=}`~Q|$28IU}zyDu|Wnc)X{Qdt;ECa)b%HRJj;ushvRQ>+n z634(`Q2qP=g*XO=1J%F(%fvG<1l0WgpApZ%@Sx`R|1I$h3<b5n|1*FF3G06U_eo%2 zm{9lo|BM7seewJMp9BVmg67}<JrWrh99n+=pOVPHAkg~z|ARzO`S<(3P7(vdhPL1T zE0P!(8rpyVKa#}2;L!2=zW}H?-1+-|Ofmz*hR)yrCnPg4D0Kh+e<GQIp`rWt|0l@| z3=g`0|7S>HU~uU9{a+>p)SmkN-vTr^+57u{ND2c(LhtYY1t|;+2YP@1?@3``n9%q8 z|C<yBhJgOx|9w&!7z8H#{=X)bfx%$<@Bad63=9r4e*Z5>V_;yI`TPHcG*G_z{of#+ zfuUj6@Bb6h85kU9|Nj3Woq>U2&hP&L84L^u=KTJ@A%lUTVeaq$0+|d94)cEhFUVwI zV3_~=|AkBjh6D3||2N2DU}#wI`~QS21_p<PzyE&#^}`nZ{vVLdz;IyE@BbUJ85kNC z|NbwK!@%IM<oExA90rC9OMd^~lf%G}u=MwTj$8(Y4@-al56NX<Xju09|AJfw27%?j z|G&v)U|6vH_kW8#1_px_zyG)7F)$oh@%#UUJWze|`@c*+1H*%rzyD|CGcXja`u%@P zJ_7^8>firCgSHK;fB*LZ4c@N#{eMOQ14F}_-~XS0_-lXvHz;IaXjuFEe@!6+1H-!C z|4)GU>wf<i0S)r5|NTFqh=HMD{qO&4K>Q8A|NkgrU@+M5`#(=H1H*$2zyIqLGcYi0 z{Qcjfn1R7z<M015An}dA|JM{VFc@t5{eMO=1H*((zyGf(W?=ZR>G%Hw#S9Dqn}7em zQp~`xVe{|*FNzr$1h)MC|EHLNp<v7J{{kfp3>UWi{;yKPz+kZT_kW8L1_ps`zyHrD zVPH70?f3s5B@7G&+kgL$C}m(U*zx=Sj#37O2RnZMmndUkn6UHr|B5mOhJ;<e|KBKM zU@+MI`@cmw1H*&ezyHrDXJDAH=lA~~<qQlCdw>6rs9<3Du=n@>9Tf}=3-<l~FHy<B z5U~IE|B6Zm28IK_|KF%&U^sB#_kW8j28M=%zyHsuVqh>h^!xvhDh7rJhkpN$sAgc; zaQOHC9n}mB4-WtSf2Nv&LEy;m|4*tJ7y^#`{{IIgf8_UnnHmNLfuq0w+te^H1RVYS zKcI$zVZ+hi{|jmu7(N{R{lBG#fx+O|@BcGu7#J2D`~80hNd58O|8IcQAOHRTO$`IX zgyX;eGt@FLTsZ#wzep_ugTRU3|21kE7#dFe{_jxB!0_S3@Bb0C3=9b;fB!E4sXO`m ze@86?gTtxc{}<FUFg&>Q`~Mw~y34=+%hWM2Y`F6Kze^nhL&4SG|8wdX7!0oc{y(LT zf#Jio-~ac3<gfq!|D=wALEz@^{{r<43>R+x{%=vwz%b#~@Baz)3=9FcfB)~OXJ8Px z^ZWlAko=wB|F3}L@BaS(2PA**_kWcJ1_puqzyJF*FfeR*`1^lD0|P_Bqu>8mG%zq2 zJpTRvLIVTCglE71TQo8-FueTze@!C;!-1E-|6gfjU`TlN`~ROt1_pz-zyFssF))01 z_xt~XCI*HFpML+p)5O3a@a6Y^fo2AV3txW!w`gWyF!=TR|B_|~h7Z4f|3A~rz_8)> z@Bd$#85j!w{QfV~!oV=W_Rs$(EuhWHfBs9fGB8~5`19YQm4RV_=b!&EAime1{~fKM z>&*WA-_pv!VBq`b|ASTrh6KMq|9RRN7z_gc{O@REVE7RD=l_Z}28Id2fBxSA@k9Uo z7ib67=YRgYv@<X`MF06;(aylY5cB8%f_4Um12KR8pJ-=bFo^r}{|ktY|MOp_gMmRH z>Cb<U4hDt|$$$QrbTBYHNdEJ`2PB^M=l_8YP(A<W|BDU=hJ^G#{{=c37!)%8{I}?2 zV0e)6=YK*c1A{~6pZ^t|pmyb-|9e3EtUv!RbTTkp$oljDM<)Zrft)}8Rk|1$7Ucc; zAJE0XaG~JO|CTNW27$sq|7UbDFgO(c`M;)%fuW%A&;J7;b%lTaUjeBr{PX`s7Xt%B z(Vzc+K<bMB{5R+Z)gyoYdvr4}G?e`LU((IMz)<?<e@{09LqO@D|4TsfrGNhK>1JTK zQ1<8l2atIApZ^>^3=9j(|NK|zVPN=B{^!3%4+Dcj<)8mKAo0pS|64%fm4E)v>0w}S zsQUANOAiA>LG_>iFM2@r&Y%Aby$lQjHGlp~^fEA9sQL5Xp_hR{q4v-JoL&Y7hPprh z_w+I_EU5eQ|4uIh!-u**|9|u{Fa*^9`OnkGz_6kI&wqtJ1_ptKKmSeoK>hYV|2_H` z7y>5z`9GtNfnmXfKmWIY<mdnS&(hDp(6Hyv|B!wLh6{WC{4eNdVA$~g&wqgl3=9g4 zfB)M|U|^WQ^!I<p1O|ox=D+_>OaRTP{r!IjM6>+;|7QXN!vU7R{{<$3+C_i=`%GkD zDB%42zhfc;!v@a3|L06(U{K)t`~S#928IV*fB&mYVqjRn{r7*%B+z)t-~TfvF)%dn z{QbXS5(9$)@8AC?CNVHf;QRZZWiseG@W20MCNnTx;Q#yIVlo4RfWY7XJ|Mop-~VeS zGcZgL{QIA03aEeg_kYe51_lA)zyHrnVPLo*{P(}kR0f6#B7gt)Oa-;u|Neh771VD3 z``>381H%QezyH@v1GU@#{^yy_zz`tu_kYfGP`mx_|1;A;?e@R_b!IRyEYSJ;zh(vl z!v~$e|7Xl#U`Vk3`~SrZ1_p<^zyCWxlXdlf|G${Yz;K}c?|+Y33=9nofB&zT#lYau z`1d~tXcDjK@BfV13=9XF{{BBPn}MOB`R{*?IiPmI-~SzR7#J8@|Neh5hk@Zh>)-z# za~T*K+W!7uF_(eCq5bcF4$wX69e@94%wu3U(DC>GiFphR4V{1gYs_b0aOnE`zhgcF z14H-U|1ah<FdXRq``=>$14Bd4-~THXFfcgu{{7Ffkb!}r@9+PNg$xV_`u_euv5<kG zq5toHjYSL$4io<V?^wjZz%cRe{}+oG7!FMQ``=?R14F~4zyDV(W?*ob{P#b{5(Wl_ zDS!WGEMZ_cFy-(66H6Ev8m9jJud$SY!C~6p{~e%P$EW}O|6(Zv!-464|9dQBU}%`} z_y3Azpz)W#|2dX3Ffh#e`#)nj1H*w?fB&CY&cM(x`|p2^6$}gxbN>GC08MVr{rmsL z3I>J)bN~MLSjoW9Fz@gG6)PDS9OnQ1&#{VufnmYl{~4<o7!EA>`~So$28M=(fB$Q& zW?*nw^!I-UXfky1-~TUGGcX)j{P(}d8U}`jC4c{~Si`{Ju=MYLj<pO749ouh&sfXA zaA4Wr|0mWmFf=Ux`(I-n1B1hgzyCWxlc+2I{(rHKf#JZ)zyCefGcYu)`ul&ydIkoE z)qnqUY+ztuSo8OP#s&t418e^NKd}MSPWby@V<Q8D!@9r!J3yC}umAi1#YP5(1MC0( z_t?b1(6Hg}{}r1+?SQ}kIY8G#Zu<K_V>1K8flYt^pV-X6(6IUMe~m2+3=UiV{_g<Y zG`IEd{})>r7!GXx``=?L14F~MzyDWk1@%w<{^tPQB(~%4|BP)63<q}n{eNN`14F~k zzyCG1GcY*p`uo2FbVb$fzyDusXJ9z6`|p2`9iV*t_y39=p!)sqe-6;~2>bs2&)CVp zaA4ox|0i}bFf{D{`(I-h1B1hXzyCWxHzyqY`~L;#Hpzp3|9k9aU}!k>_y3CB3=9s3 z|NiFy-Lib-@BfTF3=9X3{QZAo4+BHP(ZByS_JYP;{{HU(-D-UN@BbHj85j;6|NGx# zAE-Y5`+vng1_p<dfB$oUPVheU_kYHI28IKt{{BC)pMjy_^xyv)2N)O}&iwt~0h+u% z`}hBg0}KoY&i?)Hagc$b;oRT<D-JR+IGq3cpW_e%1H*;C|1%CTFdVq>_y37Q3=9ny z|NhrF%)sDq>F@uJ!wd`zm;e5MahQSOz~#UHJ&rIiG+g=nf5j0728XME|8pE=U|_iR z_kYGw28ILI{{BC4l!2k)`rrQ=#~2tKZv6e<ag2e1;pX4}FOD%V9Ju-SzsGR~hK5^z z|F1aCz~FHE?|+UH3=9l+{{GK6!N73f&fothPB1Vu-2MAs<0J!v!@a-%J5Dk%Fx>z9 z|HVlLh6DHi{`WY=z|ipE@BbC27#JKL{{7E!nt_4g(ck|Wrx_RyJo@|p#AybGhR1*Z zYn)+VaCq|ff5#aH28O49|GzlHz;NK{-~S$G85kO#{r$h<ECYkX^S}Q&&VjC%`1?QO z90S9F7k~esILE-y@bd3}jq?l)4zK?H?>NuE!0`I-{}<;O7!JJt``_aN14F}`zyDWU zU|?`~`}aS`MFs|jcYpt9Tx4K4@b2&b6Bij68s7i?uW^Zi!QsQ-{~ebY7#KeO{r}<; z1H*xjfB$=2W?*Re^!NXY%M1(-pa1^n0Nq*p<?sKDD+~+=zWn`v;tB&p!`Hw6HLfx+ zIDGs2zXP<`;QQbIFRn5$9QgkCzsEHOhK3)1|F5{lz~J!n?|%-^B7|Rm|7TohU^wvW z@Bb6m85kOV|NXCVgMq=}&)@$Ypv4J)|Neh*gMs0|-@pGoZZa@5{QLWV#Z3kVhyQ>7 zbAWD1X889%;}!$M0fvA7PuyZ)Xkh&JU*k3dg9FpQ{~e&k49x%jzqrl7aDe&We~&v1 z3=J&*{;#;hz~I38??1;~&_?rr|1<6~FdSg}_y5FQ28IUqfB!Y^F)%oA{QKW=kAZ=K z^WXm$_ZS!saQ^%6ai4*qf$QJ@755n!9Jv4e=Kw8|;Q9AI;{gN10iJ*VPdosPEB^bh z@sNSRf$!h{j)$Q7>EHhs4;dH^@c;Yo@rZ$;LEzv26^|Gg90dRU=Xea-c>eEy#$yJC z1494)pLoo`&>;Npzs3^=1_zOU|2sg7EkytQfANHY;ehDB{~k{n7#hU>{a^8vfx$ui z-+zv03=9ks|Ndt@V_-NS@$dhMXABGtlK=i|JZE5Vkoxz(<2eHZgY>`uFP<|n9FYF^ z-{S>nT<PEc6)zYV9Ay9f=XlA$z##YUf5uBtKmOnU6E7JU8sz`|*LcOi;GppDf5$5Z z1_s4{|6jafU^t-o@4v@u(D>-T|0`aD#z+7C=Xk@wz@YN)f5saIh65`9{-1cmz|f%j z@4v=d1_lSUfB!q)GB7Zx|NH;qEd#>=^?(08-Z3yVX#D%X;vEBngXX{g9Pb$z7_|QV z&v*|SXZ-j7#CryY2JL_UH9jyfIOzQQ-vL@=qWkaviw_J82Xz1a_xQ-b(4hD4|B8<c z3=aDL{&Rc+ZD9ZRKjRYv!vTYT|4)2kU}!M>_g~{P1A~LnzyBSeMJUGq{=fLlz;M9$ z-+zxU3=9n>|NgJ|!oc8Q`tLsnXz_~KzyBFu85j<j{ri97D+5D=`M>`f-xwGiEdKrP z_{PA%VEOO=i*F1J2Q2^n_xR4h&|vlN|BCMn3=Y=+{&W0bU|_KM_dnwY1H%EEfB#SX zU|?vl{r6wvCj*0n-M{}GphYkC|Ng)D$-r>H{@;I(UknTl4*&kI_{G5B;P~%92WT;j z)4%^2zZn<~IQ{#7;x_|BgY&=t8h;oV99;hW@Av~c;P&7D7k?NS4!HjN@9~#`p~3Cn z{}q237#!UH{pa`xYOnwMpYe}@;ef}#|0n)2Ff@4n`>*kzfx*G+-~SHKe607s|1bVC zFdXpy_uqqok)gro-~Sa1j0_IG|Ne6@GBPmu{rjK6$jETO@8ACujEoEo{{Q}KFflSX z1pNEo!NkbG5cu!^3noT}1A+hkdoVLHGz9(ozk->O!6EqHe-0K#28NJ-|1($^84iT} z`+tIkk)a{<-+v8OMh1tlfB!pJ85tPD|NVc#%E)ja{NH~MHb#bqh=2cAurV??ME?8F z!OqCQ5cThW20J6efvA7~Pp~sGG(`XVuff5{;1Kige+LI6Lqp=f|4TR+84{BI{XYP@ ztu_7Me;!Uoh7IZe{#$S|G8kn1`=7wc$Z#R!-~Tn7j0^>t|Nj5rWMmM?`uE?3i;-bL z*1!J~xEL7>vj6?R!^OyOAp75c4Q@t;fSiB-OSl;s9_0M{e}J2jp&<9)e;yu2hK9m_ z{|k5+83Ky_{oljG$e>XC?>`4GBg2Q{fB!>x85s_g{QJLvmyuyY>A(MPco`WI%KrVg z;A3P^DF64rg^!WpLHWP`7x)+%HdOrkZ@|yUP*C~r{{((U27{`9|3C0EG6XdK`yV2} z$dJ(V@BbD7Mh1oEfB!`U85tfl|NCDe$jGpu<=_7+f{Y9at^fX;2r)7!wEg=(MTn8% zLEFFoUxXML7PSBSA0o`ikkIk({}y3J28GUl|3yR?86I^0`(Gl$$grU6-~THjj0_3g z|NfhZGBPOi{QEyel#$^<&%gg)L>U<t^#1!FBF4y&(D(2E7BNPK4}JgsGl(-XH1z-b z?<3C0ATZ(I{~6+p3=1aw`~O6ok-=c%zyAgjj0^`R{`+4e!N?FW>EHho5{wKFCjI*_ zBFV^5F!|sA1W85)hAIF4uaRVAm@wtv{~wZ!3<^{K{dbXKWLPlu-~S0xj0_6X{{6oL z;!pecUqhOaL1Fs8|0U9l3=5|J`+oq$pYiWMj|?Njf*Jq*N60WTD9rr#e+h^`^WXmu zApWd>|7}2vz-IsZKS!34VZ-cy|NqD`G9=9T_diCCkwIbZzyEvW7#S|i{r6u+o{^zp z-oO7f@{9}t^Z)(7BhSd7u;AZ+8wEy&3k&}JpQFIY(6I2||33<h3<it-{f|*(WO%UX z-~T;|j0_VN|NAea#K_>V<lp}qB}Rr1OaA@8qr}LtVd=mBHp+|)1<U^ZpQFskps@Vk z|3Au%3>TLF`yZpi$gp6=zyEtw7#R{){`)VZ%E+Lw>fiqwRYryftN#7JqsqvzVD-QM zHfoFv32XlSpQFaeps@De|37Ms3=h`+`yZpu$gp7DzyEvG85t7R|NAea!N{Pn;otun z4Mv6s8~**jqru3qVB^34HkynK37h`?pQFjhps@Mh|38|H3=cN{`yZpl$gp6`zyEu* z7#R|_{`)VZ&B&mz?ce_zZAOL%+y4E(qs_>$VEe!SHad(92|ND%pQFRbps@4b|35m6 z3=ekx`yZpr$gp77zyEu585t6G|NAea$H<_t=imPtJw}EHd;a~uqsPdwVDG>GHu{VV z3H$#2pQF#nps@en|3CVS3=j7I`yXS#$gtqRzyEs-7#R`{{`)Uu$jG2@=->YuLq>)N zhyMM)W5~#`;PAiyHb#sL2}l0@pJT+xpm6lx|35~I3=fX}`yXS>$gtqpzyEuT85t6e z|NAdv!pNX-;@|%o6GnyyC;t7vW5UR=;N-vmHl~aW38()3pJU3%pm6%%|39XT3=dBK z`yXS*$gtqdzyEv87#R}I{`)Uu&d8u}?%)3!b4G>-=l=b_W6sF1;QYV;HWrKw2^aqT zpJTzupm6cu|34Ot3=b~;`yXS;$gtqjzyEtI85t5T|NAdv#mJy=<=_7rD@KL~SN{FK zW5vj@;Of8sHr9*`3D^GppJUC)pm6=)|3B7@3=gjV`yXS&$gtqXzyEt|7#R|7{`)Uu z%gCT`>)-zxTSkTlxBmUVW6Q{};P$`&Hg=2*33vYepJT_!pm6u!|37w&3=i)9`yXS^ z$gtqvzyEve85t7p|NAfFz{sHR;NSlm2S$bm5B~kX<G{$U;NidjHja!836K8$pX12L zpz!$L|38k53=bav`yb=P$gtqazyEuj7#R|t{`)WE%*ded?BD+yXGVqx&;I?t<IKpg z;Q7D*HZF_|2`~QrpX0*Fpz!kF|35B_3=dxZ`yb=V$gtqmzyEt&85t5@|NAfF#>k-X z=HLGsH%5jBZ~pzi<HpFa;O)QvHtviJ3Ge>>pX1KRpz!|R|3B`G3=iJ_`yb=M$gtqU zzyEtY7#R{i{`)WE$;hDa>EHhvPez6ZpZ@*7<H^Xd;Pb!#HeQSj319yGpX0^Ipz!tI z|36-g3=h8k`yb=Y$gtqszyEu@85t73|NAfF!^oiU<KO=pA4Y};KmPr{<HN|X;OD>p zHolAu3BUgRpX1BOpz!<O|3AKr3=e+)`yb=S$gtqgzyEvu7#R}&{`)WE&&Z(g@8AC# ze@2D}|Ni~I<Il*j;Qzn>HUW$b2@L=L&k0~;P+<K3|4#rT!vn_u|6>9f85S`8|Gy`Y zks*Qk|9_bvMg|3z|Nm=(7#SY0{QrL^h>>9d>;L~Y!Hf(EZ2$ky31(zaVE_OBPcS3H z1NQ&_V?r1i7I6IkzbAx|A%XM%f0<B51_iGF|7$`S86I%`|9>ZxkzoP%|Nk~&j0_1p z|NqYkV`Na^{r~?@7$d_2-v9q&!WkJB@csY4C!CQXf&c%1nFvM(1%dznYa$pK9tiyZ ze<y;GVS(WP|2C0~3<*O2|IdkJWKa<P|Nl=UBf|sX|Nmp67#S9b{Qtivijg5f^#6aE zXhsGFvH$;Tq8S+;i2eV6Cz_FAf%yObHZhD02@?PR&xv7VP>}rp|4$4f!vo3x|6^hq z85T(W|Gy`eks(3)|9_b{Mg|3$|Nm>^7#SYO{QrL^j*(%3?En8Z@r(=!a{vF&iDzU` zkpKVxPdp>T1Nr~|V-gq{7AXAxzbAo_Awlu~f0;x^1_h=6|7#K%86GJ8|9>Zukzs-I z|Nk~gj0_1X|NqZPVq{QI{r~?@5+lO{)&Kuvk{KBmsQv%HCz+8ULH+-KnG{9_1&#mz zYf=~)9%%gke<y{JVS(oV|2C<N3<+BQ|IbNfWKhul|Nl=aBf|sj|Nmps7#SAm{Qtiv zjgcWi_y2#HbVddRz5oAf(is^Z=>7kHC!LXDf&TyhHW`cz2?qcF&&gn9P%!-e|4#-Z z!vn+r|6?*485S7*|Gy`bks-nO|9_b*Mg|3w|Nm>U7#SXz{QrL^i;-c0>Hq&W*^CSc zX8-@s$!26wF#rGmPc|dN1M~m?V{#Z77FhiMzbA*0A;I$hf0<lH1_i7C|7&s?86H^u z|9>Z!kzs-L|Nl05j0_1j|Nqa)V`NaU{r~?@9wWm8+yDP#@);Qx*!};%C!dia!T$e$ znF2-z1&9CtYYG?{9yt8}f2V+vVS(fS|2Bn;3<*yE|IaC8WKeMa|Nl=RBf|sd|Nmo( z7#SA0{QtkFh>;<|_5XjFVnzl9xBvfZiWwOmxc&csr<jppf&2geHYJP<2_FCd&naPK zQ1JZ!|4#`c!voL%|6@uS85Vf`|G%e{ks-nR|9_b>Mg|3+|Nm>s7#SY;{QrNajFDl1 z@BjZc<%|poe*gc^DQ9F*@c;k+PdOvQ1ONa3V=5RK76knNzo&wcAtCVpf0;@~28E#i z|7$8486E`v|9_{Fkzqmb|Nk~sj0_1O|NqaaVq{PV{r~?@6(hrg(EtBqsu>v;g#G`& zr<#!=A^iV;nHok0g^2(EYibx79z^{Af2W3#VL{~o|2DOZ3<**H|IevqWKf9y|Nl=d zBg2E}|Nmp^7#SAC{QtkFj*%fD_WysGdPW9?xc~oa>KPdx#Qpz&r=F2vLHz&!HVupn z2?_uI&uL&}P)Pj$|4#!W!-K^C|6>{%85Sh{|G%e^ks%@Z|9_b#Mh1nH|Nm>67#SX< z{QrNaiIHJJ>i_>X&5R5QY5)JvX=Y?lNdN!;PctLKgY^IZV_Fy)7G(VYzo&(fAtCes zf0<TB28FEu|7%(q86IT)|9_{Ikzqmh|Nk~^j0_1m|NqZvV`Nas{r~?@8zaMm-2eY$ z+8G%Z<o*A@r=5`@A^-n>nGQw<g@XV8YdRPi9u)llf2V_yVL{>l|2CbB3<*X5|Ig_J zwM+m1|I^9H@Synr|ClaDh6N@6|L^HyWJoCe|6it?kwKyC|Nok9MurDv|Nr0VW@K1U z{{O#C4<kcD#sB|vdKeiLD*ylg)5FN{pz{C!m|jMP1y%q5@9AY^NT~k*U#5?dL80dV z|C&BVh6gqO|KI6jWLQx9|G!N?BSS*n|NnFP85tDn|NsBf&&cqg{{R1&35*O28vg&^ zGl7vIq4EEJnTd=H3Qhn2*Gyz&c+m9!|DB193=5k7|F@aM$dJ(T|Nopxj0_5`|NsA) z#K`cV_5c5v$&3sO+W!CFGntVgq5c1VnJJ763LXFd*Gyq#c+m0x|D7p}3=2B{|F@aS z$dJ(W|Noq+j0_6h|NsA)%E<7b`~Ux#X^adDdj9|4GmViUq4)oPndyuS3Vr|o*Gy+* zc+mI%|DEZK3=8`I|F@aJ$dEAM|Nl8N7#S2M{{R1H1|!3RiU0q{%w%L(FzNsQJu?{@ z947z&e`h8mL&DVm{{?0-GHjUk|G&#DMuvjv|Np1VVq};w^Z)+^vltmZ%>4g<&n!lU zfLZ_l-vIGv{r~@C79+!g+5i7b%w}W=nDhU?#cW0fhPnU$N6cnq*f971|BBg+3<>l8 z|DQ3Nk-=d8|NnbH@(cd|e=wVoVZy@y|3&67G8|a=|G&l@Muray|Npm{!^ohp=>PwK zIgAVs7XSa>Gl!9(V9Edg3+6B~ELigY{}zzCCIA0ln8V26u=M}`Hz4t)|NnE$Wn^Gj z_W!@iTt<d~<^TT|%w=S7Sn>b=p1F(+3s(OB&oPgYfnnAE|0?qs84}k0{~s`qkzv-l z|NnF5F*0mk_y2#(JVu7*&Hw)=%x7d+z4`zDn)#4bv#|3%7^{L97%K!ArFqynK>Hd% z;-DRQ9@pRh2cJ{t!Vcb62|B~hgn@w};r#pm2S6Lb_ypYeB)s^!%Q+et?4_)=j8(wL z-huRk_SO8j_WnQe9$=7}B@7G<53axezYw&U*`03z6LSkAABQ8~0w&0LBvU|pZtlJR z59*48)_{U61`Qj>+(#7y4OMzPKotY+i7|Qj{{L=}Mg|53kbR&bmj@5u|1Sa^80gM- zfSK8c6=dH5W{7>DF0aD}R6U@*DsMi#|6d3SCU?FEj7)VPE#NH|Aoo}>GBEgjdjEeK z)E<zS4<iG^4J0v;-JrcFDWBi}|If|9z~Ig|fth(FGsvI`%n-MM_LjK($1T5rk%2)4 zw9XW?sTLeovGA}0nGf1K@`V4x|0_7;K@MIb^5MS-Xsf9^UjsAqQx=f@p#4#xC83}L zQ<o@w_}>OP6w!%Kz>!bFo$mlgGjkskvo9N;gd?AT6G;654hHa^a|0#@1{Sjq|G|4% zT=)u@{(@u+z;ZrJ3=AFS*yS>q7#MggvCFkEF)&Q9!Y&6&6CyU)<v{2D&9KET2ikig zWB=hlDBCa~xf2v-Uzive7C3zP9}L=u;m)^!k=Yp%ri_p{5n%?cdHe7`5Q{u$-@OSl z1A~G)c6&j4N0xYCm&;*hV9@aT@c$(!O}X$TK*BBo9CjVd3=Ds~vCA!CW?%r_Db5Ge zw~CL$kq@E|l!lM^VYlxNGXsN10Cu?_%nS@?0^#9<;uaAW28MuO>~aPy3=CI7;Bu(( z&%(eE5r*Bq3>F54JK@;nT38qu5+bpixqyX%;Yrko|D903gNq8#5Gex#!yb@*F(3Zh z!sIL%`9Nh2D2{HhFfi1_Vwd~E!oaW~?!$l3P&b1MUjP$0JOjY-Ai&DNkP?qwPKT9& zK_daXoChld!<&Q;|G7bXkwEF~Bq)v``67iCvW6Qpbc=3Z11kf=mSpT^&S7O>s7S#s zw}X{|!6p^E+!fGb{50%xA6OX}j^L2vVPjzENXM>EgN=c~Cj+~j3mXH2Kqhv%1U3eS zD>&q8*ccdQWPSM028lnEc$mS)z|fQZ;lCh6E`e!2C_Eu)ehV7|!-Sj<|7T*6yTHc4 zAd-h&-y1dt28sL+|FOjb2Rj498ys>f><kPC3b5;QU}s>MQixqHhMj>Sqv*r`0BD+E zUI{K{3P9<zf}Me3PRWP=pgIGbCO&}t2T6ld*cliulwz0Lz|O$HQvTsTcn>8g?IP(r z!_L6KQGs3V1v>)+PbGFUSvWvz5wOcCa4;~GRAb74>USFs28I<iAO0tT>OdDh1*RB2 z4v=^VC==FVisx`JFhtaT_+JfEUj|X%!ok3B0E_q>4h9B|x)1-s`)NVumqXNV;Q+0@ z!!+j%2Lr>8I!y5=91IKz^{C>Ydf*QS1H*~>5C4CH+A*Lyo>>B1H-qX-kh}~h1H+2; z5C1{o!vL0-Mv=GSWMG)l|KUFy$iHBD85H>#P6mdK>A2-<I2jl!=Hr&1!^yyqu^hMj z9!>^^i1oPT?{G3Ocx?ahKOW-03yjS7m_Vrvw67H8zds=N?ZYiE!^OaG;^>F}A0hf5 zFfxmv_|Jxmfnmkj5C8wc<x#^ghKqrr<0@|X8ZHKgjJvqy=WsDFcs#`|zlV!~LE|lM z`8!+;3>;r^%m3kGV0iK8!~Z{U_lu+WPllU;;RMS^oc^=nW?)#sgIhj^n}MN21h;$* zHv>b43~u>3+zbpJD!AqMa5FGy=-`&W!_C0JVS-!!4>tqD3v1l+GCT|nC!9axOg}a} z3=ACJxaDJb7#KW)KK{Q7315u#R|C=?g<F0O$o@p!=I;UN&%`Z%2V{Q%Zuvhv3=AFR zAOE+(-S-nEf5`BH$`jn~v*Bf6*wKbv9#qE1@G>w|^nLsv1gWP2n6`j&AEb=0;ALRg z)Bo{5crQ4p9QT6Af%4@PUeKPXkN;;WF@pOHB21|u$qAsc6(qlfmx1BJ=1>10gL+z^ z{tVM<kUXd<2=4D(;bmZ0aP8Co2vEHPYTq)Q0?C8A5}@Vq3}1K|7#`gC^goq}nSlY^ zz?X31Q*h$baN;v?<g;++yI|kU-ow_*+Q-t*+{VPTn$N<K&j2j1;KU~Z?j>+I@_{dR z2l>+l)UUez^}i~p6Xe1dz|1WGvM&JS-UL1d29eia|8Ihn`2kF8`8Ys+sNiE@*z@}9 z{|V533nTL+aODVcBuIV+9|MEVhp+$VgZ$va*TBfU0W1&dFM;gb!N<U`=F`{zOCVue zz;qR4WdUg062lcf1_p;OU;lf8PR4cubzmeM`4rswHgGqy^fC8?Jfz?V_6N9YvjNmK z1DVOg&%hAj@$J7V#LfcdWU!S5AopnSGce?Mefu8>k!xUN-VT-oxd$Zg!Oy_3#^>Ar zUU;8j5jZU^V1)FWGWZ!7WCFhZ?}512fvE-LUI%b|weT}AObGn;e;%Yie1MU8C0H-0 zZwGSM5`NGgif{jyqUi<69pGnRSQ3dT2XfOLeg+1M=x_gDfVOD5^EI$Q(n$j-$U%Dl z@G~%Q6o32A1MyP=#7zlcH%SOEF#IX`_P^u{xZip~6r%S6sGA5f+d_bWAtv+t|4z`M z8t!}x*dg)@Kv@YSA0fcNaAx=S|6f3X3+lH*<v~_~<SPUi7}gyB{=Xk|xW7B!0Y;{! zpa21R8Qcz^A;7>ObMgCs@EHv*d??|yMSy{!;nMg2lR*c~yYpROWJ&_*1yyTcy;nf# z@b35j;G?-g{VZ@;L)wlX1Q-}f?tT9s2?<LBCUCwp0PEusWMJ5F|NDPqkRw5Dc(7an zI6O2285r(7{QiFuD1Jfd5fWIS=m+cd5M*G8dHVhTEQsC!h;#s0Z;BuT!-MDFA^F3d zZvzv=uNy#0L1ED%$iVR7`}h9=paZJh`7SU+!s-IZDo{Kv5d^KJ{P7=rZapY0!0vJY z+j~Hefq{kR$A1ZsVIVoMUm@x0jvxaA2j7qXU66FOfRSkqD4ak^66~%&f(#55B0v6v zk46H!3tWzX-31yOkP%{F@X-G8KN+;93!LjgV*>)9u>q#lNJ9gl;0C$VM~H!;<JFJ< z=8&*O34;tF28K1SfBatn@)S7y8bEFVhaV^mI)oS)bl&5ZUjfqp>Bs+mPyz$BwU`!y z^n;pe;BYu0#K0i)>&O3NXm*3#^+bq);lgk1aty)@3@v|u{C^M16rge#9Da~^lM!ZM zh+z8pKL*sFa_8FsO`{t?R)Ea45oTbR!T$3<_#6qeu#XUCU|7TT^S>BeZzRaQV7(y! zR)FOBfBt_6X**tknhj6GGlUr!Y9xOCPlJRPJpF_0-6G7ua6$U#|8|f=kn9D?T@Yqq zaFNCCrZ*t}DE$0C3py6W1j#qx<3T`f;t^qBxS{j&|1;2q7w&u;n3<M?-3)R9NM1*T zfnkNm&;Kc)3oYFFK0xI^z~p^I7#MzJ|NP$piK7dQ&~yxPCdh3$A`A=}#Xm9fCCKa! z5e9}kB|rZsL(=L2Mka80fy)Ds{0b2U2A%4k|6@Rv3TPY)Vm4?P3lje#3=BE_KmTt7 z*$v9`%Ro+t<oPEe3=9I(fBpxJhk*N9NO8;{%D`}C*3bV6AWyjSO<-i|1!)8gfr9gh z0!V%?Zg~fg`~uwa2_X5!xaAu_^2@NxgARsV0FqycT^>}Wg2rQyh%zu-So8BgXz3IK zB%eC+DKs<pF)_V@WmAy4H=+y-E7syyXTZR~AR@-V(6H|3e{h2WUVpmq89=H}=8epJ zi24(>TnU857#Mgq{rsN|%14-O3KzZv<R%4k5DT_81;`xG@?$Xu27_(XG3$XC14GCz z>X@Y?&cINyk2+>mh%+$EIrQ`YW#YnX1tYfba^y<@UA%ZioPojMICb15Ai=;8a+*43 z#YiwPRGg>WEKrtTA;G{f=km}0pykh?HY?Ls$XLJu2?hq2E12RpBp4XpU=jZy!N4%( zDyDi4Nd^X+Ynb8+k_-$_u!vhoGBEU9$5bC6$-rQ8163S!Qb&d)1H+vgKmY513PVU9 z1!X8u9-RQoqmFzA3?Q{rBpDbU-2C|;eBKm_TIO;_m|_M7u-XHX3=A%}iBtPVl7V5x z9pcnVNHH+*+#^n{ixdMx!F}TVRv^W|Q1XB{wR5Bx7%CnTRtws?eL{+Xq2|%g|2skD zKcvip^l2RV3_yhsTMuh5xbe^Yp9R#*(E!VW`ZC}?Kmy1N9%%-KA1}!_19W6SfHVU` z$;Y4n!Dmpx-Qmcm;lk(8%-RES!gVG-4M$Lq3vRyyNN<lc1B1sG^7NjNW?+!{PM%&C z83u+AKgrYUAj80L<PUjzYh)N0X8b2zFQ_itA;Z9s!}RMvXgMreo%bD<ML_Bv$S^Rt zFcVhCA<MuZ!$Me{fh+^V7b4U}$TBdTVg2<Vw4Djfecj0JYmjANaA6~?ZiOrZ!yY2k zU65s9FkvU`j~}uO3_Kja{)4ugpt)}yvilU|7#Nmt{QBPmYHXmCMNEyz>OABa7<f2; z{ReGtK{Ia+OdY6gQ2;WJ^Vk19&~nfTB&p!S7XWQrtYt)PTY%zli5vri0r#)}pe=f6 zW;cPfgJvjm7#JAN$T2X?;QsahDadT(HVC*32xw;OfsSY}*D>-%IPxVp@ntyi6*%!# zIPo<wI`MTdI`K_lbmE)A=)|{x(UETjqZ8i-Mo+$gQq&T|k&gp>*PD$z1A_$bFGyd~ zkxu|rpffQCF@k1MLCtzl`z=A9fgyzN*MIQYg<v%b%}mVJa5W(Rwa7CtH1PlWe+?1F zu=d+InEycP*2pt3SP0-(2MXgW@(c_g1b+R`1@#FbWsoDE0?2jX;)m%6QV@gc9FQIk z1qKEk;a~s3XYgU_VSdPjqzT$ivr%ARcp>`h|1MD589BTm<4B-LMIJ_~#@>Vp0M}z3 z3JeS}lE41<f#MF5&Y)qy+=gD~ZBbxgI3V@wKNHk{j-WsSw|AL;A*%)TOCKmOFf5Vz z^&fm083W`@&@T!M3>Rd6{htNuYe4)8t_?K6>BIq)PM~!~GaG8mJAvmHL2WJzMFs{P z<zN37fyN-P`F#Q-N`tqP3!Cp5z~gZ(iVO@BbbtM40(FwGnbm-5)^{;%W;ybKI@2Jx zT~TCU2yp%NKN(lsG62PCUijML3Q7zNC7!?ji-Y>H*xZI{)<0Zkf$G;BB?bl$zhD2s z=RYEwg$Q@Zh$Hi9Ce&~T<v0cgkl!{aF)%y{_ywt7k<GxG=9s@TVGAl~`u(HCz_23t z*Z=RJ{xCMPQ2Q)Ld9axUZG(F#Gcd#?{QBRID?Kbgjl(h==>g=&Das5C3$mc;8=JdO z!+a}_z5!^<J;MWK28NWJU;iaRW3+_LvSH+lNaIUz1Q(2+d;!eonD`=M`4T|PLMGHg z(}S-8R5&u#VGou72GBa61QiAbma1R>mxJ16gzfi7x1XOGZofVgUxWv^(DvXf@Z<vx zFJT>*4gjqmx}n0rVAJ^Pe+I5}TY#Jgm~Qh`M1j3iz&x3euOb%0X7a-BwF2e|jC>WK z42NE6IPtAubmQB==)|{!(UI=}qZ8i=Mkl@tjGlZ23=A%e3=9RT3=AS|zy5Q}GhiQk z|A3lKW-;>pU`*p{U~=T^U~=M{z~ssIfsvVyo$m*uBVPlU#}vx<gV71fVUFeG`@!e| z;~B6b^O`}1MZx4|fOrWoUJVo94@P&s1|}z{MNWJ(n4I_)Fgfw9U~=Nyz~scYgUN~S z0Fx8n2_`4L3rtRYH<%px9xyray<l?Z`@rPH_k+oiuYuW#uY=i>ZvwLu-wb9az6H!q zd@GnigGo+&JD5HB4lw)hePCn?fI1mwnIn>Aj(i&+{))yH`k?Kh3=9lA)EF4<EdKQ$ zG>-u44}#|<5OWwVd}#UYFgxE0#&T%PI3dN16W<L+C%y-aPJAyIo%lX5y5ew|4``jx zFJ`_KjJZgrxI*I>W{M-!6i<+9Mn=9BjB!Y+L844&vHA*hOhb=414GBgU;kA=^%`bg zapZGAPP5F0jC>v$d;yMp5l-M-f!UOCg*IhCsS?zbapc><2=coRp9515R%bAP=GsLx z7#IvT|N4IyS{K8^7F<q)+fk7Ek?9s+L@5rtVa+I4Xfq0wBS3b;a)b|G08<ZYDG#b$ zL4Exx8Vn2*w*2}JI+6qww@l@H9H6><jRph5k1fCcgT|&oO(l@n0SyKQk*x?Z(3-<5 zAop+m^&hkq8>AoZen=gG7LH6^*h0dU&%p(oILLexO$LSuG&FyTCIiC<8k+wFbXMiI zU;jZ{5s3(&%h<vJU-*P*F))0fq4`@tXJu}uo%=<!L1*mzf~*rFm=BrukrF<j`n?2n zcIS>?|FuB*i=g?;0$A&J&^B4nkv-ZB3`=(Y`VZPRjMg{01Zoz8`bMsx#y@l=C#bFe z1$1WUu3!HzgEA4M{R^HSZD4|Q!k7-Cw)H`JG;|mkcy^PfCq{>XVaM)Y|H0?TqqOOn z_QKre$fuA6V}sTePtajtXxa1YzX&L7Q1vW_sdMF1V2Xutplbn7=rAzk?EUp0e0IMh zpFlGcXf+aK?)8Zd1H*y6zy5>I?T5(agBtQ6e=+DXFsSVN_5Ug~ED(JOrh_mm(8CXO z2I_@<zy5=^Qlo|W3YZ>8K7}F}8|1GHT?U4p{lEUd!?b4#OdZHyUN8;=0|V&Zf(5z^ z3@!(LLFU2XaRnMo0{7*ZJsJ5tocIEqz?Fa}p951mQrtQ6IWQeZ;zPsgi!KAhghRjn zXM@}V36E4zc!0Ve0(uM#PY(V155AlbELQ`P0?)DN=rJ%z9RBqme3c<sj>!Wg2?|FK zJq8A!!@vH6jweA26Zm)sayWwQs?lR$*m3yRfA9_0VDoB0#xa1V?PusQFuXbZ>wiBe z9U$isM?M44lrN~02JI~9Gon@_j-Uyg3yjqmqM&&ApvS<Va`e~#X&`e^(id|RyzhwY zP|)#6ps`kc28Nzvzy43e-d6z4NTF2Ds@&L01yK8lfgyu|fuTa5f#J@jU;od5=D(5s z2dSe#Gv+Af{bR*uo-1haydl;;4rDn!INqLs&c?m->p%FyaBw&?1%m<_6bGQo;#;uD zfyyZv0|tf@cYggh1Px|D(w-A2HKB}U7O{g9peLV0DW69aUjQf#dZG?*g6zpKU|`tu z<k$Z|M4I!4xuFG=fS&&P55Bk@;yzc99B52*fdK=9$<tr|HKFkZsmmQf78t<BQ<<KD zxX`63;Ne^Y29Vx61`G@u&wfGHHz2#;kq@qy`41ziW@z6~!jOUC&+}jZ1#tD7Q2U0* zarF&B?np6YU^wvg*MC(!eqa{F?hep7!CSul`mZdAwZ8xw+C~~<VfMz677{@2cw-2f zum1ht2{c}VD?Id=F~S3sZ#0ZR^Vz@uFGu(RG(H0^gqZdq7Skv&-GFhxV;i9JidA@i z{|Db~0kIQ27F7VPY8HSJ6m%Hem9KzlKN8=OuYkz`i4RIg8;lqjmhk@mzX*|z=77=) zNbZag1A_%0cDWZu3=C)Zeq$}ySU@+)^JAA&FlJyd;r|U;vkFO@r6Bu!K*vNFGce5H z|NZ|IN|?Ct;qn`DQS6AtZ#~8g3=)Evc7go1!kB@fMiA4Dpg#5yV+Mu|g1`R@;_B<7 zrqx2M?Fm=D1ZF`daKGOP(zo^GOJMTHR_eg^9@v;LFhq#`{tqh8K<&t8@PYycaQPi! z!oaXb?Dzi&&=?d_ygNYRo!K3EoY$4lf%znQMdpPR^N!#P*g)a1!GwWfi`4J`pyMl{ zb|!%010;9Ggn@xW8dDBbmc1}xV2F|a{U3bi4sv-5DhuEP!%WqnMR3rP#F3A~6{8LT znPmbx%bH4NfzH8Zk)e*e&X_VVM9BPxjMd}zV?Hr{lrUppm_sGAQb1>NQ^~9iW(*7} zvefb8A2SAq3@VugI%j+h70d!1FFVDYf#HMf@Be(Dyn`H%khTF@|CRYEGqy~MtAhru z-+q`gFw9Z>{U3B(7APM<>QyID*>8YoTQRL5Cat)D&Ole9j#(2d7#NmN$*emT3=A5| z)Nz-FB?H46Dw$ORItyNfI_^4P$-uBh<@bNkv2S?daSk!@$YaI8prJ~+S)k@zgcSqB z9M#|dLB|22^#>-yx;V(qY)~7c$BKbLLhbkeL}-1A)E9e;q=zX1#)0-{Kxf|vsQ<>= zwgjDrKLv-}A1eli8#v@7tQi<&G_ad#0y;Y%haBjf{Utc$Kxgm2z#<14Q|hp0V9?S0 z{r?EGT?5aLSo1v7Y*Mm3$b8Vb{&O^c(`-!4!-j$34~@(Rm5D2C7#L!-e*afs$J!1? zD-)RxVhbNfJ_lwiHf%Bs44^RLv1MS8F#P==bc7X3UV_8{`h4aRY$iGK1z?@$1eu>> z%fN8LkalqZx;sI`h<4`d*fB7482$baS`LgQe9+@y8n*DkRV{(Sr^k+g;ROxd|Hh7i z!NZt#;RCv3Vg(J&Ut<s2&qKTL2i<y{VM4p`$#Gy{I6*`6&p0qJXqeK@{W^{e3>~Jl z3;!NR28I_jbpIPi1_lo^+J%pg69dBv8k)bxiGhK`oObT#ab{r1ppp3=3=9l8&I}AE z%zyu%fL_O=_Cbq@X{+sUW?<m4`2D{hzgb1Zn8o74zz{$svjSWg7^YCktT`?W3^%A` z)(aN~1{q80gqMjc149Cp%mUrdvxG`!opEJgctItzB-|JnbgZZoUMZkEg{WlK1~&$V zEmSh=j~fHS4=coY8>Gz*8(W6VE-=M`y2L0WLN2HxkabBO?hFh%*3>a;3g`|cDw*}b zoq=HrmCVxdU|={wC9^6#7#RLg$*dzD3=9S~)Cqe5PX>k*Dw!4I$-pp!N@lI_WMDW$ z1+zeFCcbzwFfiEu{twzd45~gr`>Qy-7#L)1q5XB(I#s3x;H9jfu~iK(28K1ZzyHgD zG=Rs!BS6Eh3?TDdycifZ*#3sEu>_eH;l;pk$M*OCxlr{kd<xA>Ow-`zRd_Kl#Mu4* z?+cZ0W@2&&83;0OiWlTgsc4WK0|VHO6(IBMe*Z@n+vCN+pka?HcEO8*p#)9ri5COI z0W`56UJML84yby0ycrlG(8LtH85ouziGlhlCf*DT9~^%F2OT9&L_cUNsr{fS-V6){ zj=%qdu0kNf{6<pE|KiQSaDay9hxmZ*p!@wFbkzV6?w?4i`?rAZZgZkt_=xy|&hDn2 z`6a##3<A!-|AVd?AR>I`lNvr(d_iZ9)6RSoKL&;m&a?}kDSn`{(rIV@7e5Au2`;oV zKg6Gb;Q<ZJ-{Q}}VBktS_lpEDFf`E6{E`3$h6^+_|4IM@gMu6F${&+J28IS2nm+|} zx1<~G;`a;aE=zaXnI96wz|i1MoAL+LKG+h(!0^Ex(S8A~89foiz`*12`#<PfXHc1% z%g4b05`PfHz@Xys`@a>aE#b%~P{GFm-q#Jfm($1N_y16+IHY~z2X3E$+Ak8p3=BOu z<Uww*2xee7<MI1H=(<{v5g<K2!3+#PJbwR=013d`P=R1GL3(n685k@)5qdyk4Z#cy zDM(@<bEX6{Fii0L{XZAQoHVdGAo(r93=9u&$b-zh63oD0;Du_>i(m$Z7&Ngz!3+!? zXkr2(3=CVme*d=t*$vtY4!RB`gn{9K*KhcGF{Vt&-dmdx1_ldn#QZ-~8bmxKgn?lK z7V(@A28I{jnC7&A?mYED6$h<XnG?dmFvI8f|M}?ak3i!ku)UQ197x?U&<bJ(29Vot zgfKAt3Hkk>6FQd!+h+#d!_HKRw7S5R&w!~Ii4WRqB@xQNa3&Nw)`zlAjA=V;`U#}Y zA(Vk3B@8+yg{lsIL<UG*MkoWrA0pIE2xVZH5>D8>9ia>iIuZEQf%XzV2xVY667l<g zEGQftK}kpgw0MxYjfpt~vfd4{w+7@!o-hW6ACdUY1gSFt-7OnMSY1pQ1A|61es!Qd zaxGyD3<sirL-r2B^UV^FV>ul86c|8ut_Wjbh>0N_9~Z(H7{0{dw-aO@=w95OSi<Hh zgflRx#Njs&G~ekF&cLuI?)U#mh_(CRbxz=YjcrWK`SAU7;N^({AUD*6Gcaf*5_ZE9 z(4D-A_}u`y4)#nq14Bg8@BazRSm($<r>>x^DP&;g>tJ+5+VTk7C*aA~z*vf{)yV+5 zR+Ygdf`K6*_4og5gkO>N&rM)NT0by_Zw6xq-vUNYz6p#u(A@|ib~ciDI^PUNH>et> z6BsLQ7$ET<!NA~?PB^SCL@+QsN&o$S7O4C}&5x5{`4+Th>PG|vLrli+{|7;SfUHk~ zt!)f|uPgixUR8^-OVpDupq?+nhc6(9FCqiHFDjic!VSzQ;)`(S3#jFb2!(P{_IQKV zkb=%Nj$~lilJ)!lc2ItVxDT{36Lj3}8c2SGlwsiVFOq@5Bj@-3ccA!0bz=d{jm&8n zd&vtx>G4J+1H+&E-~V-?_Mnx2%yP)<uRvuy0|Nt36a&ML0{rO=wARZcih*H5;qU*^ zDC^C@d)xz>VOwl_nfM}7k#>?X9Y*CdD=?x8Fu5ZY<lsUHlt&gsF)*~0;dc{A-H9j$ z2AOig=>v4{^p<k`=7HwmC88M^d@6qbmvqFDmr(YPG2dl@teJ!D2Xlj`^#bM#IM!qq z6!TTMK{lE(FXe=6LiXe<U>4Iwi03i#RiyDXFgijvCwuZ0R6#^wHi7opfN~Ek@47+v z_;~Vx)4_vi28Ja&e*aGc?MsBDAw;><&%_+gg;eoD&&w78-LZY>_kYk;d!V)yq;0eZ zTpokUYm*oTh6#s%|33@LWAO5N7g!!tUW4kLh!_S2n<KyfD?-zsBcB8;or@u-bCBAW z7zPH1qrd;FV5*fwSGysGfx+b%acb|xFfe!=C#<%Dfq{V|mVv?N1hhOrUULOrZ@vMk zOk-wcga$EeD-&$<mnZ0ms0a8Y=V6p?p!Qr#ECa)WQ@{U%u0}+J_fBwlg7Wf`SOx}% z)4%_Nj(7*HrGe#T*jma~<h<<2=K#`kBbI?-&6(f-LqO#l#6RG82kU8LVwOg&kHC6( z;usiiocsO%6AnE)u;_7!V_;Zv@%Mih9D2CX^nmheNgU|z#^3)z=eL9WgS5_P3#^O= zshbnWzz}ie_y4V+bOtFaL2Hhg`<R&4!qkD%&yhF=h7VVM|IfrsKXJ(E2V~}lI0goj zYrm1tjew?0rZ325O2jiT@Ld1>{}#w!5WAu3m=7|+6gu)5fb4gP2i+z4`~PH2`#X^B z2lYn^;u#oBZvXxdiX%{5=kalXmf$mV#4|AX-2VMv3u+%Mj-l;Ur1*l=4<NtniDzK& zxQo9m1F3rgy2JkN@Bg5yp3%a)7UmU@I-Udu29JCA!yBZ|B!Pk9!M)#j&yE23H70?9 zq2m7U|DYoRL4IWl;Nt-8120Jc-JSXS{~Bn#!slH;EmLT_o+$vft)LF6T7dW+)W_M8 zz`&sM;5TH?0JQ!(lfb}`@!<FW4yd_E>8b(dPf&b)Nnl{O@Zk6VSD^TE1Z6V`&^mfh zoA@ClzQENF_*?>oL<WW_kADBZh^#iC8Km|EvRY7l`Xn+ics%+2A9O}OD4fybP!XON z5dLULWMFW4h8Q~s`E5!f14F?xXkQjK{+-Cc(DUr~f8@Q7dlDHKR-lPpNMv9*f~NOL zA_Kz%Br%X%e<U(6{CW2KzbVvTFt@_ms~Ye!1ripZ_|!>aVBmQ1`#)%Z4mdu8_&7k} z>5|025b)yn|C^w22iFG%%}h+bd=_qe4xW4lOl6=T=3oH##|x4e7+7BZ{$B-E%TxjB zGj=2~Fyy@a{ofxZ?h6rLki@{S1Wg>|{vAmS40m4s{;v;mKT7^&ih*0a6Bg?byWb=+ zFx+^BX*WwU1B1hBOuJ>085k<i#6fnOBr`B<cukt!8OaO`Yu@~Z?;~KUg!r!^nSnv% zEvDTwk{K9$-v0g%+5-j-Yd<~?klh=S85mmLl4kdlWCn(YcbInkNoHW!fhG>h-y$iH zyXV3CXCYw=D|g|0@IdiylfuBz@&5OJ7f_tR+ZK=o{#Ec@AdoXIGEx{A*1Z4y{|-Ve zti26C7l~;JIL|}&vblk`B6#vS_+jLKP@TCWg@M851Mc~y8z~G7dp=;FU-|;_-v`vW zAC6Q82AhwlVlt@=3>|1<2B{1TSCGU&`#@Y$85k5k{r-QAA8T9Doo@j%O24a!9b4bZ zkq>k>CTOm0N-6`x2F5=ab7LU8Hl#8zJYoFvKNb7FB+yB!D0Y43#cmhqU`3F53~3Au zECPT2XUpPn`vf)=^UiZ(H*W&yY<<w4#WV&66<tiXgRVW#Nn>D$(EaoO5@>TFq)h_p zH$v7|V(g*o;Na_EcI2DD><PNJB%2YL_Y8%n$AR5d4b05O%*fixQMA1W@m%>phe(3b zGfz4L!;Jht|8p_Q6y_vISq~|<L251185r&q5LOGCFHA{iU{EOfLzDS1P<?SEoq?gD z=nu+#?u~Q?hB-xl{)3M11(hF=HvC&~Net5SBb|Yvruff)BT#;1U;yuz<H=xPSW*1v ze*x70@Ol|(yp8D&YIX#j9|Y>FdSrmk@BH)Mo*6kEf%}9G@IE2R-ZDtN0&+`B1_Q%` z@;~tTV^A41BZGl~r{WLfZUNLXC=^zzgTnSe1_MJ#1#Q9>WWGWs1H%>?nGf=NLnZ@* zNF^ffK=tgDOa=yn%0G~`^NxH1pyPr;;%hP)7*3#xgTnquCIdr4)t~>-h<HP^_4}Ea zIT-mo9Kky?t57<7ATt@V7#Lcr|G@WffyQtpK<nzW7#QwU|M?HP?i`eUnwgjm!{+Br zvKSa9)F9#u6iyyl3=Df}XcrGFvKSa-YH4RaM>YdP4GqoD$Yx-;LqqdVWHT_>)X^?{ zG;$ai=FrgmjvNMtKQuJ|MGgZ)Og-(w$0L`4VGj+>Uy;keAk#oQ_jBYiFx1e{{ER#X zhC4Jg|3n_>yeHa(52&4_k<Y*|r}5AKL!dL-v9(=3ptkW&;%Je84$B6WuNC<W3^G$N z+esk1rsOj)1Wch$8xPd(dXmq;P_Srlw!1)eM@s<%!-glci93+_PYM_q1fJ5)e3wE7 zh5{Ozzod|X;Q|fKXDMP}FnC70@JT6RV3<Hd^N$oUFnpk)`6|T>3<1w+7d|b;3=A7+ zX#SI81_pr_v~#~p2?Ij`4b5Lt!oYBWhUT-BGB6mtq+R%=lrk_(prQFkN*NeF(9nF9 zG6sf#SF{VCmNEv04Ky_WNf`r!z-!vM-=&;^p@4?wFDYkWxIjbmSt=M94BpT#d{QbH z7$(rr{38_%3?FD{zDgwnL%>_wg-=T*1H%Ryn*XGdfkEIM?cDED#lTQNL-UtZF)&=9 zq4_M;3=9VEX%{{z)eH<1XlVYCY6gZ6G&EnOhJhjA1MR}6rG|lF0}ai8Qp3O?@R4@z zcd2DyD4?PFOKKSyF3`|?mO2IogHN;zpOiWVh6yw@|41DJ!v`9guTsyz5b&9H;nPyj zz_5Xa=0B-tU=a91JNLUZFfbI*(EKG03=9`&Xg*6L1B1a=+J#R_BLl+(8k&Ekk%8d@ z4b4|+VqggPM!WE7X<}g5KtuDNG%+v;e5alJU78sf3TSBll4b^m3p6yJrG<gP;0Nu( zC#8jfVFC@!Khna$@PUTrtF$sO1pK63__VY#Fl?Zq`A=FI7zBRN&iyWJ3=9P{G=E7O z1H%Oxn$ObCz+mv3cHxuK&cHB%hUOn>XJGh1L-SQS7#ITn&@OyhIv5x>(9rxR9SjTt ze`)7_mre$T0vejXq?3W+0u9Y)>0)3o_(!|&N$Fx>m_S4Gk909Ge4wHED%}hW0sm<i zJ}uo03>#=@{*!J71_6e@G+BQHnqPD20qqN-o%u_87#J?l(ETjE3=9U0v~zz-F9X8_ z8k&Ekmx18}4b4~SV_*niqFwm3^f54OprQFs`WP4lm}%#JmwpC@0vejXq@RJ|0u9Y) znZUqcz(Tw5NtwXFFoA~VADO_w@PUTrt4w5I2w<gM__R!9VAw!I^Pfy)U=U!Vo%>xT zF)$R+(EKHn7#J?l(0rE33=9VBv<sh<$qWn=XlVYC$qWo1XlTC56b6O>4%&rJ%M=EN z4Ky_W$rJ_#0Z!Vv-(@NTLjeuVUow?};Q|fKXPL&pV8BJY@JX4*z%YS^<{z2H!0>^F z=BrF+U<lx*UHG(2XJFVsL-U_ZXJ8QEp`H6(W-u@m(9rxPGZ+{y(9nFAnG6gDytE6S zl$i_+6KH7uk(mq(A82U4$}9$k06yA<Ps=O@h7B|{|H&){1_6HBx!+|r1497~&0jK` zf#CuT&1adzz+fOiyYNYw!@w|shUOoc1G?{=cJ5c1%fJvINIUae=7R2TqMi9q<}xq{ z2+_`bmw5~f1vE5&$vg&z3p6yJWj+IgfiUgDCuKeZ!vq?de`G!b!v`9gud;xFAwYz7 z;nT8!fnfs;&403hfk8l&cJ6ms$iPrQL-Us`WMH^JL-Sb{F)$d2(Jp*a7BMhPprQFk z7BMh<prQFHiy0UK#Az2kEsGf#Hqg-gCyN;v1SDwZewQT-3<Weaf5{RCh6^+_pJgcn zgMlRN!Y5@Z1H%Lwntx;|1H%Uzny<2qfgwPOcHz^qjDcYT4b6YDjDbNwns)AYS<b*v zKtuDFEN5W2KtuCcRxmIa$j~l)QdTf9OrW9pM^-Q}e4wHEDk~Wn0%U0yJ}oO57&g$* z{3k0J7zE^K=YE$}3=9P{G=Iq|28IhXG@oTP1A~D)?ZPKzH3P#08k&D(H3P#38k(=N zhJhhKfp+23vW9_S0}ai8vW9^{K#_LtcUjB8P(VZTm#k%AxIjbmS=KQy7%0&$d{Wji zFifDK`A60<Fnpk)`6}xf7y^`O7d|cP85lOu(EKOs85jgqXy<;H4GatgG&Fz71_p); zG&G-OBLjnhD(%82Wg`Q_1R9!uWFrH^2O65MvWbBqK#g|c)3S+yVFL}#f3k^zK|q~$ z?swVDz)(O#^OtO9V7Nd-^I5hqFc@giE__n9FfdG@q4`I)Ffe?eq4_FX85jaIX%{{% zTNxNO(9rxRTNxMxv}oskmu(CT1vE5&$u<Ut3p6yJWjh0dfi~^JCuKVW!vq?de`GrY z!v`9gud;)IAwY+A;nT8%fnfs;&403kfk8l*cJ6oC$-q!RL-UvHWMH^JL-Sd7F)$eD z(Jp*ab}=waprQFkb}=w~prQFHyBQb)^l2A9ExQ>QHqg-gC%YLK1Po~BewRHA3<Wea zf5{#Oh6^+_pJgutgMlIK!Y5@f1H%Lwntx<31H%Uzny<2tfg!+%cHz^qkAYzW4b6YD zkAXqJn0D@W+0VdGKtuDF>}O!OKtuCc4lpnnn9weKQVuXMOrW9pM-DJBe4wHEDhC-D z0!(QaJ}n0s7&g$*{3iz)7zE5{=YE$%3=9P{G=Iq<28IhXG@s=#1A~D%?ZPMJFayH` z8k&FPFayH}8k(<ign=Qzf_CB4a)g0l0}ai8a)g0Fz>;?EcR9+yP(VZTmmFnaxIjbm zS&lI<7+BFRd{T}vFifDK`A3d1Fnpk)`6|a57y_(m7d|b=85lOu(EKOI85jg?Xy<;H z6ATOmG&Fz72?mA>G&G;(Bm;wiE$zZ5<s<{c1R9!u<Rk;b2O65Ma*BZ=z>ap|({hS| zVFL}#e{za}LBO7N?sqxOz)(O#^Ou}vV7Nd-^I6U?Fc>({E__nXFfdG@q4`J7Ffe?e zq4_Fj85jZ_X%{{%XBika(9rxRXBij-oM`8MmvamZ1vE5&$vFmw3p6yJ<vas}fivyG zC*?c?!vq?df8;y^!v`9guX2HbA;5)p;nQ+~fnfs;&3|%%fkD8PcJ6n%$iPrQL-Uth zWMH^JL-ScKF)$do(Jp*aE-^4nprQFkE-^5CprQFHml+rW+-Vm+EteS>Hqg-gCzlx* z1UzWxewQl@3<Weaf5{aFh6^+_pXDk8gMlaQ!YAb_1H%Lwnt$Xf1H%Uzny+$=fg!+) zcHz@<je%hU4b6XYje$YHn|AJZxz4~)KtuDFTxVdoKtuCcZZI$y_|PtVQf@FXOrW9p zM{Y1Me4wHEDmNJz0(@x~J}oyH7&g$*{3kaV7zF%i=YE%43=9P{G=Ir028IhXG@s=* z1A~D-?ZPMJHUq;18k&FPHUq;48k(<ihk+p=fOg^2a)*Io0}ai8a)*IIAdq(Mce%^J zP(VZTm)vDwxIjbmS?)0~7zEKSd{XW)FifDK`A6<CFnpk)`6~Ar7y^Q66F#8309)=e zFl-3^``?fia(8Ys6SFoe9|r?SZoz#9hAR<&|KA1O)9c75(9Fbi9dr+?2Ll7cp8E_8 zGa~=~pUnc%2f44;iBAJ|pYjIOI|=Jqu-%f(0KVs*;{gN1o7lhqSAgt6yUUwt7UD8* z6$S<dlLrh81#y4>7eUoJf-dA$XlCwXVoC!s1)TUeK<<lqz`$@K?(hE@Aa%%hCW7u0 zX6s??W9esZV`8cXIamSgcmYQ~4p%+{M^q7zSt}keFv!GH$E+_P_fyF%hldOdN8<nf z@4@fKd{iG{_hZjP1_q7<>X>!oAp?UCmCRCk#K16tN@f*2VqmyJCA0QCVqlO+q&&P5 z7#J8h9y2h+B>w%+hdnPj@;RVfgZ`8mTUK)Ab8x{X&cMI`O2avi85lTH|NcLUKdr1F zCavsv%)rn?C9_zbFfa(DQO8{YPZ$`cP|2)0PZ$^^(y8OF7f%=%=1|Ejlcx*}3K@U@ z@5CREbBKw@hNlb+Q>b7T=p5!VPZ=0EGXMTRg6=LzeSnsRnPy{4s<@Ic$b6k=3=9>S zfB$bL&ipP?&F^`}z;J^`=7aM1n`aCR7FmD)E3jj&FVVy2AU5|q@;NYDv0;;8U;u?t zz;gzMF9m=9vxDwpN3QcAap1xifLx9+Ex~4zBVRx@HgS;o8=f;TEGeX290<H%U|=bt zo%sbX7#LED{{G)jTpF5&EgbNr(F-pa7>>};{RS@?7*vXB7d{hSGBC8z(EJZC85o`v z(=Pl2UNJDZl+Z4GHoRhBSVBYd1zs~Su$0oy{ROWX7*a}U7ycJsGcX*Xq5BQqFfgc; z(Jp)@ykTHyp`rO7-Y_scp`rN!Zy6X|%4rimpf==&w+swR%K!eKfL?y0_Nj`AX?y&6 z%fRrW{O|vM{ALvqW0uD|1_qr9>X<d<9RouFmCSnZj)7qdmCVw4&%p45N@i8OXJD|Y zq)vDpdC$PmKqa#TJ}@vGp^{lK9~c-os;J|~6(1NFe5hpBmk$gK6R2dC!$$^&D^-90 zSEJ-1M?MWueuRy1#DTadBOETMB9Q)J&qoFZj%w<db>kxggAJ9;Qu)NdP(UTK3O+F~ zETNKFdp<ER+@O+K9G@8&cxtE<_934c7#yf%)`HIr3?)=D>&<5dh80vW3)By>_`<+& zr{?efdnoB1KK6*-m)c5dJATF&28Nj0zyDVeXMQ88=KuJ@z_5mf=0|*GVE9u@n=xZh z`0x12zz|bMyYP|t#=x+KhUQm%V_^7G_xJw^;^KEcsquT`8v{d5J?+f5_|Cv^r=E7< zKjS+CgH8kO%>VJ7fuV+m=12TsU^qiV^LPAUV328~UHC}+WMIglq4^a*85s7^(EJ-e z85nq)XjlGN{9<6pp`rORelakdX`)^H{`kefAk$1c^CNyUFyu7TuKd~Yn}Oj@GwtG6 z;tvCZO$+VJulU2jFo%Zb-}uA8@P~%xTl{5Uh-sx=_{{jrz_5pg=KuK1z#!8`JNHNY zV_>MEq4_)hF)-Yrq4^U385nHZX%{{f{}~wO(9rxF{}~wm(9nDf21bUM4%&s!3<gGq zJv21`2LmI6OegK!AHm4TP(wrWcQ7(C+@YcQ5=@K?HeIv}p9&^MhB-7e{{|By!yg)& zZ^6vS5YtV&@R`BP$gqco=Ko-3WRU5ho%<tL7#V74X#NfsMus~yG+%<1k-?^ycHvXO z%E&N>hUVX3Wn}n6L-Q@z7#U*vXcs;+*cci1(9rxJY>W&t{j_s`1Un-`4GqoT!OqBV zhlb`$a4<61OrTx(RB$jd%%P$AH#is>{?O2T3r<Fcn2EFtpBbEt40~v3{tr$@2AN5; zbAJREBSQ@h&ELVr$Z&^-=1XuhGT2O}UHDXRGcwGfq4_ts85#c2(0mIXMuwOvv<sgZ zJd6x`XlVWq9!3V4skC!{1TP~)4GqoT!OO^Shlb`$@G&yjOru@+RPZq}%%P$AH~1JC z{?O2T3w}n1nCY|&pBem&40~v3{ttde2ALVObAN;YBSQ@h&EFxw$Z&^-=1T}NGT6+d zUHDW8GBV7eq4_ri85#c2(0mIaMuwPKv<sgZLW~T1XlVWqAw~w7*|c+igfJsR4GqoT zA<W2dhlb`$h%hqP%%NTQRERJ#%%P$AH$)g2{?O2T3sFXfn7OnIpBbWz40~v3{tr<` z2AO%ZbAN;wBSQ@h&EFx$$Z&^-=1Yh(GT6+gUHDXpGcwGfq4_t&85#c2(0mICMuwOL zv<sgZ5{wLcXlVWq2}TB)g|u^jgd`(F4GqoTA<4*ahlb`$NHH?lETUcbR7f#0%%P$A zH>4OD{?O2T3u#7%n8maUpBd7O40~v3{tszJ2AL(abAN;kBSQ@h&EFxz$Z&^-=1a&j zGT1DoUHDYUGBV7eq4_st85#c2(0mIyMuwPWv<sgZa*PanXlVWqIYtJV<+O8ugghfd z4GqoTA<xKghlb`$C@?bEte{=^R46bq%%P$AHxw8d{?O2T3q?kTn3c2(pBajb40~v3 z{trb)2ANf~bAN;qBSQ@h&EKKK$Z&^-=1V9uGT5x9UHDWeGcwGfq4_tI85#c2(0mIO zMuwO*v<sgZDvS(!XlVWq6-EY`wX}18geoIL4GqoTp~}c`hlb`$s4+6wtfO7{RH!jB z%%P$AH`Evz{?O2T3w1_@nDw*^pBd_m40~v3{ttCV2AK`CbAN;eBSQ@h&EKKH$Z&^- z=1XWYGT3aSUHDXJGBV7eq4_s785#c2(0mImMuwP8v<sgZT8s>PXlVWqEk*{J&9rlW zgf=5X4GqoTq0Pu}hlb`$=rA(aY@uEFROm1=%%P$AH*^>o{?O2T3tdKrn60!6pBcK0 z40~v3{tsP72AOTNbAN;$BSQ@h&EKKN$Z&^-=1b@^GT3aVUHDY!GcwGfq4_uT85#c2 z(0mI6MuwOjv<sgZ28;}QXlVWq14ag!owRd*gdrnC4GqoTVaUjEhlb`$7%?)~?4n)x zR2VTb%%P$AH;fn={?O2T3u8uxnBBAspBcuC40~v3{tshD2AMsybAN;hBSQ@h&EH|d z$Z&^-=1Z6|GT7{;UHDX(GBV7eq4_sV85#c2(0mIsMuwPuv<sgZW{eDbXlVWqGe!oP z{j_s`ggGNa4GqoTVa~{Khlb`$STHi!9H3qJR9G-F%%P$AH!K(#{?O2T3rj|Zn1i$n zpBa{n40~v3{trt=2AM;&bAN;tBSQ@h&EH|g$Z&^-=1W*JGT0oZUHDX3GcwGfq4_tg z85#c2(0mIUMuwOpv<sgZHjE5=XlVWq8%741qqK8>ge@aO4GqoTVav#Hhlb`$*fBEL z9HU+MRM;^x%%P$AH|!W0{?O2T3wuU}nB%kypBeUy40~v3{ttUb2ALDIbAN;bBSQ@h z&EMg`$Z&^-=1Vv-GT5A?UHDWuGBV7eq4_r)85#c2(0mIgMuwPEv<sgZPK*qDXlVWq zCq@RD)3kGcgfk;U4GqoT;mpWzhlb`$xG*x<oS|L#RJbrQ%%P$AH(VGQ{?O2T3s**l zn6tDCpBb)<40~v3{ts712AOlTbAN;zBSQ@h&EMh1$Z&^-=1aIUGT5A_UHDYEGcwGf zq4_u585#c2(0mIIMuwORv<sgZ9*hioXlVWq4@L%=i?nlpgeN0I4GqoT;mOEwhlb`$ zcrh~AT%uk0RCqBm%%P$AH@p}b{?O2T3vWh-n9H;apBdha40~v3{ts_P2AM0gbAN;n zBSQ@h&EMg}$Z&^-=1cf8GT2<DUHDY^GBV7eq4_s_85#c2(0mI&MuwPcv<sgZevAxz zXlVWqKSl<b>$G!!gg+xg4GqoT;m^o$hlb`$1TZq#+@M|fR0J?G%%P$AHv$+L{?O2T zi$F$(n47c<pBaIS40~v3{*ORL2ANy5bALn-BSQ@h&EFBk$Z&^-=1T-KGT7XvUHDW4 zGcwGfq4_t085#c2(0q#!MuwO>v<sgZA&d-rXlVYA5Jm=>yR>tEL?|Oe4GqoT5z5GL zhlb`$gfTMM+@oFiRD>}y%%P$AH^LYh{?O2Ti*QDUnESK~pBdqd40~v3{*Q1*2AK!6 zbALnxBSQ@h&EFBh$Z&^-=1W8}GT1z%UHDW)GBV7eq4_r=85#c2(0q$1MuwP2v<sgZ zQH%_GXlVYAC`JaE$Fy^QL^LBq4GqoT5zWYOhlb`$#4s}0JfU6qRKzec%%P$AH)0qW z{?O2Ti&#d6n5VQ0pBb@?40~v3{*PEj2AOBHbALn}BSQ@h&EFBn$Z&^-=1asgGT1z) zUHDYQGcwGfq4_uB85#c2(0q#oMuwOdv<sgZ35*PTXlVYA1V#p#m$Y+#L?R<Y4GqoT zk;uq!hlb`$Br!7ByrNzBR3tGn%%P$AH<B0`{?O2Ti)2QInAfxmpBc%F40~v3{*Pov z2AMasbALn%BSQ@h&EJv2$Z&^-=1Zh9GT6MOUHDX_GBV7eq4_sb85#c2(0q$DMuwPo zv<sgZX^aeeXlVYAG)4xQ_q20=L^>lw4GqoTk<Q3)hlb`$WH2(=e4t(URAewR%%P$A zH!>I*{?O2Ti%dp_n2)pzpBb5q40~v3{*O#X2ANN^bALn@BSQ@h&EJv5$Z&^-=1XKV zGT3~kUHDXFGcwGfq4_tm85#c2(0q#=MuwO#v<sgZIgAW@XlVYA97YD2ue5W2L@pyk z4GqoTk;}+%hlb`$<S{bXe4}0XROB%-%%P$AH}V)6{?O2Ti+o0gnD4X;pBed#40~v3 z{*Qb{2ALnUbALnuBSQ_1%$H$cVAxT>$Z+S!-~ZF0_eZ<%DKs-NP2dx7<l``4U|_gW zz{n8u^Y4ElCI$uuCy=m&3tvDpa~~7)5k|fUC%yzvzJLlo2}eEwCq51a29O?}LPiFU z-+%vmF+%h>fut2&_zap^`k4Efn5`N46dd^^oS<45K<;oTWMoMB^Y{Nw6nAU_S;%3* zz`&4D$jHF*_wRpcs5_iM`V}1cG+g)`npt}w2JdI&({SWd0Ly`#<H+YA!N9;Ur;w51 z#lOG*!=Pq2Gcg5#+zOK0QOL-k^B+?V<fbcyj0^?;|NdV9)dvZinP4}8<i8X$GJN>| z_y1xP`8jZTks?Nh28Mq)<xPqh83Y({%ZC&(GAzI&UsA-#V8Dc3zXAgT!;~UMh67Ch z{+~l}-#)PW9QhO&Kx%drF*4*Z<5mNT&pSno3=%B={&(Vt&nmR|6kuRr5GZD3C}I8g zUlU|Eh|j>lpi<1pFoE^oe;p(-i(*EGHAw0}aqUyg$Z&%7-~asx`<y_rs^Q3I(9G7u z+6#&8m5h8EU~yPV0@>S9%*f!w{_lSWk{xqE=CS|#uLKnX#ruY0MusQs|NaMn#2onq znwglqU}@q^F(ZQn2X?s^AoDr?{SQXy^M&bSDPd%ofJM%Ofq_AxgpuJ2$G`vXD1LGT zrB?%3`em93;v$l-E1v<=G*lr5kXbn;j0`t8|NVafasxOQNjQOW6gYgKd4VYzRU^o( zR8%3bSvyJ?8EUxx{SU|It~6AQAhRx_3Ne7fl%<rBVFEX1*l2+KU&_dEh5O(C1Qz5n z0a_pgv4C<jD93`$@hN3w;1Kxt-wNbES5VxPfP$Dogn@w}qm+?BP2k^uNsycep8zN$ z-(X;1Xeec5P#5_3{{qN-u%2L$nF`sUG-41BQV0s9p~ZFN;{b(gOc^7Cw8+2zpm1aW z`z;9^9wrP73>9UJ4C*5P{;vduhbx~z8<QiSL^HDsp8}IVD2fc6_$(ax918gqB0!}u zs8|Q79L1v{FrXoDVF$$e2~ZkT{D4Fz@`FeQ1{i-08vi(y52YABLm4~}Z43+>APimz z#c(AXR4XtrfXfR|dnZ!}EF%pj85lUAv=o#+0F?*T?;s(V{MUc~^FjOt%pj71ffYhA zL_myZV1x2cK;^lh{8*?wH<S;`&mg8Kh(N+nZ4586A?^b;b3oD^P>rCn55#8&5ey6r z;!wI{A%p=F=YUpnFn2f9L*zLj6u5i^F=6fiu|fFve~AAWp+WKw%0Hn9l4W4{59Pz+ z<pY$z4b+HWU|{$O<-5TG2BM8><OyiJpc`lgjc+$79R{V-pmZ6OZiCX(p!6~*y$wnq zgVNWa^fM^^4N9|ZgxD+wrPZLc8I*Q|(qT|K4N8|m={6`m4N5PA(%Yc)F(`cvN<V|r z-=H+xCaC>TS`A8@L1{NA9R{V-pmZ6OZiCX(p!6~*y$wnqgVNWa^fM^^4N9|ZhT0FM z)u6N)ly-yCVNf~^N|!<DHYhy}N-u-b+o1F@D18k|KZDZWpfuYSsQpk{4N99qX*Vbx z2Bp)WbQzRxgVNKW^fD;D4N4z_($}E$GbsHHO0#W++7G4GptKp3c7xJkP&y4tmqF<^ zC_N2IFN4zCp!6{)eGN)KgVNuiG}|_){ZLvBN}EAxHz*wjrPH8v8I*2=($k>yGAO+b zN*{yL*P!$>DE$pevu%gk52e+hv>B9kgVJG8It@yfLFqOqJq=1PgVNie^f4%X4N607 zBc9|afRvjGg^&W*A4;z%g7CGAA@o`(ol*kfzl73Rr4asWC|ytn;eUeCW#th5FDPAC z0pashLg+Rq%~b{A_d#iyY6yQCl$NT2@aI8komvQg8I;zlgYefuX`^}we-o6}X@KxI zKxvak2!98ZHfn<Kw?S#EW(a>Dl(uMr@b^GzhgJyx2$c40gYaeAA@q7Et<eGDFNM-d zoe;i77lgh8rK7qb{OeFUt_Q+@45ejzA^Zd=JqJqPfYMxj5cw!5Jr7FD_d~?zLusW6 z5dMd$5V~R-gyxwJp%*~uUo#;5lvxn^Hk5Xq4dHKs(x!7D{1s4IYA%Fd3#B#YLHIAA z^oa!!zW+i9eFREdE`sp)L+J-T5dH^02;C44p%+9#Xaz3_eE>=uK-CEZLc|N8^aUtg z5Cjod2!_xXpmakBgl`ZEp<y}a0hGTX3?eQ7wbubk7eMKRXox&apTRyz!y^Go2Sh<? z{)8Gx%j7^Ugf6Iu&;pB~EtsPaI^j5kzHk!KGWzfkLN9m>p#>ZuEfxn42>rnmLND-! z&=Zm&^nz3ftq=ui(QH@)rFTGRheHthz+niTa1KI$I1ix%E<)%Dmm##lbqIaoCWK~q z1)&4}K<R%Fx_|-NVq%BTA7mkP!d(cx;2wl_cm$yzJcZB&Zy~h9dk8J?5kfP3gU|`T zA@qcQ5PAbMq@}ok2SN)7K<EX65c+}$gf38o&<VB>`a%MPPRM}JA2K0yLIH$6&;p?w zdLVSd1PI+Q8A30Z2B8nkgwPM>Kxl>q5L#g|lwJa*mqO?VD<E{hS_pk$BZM~C2B8=1 zg3t~7A@qmC5IW%mguZYILNi=}&=amgXoc$#dczF}?Qjc17u<%>3+_PZ3wI&(gr^WX z;4Oqs_yC~`K11k+ZxDLIPYAu>4}{+EA4)So()R{ND9r?=nIUul3xxgvr6;gL_!HP5 zbO1YqegLHxa6tG8ToC#LlzzYi;XCj_=n4D~x<CLz2M9vw1Huq`gBXMkkb}?#sgQj4 z07?g>LHHM-bU-?k52XV#pnNDDkO|=j6hi2PN(f!h2%#ImgL(`M6DC6V3uZ#-4GST( zz)A>RumMW%fYJw`^a&_^0ZQM1(hs2Y3n={oO8<b;43Ki8fCEYkKxqjmtpKGpptJ#m zzF-ca4eTMbfIEc#;0K`t!XWg9SO_hU3ZWO|K<I`N2+eQ{QVtz}(gF7&{14{P^2Q26 zH`qYv1&$E<!Wl^VnQ#_D2b_b@0_P$01t>k?0)!uM5kd<<(|v&yBwiV0AhZB9o;U1; z@C)8T=!9<&dct=Iz2GN=-oOs&>2CN6;R`_3eK-o?KR5=V4;+Wk3r;}klMwpADF|(F z8bWV?(i6@=_zh<vbip|YEpQP+H$dqNP+H*<MEn4h7Pt)IFSr7s9j-y>2ah21hBpve z0P4R791wp9NI~cXSqLp451}6@K<EjI5ZXZrLQjCw51@2{GDO@!6+&--(hO=4zJfM{ zo&cpk=tKAk1`zszBxC@gK@LJ2$V2D`2Z+3ZBZOYy1fdz6A#?(iJ^-Z^Tp;2NQ2GIs zJ|GM+j{#~=LIzY_I)vT;r5m8)37JrFC@qi$;TJ&Z3sBl18zMddN`HXT0XY!y15oo0 zG(z|ZT@bpU8$uiOK<E!pdP6USU(g4kCrp6Q0TUs#z$6GgVKRgcm;#{%rb6foP}*Q7 zg#Q6bZ<qz)H!OhA4htdl11P;=34~v;3_>TYg3uFIL+F4t5PHK#2wkuVLK|#`&>x_* zz*h+WfDmNlr$HD(JBUE&4Wdw53_?$kgwP4n5PE_vgbt8{&<~*W0(l7kfFgueP=nAD zj3Kl^HN@ToC_Mp6Ux3mEH4ym;Q2GLtHmHS&7eMI^P+Fl5B0d31Ux3mA^$_s@C=F|; zO@Q$mAo2xJ`T>*<fX2T;KScflEFPyp_yY4Fbi;oL9l!wbmjWY%4q$@N4a^XF0}F(H zzzU%^a6sq+P6#c)4WSEop|k*mHh_k6f&zqp07@4?!!<w&BJQ99p#wA^^aLn<07`#= z(h8ao`2Z;00HqITLBtibAvA*ygl>S+7ofC)E<`*)4?<sn(hB+zegTwTU;yDO7((a* zD187*e=vfGJ3!Nef*FKg0HrTLX#;bJ_yj23U<%=XfbuW6L--TIAT&b>gf`d?p%?6c z&<=Yb^oG3<+Tb9BzOV~IGwg=aP<0;;K==xWA#?zgW;g=jZ-CMQ#~}O$C>?Md!VkCz zp%tD$=mzM3=7l&&f8jtpgl<TL&>x`v2!$93zW_=ffYJ(3{R&X^uylMt0@5x>kb=+* zG7x%!EQEHDhtLlcAasKggjP_2&<*Mk`hgaNZqR|y3VIM)zyL}cL+A}A5IVpNLVqxa z&=V{nw1G8*zF-5P3+y1Yf(wLZaD~thp!5MZ2>*fygg)R2p%;KB!5J7DydnGq9|-N> z3!xSKAT)zNgnj^}4+KE?3j!hZh9C%iAs9jzghJ>EVGz0jJb}l+P!Iv(CqzQ%3kM+e z?uCO;`Vf>p458uSe+0r8aDeDr;0U2FK<mi@LrD1`U<9EzKxqeK2>$|<PB4M+KS1dQ zQwU$c3_>edLFf-q`hYcrKfwk<C)h%013L)KU=N`WKxqe82w%VrLSKN=6Wk&E4So>% zfIoz82!PNIfe@M@2tpr#(hb27zC#FvW(bAQ2cUFA7=-T-4xt$$AoKw!-4F@kJ48Wf zhG+<V07?hMK===!bU`eH&kzTpCqQY1cnE(3ly*pf@Gn5=ghUAc1C(w^g76uVA#?+j zW=MhZp)^A(ln<pD(x7}O%>ZqGJaB@<_XcSDCqMzxZutPECn!Sr3Q7=q1C(}9hVU;y z=>!!B{{xg}(1h?WK<Ncq5PpF+gm%z@&;q&;`T>+)pa<b6=tF1&0|@;AN^dZP@Gn?E z=m(Y%dVv*$POyg13N{e>0hC@~3*jf&L1+bg2>k#`FK~eH6C5G5f)j*(0Hqf=L-+|U z5L&?%LO+1g1#S>NgFA$t0HqZ?Ap8wb+QAdTzW}8ZydeA!P`bez!WZy?&<minf-jU0 zr4{_3d?>Bp59LE?g#aiYN-G3H_zXsn_+AhLp+CezXoq+Rosa;b8xkS(g(L{AkOHA6 zz{Z94L(2_lIkN%U{+y5tNoNju5c&g@-jEOBClo+vfkFuV07`Etg76cHA+$gVggyYJ z3rZn;g)#_z0ZKQNL--CA5SpP9LT`Z52~`lj0JNT50IfF@4ngX*39$O^1cWbe5<*{q z(i2WW_yMOOw7?k%eE~{OI1AwioP*E;=OOe3C_Ui<gnz)44_xFnm_cX<a|q2~0ih2- z=>|&(-@yt(Ggw3D15mob2Euo+h0qLk5c&X=Zm@^&9ULGugCm4K0Hqt8AbbaB2+iOE zp$|am23H8*!3{z)xI^dzP`beb!guh5&<tJ>`T&$}@P_amd>}M~FN8h-r5pSpd<TCB z%@6>g4?yXLKnUL<2tqRiL+Argx*-I@cL;^h3}F!Z0F-VBhwvRDAT&cHggyYJ8=@e5 zhiC}R5CfqPK<S292;U(NLNmle=mSu?Apyd7NQBS~Nf7z~lx|3d@EuYhG(#$cJ^-Z~ z(ja_?bO_Cm0ih2->4r=Q-ysV^Gh{>P15mml2f}yAh0qLn5c&X=Zper59SR^cLm`Ad z0Hqs>Abf{n2+dFep$|amhEfRMp$tMZltbtPP`aT4!gr{I&<s@&`T&$}sD|(zY9KU2 zErdP*r5oxXe201n&Cmd$4?yXLMhM@b2|_b8L+Argx}gQacW8ys3~dnl0F-WMhwvRb zAT&cKggyYJ8@eEThi(YX&;y|lK<S2F2;ZR(LNoM3=mSu?VFHBjFcCsCOoGq{pmf7z z2;X4}gl3oup$|amhG`JK!*mGEFats#fYJ>!A$*5f5Sn2&ggyYJ8|Fax4s#(i!#oIm z07^H^hwvR1Kxl@A5c&X=Zde52J1mCK3`-#N0Vv(D6vB5{2B8_2L+Argx?u%`@30a= zGpvHp2cUGrY6#z94TNS`3!x7{>4tR>zQcM5&9DJNAAr&g8zFp$O%R%4GlV_>r5m<D z_zqhkG{ZIseE>=~Y=`h2c0g!`oe=r}ly2As;XCYx&<uMZ^Z_W{uouF2*ax8*_Cx3c zP`cp&gzs<=LNgqK&<CJ&!(j;D;Ru9gI0~T;K<S2K5Wd542+eQ;LLY$A4JRRdhf@%m z;WUIk0HqtwK==-4AvD7|2z>xbH=Kv?9WFp<hKmsT0F-XH1mQbehR_UGAoKw!-EbAc zcen<j8LmU<15mo*288c$6GAiGg3t$`bi-{3-{B5~X1EKX4?yXLdl0_EeF)9)074&t z(hUzGe1}I6n&B~oJ^-Z~o<R5xPa!nJGYEYEN;f=*@Eu-2Xoi;%`T&$}cm?4*yoS&W zZy@vmDBbWD!gqKFp&8yo=mSu?;RA&4@DV~Ye1gyipmf7$2;boggl6~(p$|amhHntQ z!*>YH@B>00fYJ>=A$*5l5SrmPggyYJ8~#A}4u2su!#@ap07^IfhwvR3pzS?I2z>xb zH!wl?4$KgmfdxVzfYJ@D5WWK&gl1re&<CJ&0|$ifzzLxlxFGZaDBZvf;XCj^Xa-&g zeE>=~@Im+v{1BQ!074&t(hY(TzJm~iW`K^PE`YXQ8-gI>2Eh>e0hC@40^tXQLTH9C z2z>!cF9?V510o<aLnMUW0HqV6Abf#n2z>xb7sNpL2C)$O1C(A62jK_ALuiEr2>k#` zFGz&&6OtgbLNbJY0HqhCK==u%5LzJ(LO+1g3(_I{gbWC+kO`q5K<Ncp5Pm{7gjUFb z&<~*Wf?NncArC?;<U{BOP<lZDgr86dp%scC^aCippcukWD1p!lr4af7lwME<;U|<s zXoU(0{QycYsD$tnsvxvNHH3Zur5Dsd_zATTTA>a?KY-E;>LL7u1_-Ut2%#T9=><&? zenK;ZR%n6H51{mdRtP_#4MHolL+A%kdO-(-pU?@R6}lkw11P<q8^TZMfzS%Q5c&a> zUeE{OC-g&Tg$WS)0hC?<UC@{?2_mjA8A3mR(hH_Q_z6=Xw8At9{QycYm=575%z)4e zGa>W?D7|17gr6`QLMzOH&<~*Wg1HcW!aNAAFdsrcfYJ*VK==s@A+*9G2>k#`FIWuW zCoF-`3QHmM11P;<8HAs(96~FsfY1-1^n#TTe!?mUt*{zGKY-E;pbI<`)<VP;)<Nh8 zP<p|72tQ#1gjU!Hp&vl$1)CuJgv}6IVGD$Q0Hqgfh42%$L1=~T5c&a>Ua$kgPuK~e z6?Q@B2T*#!ZU{eN4}@0O3!xuC=>_{B{Dl1wTHyeMegLHx9E9)_4nb&z!w~ublwNQI z!cRB~p%son=m$`G0d&D@!U>4D!bu4I07@@71>q;0hR_OUAoK$$z2Gc_pKuOBE1ZYW z51{md3lM(7MF_2M2|_=B(hDv__z71aw8B*g{QycYxCY@TT!+vKHz4!_D81k&gr9H= zLMz;c&<~*Wf;$j?!d(cha1TO1fYJ-@L-+{~Ahg0m2>k#`FL(suCp?DG3Qr*P11P=V zDTJT!3_>eBhtLn8^nw=<e!@!#t?&v$KY-E;UPJf^Zy>b7TL}FCN-uZ^;U~O@&<Y<Q z^aCip;3I^e@CiaIKo=}OfYJ-TK*STiLTH6=5c&a>Uho~lPxt|$6@EhK2T*#!F9<*3 zH-uLB1EC*4=>>lw{DglHTH!y0egLHxFhIuX6Br@10uzLO0Hqf&L-+|S5L$s1LO+1g z3)mq11a=6mzyYBjK<NdX5Pkv|gjV2&&<~*W0v-rIffqt6@ImMYP<jDBgr6V)p%nxn z^aCipKnTK55QfkSA`toklwKeT;U|beXa#Wy{QycYkbv+LBq6kd6oh^Nr58v;_z5x) zT0s^<KY-E;<RJV6c?hkb0HGg1=>>`qeu5H&R#1k}51{k{6$n2;6+$bhLFflidVxBG zpP&Ju6*M9A11P;f3&Ky(hR_N+5c&a>UZ4x%C+I<F1$_wp07@?~fbbIxA+&-Kgnj^} z7Z^kM2__I)!4yJ2fYJ-hAp8V#2(4fNp&vl$1(pzgf)#{Tu!hhNp!5P82tUCVLMzxo z=m$`Gfjxwu-~gc&93k`rD80Z5!cTC9&<ZXP`T>+);0oa<xIt(IcL@CeN-yw$@Dn^C zw7avjm4ZfSQfXdEse+-gp0S>Ru30IVYgA`sqGw>D2^9tp<KZMgqogbhAOE8(0Im9B zWME;afh>;$@4*A95oTauz@}b~fq{XEfuBJEx=#Y67KA~|?m^-KXyV3D^{{;vAhjUu z$pG3@A;}Oh3$jiY<UWviC|F#S0k-b}BnHAYP;+4WG(ch?4BA)6$RNV718qJ2avbho z4>n(dK>)fw7qYsJfdRCvn~_0+K>|%2wEPz&u7D=K2WpN6n)pGexB;5@F{ro&n)qp` zI71O69ANIb2o>i*6Tc1>7eEug3l*0@6Mqa9S3nbg2^H5s6MqjCH$W5r3Kh3N6aNhr zcR=$OXu}LB+#Jx<voV6=U4p>_O`HcNj%JP^R6GDpy*N}n0!<t=Jqxlo0Zm*Psy+iv zToWoDfMzdfnigbE0h)TyG%ZNH0!`csYEA^2IrdQT1~m1cX<Cpu9cbd9X<3l?1T=Bb zv@1w_2AVi%niV9TfMzdf8U-YtfhG=`J^_grpoxQ)?}5ZC(8RN0?ne_ZfQomZiI+mf zC!mQ}LB(gFiPuBL7odr^K*d*}iG!90g50?QO}r1Peg~TPWT^N7H1Qcw@e^p`bD`oF z(8L!(#c!aAFNcahKoegB6@P&yz7Z<^0Zn`xRQv~;_-?2;Lm_JU09x({3I`4}@uN`n z0%+o=pyCo};^(2_3TWb2pyC>6;y0n<2592<pyC#2;*X)?4rt<_WtbrMd!UKGg{lug z6aNGik3bXu4i!&86aNDh&p;DrWP+4G1!&@IQ1J>hac-!11DZH!c__&J9cbdBQ1uhg z#HFC(Gtk84q2deB#8sf;E6~I>q2e3R#Py)!JJ7_9q2dS7#4VuWC(y)gq2d?N#GRnx zH_*gE%Uwa?@BmHR2de%Bns^{o`~#XeXqha?oF8c7xax6S;?Ydl+by`-Gbv#A2s4<3 zL-H4>O##E$+CN3$<+?%)4cj5@Gnm>|usP!J{gN>8*<f)o2K4=cOPClKgc$f4VC#ji zLYDI~Y=nx#<~?^o4LSrBhs_(p#6{H^7!*LeD52#BR5`<CsCrmG7N-6QR2(**4-@|i z6^E_A%Le<Cfq{t`;!fCn2TZ*%R2;SrY7Vpkrvw#;t=F-IiW`B&VXi~aPGE6<2H5(v zXsDDwR2(*L0<$*(Dh^vmQVmsK2o;C*KVa&cpyIG~bpBBF{ZMh(_%Td9Xg@6|USR9f zS)dKFwNUl2^@q@9UJSdS;;?x^m^r7J85jf^Bp4Pz>+22b5Q{HE)x*YjS3!gso<PN6 z^F=UozJkS}ijXK#7D&9n*1_~Z<<!99P!19m1s3OLfXxTM%+H33!`1_X+L0h_6;N^5 zd@)RYCsZ6Zo(>bA1r>+&8)4$BVdBtrbg*=?3n~ulH^J1OhKj@HePH5upyIG`CYbnJ zs5orC7$*J?EDrJsDwbk}gd1!f;UQ?YSA&Yf=5b)=m_x;3>u>f$jq`wsL&rH`>La1z zuyHDwcotL~HeLV|uLg@l4Mn16gT?t7VEa3u+a4K~L&ah17+~h?fQrM`**%AvbsQ=V zTelny-4A^kDh^wR9sxS1f`NhIK2$uw1hPKF1!@i(8zkId^N}!n#i8P`{X=3<^Od0D zuzh0SGiw+a81$jyu=Rvjpyt~_#bN6RLG6B!lrK~qHr@rZHx4Qe+s6hzhlhcIAqy%F z+qcsMEq_X(;;?;EpnMK8zX2=`Vxi(yU~zti0_c8^f6(%IJ5(GtuMcz238*-1KhRF7 z`pZyp*tiZ%{S&A-Z2c3g9Qz6thwaxo26aCJJ0$#J>oj5E#t#(_fUXmQnXdp9ht2Q6 z#0{b1uyq+QaVMxaZ2k`>9t;(S%~Qa{Q=sCo^@^bWGbjX0pyIH3RG9i!s5opr9r#=& z1_p*{U~!O6RD2dJ&d&hbkGB*W4mY6U3DEsj0?=`b$53(DJRQv5FHmvVdhS<Ha~L^5 z;fZP|h$jLT=VyTJe=r0IGB7YGLd9Y01&>0-jiBNJ(0wo7P;q;xIBdNqEPVW-;;?xO zm^%}o;;?Z`n0O&n9JZbXCf)=Uhpl%%0JXOtDh``ZhN)iw6^HHnf-bLQ0Bv6c)pxM@ zE|~hgQ1!5N3ovoec1Eyz==dv4{618D0d#%63$*?41}YAlcZI3{3l)d0dxDAcaf0H7 zp8>XC0~T&lP;uD29ZbD8R2(+$1{1e|io^E#L_)*I11b(%zW`Go2^EK}d-sK^PX&uZ zIY`t(usA;hY@aLm%q<2621#|O`#}Ro3=9lvP(ACQ>S5~^q06or_CdvA>tA5*IS&<w zt)GOI4-cT?u<>b_deF8`P`tp_7s14txFGI<&9A`3g`wiG`8=4o3RE1nPXsg`2l9d; zR2;Uh5vJY=EDlnEimSll{0y*t1F-OHgNnoU+Z=?ZlSxo<*!%*_e9*Q}kiTH_sW9;^ zQ1!6&WH9lgP;uD2FiiX!R9v73vObhs9^&4=P;uCJHB3EddnCwS*t$BHxI8x`JYnnU zVdbzMR2;T{#uj2cgEdqfwq6rvjt^8EHva|_kA;fE)@#DV^T6UzLy@SBU~zs1*m@e6 zdeE|Zko#fd$uM!ywm6VDY+WNv{0Y<?*t&99JLd~j95$~5Q_so+4F~A@7?`*iOdPsy z3?{A)6^E@)f{9x|#bNs<f}!O(X!$(Iod(R10=o@*Kn-YlI!GM0&jA+xpyj<FaoD~O z@VQ<L3=E)UwjgoXya&u)(6%#>IBdUi8^jt0&^9EHIBXpPO#O1GJ7N1VRiWv23sfAo z&nW{MHwVGuP!1Ax3oOph0Gn5Ung1Fp4x7h^iT{C#L+AHk;-KwJAa}y%NnqljZDJsC zgHI4kuRz;%pzS>%aoE0NSiR}M3yDYAdTUs@6aW>6?bphN7{?F`6^E_!gxQ-172g2e zw+x$TsDz5c)>FdNcR|Hr`^ll(@))K<#bN82VCt7a#S5VOexS=%89>XQ!Ty5IyTjDq zfvSh?qkyI7H&Aidx-Xdezff`5x@4F*A0H%qVC#Nh;_^^&*gjYR$nquzZKybGf4c%S zJ%g54gWL&QFApovLCcpx;;?-b{m^g*EfWTb!{&Wq_JWq-g2Z9_EMfg+(6U&NIBY)} z>`X(@@>GyGZ2d3H9MCdQkT`6=0IZ$`EwcoP!`4&4)Nh0O3%33iCJtII2vUClR6v2| zXrTE5v|J4&4%^=h8{Yse9|MWQ_RGNR1ufG8iNnrwfNn2h5aEY}KWyK{5oq|RLd9YG ze`Y|%O`+nj{g3)kaVMxaY&{;#{h(!9AbVl!4PoM-Wl+$rA=Cs21zOevQV-h~1B)-v zvKf##Y`+9d9JDM2Bo3QjhnWvr{s9t)?YDz2TVMb!%K(c*_m#lH2ej+~Bo13o4l@U| ztN@!kLDTKn#6i>4Aah{r7GUOprh~!a&~*?nanN)tNF26q0VWQb&IE}&1VZZ52he!W z5`e@PY#k{~J?OX@kb2m9T9`QK7#5H?Y=0bVd;oL|3P^kbbiDvf{TitGuyyw^@x4%S z*!cvBkmzDK1r>*_AB3sD4Hbv&|A39dyn%|t_UXXF|E~aOoDFIy62&73@h@zh3(S03 zs5orBElgYwDh}J%{tjxE6;vEH9}iRS4Hbv&i-x%;94Zc*kA|twfQrNR2ZQEEK~|JN z#XqP(;%gD)7yyP@P;uD2KFpleP;uD4Gnl=*q2jRpLa=mx1}dHaJ!hf|WGU#pUZ^;1 zzYHv#UqZ!U>%n05{(_3b_IbnXWfOvgGi=@-rd}K>4qN{Y6W0KXLmh!cfrfd(=?c1z z38p>+te&3%w$Bol4$Gn9u=Rs5^&L=g*gg}O_)MrcY(FQ=oE1=U*g9{R`khd5*!c|5 zZUe(Hs5oprJxu*|sCWTr0*HZu0X8o21S$^Oe*;th6)cVv08plgFeE%-=huMRc_1ly zsQ3fu`75ycOA9IvTh|6N-x?|o+t&n(UmvJAY~LiTzZ?S<hplIUnUf0@hwZ0;iPu5J zVdoqKf*i@fz|aL2hjNgpd0=sV2H1WUm^tgA;;{30VC}tKP;uCPL)durX{b1C9Ujb_ zJ5X`hI%=5sTc|kf{1P_kxbZKjIBdN-Og*;<B>Z9L)quufLEaF9io^En!Sa_nR2+8R zg&#;U0|SFGNSsLkey$``n86Yx&cM&m0Nqyuv)2nO4pW7o)4}5W46uFZ`q1`p2~-@m zE)r%=D^wh|Zx$B6Q=#Ip_0cf(%fR9Y`(UhdU~zs1*g7ki`uk9E*nV-?ILsTUIBfkr zO#NS|IBcCBOq@>?63(#mQDFH?8Y&JuZ$S%Mf2l*oVe95#=2$?*Vf#E_;+|0P3D5zU zA5f3QL&ahHLP6tkAgKbV_yy1c4+aK?-5@~*1_n_722?J=&bNt&jvr2js)wy>huOOX zDh^vG2NT~46<6?pjNiiA$#<aQu=8zT<;F{>IBfknEdTz3io@1<!p!FugM=q+-8oEL z1}YBQPX-g$g^I)W;X{uDWw3&Z!_G$tfrf)SR2+8BKrS>L21CVR>&#&0r$EJF>p)@R zrBHF$`2wK%LXZ_rP;uD#HkBYj1_p+Hs5oq&9?YBtP;uBfHkYC5*FeQ#`%z%(_rk=X z=N*97Nr1GRgo?w~Im6W7f{Me|i^9ZTL&ahH6Jg?ipyIIoIWTcvaY($t_6Nbl<-p<~ z7ocJ*usA;hZ2u`ty*E@GwvG-a9s?FfH3P)U!y(=c7UySxop%B~_K9ISR2+8x3nw&w z7emEi=S9K#M;oBxuyxxo_Z)<Z!`45-#4ka`Vdoh!LG$%}usF!YsQ5EjoS&fpdTtaf zUx21mLHQB3E)r(GpadkGVe7DA;!03)*t$TNxG_{5c8(Tkz7XUBd#E@A^nAJqs5`yD z;vf~MxC$)J&j345fE^lcT~Kk@K4@6~eHK(4c76hA-3-XYRZwx*x>A@scSFTt=N^I9 zwSd$ghl<1YMZnbGfQrM;Q-jrCkD=nQ{mL-)U!dZ!bMBzaOBwz{#S1_SLKqkr{y@t; z9!W?z!}c%0%#nqP!}hDd#Py)!u=50A=lolP#X&wn#qMBneg@b&ewaBCP;uBgQ<!)r zR2+5=Ul-(fE`}1QIBb6aOnoa<9Cl8W6V&>NP;uCK2C#nXBB(g*96H#%)mo@HY#l$$ z{C!Yy*!eHudjl947*0dQVdrWkLG8T`6Nm2igqiaKDh@l>1lC^t4i<-MMxw-}An^j* z9|1E*11yfD5Xv+Ji}N$U&f$Q~Q#(S%Vdt=b?=N6rVDN*A!`9=&%#VkP!_MD;%^&4M z#bN8yVd@*8;;{1|VD?Ugio?$70<DVzIdv{n9JbFBX3jdOIP5$aSorLPiZ?*dt$>wR z=b+-SeH$=y?!&~P>&#)|AE4r}{lPGCMrlYm!}hzu#D$>Zu=8+W?IL-Y_*;-~7#LvV zer8Z{*!gp?c7-!k9JbF7W_}1%9JbFKCY}lvhwY1liI+jeVf$KP;_Xmz*#0P(_zbA{ z2IzTlu=e~?m^k$O4_JM@2`b(IJwF5%&WEAmuydglq2=KPus9?PkjeL8aefBazCW0I z7-S&f3_Jg5E>sgQR2;T09Hw3lDh^v;4-?miio^E1!o(e*;;?-RF!4Z`ICNb+OgsrH z4m;Na*8eSrio@28!_>Dx#bNvNVd7Jv;;{YgF!5zzai}MesH0$Ueg@e7a+vySP;uBf zKCpEKPod(l^S^AMW_^N+!}h7d%wd*=gfr~CNLV}yK*eF_(iuY656D8rH$cx>gN1`8 zR2;US2WGw%R2;T%9wzP$6Nl~thKa{O#bNtYVB)z@aoE0en0Osj9JWs!Cf*Mfhn?F2 z6JG!ohn<fEJ;st@JyaaF9}=ei092d-dQKW_9^ouh9CrQ$O#MBmIP82T*gVKvsCWVN zyh>Pm<`+~PcAg9@Jh|l{@dDd-3o~B^Dh@kW6jpv}K*eGEWnk(pq2ddm>qhQC(?bGS z9LhnW`oZG-3<=N%3(WoVpyIH7VKDR8L&ahH5Mkm6pyIIo?lAF-U~wc1q0Gl%aefBa zc}%cy_zV??ozDmh|Nl^N*txh5q5XO;c}Tdy&V_*4D-9Kgofiu|_KQIcCJsHH7q<Sy z5GoGauLm>72`UcT#|;w?hKj@X9m2#@pyIG|NMYmcg-~(W{veq8Ca5@U{}oJpGE^LP zjtNYB2~-@mUkxU{6)JwA05Yy937rSI0~LpzGXYco7Ag)qrx7+U^Ajo#JC6XSo=X7| z&am@!Ve4u{q2jRplQ8vaQ1J!O^MzpLj5$;scK-2u=)9N{SR9%-kf=DYI6njIylm*P zX$)CVao9OFFng<^;;{X?F!3I!IP5%W*gBT!P;uD#z_9taMNn}D(8Le}0|U&Q%}{aJ zK4X~p5vVxqd@5MG=qgkkcAg<@UCJY<IPAP7K4`u95he~jPX=Z_lOiPiVf$ra;=*8Y zqyU35O~B&(46uFkF!iobao9N!F!3;`IBXvjOgtSb4%?3j6R&`Z!_I|*E-Pf{P{cky zzXYltcD@&EoN6mn9Cn@u%=}|eao9QM;5*tF7#J=?#bM{sz|=p1i9^qCgOw*=pyIIo zurT$kN|5k`onHVG7Xyn!0}+YR1&i}Dz|Nn7jnCMD#gP<3nE_yNeg@b%6fpA>q2jRf zJ7Mb+@}T0deXTI{^-yuxdG4_FEj>_i0qFT!F#pa0i$fiOL|wok{uV6G&j33w1!nI* zs5tCg>T6K5xRfE`20O>|6STi94i$%;*L4D_UIQu)J7*bI9-2bMVfz7L_PRmEVdqA` z#KWQDuycf`LGw#8R2+7$-UO(<B~WqLc~dZRT9rZTfdv^D3Lxvop~Vlw6sUUGc}p<$ zOQGVhb8TSa+o0mG^IKu@co-@U+XoI)e+4QIJ0}x--x~u1!$YVz?7R+``cF{t1<>=` zVC5&X3MBkt=d8fgi$KL;=heW(Rl(xWKtZBBz~cN2uzj*H^^s6<*nU%(cotL~b{=gm z)U0x-_yy2H7tpu?RJ<K34m-zpJ2bu~L&ahD8-VYZWME)e02POw3k+Ic4l-&DR2;TX z8s?t8P;uD#+w-8~0H>hh3acUYAoMsYhL2!zkcp_6Ulkgj&~rmU`;I^=<e=iP^E_eg zB3+m`^gK40d+fmCAf2c<A1uz#06Q-dmQU-U;;{4ilcDbKf{MfT6~oM*4Hbu-Qx99$ zu?#GZYA1-d0f+b%usA;h>|TL1=(>peFmcd^H3kL-XmgO^JyaZaP6f<8papfHak&l9 zbMYsGEM;I|;8uf#C+z$^SUD*J6^Gs1Q1S($K^-a%JFg37z6Deqb}s>J{>vFE4!if@ z8T7&~KbSc59BkNnjA*Dh?0g-V`8iN=*f}vUch*A1VdpBs@?9TP9CqF&Y(8i{R2+6* z2+aHqP;uD##ISWBd!gd6^Uh)GAx}cZVdvDs#y4(2#bM`M!qU%6HSF~)Gj!b}?EF)h zdSP`21_97uD%$=O1*m%1`8oF>(ZXN|6^Gs5@fbQ`U=0<Aol6P}2Op?7?7T{tcq~*L zc8>mhXnm0d6^EUp0}KCZs5tE0a#%ceK*eF_+^a&%lPO?vsH2gn<6v=q2H1IXuz0xv z6;}W)`~%&W2JPqmgNidl?}Y>3smQ><z^(yF&#-eTVeXWGio?!_f|;)g6^EVk1{1e} zio?!NfQfs9#gQBiW#)m!`59p6Tfo%U!^ENIn8Moa6QJU-b6jBR7ed8h=jOn~H$lZ= z=cB>I4@1Ra_guj8^?9f`?7U8x`Ug;P*tx&3{^<v(I0JP4#R%%Jzff`5eF?C6KrT&4 zIK$3~f|)N36^EU}2ou+Via&tfe*s%(VhI(8om&S}?*$cyodW<9kA{lF&P{`f=Rn0_ z=WfBoYoX$>^LAk3eNb`Oc_}dQ`A~7#`L3{hu>mR$J9iMK{vcEwcCJ1w|DJ`4!_J|G z)l+w%;;?h}VCKAqio?zwhpqel2^EK(KMu=ZY+8`;hux0@Jtmq#5GoEkhX`iA5>y;^ zPA5#<7%C3C&jPd`7!>smP;uBfB{21YP;uD3C{LmJIu<GpJGTs`J`XA`06ni8R!-JJ z#bNgqz{0H)Dh@lJA9`H~!&Im^?A$(>`OBc<u=9Cf;@hF(8=&_K^@9#<U|?W41Qmzh zj{~hw&uTF+K#NR}_u=>jR6Xq82-vvm7pOSw94?r>tlE%xft_~?D}ThG;;?ffVd~YP z;tJ4vc@99`V+0k4ox2M&#~CUPJI4xUP6$+70NU`G2K7M}R2+5=ElhniR2+5=DNMWv zDh@jb2PQrjDh@mM8kR5CLB(PBZ4^Q6-3=9o-J<}$1DJt<;RIA1c5VsG{F_j5*tuvh z@mElB*!h<*@!v3U=(&V2aULB|_(L6mL}`M>`59pM8^Pw?OrYYh^W|XuAXlh3>^>dX zx|ATOc)($Z;m~Cw3>i>y*m=A#_f$f~VdqK1!mSG`4m-~VrhYb59Cp6}Y~SSys5tCg zIGFmKP;uC~aWL^yU~z~Wk;#8xaefBay)dx)ol6&cxM_pM`59p6w!zG|fr`V<4Tg#P zg2j<-gs_vq;`|H-DUf!4KXe^k2UHw(PA|-ynNV@qx$>~_hm}xq*gY+<{J0e=4m+m` zX3jCFIP6?anD}+5_ylN$3|oKl7A6k8M+8<b{e+6c&KZQ|M=m{3xbZW<&i#X#FAWuk z-6I66H#MN*uzPprLxP9F1S$?YM-^s{D^whI{tZk#3@Q%0&*>v{{x=ya4m$@DroIF! z4m&3oCf*7aFM!_T1RM9B1Qmy!j|lVcVyHOm966XdTfpK_&md8!z~cN2uyeU!>TiR^ zkrYCi|G?t>46u7HU}ZA5J}8`_ijXL0usA;h?A{PlXnhm_6^Gr22V2h>3l>K*0Lsh- zi}N$U&bxxSvkoc_yYCOS9-td44m(#DrhX1s9BL>MwG%AP&j35W5T^bVR2+6bBTW1@ zSRBa!DDxv&oSy-9jwnn$lL07v_!(g5JHy0<q2jRfd|~1$Q1J<%jT;OM43nVkd_$-> z?EWHHJH!?$4m*z(W{w|N9O^hEDg!Le&%gk^ckjIzM6wtvegS%~8LYq702PPb?*r>E z_rS!V_oUcE>&F>TaoG8IF!!v4io@;+c?nGqJD}pQ``TdRV@IIku=Ctu=3Iq}!|uC- z)fW$-;;?gBVd_7E#UXw~Cix5@@dCR?F%@($0BFA(R2+V9A#~BX3RE0+9wp3tQ>b_Y z^t^4DxEo9ydQLn{JRB+xJJ%W}o&gnyou3X9uY`)j?&(?t^+YRF9Cn@<O#L*dIP5%c znD}z2IP9Lvb5L`(K*eGAX~D|v15k0;xx6rQE<(j&=O)9%AHl?-=jp@5KSRY~=VQaf zS&SgzUjV(w4pzPiLd9YCqQKUZC_=?y=l;UXF@lQ2&OL*PJ43}`=ZwO{L!jcY`@3NC z<B3pl*nNqx^(r|~ao9P2Fmr05;;{2*Vd8yIaoBwwuzuWps5tCCDcJs_HBfQby$i7N zd@ooW8c9ghJFqxE1MJ*-n7#j@;;?&^VC@qgV@No|?i+xqmxYSM?y-Zd1Js0y!|tDg z?PoNDio@>df%ThQq2jRngkb%M5U4opylR-esZep)`GGL;GN?H0UK?1rwL!&U_Z-32 z1x<#E!_FgxnX?2c4!fUD5IQcg0V)nVe;5|d2chDyb1`A&T!M<j&hLkbKZc6K?nRSy zghbAJs5tEWbeMVu69xuBCJFeta1hlD3_K=~c!Avqb`~lj2^ELkM+I9istOf{-78oM zRc{Cthn@Qhv)2hK4!aitCLRnGhn@2c6HkGP!|tzvmH(wsaoD|8C!zK>LB#_eLInOp znvV=K!Qv1xWO5r=oSy-9e<W<(&S9uH?7VB3d#=F5q35K-#GgXNVfW_3#sfb=#T%gO zZD8$_|4?z*`MWT41WX~}4?ABOCawq-huwz+n}0Nfio?!Bg{gOfio@<FgXKGausCuE zK-l?UaefBadH*nT8o=TZHOS-wusA;h?4C4OysU+a!|wfnnX?Zn4!cJVcCO25s5tB% zE7-c1TTpS>dCf3$UPHxU=k&wG|3JlI=j6h~dCef<2|GU>CN2jRhu!Z3Yq#rz#gTmq zVTXgo`59pMaly{bNP~*Q?q!9ALorkwc0M%B-WI4h?A|YE_nTodRJ;Is4=SwQSzrdq zr?7j@A+BLySPNATJ4X~|{ywNU?7U2v_<5-K0qA{S(Cg9|?nA|4_Y}uK%cWOPao9b( zuzB;ZP;uCOjL_~71EV=8oMEm*(Bfcme)v6TF#oE-#6cT284&aD=1_6iIixW6dqBlu z_eAPK+i4+CaoBymu<^_Us5tC=c33~X5GoEkw-jc66I2{_zcy?hwjU}EyN?*Q&SVx; z9CjZN%$(IwaoD}k{m_2aHmEr4o)DP&<4|$fdC)NN8!&O`z1g17h5L`8;;{R^VCn4( zR2+7{X&NjFEI{$X&j7nW7goOULd9YCjKJ)bgNnn>Yln&JgT-NnB4{75I6njI9wnIi zSg1Jcej1o~9#kB5PctlCRl>xf_d~$ccR|Hr_l&~w=`^S~>>e>#e}6et9Cn}h3vq~B zH-W_=Y-I8PSe%~$b`KcL{EJZW4|R|VWH~e*e?Y}y_sPN3b67&c8Fud$EM6p`;;?%! z*FY^-1B*jBNR$CsoSy-9e;UkRj!<#fdC0Kv34)13&xwYKC&R>{=l{aQOTgkt7DAcz zU~zs1*gc*h(EeQyRD8isNH~Db%L8fI3>Amn_r?dEXg>lL2dO~C&%omR46yrwq1VeY ze1eL@?!AYt1NjdX4}jh?1`B6?D@ZsSr$OfTFF@^;gNnoM`+@maA1V$zryM5k02PPb zuL_&r_l1hX&clbPkAsTC?v-wXriW~(IPCuQ7!ioS%V6Tr`%Z%(!VJw&aoD{@F!QHC z#bNg~z{HnA#bM`d!^F2i#bNi#MnKI!3>AmnGXhh81tt!?j~7;MKY)tE?ty@*{|FX` z8j3_QT0_Dgb`R(as2m?m9D3j6AE>w-R2+7X63l#km^k!aAeguVR2+8SeTp)~AaAHR z>>d-C`WTqF7bHChLDOv}R2+6615AAtR2+8C9c*1w7gT%$^nQ5Qc*0buIP9Eim^sU! z;;?(dd!geDo1x;c`+Q;RC=NiyA3)CshnaH`Dh@km8z%k;Dh|763nu;<Dt-WZpBqe^ z#Rd{Du=`73{RmO0IPCrkn0hs+IP9J-n7BDqTtEOa;MxwYXPuzpuzT)c>Vu);uyf{N z;weyZ*g5Yo@lvQb?7khCcpFq4cE1};d^%Vhk_M2;gJ5w``x6rHF!h(9;;{REVB(LV z;;{ReVB%k(;;{4nVdAW|knn`vlLZqOgNnoMMS+Q{L&XoYK*DVsw0tmvio@<@gQ<6h zio@=|eg{oI{!nq)J%2Fu2~cs^`TsESLZ~?Ges5U5u7!%j?$?8<?}Le>ohLINDh|8H z7S;}52Nj2%pAR!<KU6#cdL9?(d}mPnoQ8_S?#Y6wzXKI#h=$z92rJLu!o;EXn8NDK ze^7DQJ(n<Xemh9K!0x$*jaSG(#bNir!0gq9io@=2go)ch#bNg_z{LHb;;{RtVdq-J zK*eGA{=wAeLd6$MgTy;*9ZLmN9Clw3EI)Qa#bNik!{%qFLB(PBgTTyR4iksodjS*Q z0TqYcF9Z`m2@{8&YY!8@1r>+g0|pa+4HbvoKLiv10~LqeM+Xz<wTFa%K|Q3PodsQ2 zB@Pvb-CGKqx6*)$!|t<%iCaR&VfVSf%=dzd!|t1eiAO`lVfVMf(s>S4{DCCoev8@A z_CN(#9F!ta@f@%?KLhL@UsyU^0~LqecMKcf+XEFpa0{}4YXa2#lTh&u?vQp-GF1FM zR6GEBA1W+<|3JkzoQ9~s3UwBT10+0Q_W{GgM-nOyySEnRPA#Z7?7m4@_*g^5VfTPT zmtQe>K*eGAy}{H+!o;EXP{PEspyIImbYb>ZL&ahDe8SZCz{H{V!@<PoLd9YCxx@BP zt%8cf?lFR?-whRq-9rNtKLZtq-8TpmzY7+J1|kym4J^*jFadfmJIwt|j*xIJ=tC`k z_@Lsj`v76)%R|Ls_p8Cg4Zz|^7DAahU~zti2RxAYg{`lsfQrNJ!+@F72^ELkcLEci z1r~=IibNd&i}N$U?&pN5zX}zH-2)2~e+Cr~xCq%u0Xm-=WZXxnIP9Jgn0h8BNch0+ z`GAQFL&ahDS;53rpyIImwqW9>P;uD(WH50zs5tCCURZxT93~FE2MMM=11b)?-w!5U z2^CiW9azV}zyLjdi=hQ74!e&PrhY0^+`t^NPal>a7eU1x4ne{Pbe=vaeAYt61uj9# zbJ#rWE~q%{o?e*wr=jAodpcp_cc9|1d!u0DZ=vF_`-NfR|DfWq`=4Oq{LYYgdB6@y zS6e`iWME*BhKj@PbA+kaaR#jeMBMiQRnA}mRS&xl45r=_Dh|8<2PPf`6^Gqp3=_|W zio@<%f{E8a#V1UL<S$tH+yNDTumTdEuz9h`Q1J#iNV&ZN>d*x+ap*m#F!MJ;#V=Gt z%!i$qxDP50yN?}~-_L=?p@t$+U%}%13<sPc=D_Ba7+oOY{J|X3;Dya22tdVQ_uIkT zqX-pG$bgswx~~OfjV@H&z!4%2x(5OzZV46#u~2awSe%~$c5f8S{CudmfEmObSpT{n zDh|6>9#&sWfQrNJ8;6N6go+DXgrpx>duc6H9CmLu%-(%a@d-8%dtu`b=b+-S`xRmB znfp+2*uBm$b3Q=DCtQZ)uSwAM?Qf{~f?bgGa2Z-}vbjRSzd;9*PhsQL!ccM8J!CNR zRiNSq;Shg)hd7tP3oMQr#vooQ4)HFqI6njIo>!Rpv!UV%m5}rRThFrsEDkae6>r8N zejY5&&v4)p#GTGiZMUJ~0#Xo%gU)9MNj-;(Z-5Sz!q#Q|g^I)O>xQ|L&kYi86ApoN zBhEQdfr*0-Y-C_yfW?<7R2+71Cd?c+sQ3ct21=NJgTdkuvysVEusA;h>|SJ;Ib~3B z*!|lu@ph=Vz<Ef#!^+huQ1JjwNW8<^+Y6!MuzPV~=4^tB7yN+43v8TxKU6#bbTA_W z14Ap+v8Tb}P!1Ax6NmU4usA;h?0!#Jxc!BS!|s`bxrfgk68;w~AmteBya;)y_yg!Y zO$#B-M+Q@vIP{)9m^p4xaoD|%F!6AxIP6|Un0N+M{DK}N++g{o6e<q8-w~$14JIB5 z=`Zhx1Ruj>usBk{K$#10i0=i9^D{6&^Jx`S{VAw;0n~ihxe(XE;!s7%lm|52PC^0( zc1{I1R2+8C7|i`LU~yyvAZ&lII6uPyAxJoY?u!D+L_@_54no9X>xa{!;;?(JVdhsr z#S>g0@d&F&I-%kpWFZSelc5#c6tFnRCRBU^EY8mWyXO;T{!OSj>|S4(_$#P5?0$Bb z_;0W{s@Wi(f+sZGCPB&#SbIPhDh|6x5@wDaRQv+8Jp-G+@PvxP?(2rBkAjNB?q7z9 zXG6sU{y@s}FVOT;1`|(*2!QSn1G%#qDqipwQtlZ-v@!I9#X%}i@j<XSKLhOEVwk;` zpyIIm&tc+^!Q!Z9fOs-qpzr~)P_Z#soSy-94=^lWyFkSQ1R?Isg>-8f0>I*^W`KAF zIK<cB5I=`Q`~g^;pJBoUNcx2N>myWLp$rngFn2O}gZ#zM@E{bDe_`un_@Uw(#31I} zg1TQCEDmxpDz*WO^E1HiJ%rio3l-mx39%Q}PL6_#!|p|esn3RrD?rbQg{}K4hl(>; zLpCVG)OSF|VfWO-#AiaqA1FcWg^lwpg^D-0K*V9~w2e^l2MQ2z*t(;=P;m!ih&XJW z##yL%!3{|Igw+?fpyCSGA@vb#UCmRd_<|FV^za=Td>^6Wu=_7z?q~9W#7lw-q}+hn zD-0EHFhzBbGFTjHC=#Uu7UySBh=If}Y(0r3R2+7nGt6Eum^k#FT$p$?R2+71ElfNI zDh|7M8zx>075|_BaX)O_qYo;skO*-P?A(~yQ1K0_5P!kW+gT13|6l}3hp_r+3s@Y< zqfq84usA=1f)d1DSh(GWi9_#^g}L($R2+71Hcb34R9xT~#9r8W0$je3csYPJzaR}2 z|KJD7D6siZ4XAj-e~7=J%e5Iyq2dn|An6CTj>rWnuHXU@hc?$3f}!F9Um@nCL6R3k zJXE|P6(SBx=hMOUBR|7~R7g0(*1Z?N)I;xUhxxY=Dh|6x8zw#pDt@2`HQz0Uio@=8 zhN<5I6;}WqV2d>WeiSMWyC)Z>{u)#~pac@mm!J{<7b*_Be;THq&kqv*0nh`<enQ8? zrJ>>uL8$K3fr<w}2gYFQcATN&u=~kj=7&JV4fa9uDQvw>B2@gqAyo5=pyCDk5ck8* zU95+S!|thtnLhz4-oOk|4_mJ?7b*_Be;lTM9aMb6YDoOT+7G*+;s?<7`=5r2!|rQ^ znR5p!?r;Is{coY-u=`74>i>bop_vMa((#9czk(Aaf5F^i3l(=*gKEAXR6OA~q@AM+ z?XN|G#gPn#GIPM<{0y*r%VF-Rg^I)Oora0`LB$)O8+Kvh^P%Dlj1YIi#5X|27u<nl zAXvL)H&mSAC93<+z{G1&#qUDJ3*JKf1-s|v1ysDD7ghaFsQ3g{NV<Z}1F{A{!m}U< zl22jlLxiB>4@yzpuM8Dm0J>0;fq?;ZA15g2jG*ETX!9M;P;uBj(6Dd_fr?KEgOoF{ zd6{IWcmwDFaHRZO0u?`iR{yp_#RVK78L<aq9K!^tIPCsnn7s?3;;?&qVd9$tAmd9B z(EDUTegfg`Q1u3zQPclnsCdJC)NsB66<6?sI0ROHK8A`XbfSjm7pQmvbb}YH+-3}f z#LEYLh`q3NFT7Ck37`uO7#J8}=Yq;Y#TD!!=EL%Z9#nk7Pe^)$txK_liVNsM)WgO@ zyrAL}k|E*A1F23JLZRXZ8c_Y41{H_hM-L0na;Uffw4osa@diU5RD3}jBpqfz*JW*m ziTgv`1FJ`lLB$<DqS|{MDh|7U9A@tesQ3bC{|F}j6Dkh7R~shI6$A-q13yUmhpj&p zhl*d>!M5J{nMQhd_vjpxq`03#j;o-4K7>g+xC?JXD+^4AuPwP;uD3<uLa&Ld6R> zA?5Qts5yO5@dFvC=FA6+gHkFg-V7G!XJ|Nvs{RO6{J|WEzhL%Wg^F(|g7^#8K7R%k zcesga&UdId?0#&RIUK=|@Pyq@4-=P!iZ57(YOfYl{J|qgxSfF%XAEXgaRX^cI2b^^ z=L{3Cg}4*8z9j%A4(&(4%CT6e_yXtw`7rn7LB%IP>seTSsRN5cEkmN_fW`S43}!;? zg~j^{sQ3d{h`(Uxc<h9VJGh~S+bO8{f#s;;x1r(*ry=IR-1!D7ejx#pe>))AlHog8 z9La%DCSwRB{2TtDnlA(shYsAr&Ph;$ieESb31^tS#!&GMjF5PN)faY9@d<U1aDaus zH&mP<6e11_&uFkX)Nx2uAy}NB;R5s=7}&a+MyU7#L5P20^>q(a{6IV;pMvf!1{pUK zDlSk6u@^S~vI;6bAq?WLY*~<M28L}=afgKv^|K(t42NLipo=Y#>Yd9_afW%Q;rRqA zu3!a;5YWA(AfrBl#X&4o%pVGgmj|C9?t!&4O`zfq=BVboLd6#_L;MSim#|RCIWRTQ z`$M6_%nT_|^$#*p(@!Z>e1SBoIc+fUN>ugJVdDEx#aBSZA8bbz-w73ONP>hXtlT~Y z6<^>BQ4bTp4Hb8Ag{X(!$MG5}e!vY<;DPSV1%==*sJKE1Bpt%)FNH8jcp79w%z^dm zb;05wov7FeEY8o+&;v<VccAS+U#Pf40>m8H{6ZX5yulmdewcVZRGa}iZ@vj?O$}6B zVKPKLEWUbS;%MW*v%um|%}CTyusA=10dzhHwyypXR6Jk`#GSDGbq^~30CX`2Qo4N) z6<1h?>K=x0NVrY-4hc_K{mugwXNW*GUj{0Epcc{)SOJM11~sTS1L#5}(D~O;KbS+s zAJ{<bg}KuMD(;{HN&gq2=7d7U6+joJGcYi~_Nk>n#UEUSm;(#XQmA-C7ixal23@}@ z1zkTW33X2!SUr@3M9sq?z8fsg&yb*jnqSU9#T#-^?Y#>X4~T+zC;$>n46mT#4=f?> zhlRs$sCYvQsyRFn(0DlmiI-PUw@N_88Fr$o*My1RMHRP#ieK0T31?XPafgZ@;Dxvc z7M>AMafYv`=43*}6K0^QuY!s%xPU6&4HduO2@!|ompM>z1rF5kTniO{kPlH0bI(4g zc!N45JOiQm^ej}|pa(U5-h_%T(1(N@lLRE-{=>xOA>jbK|CBot63z;BsP@W4g4+L> z`-YUE>KAZ8%-;iX7K1)m9AXzT=?WI-XILPI>fbP^_=K|%_rUy{4i$HZMh*W8sQ3dr zRC79^;t8M&caZY?EU5T_)2QaGhKeURqnfh^D&F7#2~XI0xhJ6F6DB~!Vd?e?R6O7! zr2K@<b3KHLF92O&gyf!2Q1OBtkN|^?PyUCBAE-igr$7`WUL2fJ#TCKg$RP}22Z6=; z86H6A#kN9(8RDSg3a?P(Hy<i~U<s=E4N&n5HV}8h#=-ib;tsD-)h~dGC!o!<uYrmy zL_sD5I-v`rw?oAb%!Gs+Y#rSpsJOxsh&XJ$$62U&!%tLu??J^E>_GL`d$2gv^GMWx zusA;hLm{d;0@09gK5!V~&dCt#8Kj}&3ldSy*MW)~^h44^EF_p1ETQ57F%WTBe)ock zPjH8r0~3#iieCtWh{M`xIZ*KcGl;>k^$FEraU>5xnKQuR{0t2bQT??ND!xDzHJ$GQ zi$gUdQMbY3pndKT_bUrRB%g!DA#4;f1`=)w84&lw@~<FNoS_n84y@m#1Qjo6g!l_K z@2n3M4_FHcc-XoTE2ww^=mIaKeB=!kKTwY9-x#R)gi8=t7DB9HD1wSJpxvL?3>9DS z4%PirpyCrGA?4C!s5uLu;sNI%?u6y{wJ>q${48vqbQe@y0CYhls67BR=NMFc!$ODv zY<%M~RNUbOs=uB<#TBd|;R)+^e}Rf`fUZA;o%h8W3yGHnArODT!e0z3-T+-60v*<6 zP=bm(L_y4lm2XB+@db+^=D_0D87iLe6;(V0CcYe1JQXTl@B!jqSh-gO6<@%Knm(Ih z;?M(PVdF)UpyB~?ka}kpWICB)9#nimJ*vIyq2dS7+6f0>;xVY<d=V@TNju2oQ?NKc z!-t~~d!IoiKSIR|Vo>d6ii3ndLpG}U!cg%EXzSAypyCaDsOA_##S?5H@dAr?N2s{N zSyc0bpyCq_LL3M?w<H59zCaf>oGYQ?1p<%;8Z2Jgz~T@ykjXh<aef8?X!yg{d9H+t zUpNd2AK19%POvz#2@v*ausA=%1JH$cNcs8>RQ$t3NW?CIBo~I~P;muqNPfHtF^1s_ zRJ`FfYB;dQL&87d7HW8kLB$y+p{iGhiaXS!id%rip_U<0USM&428Io&>Z76J2i~KK z=fK2SP~B4t7DqA|%A5ig=Vy3;Hea(8Dz2c38jstc;tTju#g9Y94+ulz<pU&|87@P` z8$3|m`2;G?5R5AR6)X;Q91_Km014*@;;8mYLd6wCP{p;N;sWxJ^n3^!zh+SJ3($21 zuzs;KRQy2^YPf|!#Sb(>%$X0Vwiyzj;tj%(bOLMt<Uqw2oJBRK7AoFw7}dXhQ1OJj zkbyVoGC_u!F!6F!b5?=Hkpc$FJcL917Fe90;XxXzIj>>j2T;ZTfW@JPB2l7=kno=X zoll3A=ZaAAhH0q&G6IVu831KQg2nk69H8rYVDoEfP;rCnkN}<qO+STD@rM17dJtA$ z*FePs{z243w>LAiL&X<tMfKMVsCdBzNJ4;>KTDzF3tphA-v$+bkbo+F94fw`8&&)U zR9qW+4&+LxfA2!Y57?oG&pVJf6AuH!2B<<P^#vr(z|SCnb{;8X5+wW=w4&N81Qj<> zg``hddX|HVZ;*$mht0q1L&X*JQS*xfRD3}?L_Mtj4TOp-#6r}==Ii62;tM{as?Udt zE1=zX+yE6nU<k=CuzAv6sJOxkRCDHm#i4<ML>&T)^D{hHjjH}KRGi@m#C%wP>H$=I z!AVHDBm#+khBr|04QT5G{zAnspsn}dO$LQOl0%?OSsdavU~zti4N&()L+$m1iZejZ zZGgoWLvV6YiJ@LTLwtN<Qf7QfVmd=|QAu%0X<C|IGDAvgQEGZ-aY<@Xd`WJ6a!!6; zYB586d`f<NdQN^)VorQYNq$jrd}3(@Lvns@K~8E(YKoo#b`|kynR%J<iA6<;mGP;0 zB}J7CX+?>-sqrbLxw(}L@$pE!_{_Y_5)`E+`2{&SWw{J_=>`mW>4qR|1|rNsgat!h zx{(o>0LdCb*~VZI6EJBCCPAu=j3CM_K_Xx!#vtR2jKKzgRhxhXOdtYaB_?3iU_(s7 zlBQq*kQ0o|z;>E}h0VZv%)olUmVxa8sWk?v0^4B>k~IcdWDGLU7^Kb^q|O+m&IF_m zY_$nUoe4;t2}qp@$TAa<ViS;Ju!~GViop&t1zBtg(qRhHVG7b=3esT;(qRhH0d_Lj zv1T9%GmwNCNWu(cuQ|vlbC85NNX#50W&skj0C~&;WV{8)cngrp79e#NAOkEwiY-8j zEkTMcL5eLwiY<*9DvC36<Bj!_8RFyJf*gHa<6Zq+;^P_O<K6v2<6S+Vf*vkG4Ds$h z{!WfQ@&0aZ!LA|kA&yQyuJH`<E|GqYzMjqusTHZor6sBHCWeM)3?)U0dBuszC7Jno z@kRz_hNuEYM#d-trk3WY0>*|$#s~wAP0{pQnxHB-GDR`Y!qAW*GdnZBxFokEK0hxf zGcOg%FiT4m#inK^r~-xtW~io{nOYhm>o-8rZ){<L<WvI-OC+VHsO~Z{H8o~PE6qy| zNlZd9!^FrK-G}DJU@w&A<fq4%<`rkA=cT5^CnpxC##eyyaAHv^iV4P+CYA^d8Hsr* zIjQk!`9;a8@kOaQsUUrcc`5NJMVV!(Me#+c#rZj<pvXZr#KaWg6*B{4G}jv&qK1pH z1zN0`nW6=y5vs4uEYZT=*a*!?Qwu|L6ek&(7$f-$8Vsd*iA9z1xtV#T#qhwkFt$L^ zXl!hZ8m%UV=ysWypnA~M!V*=$%-F&l5va+z1@WOS0jVkJsqucnLH=c_MLCHDa0eI~ zpoWf-A)4<kEZ}O5jg8=JBSTY$;?ksoqWtvu+<3zjV{>B@(=>BSqeN2+Lz8$DlSE6) zGz*J(w16=-FhWX{2%}95O;JP89F=Qff)Y$-29}5@H!?&dUJHb<i2*neic3=BbMn(O zlj9SMGD|WLsWLOKBsD#?D83*EDLzaL4UJH2GBQQV8WyOIGBYtj6)-VGa+V3IlhG2L zp@jiz%$rymqiQfRwnR1E*u(-gZI~KaphljhIjRC<BXlDy&CI}|0?wet$r-6Br8%kb z$i-e>eqMZ1PJVKBW?p(cDCH*R#24fjmB3@(&<xJBL@QG)kt5gG%#tBDHMcmm#KGOi z)5$sBNY6;m6t36A&=NHOj4jO&$;-sh6y0m6iQLo_tuQt-HbC{fk%@&l!Zu?wG`E5> z39RflG(?p&H8Mc8%?LeY&5SINykcyI8g<4NXc1v#WQ<U5jOKDvLkrXb$K1dGp&FE= zkmJYL5ZNd*NFv7_ozNI8&dD#2FD^(;&P=O}Pf5*5tc*`dF+c>5A$nbBhE^GZDko%T zm>8lZMl)ly9BgD}fa)z%3p3PEH8n9r_|pW`)<L$x&=92z#g<l~o=ZzCE{V^}k1tA1 z2T_UX>2QyMVg?q=#zv_5$;=Qf`<NP`B|0M$v@~T3auZUqXO?7<WSVAZY-wShlx%7g zZ)BQekYbo@8IM+Io0+0zL?iU9YlbMpOpMTylc9w%YGyDsN2}`%EzB**$k(Z5sd**I zi8(p(IjP0P#;7HqF?#Tr8=waddZBHJUO*Zdpa!`yMkX~!^O~^%dNQ(L$V^R5jW38d zNi;}HOHH<nFD^}rH$+WJW=IJMvmu03L7<s#3abBL3B<(E2vN3~7#l;HW01TBY02c4 z=9FX><W!<m5oVTVs3ni7u`#N*%?#1X6Eh1lbC|bM3@lAeEK`yo-a^!0mZ)Wlp(T<R zjWHTINW~FaxoTvJRA8XRps|TDay6cqY><{{V3cNJX_;hcoSGV+lw^`<niy}1mi)}n z+I^O28Oaz_IwI#~BLg%Y#+F8i!r9Og!8SupgeHa-s1a?5o<7VBERb3hpd1X3PGfV_ z8pg;NEjgMPnxGZ@rbehyX=Gw*j@-_{nc<PLub~mDACOzxsHLj0r5UOVEsT(=KqIuY zYHW-y0LosV9s@Xu78K<dB&H{ppj7853Dpoi1Dl}NJZ7M37Z!fTrl?_IY-x@fT1KF1 zGP5`{*QqEI)VxejEeTIa3NOkmNiBkuMj0tN@kN=%pr!<<0gB=pGXu1yr>P}c$HUCP z*pjHgM@=He=()|v60N6&)(<no=*NJ<1r`h@24<+a+1LWjI70)pL}~&mRpR5*bMy1! zi%SxVO5)>Dyl!fNmVb?r6S1KMYWguVHAbXxW3=|EnI%&42lY6Ks+~|=V`hrxK}!tp zm?K*1hG==n5IwX_P0SF5m@!(X+RPHIe`90@DhabQ<H2b-B^5Nv11br@9gEDovi#&k zP=_x*GYve%Q=E~Sg5r2nbF|KxDQb=~HAE|%jX-gOTsE1amb1p7WC@RZP-6>Ov5`4) zMQwm)w~>JXq|N{hF=Zy^WLBldmn0Tv$0IxfZ<!#C6quow3Z`ag)rX;>32KV4Knp}O z6SS6<kttGzXk?7k=Fct7iBHbYOHM372}UDOZ3pu;T06<u63soPW@rh-7-T<8w~2uz zs%wow*$XC%)(tW-G&g|<iJ^rV12~pZon~T$+?~zOD@!dZi7x=<s^Zj~)MP}@#01o) zM~*F1Q?!Z+Bi~sXBMn$!EkWa<bw29Ag_$u@A~!KLL5)FU^rogMC`-YDz{C(aKci_i z0}UY|`@slQDneU8mWk%6X@<#=rUF_ZgUSJH%8*(#29{{fv_LO#jm!*@3S6^POLLQC zLj!|UBT&mAEj~FZ$t2CdEIA&jL1kiy-jT%^CbK|m{g@b`rFbJ_BShoI+|mH4GBvh9 zsu9uZA2U<5bZBa6fM`jWn3^K_-4LzJH!?Cr6sn*^h#cm|M#%0#3nWt$wC<W2XiNiH zyOAM!qt?(6wJT(7084kE8WJ*W4ILkgFV3t&O>2hc=BV*z1_~iiy`Nl^3aal@Qb8Rd z25<=qb_Zy%E*{>vPfASAhUYP36GV7|8sqRpX<&hBiK!`C^>1c^Jh%et2!WiCk(yWl z9#MxBcW`@53=L2l3udP1rHDCN{ci^9KET{#h}1PSgm!X4VTE<f0_6R~oOp0Q5EOi< zfo+LiIHF|(bM$0oVv0zBMre*SHMB%Xq770RS{Nb<Go-OH6GH>kI>yu(ElrtNnjkvk z=9UJg;O0|qVopweGFp9RhTgTbKx+CMqs1Yp3l0lWP-79CI-uj*#+D|C{9%C_S*GU3 z2w$2QTOzz=h~B0)N9!URnSk96PK?RW!n!CmF(<wxKfWw6r!=(~rF1t1jjw?cQc6L7 z0g8+TQfJKE60O-|YKb;rVS(0?H#0<w9AisUM9Mcp8}Ko*MC+dzp@xT{0a}S=YG#R= z$js0OZjDUQhGmT{kcxd1Q!``i9YBO5O$-gu8sH{qMj9ERC2eC+$$%WmMxcrZS-=!| zB+A4PDNIa2-7sXOh6cz3a>k~p5nEgW9vw_gfmM*Gonm9;WNBiI)+aTyM4KBiGesLL zGB!l>nwb%Lh?}8hO^g{FbIiE_w0vh|Y6xjt6sMNN7njACR2HPhr)B1(#up@(WW?ts z=AstGM(DFH$U}@qXyu5pi6K&LWMGb{Z_Esg5jn~jt+FshZ*Cc(cejm=(7a)WJ|SU* zUga8rYDSdEHMc-5SkPKZrUvGSR=f#%Gv3G$Z2-y~QIwdVS9Ta<>c$pG)iiq9Xl87J zJS2f<W&twdg>!r#KK+zg9G_ZPnwW!9x|pLjpG+;#YCBW(p+95Luo9@C0+(!%xt#nW z6f-T6+OkGSRRQ|+lBo$IU05Izf(dGgVu~>|hCbb3YKGDdLmv`DpDr*nLT>}1k1d-S zm?F3T3~`QjpckK@svK6&7^9V)#>jIuXo=1g)cZqDmL`UlNChCI8UzP8Xd0_5F$dce zmXRT9N;Wb;>z|u~#(iMUL&}qA1&WaY+Niy$5n7ZOTbdza&cqmP@WvFi&M-1EM~hHU zrwp8eKn<DXjMU`p_@czT^wjwLwD{tj%;eN~v?|cp5-m=R4bjXrH$ZcuDaeV)A!=l1 z%n<Jx;v0`xZV}?^3|edvl9-f(TD%!sqGf9%P+0^EITJ%u)aHc|`c$MPTI0af0&S|p z*uof5-WDatmlS0tg8HMSd8pk{Ljy~QI>-c4d`f;PhFd}91l(yx=y73*Jo#;iHu(c; zvw$N4G);}QMG2n)D@!bjFHS81wV@3d%0LkZ4qPlPY_K@AZDj;%p1>RjDi2|76Hvbq zS*0Oz?l3{0d^H0NlOiiOwlG6fBZg@6Z-xeF4F)4nD>~lOKfbsmB{MI+v>0vh#K;)E zL;w|oaJPbbgUFVfnj_Ee8K4EKu{l~1YGR0%+l|o+AY%hVq%01qgG(}sQWH}=Q$ULV z;!E?267y2>b5ROeGh?(t7c)~+MCZ{2y+vehh$v5tjS$0FCI)7RlGoS>wS8`8Xo3i3 z6GJ27Mj;K68c6WUCn>WeJ~=-(3AC64IV{n}SkPyDEKMyT{kh^y(8{Oyf};H7)Z*fJ zSlk#wIy<P7UdZNKS|Dm<v>`Dw6LUl<W(kRa#GK6ZJVe7CIt~X)<&e=g_&^-IgNIhy z8KO;Bm>MIsAJAgV&=9F}gjQP^nIbt3X^h1b5nCokXm%TeJcR6d6C(rElFGyoZ2^Iy z0a{sRYJ@Vsg5(HvpP`M#8G~kjzy&O9H5<<2)xyLCw0bSEBoQ(yjFPTRj0_Md6}8=O zXkd!kS}`%SKy(*P4AH7P6C+ckbZ&$ca-b=9P`O7`n<+291WUWg$i$Mk++e~`oS9pi zlURaU#TXi(7YgY4*$AU+WdvPS1Rd^3%1JCPNrkTpDlREX%}X!IKnYD#OSB1B6GH>k zFf=tWN1Zk>H9}jfVg#y6;TaBXIK$8YEk%K<I+$V;Qxl{j$<P?JVPFiJ{6kKzMn*^t zLK6eDs>}#vGO}`W12l&k8=|d4F*Zl5cTGWKsK{oRTA+20P0;(xMxcQPn1_tfY($%g zGO|GG+!!MdyO|gu5~>kuGtCrzeT$JHYN(+qH$$EPMIUoCHb-k0A*~1lt^b1i11%#N z8=x<yGB-t({6>f}$IP4|K0di35i~pv9@odZrUlfuMhRsDv?it@dMY$Ru2RwZkA?>5 zqcWgjQs@{j%B(SJNEuq91%?r*EJk*hnIT$y8XA}&#(RtmjS=+&xG+J{i#}*<Vu{wd zH!???FE)l{V5Dggc+~=0egLmj%u(xM6Hu0f#hnSLr3Cdo%0M`3Ha0RqYtxt*qRly& znHV8FYhsK(?ukC#XKDZ&(gL;qu(lSV<B#A)Hi_x!MX8`^Sh%M^>nK2_F1YoG*6h#B zOG&LjZ}yuSq0d6Yn!|A0q3r>r!Ft@CHPqB$WQ5jCvoJ=q6pYX&mQ2x?tQs4dTEfPy zP$B`5YmCtfBNNb~Xyg=R0&3jAW7QC8D8vwL{fLnz+F+--0dmxVLIv4iV+%CpMrKIk z_$KHxmnKGN^PZ-#(I9Y-Gd;B=zBHvE9?QhF8QS8`#GH8O+EwrfXMAQ}K`GL-6sUm; zP5?N&8z_tJAj`8LX$qc*OpKA{dCe^iAcG%}B!xMhXJ(AjQpYH+O(4^haDReBF%#U> z!|<-D5n5GYYKS}`my()T400=I^%Y7LY;2C!H8lcF41;Zg^^!o95Ii9mBNai$Xze#+ zP`3#lgeZ;cyi}ysUP$GZ0n*SMsG9?`#~i)kXMyHSq)G^VF{q&>QojJLuWM{>4(YO{ zROTh-W`g>*h_&RPc!EbI(!>+`&>zU5C?SNt%Fzg;i)V&bx*35A5141s+reh&YoCok zvpg`>=9UJC8V_Ua!vw8OVGQaQAv@O45-p(^f#&96hJ)8cAS<^(>#Q1srd^N~m|3D% z&d61{338(eeMSy_fYihit@USYiPS<cF-Du7GX!<n!I=X`_sf7#_shr_sh@6Qj8-8U zf$A7oz!;h%wH1xg`)a67NAxH_@1dK4hMbYZ&;n_hmANIFH%-lu_5h#{A)6SX&)1=^ zfi*HQMhp=fqb+1N0<G+ij}P&6hVIOOdDp}kDdbHJ5Ot3s`skY}Ml%LI#h?$J8yX-j zdoVFIGlErBD6J*vHVbI{rstuR-tc^CVu&`dXl#Ktl532-^xVV{sp)89h&IV+YJ!#q zOhL*~q6)1XG6M~cAVLi~AO<Q)AXB^G=@-!A>_pH`C(x2BWYdf+Od!P(SOa)Uqb#u~ z6Ex%pDyhNSt`I&oMq9FB1S;YYcB9oF=q)BAw57nt256%jrs%7Ojm^;;380ZGPywHw znwMGxn$%7$D#|Yc4+EuSmSq-$cH|^g##f~l<)hRx=15IB1N1?CP?drlD9AYtwB|cL zKBYJxT6LqCYG#Voh%~cAi#~JYmIWdaBW)HiHbx!~N-2oXO)N>y$jnPeu?a1W8(N|T zj2UPtIV>iOjS;;mL$nDq6C<?7vl(dc7^c$%)NX@u&{j<tS|C+<XrmiO=u3S}&5;7p z5Vg5(2--0PvjnB-ZiuGR$Q-?B2Q~db@ej_GuzBMW(3<YVoOtx5$%Yna#kr9&(r_<Y zS~dnv9Ku`$Y8N4=2xCj6VL(GjqpCPHuQ;;=eH8|HT8pT~b*Q8M=#`?0A(B%~jG?RF ziVI4MQAaS0Ez!nT%|I<cWVf4PEWtN3g0$<vrd1(jZ!;sJdJ5>X4WNcPJW@dIVNkaN zw0x{2zPO~QG`S?cpri<H4T{GM(WWTP4J;VSixLY8Qj6k2eZP3Lip>mdS)nOt%_c0? zOpMSL@tJ}~q2RKHMu=>Iv~<J77;Vzt2z@!2Df+@qGh+ipm1bguwiwwMc?ArpFOD1= zriKR4UID0^Sd@ygXT}7SGmurAqgBO5W@zDM1lrXF^C6m?u{rWsC8*9rHrf=_^gtE> zZ6|=4gjOq=fhK%lawdkz<KyUSw9HJ=I#*^EXoDL@=nJEa4A7boCPrw*iz#T?A&Of~ zk+!~|tr0M^Kr7$P(3>b`parnV#u=NSEj=@WmouPPf_JBp^?*7vpfV>XzZ|8cHUmw) zAS*OR&ydETJ_oW2GjstX^o@e1prvB42tdp5MkYv!(HLz=z|azHEr^+s0a70veS#Lf zv0)5K=qOG_UE^#9+86+H7ii=SS*<Z>niyHY&;YG`H#9&SWH14RJIp*2^mdym`j~_v z#tK*D`pd)^Z9$w7s09Ku9c?Pb1hj$+CTC)VmZnTW>v@sAVrGE0p2@@#ZQRlv-EYR| z<**6HiZkTpRH(x-CZN$FWCs`;pyhT`&>#k~3M2GV%LG*Pz`ST=3|TD)9x2N!%}p)J zOa{&Wft!n|De;+kCGm+VsEepA(Hd|TNCm2ip^*tul?QUci8LbznyNr{y&-zyF+pEI zZe)y<l1)s}_E8#x(mAq$M(De3OwgM~MxgLP)?y4QIA8&0VvIf!0P5EuD>t=3TO4Bq z+Q|m57|~iQ@cIzBqhpMgL5$IpnX$PUWF`*W0K!@@;T$B2&o4lXHlh2~479`<**9jO zjhS%AW3>rd1cKI6q7;EfCTQ8m$N*_24_XOjW{S2%&&&dCPR10}`ayP@Df;ZKsR3FS z%+SyTHUF5JqxJobL3IEuZqcVwP$v0Ikdhg4YaTTGjqEBz%<PO745sF2Ehj@z4nj7= z6f`Cda}|1mHwG23@$pH;#aQMAO-<0+K4$2{mWCE+EnYLw>SCB>#)gQ=K%`k3BSW;c zqDG)Sg)mJf=moN+C8SirojO6AFTn{0W`+^khyePy8)yj`TrIN8VErag4Go&=gDe{b zmtQ!$yJqNvQRrJH4Gqu|j)@`Cnl+5+Vf2k*pmi(A@o55D--Rq-1X>Uc^A1us4XL+e zVvN@DGPN`yZn+h-Cl4AvhL3$hw<S~}ChbA%Cz4Bxic<4R;Ohla@{4j4(H7SjgSJ({ zoN8hKjakTIV}xnR`FTi7JW&oU0IlnQ=?9g@;Hst|9%YaPbq3oARH;Fgp-dZ~cOcP5 z5KTY}bzxSS7+50psB=?ulXD9&x)Eq)juC1%6luW9#29UM%@{N(2Di%)X=KXS1ky1C zC;pPm+*EidfyY(KK^xvs%1IOS<w$1eBO)fCnL%s;g4!)IwLq(xO+g1sAg4Q1(BT|# zR~us}M;Zk;F))SrqbM~8w5<x^4N#X3ysHM*;Vwm5pkZQ+v_{b!w2l;1d4S!H+`ND% z%#wUi8v}H-0=y<fTfA*%X#m}I1IprrY9Pe&E0{Npkr&IOjZGP$Z}Bk!ZK#3kw1BLt zfh6vtjAD33fvF%kvTKT-i;d0EHuD*oz`Eh7CGnthYEm+b;=v&f8pO&>i!Vye1$Bf| z;NfEeN?|CmYK|0dXyc+r=&ccB(4Yp){YbNECZHt>FmXd;NZf<dFxDVPX<k5D04Ryt z*c`nTWNr#Am`I97@CFyiI0eKp(DfVf$@vASYsgKEkY=$!=b(TR9#{`_B?$W7I=DPm z4?_F|8Mz0Kib5vqKp8q7sdj^!4V~43Qbnoh@Jt^M+Rgydg%Ui57HHGphM)t5V8L$= zO7bv{u?eIc0~gpuWyPRVstWKeJ2yi<;l{)WttK-yL)t%$-f=QS-<xd&YB0fEVuZBS z$_Ob}fj0Icr$-B<r4ZniG%y2@c4vX=Q)E46n1_#`ZMC#8f~3h}(AE!_iRPAQeOFVA zWw{t*MWFSZ$W|MJLK{`U1gRBdiL^G`2x)zwiLoWpPRXRwG)K^RV(#cmn2asa)}NW8 z?;bHh-dbaV-VQbbRr@gSnxND=#%SwPj8K=cA+3i)-)>?ETF;2$Wec<cVpH_h-bU#A zOie+9FUV$?f#x?*1VFp*5rd%S7HOub#z~2Z$>tUYhRKPb^Dh!D4NVN<(N^`Df;tXx zFQYF3GXm|=1y?9&jR^FV0GcF#n_`F-3&sY>TXR7J!YD2WjXA*eg4QS@%bFrjCSr_9 zp-+IDnW2pl7^5$qGB<$DzJS_n_(~)2ni0@ZEXd9=!5A+GwFBU;HwT@olbl<CvIYrR zzbR<s2t~jG?cfI^^wn?1=15Z)XcIif=sjd3Q=~a;w3!kk<Vi))awoX64bkQ{O+o9u zkX?y3qi%@4!q^Ob^*dU7+SmkbRMikPumQ6lt&3)6iZ<eGW@&295TBZdsFpEK*#Onb zC=Nz#zM7&8T!1PpnB7Kb+u$+QP=RKnV2aUZnv6l+PnaCq45*PQ+N7bWnVBJM(la$V z+0?{5#SpZeKHiYHK^(M}nhE+6b7K=U3(e5i9vYgXA720(gN3=@#1O3xFb1uZM-Ed< zb2Flbo6thk2(&K~*&H(?r1c~w7-Qm~sX<V40k%jr9=eGZ*$89w{r#q((?F0_7#g6B z!Wx5YMOI-3Iui>;0AtA^^7$+%+h2^4XR|^3I1r(THZx&riIy<T4WLakQ27IInnQLi zp`JizjCNXy33{$H0__At_JI+4VPa~5v?|LKQiY~qPH35<mqBKzEB6d7%n<W9NKG1y zby}7dkoFU}DG2vmZa(Uurnw=)G~{iLpk0l~-Z2Dq#b6-|T4w}jqt(7fpmr9rP9x;G zP!rIUIXFeZPO1V;mVwsn7ZhdY7iE@IA|-3kc6zJ_?VuJYhM-j{$Tpf8nOidWmF5Pe zg8LJxo~T|nH9*^FY-WNszibLxZGvo~sX6*wJ^F$oLr}L7S&IqioCah83$$4sGvabE zV%FTm$kYhYyoN34PR>OfQ;62BH#9&iMod75robW&+~q@dps6wPG64_=6j9*hgSBo( z-u;8<q!}SCY&J&AZ)WIAd`v;xe_;+nUkqZ2bf_Zgyo;d$TB`=UcN}Jpi80y|VYIPv zQ}p$cMxY&nV84R8Xy6k8;z0{;LED+Y0S;P;R|Z;c0UaoU^@mZqHfE;iYs^4F2zM0v z-e`=i|3;vdJTT>;qjiwu#t5`i3t7Mzl*VDIP0$x&7^BZR8e=4JQ1=_zKvU4_bYuY& z^rc2-#%PTLQ}m^!NPQ#FVlhM$827SU#BL5_v^X^dojnnsnvz(O2v1$0@g{IYfeLKM zrlEq$c!T%~)R;iu0d8o4KF5u|ZqLjTZF<AZ6m7qVF=)3Fa`>1VAT{rdkjBhF!32*P z^Z{g3P?H-jYk<}pHbP(jWCU7r4)-zEY>3=phwOBM2N$UNMs~890h%w3Ky?zb3bYAH zV^D^LxfXdk2<Zear0N%K4BprrZL-7E%p5xV4~jo*2kao9b%<86m>_pZP0-g*8-n^0 z$UZSaFT+jHyJ@DT4Ds<fnMt6DKhQZjxrv#1@Xi9-F{8$yNJTc@47AV_9x>&Kpu@8B zQb40{@p-8gpp_q>4lz9F43LISK*t<qr-IjafYuVDBwZ6rv{?#6P_ly=10D~BanPpu zO+n*K$SyPjO^HDJizuVXsAZ`UdevqITGk1(1ZDdu@`f}MW2Dj<G_?!UVS>@v1I?r% zJIn$tYz+<2CaO(9IUi;o`q6giTb4{gy)0zo&Ct(8Fa<ULVa8$1qne?gLS&9;5P^;$ zLN?kI{m>pGbk`Vxj@E-Gi=@&t&ol$@&<M<a^lcUxTQQA5(|s`2CMIZ0xQx+f2QiLD z2AxfZE!I&FCp0rdIynYBGY)bz%vxxhEERDAGsYQ!=7uKV{&hhmJj<X>-kKXA9o}V# zH1BPUHbQEQK2>K5S`~{N?na<;14RII0wKu7;MNJOB0$MWM(B-wW6*{cWJ8QWYpdag zKuV+d;^f4fMAQQd%s}THA!{@<MH_5319kmjfrl|F0ctrTYc~RwOmKT4y^X{?)HbRa zMh_CyYlm53gtP+P1bv-@DQJ5%Or@~_Vnojvty5|WTKtOaFk?{l0N05+H)RSs#T4#n z&^-dh8SrKhTpX?KglxD8`hF`@(CS9GPIw0ew2n0eaiqHu+PPUqph;wqE_huCO|0>- z4g~C^6@(e)mdMjoi1j~6Q=4d$6Q-brX0VXJSSeu!I^+V?Z)mPG1+{!Z^$BW{G%z$~ z03QPduc1xQd%~um^AKP*gUUf<dyLW7`kSGzwKhTC(QJ<1&^1FpsLu@4cZb<#VvN>J zHw7KRfi0|4i{leZ;z5J^m`jaKk+&wBn3^Iso1hQ>8KWO>V_{?pZf=7!H6)2a_@HKa z0oH>%;choYTNY*r+C0FZS6rD}l9<GxS6osAp)+7C(81<K1q^z5`6a1(>3OAkAPZ89 zN-B|rl1ejkQgky@phAvLp1PphIl#&@5{ok!^inGGiYs#=bV(5dSf(ts2(%Czg%e-I zpjVWdlL*oPWfkO<FzA8y`Z4Gg<do>8=a(?(m84dbFzA82&Y)M64{rMErDj0y<;X}W zVu14?S|Jy7Ky<*^(4935dJyeN#l;MI$@#gtsd**Pi%Te^q!}0(Kv$YTujyfsfZh`d zqh-*{2dPCCQf6Ra0NvaS({BOQ52F>36*Dj}KyLX#2%4ekkAUij(JBa~U>5A2W-y0= zfx#0^e+^VWj7GN~U4J+O1H-rf|MOw)UjWq)qha<#ZWqIJe<A||1L#H?nEn$`{V*DO z{U<n#Kw$^-KlFN4hD-(q29Oq*{s_qRJPa@zdR-69yWqHja~K#H3K<v}{v)}68`Q%v zng?Vl0|Nuh{V;oAbR7c&!#^bbCln#3!)ONx7sLHfVTN7?1_n@=!p!4<UX%-?8|on{ zVfLf@e>zk@>>jF)g%I^{8Wgxt_lqN?A6WQ-l!LqoGar5r6ZAeNQ2K_L2q8gkg|Hyx z9BBH7=~sa2gV6^-i5sF6OhTiF0Y-!FCqlOW!FI4R1{e+VFGLiPeqiDt>yY(-fa-_Q zPc}pDM}p~x*$d-uLv#NX=)F}i8gh#gOf@8~5IhEkJy89y_+u!5+5n{++>up-?)F9H zgYF#%xgVy#0eYW0jAqe9RgWJ2pldgg^>;jg*bk#Ol!0UsX28M?CUS>?fdO<Q7bp*b z#CBu~fhdL@kq{bPJ&X^dUobE*fI=9i{{U3~0V4H(fu>EE{u5CBC!qQjpaBC*MlgFJ zzGPrn4Gn*o{ukL0cfW{+&=P0?2Gb9t|Dx$<D1hi=NPy4*THxS-6VT!T&Sz$Xq)C|l z0kfd`x*#;n9+(Ey*kEAbV1(2k1~3IsIsmF)8_I`KF#X6hG>BkIz;pvt|Bs1aE(53@ xO2?A_IickzL=}RpkP!xRqLRTBoPgO2698RT3-dcn3`Ae5hv*kX(}2ch001m=Pw)T$ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log deleted file mode 100644 index 337917e..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log +++ /dev/null @@ -1,7 +0,0 @@ -Running: xsim.dir/audioProc_behav/xsimk -simmode gui -wdb audioProc_behav.wdb -simrunnum 0 -socket 49937 -Design successfully loaded -Design Loading Memory Usage: 24916 KB (Peak: 24924 KB) -Design Loading CPU Usage: 40 ms -Simulation completed -Simulation Memory Usage: 111440 KB (Peak: 164184 KB) -Simulation CPU Usage: 70 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt index 2965ab3..8a25a91 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -1 +1 @@ ---incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o index ec17a769a8e91f0427ca0244f1e5d121b3d5dd32..2016143fff71305a1b85529071bc44a602ef0407 100644 GIT binary patch literal 19832 zcmb<-^>JfjWMqH=Mg}_u1P><4!0^Kh!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_2Hp$|6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA(-An}MOj$?5Qf3E1T?5s+8*LFjh`o8Q%9Q34ip zJPh#|lnjLjcz{ReWzWt(-A)OvhTmEb>;(lSzvqkNP8p#1>vYQL%qlqUR08I_2YbKM zMTNzq6T<fFJh~4g<<WWEqw^J5+NHZX!L{|jE5GM=pU&@KA&*Yy3Xjg?KArD;IzNF$ zJUj1tHot8+-rT^z08S__ox58Y7#LhSH+L{FFdT30fr@){gOzx6Hcw$-VDRW}21$E# zHqT*TVCV*mbv91`vAY*AFff3lw0i~v1B2y>I^~zM7+?|FJsBj;cmbjq7Af^L9^Kgi z-PIZ0#W~%<CEeX1lfdqSFgs6ZJ7@5(cP`=I=EBP0%D-(7ORW#c71<TtyFo7R-VAbd z_hgW3A^O0M(FVJje?8b2E*&+j4BcSyZm84vTXX*Z|L@bCT;bX6%HY}U%i+=K?BLPq z?ctF;8{)zHVB<VGkAlPPnMda>ut0Z>3JaLw0uS039?eHM;3+E>63?jd+ntr*YWTMG zts}q3TWG{Nb{<2-;c>%nND<hbozVK$aStdVxbS;E{eRY{^Euc~k51<Tuyg+TbUp%$ zbY@o^cdh}e>|6{AkmJpu0Qvv_|Nmp)m}2O5PU!Xc-+I7>-}6OhHz=HrgM$L1XEi8N zk2ixN45Y`S8<b9(K~aT>_Z6Vn1&cvry?YHjrk8+Yn!o=iIJ?_|422|caJ=pYS=YT8 z6sg^lL3VU^gOUx{<q&4)32ks3@vjHF0UXUN|7=*x^ZB<O@JwcD_hJ0;|A9w$c0qS_ zMR##c_hgXwAO?Vwptf@b|9Y^WkGZfiFuit%SU-ViEzGY)Kw2Q7#Ry`8aW~lX?rw+& zOJuqygFFpNzaSsL(l39X2q+!(W>+-q1|=H?{+4+R3=D`w)w~6iX*#<>k=YIAbT)4Q zg=hBxco^<rU|@Ky47L?PbWa8;0NDpp4zsV`8Qovq-5_~rfPk2Y0O8-}ozdX~O8Ox2 z?rxAhmM2P=`gB(pAY2Gf_Mp_`(TSSu|G|<yEVaA9QzF(>k8uAnCr3t5`P$WD(T219 z3-)My14<U)67Zi-Z<T}LTf=X=K;@ZF=YO!LY#2*}Jvw7l0zA5%1G>E<x>z_mT2w$O z%A+?#B>+~y*)W%Cfs}C=euL@kV(4&D@c^mffU9D1;Gc5zHIw1n&KDkyM?h9bgKC4= z!xIqs)uZuE1tS9k#7*5<3B9iWJDWhM4v~YKKyiJ%36#1)DZX<R$UVoKKzRw2i#nS? zCLeDCr4)!fC_^1@0;MIeJf!GGEyj<a6ag;X;L_N!*PYR|_5V(gDg2%%9Gn0DbK&=Y z(aEFYk^B-?e0X#=gR&^NV0Z?r1U!1d3B#pxHz@LtgOeV}6qnA`pmcD&8I&SHj_Cpy zl^xBX<aWII1V{}ieS))8XY(0wU2p|j7c~F)=h5k-!olD7l!<|%*MqUM`vO?O5vaD- z1N<HLm_Rjuii&_wZ;na?EJc7KCjvwW@NWa#)!_n))9iq5aK`E03<}Kd$)F(Y?gkkL zj{WXt5K|jmlJTzx*EZlHqQgZc(5Le|tQ72MQ2~XxM|XBc_ihlYdow8PyC;J}9E))v zCH(7~L9!6zT2y9&3NVm~kUG?{^SDR%YEZCtg9EU8^A=F3Oa_G-76U<H%)cHSrr_EJ z<WY!kJv!&87%(z0z<k}JQUFR^pjwYCkGH54GBPmubbj~fodPx(98TcC?3|+l;vj6& zfY?NKm}nww0vQa#pge^w<U8l6fYLam)Cc8`ZX6K`j$2Tv4^o5@r7bEC7{FCK7Waa! zh9w3pvG))Xd!R4@IRmV`10zx)i5y}~cQ+_$Tb?K}>YfZv-WNK-X$@A=^7ncD{r~^9 z$nj=S3I`?c<6wCZ%cpxYC}ntb`>1eubTfK%dm=X<K-mku9Q_6>N8!zfZX0l9F?fJW zB2a;Y+JZooN1*m0v>0;AF+AD&mfz!LrxU122y#njR>g6r8c;@twkyy|m3>U0Kt*mG z7U#J3<}tdqeskOpGQgGJ^RHv`zkeWSGq~{kz3@zak5sZiYK7xIok%6iWKi6pG!#Jw zxpb}u7lYtj3UW}lcaFAqMomd~bwPJ=iDTy<N6j;yo!7d9YkZiK16&OcIPL@~14kC5 z6maQw&gk~e>HMpC7CHTRFs}wV16jFqj<$0~ZvbQK0kFIIJuY~3zW3>T1~$y6^OH|E zxHaU_&E(PTg&aE|C!)s=w#FT#_5sBXmd4$L2}t!tfNSS*$If#eou55A|2lS__3d3^ z%fSxHqt9JB4}l%jdVs%WE+`&)_Znz0Fo4B8diU-yK(G^R5NrlJ1lz(1!DeuVvVA&r zRD62ZX0U;5dGFDA&!zK|OXp9Bxt)hSx>-~_diQ83fL-y-r}GzB#-|fasZZx~kIs8A zX^+OEAOk&+RG~I(UxH#8R-QYC1qXO^e)imF&A`CG#lXM-s(7KD4R9<LG}v%3mx_7* zKZcMw*1Uij)Yx(I?5$%m{04TFM{l);;Wxueh9|+?mIEb@p3TRY5t=-@n=ddiFm!j{ zU}9hZRsUc^JbHIeU}9kKXgu7(463Z}LEIV#b|jPyX^BHz40o>^7WX#K09&wt2~<wA zaCkKTVBv3H2aYgakf%M8SsFbUPyB!2*;~g9b9XnmN!;BHDhfb;<!_x1YWg)FV?nt6 zShEKU0|VnrQ03~`TgQvgdjnLRbc0GfklxZV&*o!%==zvnf-;(C^D&Xvg`T~2;t1WK zLJn>-Q>nja^D&9n@}AAdWWi=Y{SOWc&*o!XucbYkkFkNJz<~^L7Q<^D&*ozS=)z2| zMLnC3Nudj~c=YZ*0SR#f7K{*|5DZHH`!nHz3%19j8!2QPY&aN8Igd4eU}0cje$5VH zFnRRu<^WYwjfZbQOpOM+8fsn^5$4Tcg_;LqfXs7%nAgAxb|s2=+4#+40=dtD4Qd{U z0WuF%ID0f6)?mXlF9*MQVE?{=nFnHk%-aBQ-vun@<>EIF?7j)?Q1^itAoE^8%&TC> zbYC8R^O!;Ywcvo72V#KCQ-H*>0tcpf`S{Ia0h#vzW*&$EGA{yR-U$@*VEM80pU3_r z1_lOha1jDdHJ-iO`azxi-rWaS7#MbeMkm1H9=*E@AV#f#iF<US<a<a~2a|~U)1&!~ zglFeh&<NAMa=1;fE`evaYldUDFQl{zLn*C18;|$<GB9}d?oD8Wy2GdQAJ{3Ly?a+M zF)(;G9`#^jU@*M26XZx&!vj8@?>w51NFb_maAHO(?L2#HQA<1imUKpt9q@9+v%3}4 z2zTu61vNZCg#&-93q%PEv;gqzZUq$pj@`YWvd0(VK96n@4v%gZ4i3-GsUY`ycD90w zbC^qew?bViz`(%ZYIwk-vqnY0qq9VX1L~nVR;cM7JNQ9eQ&3sf*($)oz~FeiRRUCs zfy%=jpuQOhdv>;RurM%y$`x>UBZ>>h?zteD|ECTA?*o|x?#^}I1Y2|PF^g;W(j80; z436DvL8?5N=WbwPU_ep&5~B1ei)S~BjAyrx42Nf@KZj>0`%akKyFqU6E>Yotj(9nC zgB|18-3xNB<%tq8M`o~_9U-o?Ji*@={r~@e)VM*e9wxxj<Gyx`B;tWopK*BpKlXAP zC~WZ5VEirMavP<t040#_ZctGNiqcXx&*oz+uM0eT5y9TwY{3Ys=0L?4SdE)!Zyg&f zQo5UOFoR?tFf%YT+i>vL8hADzV@HI#2eN{07LNZ1I-40d7#LnFKr4g8p3TQN5$cbF zWx<tO@9qnrDzx$N3w8#ET`Ztz#>`fb@*UJmLdvNqmNwgP@PYFG3RwOJF+llW0Fr4Z zVB~+#&Tk(3K^-+DBR#v@Kpu7M?gRO>TZRKAX~0vFV|N=U6?rnx10^4iZXOAbZWjp- z&(6L8P6h_g&b9~;)w}NjIF}x?;ACLf1xh_Wo!_A00nVA=qzfiM@eg(nsGUZZdm2Di zG0&?2`4-7NpvIABXB!6>D3|Z!U<Q>M$6gS0PpD%UXmmsXRJM11_5>A^pgfP{vhL;& zAgh?0Ux27i7nKB$<1Q*0pe*OH1LRjw<JzOsMWvv-TLKip%-sSYs?$fM!sB?03aCj9 zR@~X50!pu-krDyV-fcTnL9I$iQ_i#VSa*&}ghy|QN`S|478Q9=;@F|Uz`y`AoWrB@ zIXH)dGp%Pgn`gHlhev1g1};$T(Y*uY?QS;#kIv=;5T=AjXY&b=WbbYRkaHRj&){NU z*ab4vqw^lv6lhPzqwxsH`_YbZu<;pCh=2-5P+Oz(ugCty@VpAv*WK&@GLgC20z|<> z1k=$4-OUp~N|>7=jYk(mh$w^d_I?$p4}CiKAi~C@cPl7t5S^o=F5M+afdkU&(^;c} z8YCXwt_&XF0p{icZg7}XfT(U)P?$78n4mD};AUXxc2($Xo&XZ--5mjPVB_HkZU%;3 zAm_jW2R0Jt(Rc(D6tM9t&~ySQkAkbYRq$|uRddK?m?ugt=h)r8-j9KyvpIqXG;rCz z+z;f)<^Tw9K7{81;r080l=M&cV_<mM3JNH^g&?S~Lv1jFhCaHxLG3AU0apwv1QA7& zV|V*yWS5+V*bQ~bVF(ZElFbm8>;?;VJMe(rYyqNrcQ-&nPJssza^O$`=Xlf-cLlh_ z1vSXP4vGX-X9x#*bT=n}3*8KmiQxLRgoDGQb28YX&dp$JyF)kxJUS<X+~v_7!Xe?& zIT_^QZWj&(NSMCN{Qv(y*a65D4y1h&0!q=KjOf|<9hAp+gL<=&JPzxmdUm^KIClF( z8YsaY{||%42_d7jp51NN!Qs$%A4GNbbMS)7zIFx>)w_QMxU4z;0u&CQ^1!F_pGV^n zkZqv$Nt|PREI33wdaGf5G0)z5^wtSz49KIm8rJLa>~4R+2&%pNL9I?upz*h|fy$`f zYDDX#9TaMg-Tk0oYpCH6W#(`F1S&Y153?d1zaNxc_JJy(U7)gLryK(VgCn@<fz;3U z>_#@rqt}Il6;z@nc<cZvhm>9}DjA-8K>h<6<I|a<QgGZw1(e1Zu$5>Yorh6MG>{n} zP3W1H*`wQ=!?P0-me7#J8l>Qo2T@`n2k&8z=ELli`M5iTgB8@O<Mr&E4vyB&c2Fe0 zl>PVrKe*n45um*9vHuu6<Aa^$(cQcOoPc-06R-<70dL?1XP6xzs&}^sr~+v`JcAcn zNqThNgZ7$WrcVI1k35>+c!0Y6pt{I{fq?-uvIK9QI2&|(TY!4!E-DTlosVGUPDk?( z&>&)Ov4%(EVNl93ytEV4e01E=Aq=i&V8z-l5Pv6#0F7;e+aDg$j*#v=$Q7{i&11hM zs@2DuLEUyHpWfmGpWfXapvEM`3UG7$JFLYHQN|3n-oguPJ*qSlTzbVlm^3)&f-?%p z8E_1$o{_@C2Gvm>-Qbb*Zt(Cpwovh41`U#L28|1NG#&<3gm9}Dv@wFmccGQxE)ahw zh`=5&P#1y1$1wylCIgBfdsGK`cDFqTl@Z;2?;%Cz4^WEeZ2kat$8OM&s!#7?P|M5k z(oRrj0*Bsz*oc~EciVS}_Wu~#p&A@_WXQ2G_;e!cVfP2s7k&Kxpw!llWF=hB3T;j_ zJ>n2O^0@VANI9VCQHSW!$E_zr-5E`fIYf^=ZaphZ+|cy6L-hFL*7L&A6HQMzL{B_! zJsSQ#XnN8idh&7W$%yes(^C%7Q;%EEip(H1J?#)Z{kZkKC<}$^fi#<AK+|R5+yE-M zNx&jdwTx9k42%^5jM6;p91|EB7(fMy3{>s|h<4%=aN?72;!|+q({SW7XlCnS?Pcj> z?q_1U$EN`nmH;aO^(Gh?7$QJ=7#J9CgG&`8y-s`vj(ir5d=Aa*J#4+KeJuUVZA{EZ z89`zOPDn;M@^OGFCk6%v(0mZcxR0Qc&5@v4x0!I51@aTvFANL}pmi_|aI+lwG~D?P zFg8P-!&D9OqXO8!0tk2Lfc(P1z|afoi^27R-3;=RJKqLo6i*ehV0VZI0|NuNB4l7- zm;o{q(=7DxX~GpgE({C|M?hvUFfjZ81rkBCnC@Y7l>q|-0}m*C85kIrg3NK^6L92{ zaO6{HX6|ERnh0VFIPr0~@+r8$*d`1N3=U8|@gO&#>Uj%O=gOzR6bIulFfd4f{14UV z53<XVPoSBJ$rBXeAh{`c<Urj@kQQwE&fw7p>Wtyi#{wGsW?*2zW~KrTIZ)Hz1}YZ{ zG8onGo-pqwFfcHrK-H;$+=m%IE_?yV$%ZK(Tl~241u*yGNCcp`I{~#r1~VRR!QA4= zr%(iAgTna_R1dnpL4E^`EP=e$1|m@XRs}Nyq|O197Z?~A@Tmj2F9WKMALKqr7&!7N zxbtmbYG&zUZewEl&!^xBDqo=a4U|{sK=sHY^f-Z3X}I%!fag_aZbtOX3QCutQEQOX zmxA<y3np+{2A5dOQjB1mAjz4j0>+79U|<kn1?gg7a7K?SM?M30z733^at~5wG39|u zGDOkm2+ABA7@30@F~lADKwTMdx-Wp54^A_Xbng#J_n@%sfy#l`4MD=(g)ae||8ikr zuED^-um!5F8RS$nzcH1<e8a#1GV=jcEvQ?_fNEwL%uG;NbFhI7V_+x*`5R&;B&<PY zJ!=mn++vxKg4zk>Y=|Z-qz@wl0}I2)|5&6Ln88g{Oc`bdXoMq4=`%Ah2r)1*D1bT^ z3=9n5umP2IOc3z}P;qcrFfcG2go+!;Lkt1?n}LC$3o3p9Dh~E10|Ub=sQCtb5cS}) zkAZ<<GgSNmR2*EsF)%Q+L&Y6HjWJl6!N9-}4Hch&CawY%e}E?b7U~`YL5RKJJjlSn zunj8SfF@oK6~BNczL5nI4hll3=G=$cTL2XYr#A)$22ke{6rLBL;@~m~6s}P945AQo zz~u-71495*TtN&X4s)j@RJ;Hx4$l7!3=EH;?qm>$sE3KKhKf&sii67m1_p)_sQ3a< zfyBVT0M6?S3=GSl{yHEDF$bKFLF*u);sw$Wad19mU|_fcb<Y7=h&ar@d!Xt;qc<QK zaDB_bzz_$umw_E53a!&Yt0JN5A)*Wnu=au;R2;^KCOd|GP<ufmVjw**@kvl|&>9nD z@ieG-0H|DIU|<jiNir}nSV6@>LopyBaJz|tfq@w+4vGhmIJgbOz`y{i>p<}s0L`~B zbEZQ5RR9$Sw{sX67@Qax7@$cIWDFdG{DVz=F%I=1IK&m1u$%uEhkB5EvDw=TDudy! zLolA>5TA*|d{FRWGyfzG^=om6gBDO?Ge-)C`bHe$i*UHV1c&$`9O9ti9c=FLXTcu+ zUzxFsgUWnt=2+u!KMxM~fM!OqnbQQ#F9zU=dT85}fq?<k{{_V=sH6wg(|<stL;wH( zUkMUq0M!``49Mc3kwB0XNWD4(0|QL`7ElC3)f*y-A4d`gt)qvjzl9_YYLCFg-yn&D z+y)cx0o8+0^O4<i1c$gVv@Hd4rzVnnK;=Ko{mAC)BB|F$Qg4bwJQqnEIUFjG#F4`R zG=L7X7dadjBB@6X2T*+nQ;+OUH;{**V-_H-u<*%+ii0TR@L2~Ez!Gi`aft7SwogFP z$mVcC%P){Pa(GH3i6gsH8A%*DJhgF%PeBqlM+*PlNaCtU;^&aWK_gwTaCnF$j;uZ( zTCafIj~rjsNaD!x)r};M9ADd!#F69c43ao<I7|X{nz4lcP9$+fB!4|b5=RbyZcb2Y zfaFJH^+rhI$m+Xsi0?uY2Q|H5;r10t9N8Qd(3&Nv`;pZfBZ-6Nq+sSGBZ(uMGarZe zIV5pp^B*9IBby%t8uf>|2RR)kBZ(u2e-4s3ayl%*A$}A|966m_L=s0%Cr^;Xk<*DF zsDOsL6FHrzA&Dcqvl>a<6e&Hd!XbVFNgUan4@lz3;ll_m_d)3&Sv?n$ICA(1;Si5R z61PKgXD*Vs9+G$^4)InT;uCR*&&46W5{LLvBylq&_uNMkM-GP%Na9*Z>i;2$Bdb?| z_CrA7iEO?*lDH9)If*#LOL2&|Ac<QcnKKPZ9NGMnNa8j~>c1n2BdeDLP3R!iBd~Et zU8p$7U&!i%aENE(5bwevz8r`6RUG0kafrhj&*<(Hhc<rD#jTOVk;5ktNgUa~-ALld z>K7u3BdfoSB#x~9Ba%3>dTnUG5#&x}^^Qp5$m*++#F5ocL=s0<e;P>~S^YyKab)$< z(Eca7dkm4pk=17-i6fiejwFt(em;^oay~kUBo1043#&)IAc-TJ!w>4HK+9ERaV;cq zWb-4C#6jDxVCE+yi6fg+gd~of?^=<>k=3ujA^sal9NAtrXhs8tGqO2uIK-2X#F6XM zUL4{(k;IYh6^D)^pxbMXL);BX961~!k;IYRUyDP0HV*MUIK<!J5SM_CYk=I3oK6gp z#F5>TjU<koK3kB)k=3t25=Rc_Pe|g(_KHBqO+fBJHpc=<9NC;EBynVO)**=_n<E4r ze*u}Vi&UOFA&DC!iFY80Bb(okBn}#@gr)xjNaD!$>OseMK=x`QnIDQIj%@EzBynVW z*CL4{+j|>H9NFHlIK*wF5ba)M_1;M0$muf<NgP>yJr40FNaD!ue~%=NY>ohQoC_2_ z$l_CRh;P6l{tZbS*<MEIBp<r@0!ZS>{#8a2M|O`J4)I(Z;**fXk=vh7ki>P6(gPQC zTo2?<<n&;LB#!JaM<j9N^bn6Ej_m#xBynW-TtpH_Rxb!0=mWV2*<LFoab)$uNa9LJ z{;EL|M=n2SAc=#<YGC<jE0Q>}`FD`Sk<IyyLtGxz`GMAJ$m+e2#F5R<L=s1K|5PM# zWOFtmi6guJHj+59`BI=x7Z&&U;Sg`aA-)ZV_$wUZa-hyF7JGwmh_~So--Sc`9S(6_ zP#|Kl7gG8_7V&_Fn&yHM7*rid4kQM`SD@n1?mKu!1tiV^9Z!LF%i!WXP;qGU5H7wJ zY7Vp-hl@XfibIQSxVRd$eGJW}aPhlPacD5Z#j~K|pt&ey_b-ErgYqV__y(vrx;Y>< zAPkzL1JNMAf-r2n9JJydSsXTA{sklm?RS9HL&s}i<MJRe5QfbI)Ii4rL1G{bn>RRt zCJr0d1TCTlSpiZD!mxQH7Z3-@Uf4WR4VpM?9tpJ53E3RjJkl04^{{y)(AFzt^{{!Q zH)!f%^GKit&dBOv^GLAqNsu-WhRq{^R_r3Hhs`5_)(#?z!{(9BfCdMV{0o~$f=%gx z%m!iDJdzAFeSyS47&edOf+h}|N6JAHhs`4`Kof_}Bi%t0hs`62K=T2}P7sF8BbA_u z!{(7DpozogA$OpO!{#BMpozogA$g$b4rC_?!{#9wGP5(|iwjDNGpZPJQ*)CGD#0R| zsi~>)d8N6jMVZO*#U&~6#hK}OsVVWfr8y;;1vwB!Wf>_s@x{p*sVSv7sqrO6iFw6| z$t9Wjd0^FvIq}6g`Q;3HMX5Q7dL^k9B_IomQ%k@Wfc0kPr52TBCg#K!rDmljLnPql z6%^$srxq6@RHv4u=9R#VE=Vj&%uOvxEkelWBo>#%mnG(urearAT##P?R-FQ4fE|&X zk(!(xUzC`ao*JK@7N41yl3EcDRf$bOaZYA(Do6n+xN;#TCgx<O=P{Jz7v$uW<wESJ z%uCG8OhyS6kZBBhdHE%&dhULqy2T}l$=P7VAc=U0_mUHHa*|-;;CKP6NCCwVm<3jw zSX7i)8K0b=TaZ`;@@-WrSgyDvw<JD4FDElE6&mcR8S!bLfC5W{wH9R-XXd5Hr>3Ms z^x%r=%)FBL#FP}UMqFw@@_NN3MJ0(z40^?txnLR`-9`B+i6w~)V2uUwCW!`VX{pJU z@x`S{@s<p!6{*RkC8_Zy2&OTDX=Dl0W|?T7nr4`s1lDGO(1u_dBbY`OShblWv>}+r z2&R!aR&8boZ3w0@f@x%iRhub78-i(UidC%%LM?)6Y=Tv-F+weZX>5#Dtr1+UDS~N& zU>X~N;}o12;F%3e=1l`-r{dHSP---YFV3t=HN<M4A;LaGGE8Pbw%P!z4F(7s5KI%e zT9njf2pW6_RS*<nM<xaaXqAFuk^*R-Fara_WoY>hlY~~!FfKzn^k@udu?rUmjhTZu zhS2sGhz-K9b`gjT!l1p6XjXuP83aIs)(i{`3efQu&@=|9JcqTzVC|(aCI-;fGH^Qx ztPx6-K<x$fZJ<J63f-<iCdk|iSQ3daaDs3^n>au$ko_RN==Ot7qd*T6kopBs`$24E zjIQ<`BiQ|*jh)EK7-0HAn{bgOVD`hrZi3hUz<3DS!WqJbt;YkoA2gK((+>+jPbNqk V7@`_MK7ckD4WJPVayK^r0|1f|IyC?Q literal 383088 zcmb<-^>JfjWMqH=Mg}_u1P><4z_8&eE1cs1W;rl$GcYnZhC7BihB}4>dvsnt<`luw zTou4rYUk5k?a*EA(OvA*9URj9>wo7DewSm-zZe}mzq#<ce7F4W!teLNrSszpkV!7u z#U3vF>x)Bt8UMZPXJBCP=`D`%=ymq+=;rX~cHr>n^iJ^Tbk68@PUv)w>GsayUw@E) zy?2V`iTV`J&i|f`$34zSGE9H~{%y_?KD{aje7ds{F6j1-0o&9K(w@?JNITere|>O> zBmcG#Q3hYeKTiDHM3g#$BP>sp2z3YNFka|%j^ST_h=2Vl%M*2L9lO|~9b+709pfD1 z4<B=KWZ>WC<j~b(G4qThLx+>&VTi?0@|cq&BUFA7Hu+FcfCYOrzL~+mz~Iq&*|YPX zPj8ij;akIRyFlUY)A=9lR2#<9V2{oil>m=!=YVeSh%Oe6juw>(3=9k&y&)<AKAqno z%9u;FK*~4_zrl2NF?6`7cz{%Kz*R9h@J~7Vn#u5O=L?U<BOt4zLB5GSJOSjK0gu1c z^ou`_<81+44B#a0(Yw!qk%7UZ@t6e{1B2lu!;>#T$q^cnP%7A?^K--g>!8G6BIvQ- zf`Ng7mw|!7@EbVzeY%@3FflMVb~AJ~GjM|#4lJF`EZm@2s@CvmJp2M`vPb8=Xt3#M z=2>Ex$H5FThM}{01KhkV5c3i|8V}FlhPV`$c~%(aIl#<I-~ky7a$gD$$bGvnfD%*V zVGkZOBcVQrP-yP8#xTDEX1)L~%zP1Eu=yO|z&-o}uleBg7ZM!c(fQf4^Z!0j7Vzl2 z4+-4f%{$l`7(AMHKVWBIU~uee2Sr0kQOg0(J$6ucl<;`=)~GN;qr;<j_X#GD-KL<3 zG`s{h#t{~Me4fomSU~yYIau7I@vsFml47{Eo!>p0k8pspe4JxEIMPsT<U%q6uYF*r zF~XdN!!{JxF(Hip#4i9!a|}MctUUk!Ljnd`Kz#S<{D|&CNMucz0LjmY@MHqTF(N!I zIKbhVz=0H=E-eR2L_PMqK||D|`8X58%?u=j5X^)U<Pd5+jMWIZw|PDPA3^xqr<XPF z|NsB|;RnDefd~(yr5|Qg|Ly?!x4ZcPh-y7h;`9Fj++tCW{XPgUvmm@&K%|#F_rUbS z%|!J$R)gSbQ9X_n-JmFY9goFyaC$<D8~o;jQadvuWRWcR`HzJ3gqHtBpg{%=E}!mZ z4@OY7XXtD`0MGwNAo;%nlK)rm;wxW3@dvU4RFUt~gPOjN1t}WgAqEazNVVtD-F$<U zfuY+Ypt**TgQ3LAqkH!QR#0XZ1?T*4J3$2rIGeW~C~@@Y-VIXj(F-jX5VEh?J$k|U zted0xA)`n4WRNMHT-^>V%?}toy1T(#M;5pnxIKI8;90u6S%3}A5fW_p905{Jj3Yp% zAUOieMRNo^9dtK)pgSS}uOmRpiE#wT6eLH0xoD1nm%H7~4d{;O!0QN*a$+0-G6l&I zU@n>?SfOdrqq}(rx+4zYbp%K`F^&M4g5(G=7tIlD2uFNCcf=38jsPhq#t|S>kQ@Q# zqB(*c;Rp?Ow1jB@s!4ls<qnW?VjKZ71<4U$E|MeoJe!Y!TcYq>nt<+(47~0DDJRAq zAXAXs0p>cgfNFG3P^<brxOEGzy20&ja055Mwez@R=Q)qg&mNtB9XrqZ_Aaq45N2TT z>3r_ec?eoo@V7L9ik{xR6(_hDz+xV~dl@bw*cXIA7TBnGbl!96{N&R46QZc|uxIZc z-43vE&wM(6fkl0~byR#h-=iw^>3r_dc@M6nx3xi+ivdHGN8?e5O$gnvDj(bri3NLZ z!h{JB|Aho2d#>|@Pwx`;1tMsED@j83OY`0hpu)UF0wgE`@)VkfP#p?W)p#^PkBb5B zCC|<m(1sGkMKBJ$Q-s@nD@4)V7uLM@04Rlm-NYeEjGMr!8jnWk6YD12?l~Zi#XUE~ z85kJA?okjY#ywzFjYliYD0feW9J+fz@wWn&7Mu50$idupLY5e}fmJmgtuQ6lZJ<Ua z?(iy*M|WRX^WFw|n42!h5#uJXs>Y)h2E@7vw|gQKu(&5f0p^|^^2E3Ytg7*-gdyeb zaZtqKo&ZIddlo1V;~uc8#-l%|;2sSnEbg&Tg1M(dkr?-YRW%;HVMKZO2q<H5kAgDH zJq1d{xCgAN@#qd?%H8t=n|nA^VD5=fCdNHrRgFhyn2_oom(IhEo##9|zk784_32$M zpvT3~yk9|&i-Dm;-lKOfsFDD;T3s|hGT!v*{O8j730%i`b{==p{QUngxEA~7)A_6O zCRn`r2V;pGRHNZZSHqJoogi7q&KqE9aI3g?F9R1hq?R*02{!<y#G~;j$W~Xw10J34 zA-X$n!pe7W^#kL;3wxyc2+cnq!2V&-hxrFoiIC+VkVf48*<pd#KOoIy`3E$>8Q{`+ zwDYuQ=Xdas-SQXupcwiA_K~b-?><l!1on~UO~#i#o&P#-fdkqT)aZEYsCn1%|52aL z=ir*(rSm0N4ira<P_1A;fn{AgUw~y>5Ae6tGBYr=-Y!*jH9X)7jv}zZQ0=V;K%*b~ z6ojCDZvM?!uHX8$MB8x(sQ2Rtc8yQxb1Zry6ySQ8$_<+TG8Jh%?f`W>9e09A?3xmk z;F_30nwUYFKpk$!ogfmsrVJIhCKiw;7LX=T_s(%Ah{Ud`Kn<>m6{Lw3qzTkbbKD6c zv1_W(fNNp{X<`FuVgn6y>;#e6H8p6#HL-&<v4b>$N1JwnNbH(Abl{peK$<u}n!rOU zJ3%CNO%wFsnm9q4I6;~?!OjDb*fq^CfNSCcY2pHD;sR>|k=Qk9h`=>*gEVo2G;xD9 zfk^C{48-7?ctDzXK$>{Knm{CWO%@VxO}rpYydX`W;Zet(AQHPK2PwEFK9D9pkS0E` zO&}7xCJ!07CVr46evl@9uqF_RT~mM@R1-Mspkyx3#$%ui>uPwwr}H~l#-;NmmKHXu z&|yS-8?AghVE`%(ZWzGIH&BBHoc~BIuR$8YWdWqbg%!ro@_K~{vX4-ectDy_WR-6r z!D#+jVF>ci4nvrKKn)_Y`~%X6+dl$)c>M#?OdbDp7=ipV!wBXdP=ksr|9~{&_74XS zUjKkJQ^!9U#vuPx7{mMnYLJoTACN}e{*e&C>mQJ2>iEaQ1mvFx6PSNM4LY*?1Ja1w zKO4;O`Uj+$I{wix1^LIq6y_gLgODu$fHdOv&jKU7{sC#Gj(<4JK>m?1gZT&4i6qNE zAdT4lV+t;%G0HTM1`_-L>mQ<(XB_5W_nX7rZ^p$yp8L(X7)W!!85aWy?sp7>%!Ta( z&9s5)c+e0&Y&gw1quaZn!G?pmRLt}LF@(&q<^`Y@*lQ=x-a7ceaBsDS;WyB%Cb%`( za-hV~v-uc!MgV3Uc<!>h`vwyO188~~Y=}qi?g^kNw8q07pm~tadypD14&2~}vXR^S zaQA{{f6(3AJcEgWp}Ttl69WVPHWm(#<{vEl?d!k;Y@qf5gGVw;qX*-O{|`KS>yXBh z7chWytzcka0Qr@_bvgqBgJ<(G@Z255?Z=uuSQr=>UmgI-^w#kr20m|q^*(^=EiLnG zKE{U}P#}HGFFQc`nvaRRF7)iJ6G!Mqv6-pV-?RCc#A|ua=3}y8GobzlhlOYJF|OCr zp3TSDz*68qhB%AiwT@@=F#&X8rq`mL&BvtBg;`*emW_uESioIOWLqYH=0-gBXEHD_ zKmr$Rk4HCB$Trw;FqU#2YyQB(z`*>P9l~Jp=-mySf4u=Q6*Bz{H7|<@^JcI@%>yw& z=0PUQ4>y43Szy!IQ1i0!o5uulp934zJP-q99&~<412jC2W?l|{^T7Un0W%N80GYP| zGT(Foi+Q>D%>%n{0z1@wAO^_17ZCF*KqJ;@?#sh(9y7?lpt)9Xe1I4r^AsTYN&z$( ziDq6te)CvB=7A<L!RCP&AoC(1=AA$>4^sX?`>TgL&q6w@8`MCnYW54LF)+Avx~Q;d zo(7E={O>#k9WC(e1b0r~d2}9viZuUVEY0xfwFOP5?E?*>ffJr*<FOqeqdLELzVqpP z)cF$DJ_VQEAhB045g*9Bn&VDL_u;=!=ey2V-99QTkmL<rPXie>MioLXUZCUKVE?#w z9&-eF=(|VfZ=c@f4eAUG&HE>)g9d06JbHIOP-B1<=`URvKZ3_dUO`;ldEBM*tBdAa za2MPK<jh~tJ~YUi4q(SagdL%sZ>V`5jfWq^gNEn-xpcmA>HO%~c>~q0;GzIk2*vq$ z+;5=)a=(WL%>6Uesq21_c^-|28N#XMewR*gdV-FBC>Vg8Z(sm&K4|=-K_BXT&6nT- zs!n*=J9gf6)O-sa|9I!q`3q_MqcpwsZD}pa@Wv}>3T!=4k^+-ZQGxdGV3LlVM_fCW zB{}mkIDR`)P-5WOyYGe*A7m`cwPTrezZipO@4gLvVi2L%VrX#$RpZmGqSE}Au{^Z( zc1a+XVH22jtp`es@JKs$w0)i~#;~FQJRp-X9cpXyU#9Y~=HE<3fmjAtP>lwSx}c1` zz@!~J+Ey=s8?CSaZZtE<Xl9VnpsF8b2nMDX;%c1IjvZ~uE8#}pSOGVhoxgn^BLhS8 zZx;Thsi5io9iX8aN8}L^gcbZPMT`ufz+P|?8vf0HS;_+)p?W|%(c%u`ERY1s7!b@z z$Bs7XO>k#T*a&wPE6B&JARmJUfl!8mV0s}&<CJ#nXzM-<H=5xv+-NqC(QF{2K|@83 z$OA^GM&p!r>}Yd712=ldX_(Q!+4-AhGczzaf|fEd;0Qxd;sOmfVU>35X#0HyZgRmD zn8|;+VbR0^izd+MljBaLK^}zT;nDOW4jxS$plE{V0g+gv2_)f&JgfyX(y^m$^If>J zH15Kk#R&>aPEc5a#<d)Gf=H}JgCwv@J9e~XKZ6_n;3?c_E|Ae&AfrKzA;+B{606Z5 z39QnN9c{|*;YQDR2Q&INH-FO?a8d__9*!^sMH5bG$Bwq!-{B?)e21C*m!H3V7C1NY z@Hb5aTLG#O9d{y)TfxE<G~}BH$xRPh;L*ebiYAC25Q){pAPHDi3m))=8R^*3Hkm;j zRy1)ih(ij*=D)n4u;c}WC8#NmGGGVO3&~A5r5!ukygA`UAK-u+%?C1?4`eiGK+ka} zh{Wn@kOWp~$Bs5;A-K^Mf-s|h^MgzUg&dCX14R)|X~&MXVr96gFO;CB8iM9Nz>Naf z(7R{vK2UWA8YC<O*PflPI&b=Ren726z;!>Oz2(vTM#81@C{hz;yFm-6j&x`N)sga` zo>eonj&#v{37#ZyLDZ6+IVwERCdzk6TLjufaqK(-a$$*zfKMk>2HdJ~Z2rSos^{2w ztfM*EnGY1h1|Ge;;kBSk$L8iXF$Ry`-9K8PX%SotN`Pi<AZrK?L)93b^yscp5rEi= z)B>8&3|bv~_(KUe3|zp?Hy%h62+0(1Fo33t!59%90ch>D6<wgf*wF<F3{ZQGqYDuj z)M&4P%=2hGEZ`1vEtT7A3Ed#~7j(nie}KyF2bt&5csK%*;;7%=l;{DuU!w=+{)%og z!{5iFclU)RXz>I}n$Iy(4#;d!T;!7+7r4`pLNCbu2E8!%H}sI{ejM$6ka^^}8JzH; z1a9|h^nu)O(Fb#XM=y2V4>FII?l<TMx!(b_L8Anee<$=&*Zm;#XzBihNg($ZOoD~~ zfr(_gAC&$l9LJmfL1ue29?mGHV*1aR403<PWSIL;Od`|$IMP4JJo4NOP5NL8cls}w z0&;)D6qx%jOs1~;LFUoY{S{L|?(diibN`Jg)OA0|JX*THVH(K&6Q;r3|6nSa?gyp+ z8!>p(Kgev4#={BaR80RJ(?RZ^0U8Vjmp?D2k?DRM=^tbsd2R+Ld?<lC{ZE(ya{mI* z<}0xKKTM~t`$6W>()}}Lg51AiCM^B@m_c3lgUq9)`wbR=-0uJy;s=NSg!$BUKgc|f z#={rPNlx?7qywgKr=N^JAon-?frbBx-_&(K$UIQ^`%}UF(DejoVC&ho2XHeoH1E&g zW`wS1+rY&L8?1c@9xin0d<5>*fY-A<28~XCcj*MJq=t?Lf(B}}JQ|N?FmN+?biN0x z1+_h)svJ9SfciCYj`5HYWf<o$Bs`(+IS*c3w>`mvi@~FJKPaX^1K~)c;77n+tbeG( z;7%UBdmSD^Ct5*H0BHv;iTmdRUI7jp@rF9*pHJr_oX&xc2cx>@fGyZP2DV%b#JcAL zl6y{ov{TbPA6&uiNpL0JJqsQo!siD_J2l<2z#Z%!P|uFo@CkT~aL)>mc51rkfEU<3 z242L655p6Ldrp9~Q`0>k{K4)?@F(6q8<5<?5CB@N`rf6JTIpFK4D6l>p~SoA#4|+r zXn?d+Gkhk5gWUs~2_ZK9NPI-NX9h?+HQmz?2X@bgSQ5eq$vqt)?bLKnK?2x4pqVaW z!$;#YB77=9+NtTD2T5S}1SAn3J~xou^8uutBKHIbz~;Jqdbby7gQ~6uZBW%E>(O}p zf)=#u!kFmNd=0L*z(a{h)s_snVgQRe!sZX*6%}Mi5yXYe8-nXA6#E<WK=x12gW3N; zm%jE-Fa+7Zzz}Bt2Lt-r-(Ul>e}WCn{s-3dwZFg}WPgJ@%>D~*^tC@B2xNaj5X}Aq zf%LUMAQohQLM+Vw4Keh!-ys8Je?SJz{srmuwcnr^WWPr-%>D^Q^tE534rISY9nAiY zT3Xs4(Ct^^)9segdFtRV`Gc>d8BcXyKlq>Z;B#h=<1C=fFbuBUJQj_QKr5^?FS>M| zy8NnBM8&bY#)75u$K@9;oadV#F?NcmTz=pDfDyFRq_fQhH2d|Ou@iFIMsJ;lN8>RK z&@5Ny1Nic^2uSHSVFC^8{{yrC2WUYL#Qq1k><6v4+6S6Ih8+h1np0t5V1O>4n&5G~ z4YckCv@FJ>cOR%T@6mY7feEt39d#-M+@A~fXnrH$(fPUCufzj2Sg#%Y$9nJ?JXpJJ z3}B&p`F*F2O1Fyv%jFl%&lx*yRG>lW(LEW|d-dq8QQ`3Dd<O0VdGu};U<TO^Ud`yy zc^oX-?V`fb*$k5Cc2VK!Y!(5n<?F2mok;Qn>Q;}=dtjv=%|`^HVWB?(yq;?xXqFqv z|Dc6mF#r2>w}F<}flfSWI{=#3?sj15Y&!xr9~K-dzz)KCoXP}5c-Z)KyBQ!QGiZ1? zcC#2bc9$5iz(T|0@(Yj4@0uSlHa}zZIQUG#gY%e2XNd}jNAG42u(i_zszB|98Wj$( zhguGl7=ru{3bba>=_H^a0CQ@<%E6(K0CgfpD1hT1G#8KLfAB&&*x3PKJ3M-Kg962) zck>SxaNvV<z+^aB5y@pjci$0Es_Sk8?a4v%Ei_ZXJlQQ`(d}Zva{1Ne7tN0tL9U$! zS{K*ZrUP2d*1Hd~R_+++00B^*K_s;9J`>O~u<kYuCI$wQ%)i0Lz|h%t2Vy>Ct=los z)^>FBmq5%1E#)N1{0?@I`8}Z3bG`dOdB&shSOGgIw2)IqcON)ycDI4fy&=hb(6YMD zHXG3Dx88l=V_zDNf!5ZcyT1h#>)majlt7aCFE~K%e*-Zed{Ru~u?rlS?*9TY{{=IN z;Xi{DWd0n`hV|Zkpe*Cjc&vdF)BF_B`pxdP2o@5}_uvAV@52Q;kYb+%$dJZkp#3T6 z;d2Ef)!lZ2RP%p;&Hn>2zXN1Q<FN<O<BkyN6WpGK?Y{@_pZn(7`QM{=Jwqc4gGcB0 z&TE~gTsjYWLf73j|6t^A0S)o`_AX!0$im>*y#GTZ3j;%m6u5lTyy?RD(xdaePv<xI z{<>o>oo_Yof|vGzHu8LePMd=Ui~^f~OO)%l8Xj=mDFHfH473;STjwp<`m@#pCH$U^ z#}|n5Fu)Ga0yWjaoAf?8cD{hL&GD~PMDiba$@v-2&TrsRru9EiJm`z?U`bl@-WN?Q zpcR>WXEd=elt_d9=AsE62?O~JF&K8tMH4(2_8&YL_6ez1p$Kv+R2bf^!0%R2g@oI^ z2`$JGg67_UR)~8US_jy@=RG^Wd3OHw=v{xJ4cWa&38<vZw|8kqJ1Ft&-O&z8Jg@-% z$#@fzcwC?-G<bF%bJ6?_>a{(G41XX6uz_de(Eu?}(m;$hLRC>EybrV^yB{sQH-Ivf zPw(D@jsXsDM0(cf!sA|vZb<q#(KW#CMWl}jJ;?4wN+0|!4GatnzP(EgdckR=p_lA5 zV&&Md*W)-h17j(_XXDWm$GAZ!d4SsipxjA%YJu%91h01nmnR7Sc=QqS&x<~=e;oQL z^3R8pF#i;sq`rR;>4{?kvVYLhKf^>wdRj4IfYTGgy(cE(aqodi5cg(G8Z_=bFcpt` zH%x=LH(}bKaj(V<Jnog4332a<8H2{XJLceV?~1t)_eRVeH154IACG%aEP%MTV!@zs zZ^Uvu?)6v!aqo}i1MFTz`CPFI*}X{h4Y)lbu^L=9XRIc>YzDPQ6qKml9znRjU^PDX z3#<XVKVi)vb3dYd&RB!&ezf`|Vl5=SaI78R^n!42!dg7;4Oj<pFT=V4b}u4*M65%0 zFH-u3x2Jxr1E&#>^<<|JP<txk1g!mW<2dE*50B$*9R8ruI&e1_)IarTJodp4y_*f{ z8i8?uM|Ycq2m?bm2j~pQhv1<Y9u?3)rbq9-6ULwonh!zSYQc@Xh{F?5)L(qr{E)Hp z(8X8Hj~H7I@Vi{-<WcDiQDO1u-N#^pp%rW&Y&^`Pdzu9J*vuLgj(wnmy}%ZL#<4n^ zPXsV9fCjNTo6iJ*ya67;+7JNp!%kTS2FUhetfP6L@jS@*qeu6&8+;(mAoGP77$DmK zAm&#D!pyG;1SzQooiv;f2sU4kfcYNXZ3>d0;Ogwt2x4FWj|S=lF)%bgVB|c}*#;6r zjFSokfy@MLpFRK^Awcr~2}zLUAoqdx1|j+X2FPIWIOd%okP_I*#RtH~fe)Vn@36)e z1RmXO3q&FA>j;LruO}GpK9HD4?>_JdU*oZYU<~(pbhiaaL-bpOK=s>%!1aT~5a&oM zgkb1L@*jsFC<#2@2ih_R@g0jwXY&h?sV*ukoy~7T7#R4syQpxabvA#1vU$=vo4<sB zJq;NGJbVFc$4-45@j}qP1)<=`X6bBR5{j^I1(Xf4Z%ruJKInGUj!=-FaN6h5-8MrU z5<U@O(C~=~gNF}D%%gW7ba>Gr3}hBc{Cjk_U4ZK62#4zD35V+kiFx$ygKX_R_5rK@ z4ru)Bfa%|Z&<_&xfDb<|2*<GBr@IYwMmKmoJ0k*gl&uF#XJ1YP14HveM$UtseIP-P z-hCRN<G&h@1w=qhfF<7v0kCNikM6z`>I@8^{J_n?(9K}X*vX>e(LD_!*rxyz^km`o zV(IizVJTtdJkiOb(#@jM*|wsDfuXZ+O$jK__gR2WscSqop@f0K6Er;nPTb(c0-Yg2 zwvRyrY+nS(Xt;e4!9K7cR{InxK=!Frfb9bv;^)zLjH3c-pW#Vl%O*@f@t=n_*uDuU z_CW;uz=BxqD`*1QSJDKwZ-)|SOUbc_CSvT{0kQ7{ihU5lKCmEG`z|zt?7ITmyVAQa z0TOLHnxXcA51m5xB64_w_7Zz^w=wV_#~(zn4=jk)K7&?}eI~77|1m(~PofoOA80BC z**?&D$BrSv0g!XV_JOw7!NwjvoA-N|GcYjlw^(y9FgPA-UjX8HcDEZSgAQ|L`F_#2 zcli$?28LD+{uUi}@acJAqrsOXv>qs7KkiTfs_GzuorgV+gLn)cuw!2hPeS&v!e)s; z^%!`Z!m|@{Dx7ccatD6$%mwW-MVPyb33Nt2XkQhQ(E&o_84a3SK{6T?qUf&nfSh#- z@i^$p2qHrRRM8+A4e|uK(F=IUb2X?AM=}~zPoo=sgLXzI@R8?gP|E<x)u7rR-PJQ_ zXY>gH+^*g)0Bf{(fKs*tDCaO>OW~mE70C;riW1!m7J~G#CxROO(FUED0-e`2{I*LA zOU}xW!tEbOo&W_I>4m`p8ku{8M&=4g(<U4qNYKXI1jrG{kSHRd*a98zh)Cj~2|b_A z=SU^(3o;9FNz|kUnlnSsIteo51qBgCH}H~YG-R~~WZ{G1w_Ttz9mUlz-$3U6z`^X< zyB}1=!PuZW3C0FhA}}_n)Q7P_#Wai!Dpz4_P(cV|gGw$K8&tZ$*q{;s#s=k57#ozc zU~EusfU!X-7{&&rIv5+2QebRQ?84Zf=zy_7;Rj)NyJ>i|9w-s;=yq@bG1!kgNPuc& zXq$r3qnCB=_y7MrJKI4G4%jp&=z1gY{UNYE#6Hjteb_n(pWe+Y%)z&ZTmUsoN|HRf zn}2}L4F^wNf>We2hez{I#!_C7?&c373=GW`DGViA9*u`VoZ~Dipb{H?xI3sV0@|qU zYIqWE1Nh<<0mRwu9=*GFh%hifwfaB~qXDIM#~o0uKAr!-KK5ul+<;JtqQs-~K1|go z#GNYk*zZ(9vK-typD+P-zE$VHeV{`HU~ctj-W>qC3#cUHSn~=H19T*lDzur_%26Wj z(YqTIA5gbLz5QC%qq{jk38732s?4K%bA~e9r-qln%~ZHA!Cv&l6{!#hfa0|9|Ns9U zoy{PJLE<#-FtYnWM;9Qu{|3m}B{9dE4M3L=d35g%0F6I%PX;-}@EiD`Rj|da2f#7v z!3&Sk-rXP$Wo`yp3UYHT#LXbbz}*ZDdN73){-84sklddDayH2QJ3tJN?%fukYmK@m zH^_pnXW9vBe<8cyfCtI_AdW}(W{^^73>jVm52X+t<{(Rv+z)aLA@_q0Qb2P53y`xx z?souP+T_ta8RGuk1)%$qzG1jO1KIr`jz{-qkPb5453&@={UFB>azE&_1|;_vfSe6- z{|OMoqkA&Q;U3+)LBm*vnC=H%4+Sj|dUu039^IQkI>>ZC$WkQtgB*j^{h^@3OapZ3 zLw6e}frH2OI!|4E)p_*d+vca>VY(Nc@0!0cwq7gY^EmDTE~Xe>K4AclL3AE!{>0dN zs)Q3<${8Mb&D43Y^AzWy5*3hA(79L)9^I2y@Gvm!`~UwxW4D#!cjY3M&Kebk&Jq=s z)&r$1ttU%kKx#piDM&5I&uD5TI!jb!S`U<%fL8VifNpM6@ac6?k=O^SG<SjsM=%LV z&TmUqJ-S^~1Ux!jR5)JSx1KCv2k{kNvw|oIkg!1O+mhSRZXd`@4v=vISdD{@0QQ0h zg?KufYkEQb*xfroWkKWNgkI1p6&Do_!%N^5G)T+kG@@fcs|JzsC#XzDN*_N!$pVx< z0zgL@dUWsp0W!0D@&`!%1obo^9)grFACSuz5XYl?Ge{{SeSkV6MCVVCrAX-m<QS~! z1IhiM4hE9@8$iwmx&H=;;n6)A;{M$ReBkm0-TfMTNaYKN<I%ktq=QWNgDgdIKgcnJ z+z$#LB=>XJfOZPT9BWPhF+92_gB%XJ2?@LVFCe=g#PR6f4AMcS`$3i>xgX>htnLS8 zPtf{U&^RkEXdJOSfaTyzmd*<YUx2P)YQ4noatM|Q#XP#3Gx$J?I(<|?<MW*^Dm<@s zKnI2SbT@+>>(M<KqyU`e_km()Cx~zali<V#N$#Md7$5`cI(^{eenAE_EOl6*50Ts< zp@}@C>;bXkbpyC!f)YsS2V@me_)h=@JE(o|0>l8diBu33Kd5~GYBL^ZQ2{4A$m&vX z`@ljGp$ybM04ek6-VC}a1FG8a5_mY4=)3@O04Oe??E{d*;BkTEeqnIyA9DAeNAqq8 z(Aj^W^j`pCcy#ZcApjcwn+$S@;kTWjZZ<ebq3weS0!Zl}#G%a1AWK1RhPDqtj)A)w z8uVZa$^D?~Y>>i#0m#{)@c#i~cyu=>pta9H?PzG~MsA<2Kns76GEiPtLT;adN^_#a zALIa#U!dU+av0n%NbUz+eS_qF4bUBjpzv=1F+93=gBraa-IG7?gUcUKwSXM{8~BmJ zAH)HbpZsLI8DuHQ&Cu`%IR@@#aKJ-}P{%M(7B)bsVL@4#8I*;Yn;$TuWMM8>!*6>* zorTxjhHqOBIPgzB>e%_trSk*l3;vd4paclrW#G|08FZnXN9S`ywFUMrs0EEED40OD zFrnK5Dkwmq)y>iAqap#ClX6j!d2QZ$phU}~dooA{dO9Vjpuk?uzUD+!+Q(f~K&Lb^ zbo;2t>;P5s+&(HSJ3)+6zHS#431$`*RS?hcWT%UYMyHR8PV3tePS@6N{4K2D#ox`) zmIo+YP+bh0J%AN)CdXM+K*0lUZg})IgWTF&qr$>aYTkO1zeNaa90S;20uX<RymkZ~ z8}<PdcAz!pkgH8Wa}N;R9^C>+p2gk>0C^SKmgxqCdUuG52*jg-EW1EHE9C{XIG7nB z-n`W5qN30lqN3v3`mIC_6owq2MvDfhm7#!$E~G}wYth!XkY<Voq?w`sYNl{NO5+=S zu*Sz7NNM~*8eAG5Kq`$v`3<S@VG!*Y7kjvyN9E;>fB*l3oDV8R8D1Ly|NkGFFrgGu z{t^QP5%exhkLKMQK-mgZK5*EBBrwYdP~RF$`A~pXK7f>g$_Ht(^BTwjpu7exA3zR+ z=QSkvgRY`NDjzIB&IXka6F>}5%?GN)x_2*-!CF4dkU=URKpas2O@?eYgDeHP8CpJo z90PYVH0Z$;V!g3P^BWJ(&MzLFfA@i6)29=14XbDK9+d+iAMm$)09~bftVKlvbiuS| zcZ-UG5U8>TrSntGkN7)}H9zBT{=~}piNED26KH~tx%mNe^GC+cL*FmC8oq74=*U0i zSnC1)sfS$@J{ulry~w}qHh(MV9BEJr0S!Mecy@0Ao9YAFLj#_rfL?e4xpf(oAiI53 zc$yzDgId?k51Bhbybp|>r@mimy@2Qja2g(HJ;^`yK<7c%j<0ajA-VWssbK4Y5)qIU zJfQvrC_g(MX9rQ;Au1do^E)rND8Ar4UBb(GgY%+`;!DNTiYHz(8QumRmHPcEIP>rS z|NsAg$JV3#t)`$%-<hML0S^*^ZV#5`N6gI+nVUZ`cAoft0bHcI8vbuRv;#EC3%)nC z6z;}Dod=sgGPa&7Wp(U44mzRkoh#=*{+9WmWZzq(A^>tfIRC&x2N5tHpsozK+yxZ? z-99QJpdf$9+zbm6{wasR0ikdKZi>O7Qn8i;C9)p9E-E6Re6DcZMMVJQeNYEQ;W)U2 z>h@6)=yp*NQ9SF&dAx+*h4U}x2^Yl=j*7<>kG^JhHT)0uzf0$j?-vwbtY9qR1pCSG zz-unU1Fo(AK|z1WqdP}Mqw^x?&CX+-_eumgKe}+fR{Z?^66XcQ8{aQ<9&i20-!cc} z$>T06pd`lt4?s}kvH2l?^8<cR1<~oEA_FSLEMNmfY5W=|z@FX*N{QgmfDN~P;*SH3 zH!^(U7j#iE@aT<EG4SaPQIYWI1$FNk_Jh2+4;%*|!f^*^N(me%zMT)V9D=iovRE90 zvdX}wdo&+0@aT5MHC6}72%t>%{10fbt_7S=JerSqfKIo79^nVcUm>76H~~@zC&)oF zP4h$c=8sIx57<HZqxB;Hl!K58*FoW|gTc!ZS&we8Pe3swfW+o_2|D~06r12M1GRc( z7#P6SUAKVZDP<3q&JY!eP9GJSZjOU5B|s})d{i_*ne-rp3tIVd0#plnG{511h6^Y& zIQaDXs2G5o<>1JKOh$rkVELcL2n!GaaA^AUmZ)&-1I>Kw0ukUm3TefI!_ueoyKm=@ zEJ?>8xHiy@ureTzAABhYagqYmNgyuFNh)YgvH&?r16*a{byA8-0ETNo4KS!{o`YN? z^nddW8R)ti(55<2igEz!+6nT5BbfB*{N&sD0PJdqmbakF6V&Qs0I7xe7pw}R*0b{f zSmA3yk8Td|9B(JM+YjpdfcN)VOn_CKHWL^ab};<-|G&H2VFCk#;=x`2K^Je9s0b)t z<2={786>FO>@tCYK{-T4g!546WDpxPz6z;o6(%4$KA;IEq^dRnl-S}N<H5asl<`nd z03g*z9-zDes!tby7#`it7tq?<ps{dh4+got-GMe93R33Lz4-v7J_VIahL?7N97S|{ z8{_~`wt?2CAcw*0CZzgQ3RE;8+5;Cr&Mt92)~w+OlIU(0;0L8A@G>xHM)K(0tsua_ zz<HvC6Y4_H{lTzHg+XovM;M-=T9DZwr%i(ldxGqQI}Kc4Le~d)G`|52T6uQ<+b0cj z4Z@ibAlLD?L^Clk9BbYH;&^m7Lo3Pvmd<OO=RnoMBT&V~(HWw`<M8bmGk?o|1_p-C zbIsovIj`}z{D5+pK%DKM-LW5;IWO|JvV(T|fod#J(FGnT0~z%IVw8&t4=9yNkXlB9 zGqiwDZwzS1CV?{2v-4(_gJV!uQ5KV9Fj67;G8a?@cJHnLt)POfW<cqI3VL)m7YIUH zIQ%VkAmzQgK?0Br500XjoFL)uW(@&Q2b<&IOD;%3A<*r>(|HiWg%uPc5Pe|xg32xd zP(dL9-WW`vppXEs@7e|G9z%;QP$`RCP-y?(3~~ZEselU#h{vFPY9Hu9NYHLI$PeIQ z(_9Z^50$7Wyteh|o(x`7hN2&AEV^dU$*>@kJi0f7bu_=>*aeDY)NzC?0kCF}oA4xI zP?`fJ;kv)z*#=O0^k_b!0WBs#V}js>3?(4lLkW-0&!Ds{gPN8ffRZnNOC%#GEn7H& zBtcCDkjp%}H-i#2D9H(cD`0S7g5B300%<yQUWByI1UL^t+G`@;4l$Qhbe;k=ib7Nb zI$c0TT}e7ffT<HMz~2Hog0T4`C|N_)9OQ4k2C}<*GGvHj_Xa_56B-nUU>jQxl!6l2 z3U~rr4pQE`8zkV-y&0tIb+tz~SRZuQ6&jl`?Vvd^kZN#L=6FD~q7{Y?FcZ2bN9bWV z0L28*xDd!VkM7MNrBH)N9Tx(HI4C9?Kz*prW>9Q+G#`<Oc8o*BBBDI<fW{$cB@0rq zp8<+C{uW_yd2|58L25dH;}Dd8B*4?M;MHuf<xZfUGpI)mYM{8NNHjlW2em1{T}vMo z6=*Pm;$LAOs1XI$3l2`u&Q4E{?%gl&mIe>tkp*5j*}EGg01YT;_4CpbR3Jdg6I>QK z!7S>YJVOp6Qb4H!WG*OL`CItG#(^yYr3yI)hL@mY67YM4zoi+RnBeUIY~Ho^fTvB6 zuh9}0sw+Cd#=%`7z`*c2mVgslL5I2`N)s$rfKngKqa~o!2jW0W6KJ54n)*O#1(f=Z zLCO(OI)b%rK{L_#om^^)oXS8kvkNp}yAyQ%Ff4&Jq^L;OH>9Y@*MlZ!<ro-VhvPSt zzttC1EyH>XQ11~i7*w8idvF|l$q%VbG@!K!hzqMtbfBX;@WyZosMp}5qOlL;XtW9i z(k=Gsv{3<7#b6Kh)~HxuR4X8@;KnnoK?zN%(8K||VH{d^f#M62N;5&Z9W6VQs93zT z`3D+10p)j4X96@P4=S-i)2K+f{R1e2^S7L40F~Gt&Y+~$-K>Gs&<g+^jer<-giqRo zi*I-f4mKn2(YqV80s}hAVt5IB@dC1{*YO_R%@Rm0#1N?Y9^IQk9UX*D@cIVOa2bOu zbP+4ma8NYLBad@{77)Vi0GB9uYCDi0L8+7vlrlP-LH-BTkKjcLpi&a4Jqd~`q@bz* zg$`(Z<^qV}(Y+hgp7iLR405*NH$-s;t*beZ$8SL#B7BI)-5^Ur?uL%ffE<I`(1g~{ z;Qk{h!ePx#&^REHFBn|FD^kC+fFe8s#PPt^GUhzN-+CFeWu?1$fieSw<2MGz5_ZRL z4lInNx*!d*pe7d!XcR?R;M*a_5^nGqhv5OvgRe#4Ln)jGU$aBS5#yrYFM+0Cp&974 zQ0svbJ`a>}&TbJE7tp;zt(Qs!U0Y9<@-#nUG<@56;U#!{1KKvW=+0nieqP`F-J|(w zedoLH*IW%RwSEV6iQn>1J)m&H@NMgN{%t=>SX~V-y*793I0f>-PEbRngxwJ|+VYU` zxQhyC1p)(Tz{y92!%^{6>;DoiN6uf0=N%PKy=F4}4;rihyWgetLMcn<-_D<%Cpa&3 zzIWj~Un0!;<NGB?&WnzUKfhn(JnN!(_BE5?+s>EYFDf1c4;nav23r4rJIKi2x(&Rx z|90zJNB*e?9YGzC2aF!w-JpQ&=KOvU)SXv61qww@uukx-^l`_||Dcf+XOHgg4e|^O z-J0EnEX~gtzhCYA^!<|JE3mu19b$9@w?siBK_5ClDxP=YJW#^NdEABbpo`*9#S@C> zUo#mVaP2tVdGPzi)}M&+F3`01c^A(6orhdFZ<R=JUU%ud_x+j+=RL)1%^w*#Pbhx) ze!;Qx(D&;uiq{>!9cP5>2?ABBq<0t~P467kF%{5SWymc$U=M(M3ZYp=;Oy(sd<1kL zIfpE0E)U$)H@pOqfTTuHVq^oS#b88V$$<qj0Mz`E6%?SY7fU$zfd+33FTLh8yaXCW zJk)uu^Lq1l#tn?1!H@r~|4O9|zqMZCpL(D~$f4sH$ARzHIzPT<hf13;@^3rPdaxvm z3{SH_$0c%5`$z=+jP5;9R`Vb=tATtE_PHZy$p3%qsnR-F?(5!cVG6p6&&HI2q4^tQ z=lSo~TmO}?fpXhxiPn?QOj+{&xQhx4DD1$Atn=LWYsw}n4&RP3mT-YZTn$gY=5aMV z*?JO`pj|pocAn!r=F)kd^LU9c=ReLviocpaGP-b{RJ{28qGRWY@7G)ukAbvPkcc>7 ziAVri=R$JIH^WN=5|IN&BEnP1g33csA^YeTxGMxID<NGWtm7q$pxP4Ak?#N%rl9)a z0f^zzy&K%$>7L9X4{m0HR=tB0F|>Y=5Q6k>!L2V4hq8JNWGTq$(E0)77_9XJQvIfc z>V5%N(E5UmW6c>LhDUd^2ip8LXgwvgeug%<!SmY_6u@=C_lvC@V84Krd30}fK&hia zEq<cwXpjRyeu0knfE)(*3zGYlQQbcS<ZMv*e*iH+3ztBv8M`Ng9AfwlyaEq7`~?sz z8hdwxIFz{=WGTqa(C`O22JU8P)eNS<^)vYV9FOKV7T_+}|9zly*x+?^ce8>U1A_-> z5-^XQf#Lsym(lDD3@^h#L?DRp0TJ#X!U;s!f(Q!`VGJVlK!hfUPyrG0AVLa6h=K?K zc2K=v0lM51RIX`YjQ(^NuymHF2po4&0ZqR%?E3fr|9^0%=PuwW6>qNKU?}Bjv{=x_ z$iUww$-%$?uH{-!@wXge0}VND{^82NfZUV^?Y#uqB!SuKm*{p;k%4vkC19O?nQw=f z`CC8@M^HbX5z)^t5k~9d2Y`;c>h1<*vu?&t7Zr(aPtay88PIwz{uWSBbslQ|1Q{vt zQ4!%h#ouxsbcWTT=1<IEW1)htpn?L(f&rk@q7F5G5<(W-3KbMa76hFk1+oY<gDTJo zHPaAu7}TNWPfExt7eED-kp*`{1r3k|6+ve)9cun$ge=$w6*NW`TnH5mKo(@@W?%q0 zDF|7x5GojqEZ74TOh6WV3l&U477XWsn3;?$SOgUWjZDJ*eitgpg{;zv7owdTSuhqV zD1j`v4=N~yEU3x{(JqZFXbTn8Ko;B$71Tl&RO5$e*G3kc3Kg_K76h%d00o^DvY?m% zM7uSzU;|Vz0$FexR4@uz@H<p68d)$+5MpKqvS1BVFbi4m5oqM&LpEr#0Gzx|@VEF2 zftKzxcYroFd$53tUj7zGW^e`st(yi-1b}u>^{RqeyoQ&2poa^BSl?kBP_D$x{{&{p z1U!0kR8Z<*tdnD~=3Ey0fAEkI^4RZ8CI*I=9U!6^MAU(ZN)S;3BJx2*7Klg%5eXmy zG&cS+48#fq5k4To9Yi>R2wM<g0V0e+gdT{{1Q9AALLNj&fe29$Apjz{K?EC!U<47M z^CVw>XJlY_`3Xe41reYPt}h>hSoc80O%QPfM4Sf^r$EF}5ODxR>;@6rK*UB6u?9pe z2N8=v#9R<D14K*)5q%({6GXIth<XrF1tLm8L;;A%1`%l>A`wKyfQWDq0Xj4Gr7wu( z0V1411ZZshr6q`E0wVN5gcgWU1rZ7$LK;Mffe1km!2=>d)7LMVK&-zE3=A(pQwT3V zgIMoC1ZX=fc**R``ylQu5OEbmTmTWE(Sn!9K&*oxVh@Pe4k9*zh_xUBG)ez*F^Dw} zM1U@tcnKP&eF++wdI`ES>}4xR0(5fI>$=x9ud7~Hye@lP^1A4C!Rx%&Ij^%`XS_~( zo$@;Ab;9eo*D<f7UPrtRdmZvR=ykwrzt=vmy<U5~c6;se+Ud2!YrEGrudQBNyf%An z^4jRN!E3$OI<K`}YrIx_t@2vwwZdz;*D|lAUQ4_ddoA)>=(WIWzSlgjxn6U;W_!)@ zn&~xzNB3?IH|Rv4XSX}{>Dyz?ph^=|!|(k8ZXALu>E<IApmB2O-0kbFuQ$Km_<Fq$ zq?78?o1&rs-es^0wABDIVgkN&6WrzT>3p8W{C_iO6am~W0S!ZdC)7a`xEx3$TO43B zz?UO}6@tb~wLN+_OKf0Z*e|hxi(wb&+HBY$NjG$kgBP^6=cNPqI70YXBsQb62ph%l z@BjaoU%@9Q60$Y!&;S1~Pr(`nh9_H3@wYzx{{R2WMc`p7d@kW{1>MC4ItCQvv557! zJm&TH|Nng;>6hscCwbsk|MDA5y*WfZfq*jy`Ia556rXcTqX|28?;n`ed%)*r5(+)( z-~a!=gdDMn&uaeGHc;D_U=nx-G7i)}B<#|<Uoe*n5#`d;zyAM!`3TekLyWg$OI@Hq zA`sqJK(3q)Hfl40sC0&hXAW3B{y>@l2_yn$=KY5GTmoz+AvZ|D-S7f*b9yf!_0Qnu z?*OaE=jPHd!kIA-6!IBhqX;FZLQu%sf|cU4mDuDI46^wh=wwiWo(}&E3)I69^#sxY z-;e+QUrq)q#phCD@|?_1nAIL&^9Tjfc~I#DK2H^IN+z~+(u7-o5_FI(!I1g}FP&yW z)DsA)aFBB|!Ac2+6ak}lgN%{`8$~D)$Nhi>>SxfR0^&l7fc2Rm>*s@wBV@fN+<H(W zk#K3Y`8&+HE@1Nsh7^G^!WC{F=u%<Ac{Cg3HSj^v1VW0qa{SfT|Nmct+J}UF{^C8% z=U(91OG2rCCdg<huu{B%L`1=K5M<PONSR9@>MOte|Nn9cSSdbR`CB39qZ3LVyT3p^ z{W^kh2(iO5+-o8lQG4F~|Gy8^#`5X>?$LS3v-3t4Ll)$$B~W|q<*%>*{}X7c#S(VX z7P$Lo5LW#CE!49wGeC1#coSG@0%7xtVV1v?0GmfBu&2W9e?he-oiyAHQ@~~ta)TpW zeF{W9f%G=}<NyCJO~6X=r8i=NO9o!Ey#!5k6D;3L-@u}4Cs;i``}tcN!FhsErrr4I z|Nob%VB-iW<pe3UB1-8ekWzlIQhbgC*TDqB+ZJThkw2ikK`1J#KEc9gCRjZ_tBI|H zuY81==?XTJP$12J_5c4%QHW9kHNh@;`TFWN$b0ylOH4>reE9$W<t(sKghGnr70g%p zVD)&dCL-hifO|zAY#t%|OJ2h4e-D};!C&wg5H5HuKETYIPZjePg34-eztEqsc|?@` z6W;&-|MLG&g0W15QSKn4wot{W3-A8_f0;=Yqbxv1$x+298<0_#K_eshlLZlB#q{?7 z|Cb$9F>1=2|NmdwQpG53kWrt$6HE+5r2D6@Vd;K3Rm>}bn+NI}5NM4M;l6qB8jGE< z`-q588&J?~|3)zAh%id&_5c4bbEsmJ7sx0@su-0BGU^)PmLL%x%zF9%|I2Qw7_|Xp zlmk_aN&?l7U%wEHK_U`YIJ^>DMHTZ}UcfR_6jjXYgPX@i74y>I=I#1Sjqo#l4)bpo zVe^PcaF<{F|Nl~oDn?a-j5_~`pf8DV)skob|G#V@Y!nd*?g~7>EU98%AKbi`9|?Mu z2=|?M3X9O0R59<;GnjdvR57m|?!KQNsNr8TxcgR8#XL#4`y#1go+I2mPO6y405@;v zduoJV>JwP}W>Uqxdyiq}Nm9i;Zn*nSzN3bJTOYyPS4kD~rohe9q>6d7;Nf@kEj9dm z?IFy+om4UJ*#nq)mQ*qCKis^RZ>Zs48+d%oq>6bz;Nj;<74z1?&HMS98vea|9~K`g zsbXF`JU$|+V&2hvF!ym%#k?}O`*yyfM))zo-Iqxf^R(dRNfI`Xh^9-yz5oATo_tBr zt3((j1~RISuu(*`GB)3V#i}t?%yWgC_xJ@tuM*)tE_f_Vriyv{?!x@*OcnE%!Oi>p zoS=V+h}zoQ|Np;SOckS4@BaV)GJ>#CM8v`kc&zdgHjfBjI^FvJ|K;9i)CjO9P=FOt z#i+M8|NnofP8FjVK(4y;l%NNR2>Y<xuoOFyD&{@80W%NOqNRLP=*TUYc^{up!@t{Z z!pvJp*gPWAnhq$f1yaSRv!DdRMirx~Kt}C;OpO2wxeg1k0>b7I5n#7({Qv(_fv{0T zq}?8PTD$s)pjU}-n(np#|6hU{{!~b|S7D)MMc8RXc$NPe%)D0*33`<X^X9<aH;b@& zL<HULEC2t$^rDJUr_O`sKOPYDB@wO)21V!=su=a^Dro+LDn_Y-!b*-RMxDO=|NqO& z_o)$9tRN3|P{pWAAP?FSHj0RJT74Oo?myil=u0BZ1BE?g5$7VRn0FEGz97Qp5s_jS zT>St4C8)1Kpa>$usK`tI|G(URmtd$7VbqZep!pA~7<KaW|Nk!)sbW+;$b;AJP{V^w zpkVJNY!neGZ1Y7}iglohd8;qL%=>VgpjU}-pD5gY3#ek=<MS~01yIGjM7VjNRxah) zAo%3}|1US(A{cZ;WCIzHQK?ih>NBX4lOk*s5fM5A9$@Eh67(ez=G{IEi_m(in0MhE z%shRnm=}5mX5Rf9)bMZoahQ4iR57m!?ml~}n0EypAMdYI!@qfO^X5~<JO;RV{!}sV z&nZ}Z{J%yG|0=-aV?9;O`*RxRzIdvb_W*7lsF6>gz#}66wjP1GZ~s+lgx~g)F!S=M zVjc_Jzw%TuZx7sk=dV!1zeOit{;j8qc^}~Ai#}D%I}Uf>{mazwZxB5E`l({x$78VY zvj>^ijk;Y_=;Z(ZKE0b!wu|b*wu`<4Z!|N!<kR`xrxU_~Z)z)15dd#U!?CIDJLaY~ z*a1vC{xdUx4&4?|JlOn^N!dk31awwK>%~&()&n3lpd%+r_>a4&$beYjLt$RCfHsMP zHk5-7j${BGW1{S%BJu4YV+j}NXgQF=*TSs_O8Aivk#SKG039JC-~u}M+O_k7E9VLR zmL3Ms(HGzYFeZZ!!1&16dF}hP){p#C4uDPpIjC?DWDn@P90r3ErGhOdOGH6i_&~=t zfwoaHI6}|I=&n&w=nhd)>Ac4Iuf*A<d-fd@1_qbTyWg)G9#CF=!-Ro>f64*Z*4zA3 z4=Nnw-*!^*IOnMnWy1rlZ~3Pj;JmJQO!1TA_tzqh%?}wJn;$X0wrGCN2%?z`FLhq{ zegS-ThvCWAAD}ZH8A@3?uXkQ^RQ%}3`Kv_GmGdI!KNrPgj*6cg6+gOkf)3bdJy~Mw z(F?X0a!3Yv10eW(4CKAJp!1Z#`wl^Sa}`3f%E3_#IclXwg^Z2Bh{Iqp>;hfc1-Hw= zF)XVHmMF5yFt-E;ylguLI;skMJ_78#D$oJ+p!2FgJDA}|BQ)<`0Xn&WzlDVhbc_Lm zJ7~3ZcQfdWfo{+?Xk`xm@B{pspnF=I!DmZ$PX-<R*Da(h&?%zg(LEU=*bEkQVBvOR z`F0R=asUf|%Vrh^hSmcme%vf7ptIJyyFp6316jBQl|xiOC*-n#4&o7HVCXJn;Wkvf z)>)zg5`n8yJOs7@VzdVfH;3ZIP97DHZm2uD!B%>5D0_g8u;=iAnD5aIQSZRR?Z^YV z{Gud`8*~z^iwaCdcOVaUAct~@3J>V)Pq-6!xC=SJZs38M1W~7Ws51nl6=JFf54Q)% z89pGP$)H2vKwG{Azz2^Z4%-BWn2U-6%q<McF5sgU;Q|gU+@PH5qM}mb!VNko-bF<L zbZ|5`=)~s`6&1x(prhkGx;KNpSjWO`r+B@yMny&OV5bkl*Z>x80kBGhxg5$aDiWX* z_F+~#@Nk1pgm6*ODRJWloxJa&q5(QlkQ;R9e~5|>SicV3CLZoO4zN`^V5>A>#su(i z2XKJZYJf5{_#6vRp#m!0x_wj>x?NOMxOr4MeN+UvK^HZ<sECv(a=NGpbhD^@zW@>f z6-^+O-!F3eKs_ST4LTIrMMa0(M+Fpx65OB*tX))ON|ZQ3M^Ct@aDeslD7&c0fYgHZ zLR==}(G3ayPRM1^*a9Dt-b7ToT~t_<1v^7PAqN)Yc4X-Ug}o&#?BOvENt-I5(|fpi z;L!k1<K0Cp+(wEA6+c3v0BlfqhzbYjk`(1Y&}j`CP-7qoPp8BN7T{2ENP<!hQPBaN zZw~S_I7D@znW(#nhr5UaY6Cc!L3y45cBU36@W8?Bqax7BqXJDpEG3GZEFd2WfP+dz z*+qo~q!Judkn{rLYji_`8FVH!2Q)?SfP)$2ehIK%8D$q09<*TQ@#x)sKo4|L?{nzc zh45qPAmNWl`LH7ep^0ArnoqkKj9EZ;!^8RDv=0h@krEbA3W2F};4p^dQwg{TDD8ss zunbtG1lVM7K?Keg491{bh2Vn?76DyDU&73ZBn&balqWb~i5Z?Jc);dzc=Yc6p$EEm z{MpOT!@9@<2O#KtY)BdD(cKLy!MYigK?OD_f<WO46LnyLWD}8+AZ}1VgY`i)K#OpZ z&JYz5c=-t{!a*WnV?nCGr6<hfZfF_qqXH9w+r^>m$N@TT1{y_hacChf11`itkthL^ zf)(O2ogpeRa7VxkagY$i6`;Z%><m!40(r0-QHV=`3Nz42L7-fw11-$JXYNA^GX+q| z1uiN;g_#0!VFoHA1i-mW1YA^t_~6rbK>51UM@0i#nCXCX87TiD3NujtmjLUP0qfNP zM+`X0f+|0l7de$V!1W!tsPX7-2KxsVEi44;Rj^|G^(t5iG)|D~Rj@dgdKD~ALcI!B zUkHy99Q7(#6(UMFKv9BPzwm&{Hx_Om6$NPh0xC~n^^1#&0=V>20aq|QD&Syc0S7Cn zegPG4JlsCuD`!CUiw@`_18|gpB1;3TRR>zXaDeslfc45i3qFtD5)}bx(FbnbVUH@< z)$*{W3D{GJsDhS9$dT*7VGK_4pi&7%9Gc{zWfRysaMpt~K#)pPa9RczdZ?u-L>yG= zA!n&>aH)q>n!-vwbPsyszC;t$I0PNnaTT-zzOxzBa0K1Y06*vx+}fNl0d!O*=xkJv z?ly2Uk^_8S8S14zp!0yhmj;48$fEMvruBeNck>B728I$v*f;@l-R_8};a>}Qb~AW( zdvJJw?l|-4WKrp6QR!^{06FTPrL+0V1O^8F?Jg=DX`RhKplqJB&gMT8K&Kz>HqZy( zopWOXs9t*jja;PT`~{*xr<OuJGXa->m_gSnVO-uM=>hQs(scwJ9-TZYueDkal$3jP zPX_tWr@NT}<OUr61N&yhMDWE0ES=43CL(;Z0m=sXX3IpdZ!$n8H6ETY5w~wVAojgX zhg|&wJ#XBz^P5L^+XZ9Lu}AwjOc)rt#k<Q{lm)sYSX>PcbbjQ#S;EJ8id%x)T=Aab zN5xOCnG8>YE>cmv06vX(1!F0XE9hKONB*e?TsjYRUH~1GX#mQ;;C`iNcUy-60|WSm z3COX(BA(rSU?I-$7da0?!~|Sh50q&5LezrV(j1^`k_5mVHt-o~j$jg;^<JyAUMk@M zo#z6**iidMQ3x~~M(-Ur=J)BJ$Zv9m2<5;zEXAiR`G3=E#V`xfXkFnBf|^OyuW z*$I4WBj_UJZ(s{BPWFbR0lI}(h9O3HfrYSz7f6Df@B--r-;ISCUILSm!%Ji`a(MlK zg%>#P@dp{*!pp-5BfP*u*uo1WK~8vq^x+7v11RBj1SPyyOopZ}@ELuBB)l|?F~SQh zge|;466AyzNFR>yDwu+tzDlMbr>}@9u<!yMYl%O+Am=@X1bZ~T0iAUPxzYz-(_DPn zdEw#<^y}`pTn+z&Z-7F+RPVh@=MT`OdZ44ZK;@PPs0HZJ-5p@Sz_8;#=xP%|#Y5em zpw>i)3aGO20jUDrfx_U?-E9EMtw;(SI(<}JKng&YMuQXxfRZPY0*g)`6&sKO(4`3= z1s|XalpR5%AqJf;Dkh+-eLO%nfjEH1$t*yZ{1kxhj57dtv>;bzfVzc_J3$96g9n6s zI=^S}I0j|$I0k3&IEKJVG6PWTC4jYot4^QJPhcs?y?g&5SDb)c1HQKpm#@KB^?_7@ z$MCTD8q_8OU-S#T38@?1*Pt$^49Em<t>Dq!jp}Rg^%5XoYk+*M0P?T|$mIbbUqc2~ zu=*Ow%VA)Dfm$N2t^Z3@JUX9)>W~DG0RagABR3$FTi-$|k_3-#AC-*PT%bxN0K|>} zMKcGyO`$LqoMc%#n^mSFYGDm18&nJHOa<4%8lvDf1;<pZwQ%DRP(lSAYlb-W7E-r> zNO*hcI19Kh;?cbgbO5JE=X1o35MVEMLt4V1Yan=3Aa_ErH2-8N6>B|ED&zq<=@_2( zUTb@x-{Zog(jCA9YPN8}vj=Ff1~mEt>iUDa-_0G<z&_^bZ0?x`_Spr9&kCkNGbrfh zc+|!cY<y9{v-7)0cN@s<(3^2Uw}-(Gj|QD24!U?w;k3cc5-xB(@)C5C9Vl%;FP_^D z%Eh20zyU5c!N<G#bbg0;-UV_m9ONDo4#>SGJfP#!L6^>fjWay(8gZc;|F+X`lRJ-r zF0cMn3cYl$L<Q;6IX2LEcXtV7AgA*a=PAyEC0v}RzF*_~0KRbU0BC?*@git=T+jnN zfDY0CI#5pFb)aW={|bEuhHk-wub7)3flgKhpO|_I!fidt?{cyA0H}vrk_T(lf^L%o zB~1yeZ5+@Qa^Ut#yTx>HM2J9mHq$|+<^CJs*2{5)>5#|}*aa&s|AE5@H1rH<je`=j z#LF;ngAaQDsDel5SE8c_-0FHc4Kx@GPnBCivE$kK3`^X=5+-veC}Dyw24sQEL%=Sj zJNcT^@FeKO@PnPF__rN~ot_Q8Z>jZDsX*(=5@Arpvp^>V9FIfdMMR}LMunyGBIiNQ z8zo$v2ftt9ysUT_bPr(Xjqeu}Ppn`p5kZX=j+gHl7#MaL83u(KPh9~zo4a?7Dd=XW z@7D|uw0;8L=>s0bFV)}W@5aDjJaq#|(cUc}MgP8ELs7zGc!~2o|I|a^xB{Pa4i1>s zf2Ay)7dkJ3j>Roe_voGqP6S2=-!U~mW9%$Zk?0O$>AVKvg6?+x1i8JOzXfz?Bl1<F zpn?i}LTJ6s-_i>Tex%L+pk#x+X$)=jcDBBNq#970x3l%lbWjf73raK|jYls)6AdJ9 z8J_fj&O$*GP3&RVHR%$sxln^tp!GI?Ycc2~8&L9mISqUZ2B_qMU^3DdkKt`_K)##~ z@*;&P%<vM}EF38eG#_=YRG{?|^%7V&BLhSCUQpU{>4qe(AEqWo{^2g5bKOC=CVT*2 zivX^jOAWePK?%&IyA_nenm>fNfOEzLBqh9tCqdI`QxAfR7w{R)kc<CXFMvf#YZ||U z(lf~D?_g6Q^H>HuzzG;^e)m?8@t}(gS}!0e;Wa$q!g-E=>H+YC61dk5PRp&=LH8RR z>O9u@f%Ak*=XK8WB_f<ZK&2_?DaBvkuQ_sla#Xwox@GbbcuGw1py7dU2N_GbL03zH z&i8lhJmAuKkn>=Psz-M(IQa`+e1%*Df_dN)5LEtwj@~9M@%MpJ25E_Z#td+g2}%5O zW*{g2h8d6&lLJywU?zT0Ka?F@YGO_OMex-B^Dlg24U+sPkdgi&hyD-I^7Rn|1H(>G zdNl3@<$><0;PUkvxP1KxPS()!btgD^_JWdsH==w+R>EU=lJh$M)PvwO*?GSCJ0m!L zz~$?S&QqXrzeEa_zzku9t0xO&@IVHXsz7xV@kJ`=m|Ucqn1hHS)ng`7s`8nMoT?0F zLQ@r_Q3RRQN0g_RAon?AFHg(hDQX#7d5Ux%zZxhLAnrpj0L{*pq#kST05Lqen|Gky zC-6fEev1$CeF6`V?qgO54g7)6y53xYe4l{fNun<k0ND;22P^@3p|cs}D&&Ltk=(D2 z>i!)dXP3ksYZd@?wLn8<V25;r9OBW<>0!))RPnSPECpSubpUp$1IXbZjz>4y4hLBa za(Few;UMQAI~<yyq2m|eiv<4d19gv(X3HHwF6VFI2H(dw1H^%}yinu95p>t0Fav`J zxMl)37+X2O7vyCKL++^HZ#e-9o8H|Z0n{rXUV<;=?QTZ8^^?D47D#n>vjO-5>u%63 zs{Gpmm_eg!#}2-eXnw{Bx=8CoH)FRa%fT0do#zj}7i@mc2r7Sn8eaH*f%ALw14hmt z-~-QVK(jW@1t8~vt{BD|>L6D&SEMkMsCu9|5j-AFLSg}h5h%>hfsTsnYzBoN+Bh3( zcx!?@ib(P&KtaskvJiZmmVzfplA57lfj1NkppgX*1rP@wQKW_f$mO6=uz;jIkgwpO zfa-tH6{$$+Edb<Y{+2xO-GM7W9FOj9&;i%rs0KAaA-Cg!t9H<R40{<Mhu|}TIQO6& zW)Me$5hB9^;(*Ra2kBu2an?d**g%{$pxaJAu!F9v`T;t`vb!1m{x;BUprE3cpNWCt z;0yld2aGR4N56xvkOPk<cb@=fYs~x%x`l6oF)Rbj0-4^s8zkV-y&0tEWgbYVyBTy@ zUAG5Ew*$|?m;9jHoDRO?Z+-+$;uk=Zj?E7lIWK}HgI?z&ECpTZgsl)kwG?!Oy9eA- zke-)6A&ClVDg2f?kS{@Zo+95;Cjz$)G>M5`T%p>u8)PiRCgf!Eaw15)yLkpAs1zhY z<L{V31uFVLAp_2Y#RwOJ)W7!ffJDm6>!3^pHw%)O377>cMnML9bZ-W!hdK>Zfe~H) zgOVXAF=vAgHtcK$B~e&nHay_b>!Tvl$@uaTyjDX^-=K@0k<#}KP?F|vF$I^W240Y` z0d+LHK{vRA1}m65&mDZn3@YJH^1FQO{NDVXvGq8jUm0$Ap!FO7)B^`!F@Z`wCRnN0 z`LFpKBcvH^c(U~xq)EbU_^tK71OL=xj-4M|IuCIk>io`myhMca7pRlZc~J4E<M#_L zoW~T;b$*0Qb*x}4;RX#OfCsN$JGGuH(ei<YH==Edy-)TUmRP_;G6JBY8g$uvck>Fo zWzGWRG6%$g#t?KSl7x~M6q=xDS^`QWoz0+-hD8&!rh`xcu->@G@wOkJMmi`GdiSl6 z01as!doU9^qy;?<KjJWKyazPL2U<@Cnm9*_(F9N=^0%A-$LJ0a2XyhcG6MtX9-NQg zFDgUY;E=1dKz)i(!~d<{9Qdam_voIyL4tvy+li&~*!OG7MxYC>O1L01K!)F5gCg$$ zB=SDGbe`h;)%k(*M~OJ+p-#|!aX%FgI)1<C!g)^d-1lps=xsd&>p|}hkc2mj5VtLY zhECu~9=@!lclQGcxMGxCfutlsl%Ns|L9h}~x`DRDUV`c{&@?{i>N(KTx>gSUmPO#O z20PHBd-DT*uqM!GnBgVx^@nf=LRuLR*MV9<I2;L626CZC_vRZo912qga-~Q2W&?;a zkYl0Kp{VxanG*&@Fes4;LJ}D$@;#c52tY=mAn6OKJqTJ2i<DGefKmtOekliUP&jn& zo&YWlCxgNP%l%Rvl8~wcd?yx&Lqw3_aXQFSkkg^}OMx7Nr8S6hpSvE&nTW<o0m#`U zddHeifEXUVyCuNx-&}z&cJR0eWDLkft0AofknvbtgcP3osP6dzat5e<9pD3!=x$yB z8ocf91|<V*RU@d9nIQ@dR8YkL;t)zUc-#-N7UX_t`x@jN{O$+MgdnAd29UE$;*T}o z05LqecY|6U9^I2c=@WWqD>&ss?|Wka-Qojkr0RO~?gnx2BxOh`0@jVk10Z`r9;kvu z0LVR<9tiO0ZUZIMZjOU5nL#~K9u-jGeh50~dw|~s)JS3J>{~I5fuVESnpvPO<Gur+ zq|tb6!Ypuc3LUrwyC(v5xxoZb`RW(~niT-u3GUMizOE5;L$ts?(28U5@GJOMTc6I4 zzMUVyW`JgkIKY=cgG~mHoI8R^@L-Tn=X2lAA6bl!@VOGuDkl!m971!%Y*_!6y|X!H zHpsENA&x!lFq?tF@DkV<kIsAWOLV~(V8=Lut{?{GaF6ab2UF1cxi*eDph=Q84^sw) zw9YmG2+s$?6PW|*D??QF?qdK|F1_16Kr8?yW6&fV1IV}uNa@=Ul#CJijl&mopPu2d z<^&MKqj$FjB%^@}E@&xBYWW2+2^8ZiATbWI0X@b;K~Vv^+S{YM?EvU{D30z7mgc97 z-!FE)fQ~^UEtP*6z{tP=Ue)5FVgPEVfqdHC4NAh@oW`J)3I-nC-5g-iX0Rx1osbE5 zIU>3qPYz@70%r?!UC@O>Heg*ApgA)I&~P$jrVu>k2TmDar-0X%Ie_X`2Jo6!1RuPB z2(+Mzr9>05k`%F?3bd{Wv?3d<QSkdE?m!msN;(#g?q;x<186O&Mkjb}HB<z&W)rl? zil;=I6EtJvqJmgt1zLRsS_KBy8^{4NkOyoa56JZn9-WWC<3I?vx4ta}ulH~OO}9wA zRzn)OkpPX{I6%~c`qxPL8+2=WXY+wM;AAb+*?eRUI8FZmXTZZN!0FIMMFLd0BTZy^ zM8`t&AvnH4_XrXf-{!FR1_vr6ViC~|T3ClQwpn1SRFQSSA{(?;9U=}*BGAajToncm zO9s#qd&nv=FdrPLpx6^B(FCorMP9fFS|JNsqX|}s6se%rDp(90sj#JE5D`$Mf+9}_ z9H|nZ*#_{+Iq=GC(26>b?ryM3<VckPMXCWPNkZGlpu!%UBw^8N0E$)(lxWoeMXLd> zXw~R!E|?3BR-Mk~lDXh$HIM=|Qx8YX1r;wYDjI0fY5|W{aM=PPK;<haOT*f)MyQ3% z1W?(+-*OJzetiMr3|#wlGRSGYyECNV?Lv6_6{#bD)G}RwPzeeMcry-3$qm9v1f=2i zp_}q@BgjeJ%`ebfu|5!Idi3rFxxu4*a{&%}z)8TPdou$LdDud7pWfXdr+W161~~`R z)We|xW}pQQd6<EoxK}oUq8U^Ra6?+Lpd^53#rkx&IhZgoICe91wmnz?VmPpLwmn$@ zN`CtuaDkHFu@ehGHKpN6L@fqNX%Otu-S)!-Tt7}&2uh?7Uhh5$ZjcGvDi(sYf?N9# z-5%X-43PTNVG&q8nAf{60HR)F5lB6fRUX}K91!&%AnL)q-hCYq^*6An7l5c=uo!GU znAf}S07QMqVvzaZ%U$8_k$|WVSOQiL=JoFT0a0(U1gsu(WjsVZbUwrYw7~GViwdYW z!vI^;&|9OzfxfB%R87RF@PHa4E-D<&kJ&pxybmm(?O%#VOGG`oS-}f)APdC7?AHap z-F=|TzM<2_&>M_FC5{4k$p?5E0owaN08SvSw@Y+d-<E2i&lNz_gX<jRB_blAxgZ75 zk`N8hk`M_{Q3Yx<2{?APF)Rg_K_ZTwZ7fScEglyYi8RN~HV!C92E^f63YxLs7XaEl z(Rl0yIOJU5Yl(e2;Y$t;q8;O4RS!79fZCU!hA(Ucj0-XX#)UKj2ATlj1nq|E?Ax&v z)X3bk6qJ_sb%6FUG#*<3vb*yEDDQ&(j@rTmm3<1JRa2nde&CSpZnMy5VA%Peoq?fS zK=G8a2WUMK`0`Ouh=QwH0ni<y47)(9j==3f$DP~^3=H7LWftTA&7d(2uvMUJ<I&w+ z09qBnxC^w1N;!}PG=c(720Q=DGca(6sL1RBF-nD0K?;~%R3ubE{LX`hmq1H;LHn{g zyEB$CFmz7NSqAdhZVv-cHai@!43q%D%QnFappfcs1^B96Q22rT<I&v*TFK?o4O)ci zc<=>x=kbH@xk2MY2l!onbTf7bvK)ND(|PgWOP=P3jG%e?Q!b1LK;z^;!N~{Iju!## zX=3S|Cb67>p|ekBImj{l8o-V@#;_dRl*b5Vko_QwLHz@e$(`{20S|H%cJ`eB89MC@ z#LyiOLpNX=3NjDmACP(6DE$p?RP!oUfRaMZ3a}$TK+H>6f#FERcpNBRKy7DG_5|4g zT7e+|iDOXg!j|4Nrl<&j(ne#7iU^4I=zNYlHTZUZ040bY2GHvt!3hFU3~UCa3{a}# zfY<{{P~e2K6O<G{8>+yYqBxX&RCqdlRCsoP5(c-A3JW;t>;v&id6`928Xtj}ofi$i z!AGyUcONidVBjuifg~;-X8y*9AVr3kI!|=6sC0rh9X4yM1f?pSm7p-%tzigGRRSxa zVT7KhB%&ST4o`rEzejf;Xw4ryJ&A+TlQ?<l=?2IdeRsgl*p~oy#<2r1XTV3=z{LUB zevrkW_{W=`I#z+=zh@Q5Z~JCI3@um%^&7%an14X#iKC<^an$r=u^MEa&1$fD7a-;- zti~{pP<jHb3<Q@Jl%%H{h6K|S*dD5;rv-)t)6)x37)^cy4x`;1M&R^x0TxF1)00cL z189oF@!$*QPUOJ@kM1_`B1(&H50-=Pc{)!Ve8B@+uy>N*<)91W@#g1@oEO0Jiu^9; zJ9)r;x6Zy9YZw?h+vcnR$CU%v9mg8hV8j(DMS<cUYyk(P<ilA$d8`G+zt38*nH3N- z4c20q2{I2<dUSgr4~X!f_z9f(`hI{7`~xv?1H`}w7zPqbPoPDT;E+X0Py7rF;PQzd z)Y5vsj}Np6476<<T3dnA1-Q0?mQOp32&N~vJ^0Hfdj<wb`DD+)u<yS;0|TslvS(mG zE1w#Spy>&u3Q|7VgBnjr<<p9Fpz>+WI#8JJegO`m!xPp)!+a;mLr8TVqI?1`swEVk z5$i$m8M7W__C5vB{8QsGhxJgiQOgIIdAum)121a%z_9^j9?u4_c@YrvK46*$9naZk z4C*<+26K9Me*j;n4IbPAor(Z$4?)IsPzQ6s;qL(%`$ka;8g4}q01fm*N6z6btlr%m zvY<dl5^z8l_~6UH03G&$v|&N{1ct%=MbFM_pe9rAz8zp^+k)CnAgiGZFMD@`PJKWK zI38;Q&7?3I9zY%mhnNU54?4aFGPS!6<<4>N6@HzceR}tSO!R<R2->vI05bm>I5a@4 z|31(=$ida=Cw>8N(>RS^;{;d<-fPEMR6IK$L0iq>rD5RtG4SF@#~q-V5olidnC0LY zlvRXz!hiw7hmc7n#CRa6eF^GYcl+7+bh{aJo;vtT{@^QV##5cw4*p|3_>9@Ho5jGf zyTpK{@zDbY1_sTG9+zKuTz=R5fU)@*qsPH#3Lc!tJUUBMI6Qhc8-OpHoPME}ivjFS zu-94+lo)~rA(|gBb~Z;afm`FCBC@kN2CTeV!=v%A0~09LLF*jvfi-~|BH%V+ut(?T zeI}@h5p)SRJkddv_wMEZ%|`cbW?%*x1n!{0WCWNQ7@}b*rMs^Oq_DfK0W^({@a^@3 z|5*<{XNGyQTg0N<#e(JXtIIE%A2EWQKFxpyRA`&9fHRN-$dJZkplee>O-h*Q6DD-` zfv!{c=x+N!s`(GV=0Aa$zX4+Y2^NrVkh+f(y8CiKq1N37x)~lRwg|g_0xQV<Q^2?3 z?o$96(s-<b71R7XAgS)Q3rq|QB$@BP1~T6Te24J92_Qonk7=->n-AF!5(>&vjc+m- zLFL&ukIvuwKt&6zitua(yN`jtMH+M$bLSit(29J=V{H|nA$8YozY@>xvJ98b69<3D zAABM0!g!+dI3)T&ZDi0I6ozgQyXFTE95oNRbe_EYsxw5z1C&M!JUVMsB3!y%>{uKx zzv#RGidPpE50_3*N21e3#mBL`Zw&(jgJ<_X(8ZfD3m8v0b{=v(_>0x?;3H;`72y39 zPTeNr%?}=E9=!anvqVJ!WORa4w~IJSr;kd;<rkeFL8UQhA1rkDoQiArx+h@6*WF-X zU~uVtdGM$F!I#o5j4vHK&p00Z!|HhO3D|g0+laxbyGE6v`QZac&9g3@FE79EtWlBZ z{Mr1F(W7&XN(Tc2gHv~oD#$Jgko4Qmmk{e@T)XFij*oHaya_Y@reo)MutBE{uR9+6 z&+2&aIkRW?JONM=gY_z%x<#yAI&Xr5O2F`X^CL!&&N(VSz}CcAgL{}RoJT<_UUS}U ze$3bzqatGXo%3k(bH>gZ6^`bojGZ|uJSA+LAa{bd1w*0%G)C}%k@H0<$8i@G575}J zPv;gDP(cC@$8R7BkM0_kh!T(@@KG}!z3wa?oh>S$EbQ6q1ZIB#g<Wrs3X5lNJc~=W zi;99vcZrIMYwLj$ao5&wr9zI)4;WoQ<J*qS&lq1GgnADgn4s~2f^HLbP-+0hmV`%l z57_W-7DkuO9+flT2sw#}HHl7WtRe4)2~kP#>71ehTAJh7Z6gHQjRKAr0Z>?h;?$#i zjta<`-7><LUxOnHwC<(zCM0S^Kwbv-tbMwBz)|SY`O3LlhX)dYIVv0;ol{gm(c;uC z!gcu-EJ`^#FG3990T}>V;>O_9Jp~*&?%h1%E}b_WFTZpFZDTk53i21oB~INYf-Z(< zL1*lMWjGIk;_e;ir)Kb)cOApOoVOs6W&l|SY*NC;d9^b~1-vH|9A>STN(H-JR1BIQ zFoGin<ZT9!3&5SwZ!Rhlj4s`fpaaJq$W0(Mpg;gQ!J~T(*fU_wAYXxxKI#UYrE<=N z^BAbg=se`Y`3W?DP$JC<I#Gth@%sf=&SQ>>*S=rmJmIK#%%!tLg$HzK$M*}ZE-D=S zEiTYl4FFZ?9^D}-1>G#-pjds;>7o(<@;xZYbc+Z=vUUJS3cAuc1myM#n7#zZZWc%k zf^=4RbZ>#goQN1iXT@cxwi=K&=r*z`;8=6)W)T5J8Azi;cZethM27=R2lBo$NHjTu z9eMLINQXtYh%iKh1x$mDM=!|npmTS6Yg7zCi*hVLL+cJcy*?@x`#@XBz{7BckioI$ z8Wj@;pKeGtD&+;o(GPz9HhECkfY+dd@)>9hOal}bpt94mcOSS$=`B&wcnNAkfII~* z#bD-mc25J<h}~S!1s!RvA4~W_?oxo6@mjL=0E}HC43dJM40W6VWFzP(6Ht!q`~dNv z&-aU+$G=}!JkJR&3Ozu!g4346x8sZ@>>x8FUW4}efQ^%Zoq+{6P6BlJ6==6f>w!|x z$}UhE2Q6Sb4r&j;imvV=mToJ~?}nEe|NsBbz)<Sb`VAxna#4xRaTgU45DPp;^xClV z(Dz%d2l!ntfLsF3NuJ%?K>h|bS2V!=G*J3sczO8W|No%Fvq0*=S+?`q_iM@}DgxkT zF6^L*5{}n`pnVD;CVYp|aVC&u-8m{8-Jm0j-f^DmEK$+uyv}*NvqnXyM2zzvh!0BK zia)+z<$R@hq0>c02Xx*GIDu=F<at6`Cfyv6EN{^5zyb+P6QnpaFuVkIrboB4hDYmx z5&@5H2L}*?{kVe!sE&lzm7r1by+8i{_v~zgRQN|gH7;mtTP$coIQFn_?>f-3L7&bX zl?313eGx1S4Es;4;9}Udf`NenJ`K^?0#5fRGCrL(Dg|Iicy=BEg%UV5!Cen>_CwH) zGSC4$phGzv!L_zq22zs(+Q0;91{W#aHU^E*HYTK4?RGI>x%>iB#Rxz`K?Ghyw}ESD zL<0`VG;oBWo5q1`8VAHQ9*^D}6$wy&gRU(Hg&lOt-lOw9#CyH_UVyHM@2pYD04?iM zfOc76k_w;(8>m?U-Pr=$sRQ391HPsfl$|wPL0e_t`*c3@>HG-R4)Qu^qK(0`dm5-A z4@!jwhTlN5MWA#9R^!w8989BxK3K$~^C-vwa8U?N#-No20pPL%JS70r+nk~jz~7vr z62gx^8o<RIdcZj#2b=>W;9Q7_2JqHebki)5O|yWQW&>I;Q2~kuNU?{uasupGXsG*i z=cr`(bb<mACJYKGNCnjS4=e@^d7s`El>|lx22iMXr+}*N=N_H!Jh~zA0gr(e6;RIs zR4u?GVGmdcEgoR$0TB-#-6ilWaNOf~iwdY;0x<~OyXf{{>~>&nhGc^tuxw|G$`uCC zq!g$Li#;>6s2l;A0XnP?B|q!|iz7l0!UqLliwbCUI^h^;QQ3pTWRN(r$sj(&<O86R zws(z61gLK5?E#wr3RsXEk)sI~Iv%}Sz#fG~7c>(=^9CsPB78dEqva8hfuMyLpqT1> z?$h}XK8bV)kyBb!z?BTB5eUmHd%!|y@dTSd#1l_jpuqsmG#<TsR6s(IF>X+83r<GO zOTeQ1%}Z23{cF5gr$q(SZ2%dIn%?(-#gT&+qz)3a8^8$x+TXxgnzg8a#u%`f3=&5+ z8N`Q}3@W@mde?y4+aA3=U=u)L46++rq`@MqI|Y=to`d2L6dvGEfW;ivNCWkPL6O#- z1Ik|SamJhmD32n?+!_^-5F+Ma$p;pBpq7CFXk$01Wbi<40fGDhN(erk|2;Zi`t<gI z^D5Y>j@^Bb{#6^O`H8KfX;A^~*1#5+AaUft1o0t(394osyZb<WD$njVP&*d8$x}ea zV#&22ab%N0e2B?2pn1yznzukQAn$?f#urWCECTj1G;+YDAC^3204@DG--FuXpgtq^ z0ubEgLPU>G?;aJ95F&cu(E`oR(547zT<hP<qVLFi?7-tSW+0y-1`k2W1Y^9W0_^L} zFBm~11UvZ|U?aS}yBnC0#%oZ<3qW4*?EL1^-3J<m@#${UU;%ZcPr`b-CmlOaJ0ASa z>Ui)mGq}fl(z)A4!nr#{f(6tOcz^koWAjhBI#b79XAZ}16Na6jMvIH)$;;0;|2g(L z^Eh_PFkF7jdAaijXyf|Li=aL=XsgbN&abb<JFhiAWA3~N5&XvQa<21V=jG17V71MU z!TTL<aGov|z4*@XYx7e^&ez}*TEUge>wK`i3J`l)5cWRcJPxs!h2io8&O@LP3Ahyp z_+3tP{=4`NEC?IRHT(zZz;SSbPMrjGxWWA<==d7vh2{^S<KZ|wd!t!kXAwGfPy53R z8r_D3663|r^9TR4y7a321*O>L8Wj!(-|lrEm>C%OTR>Y-Ky3<ed(5Ttfn#?GE5pI( zteU?%FJ1<9oCQ3ZPp~+4=ddzde%qO&BGUXzrcTST*F}ZHvD<|e;xWyOmmhF`0PS9K zY(B!{-0h+Y@*Jq|Bhs9rA^>WLi134K0XM*%yG@uukpnVa!>L<@84^jIAu2j`8dw4h zWUz)~^I;z6ZWf8l4>(~hXbn)5xu|F~r>N*K^S3aA2B1(nLl!SZL1LXTDg{2BB`OYJ z@8z(9##l5@bzZ#u7Sv;^0QnCz@&M{Jxps%JGB{p-)%;7wrSo#<yE+x9PfHL!ea86? zB*^2~9mC3S`LPS<WuMOD&5!xPJKjHldlZJ>R`5IS0|hqd5T<Xfw;lPX9&_pZ*!+>v zwey4H_Y02O8C_Zrmgc&)eskoXdK?s{Fq=@q^eEIO7gmPL4;(oUxo}=>e#+ncm!E&i z0mpBL_`wJ6I&vKEJnh2qxkS$JTk~Ij2mUF?__rPA-*(cK<GU;8hws-o&nX^rQ9S0; zU83UP+4;i<)QNWF-*)`_wbl>(EuNrk3F<$A+zT2usc`Bx(SXN(cMEtd&Z(P4<MNB< zUoxF8Dk7i+-~&nkHN5Zy@SO8|XN`&gBmsn|GF*Pd2|Alq1hgj|ba-m#q2@>YogpgV zX8oz=M~sHwnji9a`lx_bym9cmf;Qpvcy_mK0G*iZ5zt)2$icwhlEeZYn}al!LsU2n zzkvf7To!`%IGy4I%Y!t5n(7>{lTkW+;07tEjcoyv6+q}kv#7+@vwI)R5({)oQc*3j zfEL1FN4(VH1Pu?ns93yI;ACKM?$!aP?YGX|HcXe_g9?Gpf1R)Epap>pB)C8Y&|}Wm zkb=O30aO@)dYPr%&5s!!L7jDmQgg@VpYk<OZ7Ai(QHVBh`Ei`{ee-ih{#I9J28QPE zjE<fELAeav8-m894k#u;8JEKY9+M^-m*0V6vP6Xk6qA@)8I*H5ATbHa%AhRB)10Eh z0m{le{O}y?+%3Wc$-OWiqB!*!Qm%y*b<N<xC=FQQ2Fml$X%28KfjdpeH6b{Rn`=~T z7{J3G7R^7H`P=kCW5g&qUBI!s4^-rNc25HpYlvao&SQ`{4N$OvihhP}8zaaNE@;?N zp*zQj1zfDDH2;*TQ|)!*=$2vH0aB=WqVwS8$DF@AV^kEHkMVSi7+rqE2^v;bX--j5 z00n~zzaykp^6cIRs+Eu|J$mpj>%phYV2ip<^t(g!SuVf3{0dYP$@aQ(bjz?K+i|b? zC{MSI{^jSKzaS+)IE)gI!YBnPj50uBlz=Uaav))p0S+TG(5O607=ac`c^Lbs2tbYs z0F5H~7`v#5d<PARyR`n`Z}|^tpArm6@PH0VKuW*^QU*^zN}vR!Omm8g1SlY7Xd93L zNC6pw6p#_1fDCvEn$Q6?J~>_{u!8z&ZJ>sRZ+9O!N)JM7(1WPW49yeH-7=ES-64`J zod++!zWl2Bhg|2i&bxK;j=kO-j@>$(;C6=Q!OKrM?>hDt^Eh^!7+!wHdClS5F{V=P z=BG@K;5D?RHqAfeYoMA;;F_Lsen!&t30!V--U6T4z~2fQnrQyS=-7G9x7V2kG$88G z-35v=pYBQEw2v4dxe7^!ptKJz5*)itw3;71(7Xt)*f|`#W3*T<zXmVo`zceW-W$pR z8sy#uPX3_!5mKacG#>@IU+eNS&U>9DDk#N(3^&OC&fOv$;4pysAJr?6np+ay+`0G~ zGM%6S_7SMH1=^6IgS7I`0yHw9fh~=rlmibzqckXKTmV$+Idpe`!o#C`0ysRNb4H9O zXj&FPEe0hXkM14d#DlPyvhv{KJ4mUSfD&FQNa2+M3NONC0(hPeCA<if2_L{okH4ja z5tK6sm#{;nV1R{a07{64AcbfIC`1FWrN9_SDH{PUWq*UZJt!e+fZnn&L~2<Wptmfz zLDQk#`(Vu%gO>}xgYMOEQ89RV3EVwHYYuG#3qo5e;9)Iv6F~=jc*0BsO|de(^!@(- zKT4|z+|~!xw*sJ65eK4G^m6MDG^OA<J&;llkWvLCrR#p8DFqK}f|MG7lu95eeF<8& z-3wm+03PLr4!d=7fyRs<FnV_Pftp^Ojx2@;JQ|OHx_n3j)<n9T`45_NaJ!rX^@tdh z{^kvEhvBnl=bwG%ptyx~X`6S?0F4y$w^VR~hRK(J2jGr1e*g(~dzW~02WKGaf5*<_ zuvu5oAmId1!<vDC!L3`y3fv@cy!_gwbB+o~95nw28oY7qcCljV>`?&^5nX=a0+~VV z0jqI=%uM#EfQAdYyFp_*9^IQkqZCNv5=doz0?0m>ZV^3jS?_rHl}l%cN`gn{7L^-} z3=A&aK6)UVKtq?{Sw!emV}eWPNyrRDN_RJCR0XuH0(3qBqCSDHH~`fr;34R46AQ2v z;OZox^AmUuxkcp!s6)}YM+M|Um+l-3&|GprCuAhi@$y?2*eqvAcQ<H^1rctY$HBql zVtDW1f6&qlkM3sB=nKd`aBOyqSb(RiJq)jbt=gjk9#H9QQ8@rQ@~H-FlZPwk(as+5 z0N&;Ij+}oXlL<a9-J3%|zVG}1TSD-`5wr;4furUz$Adpu9S=TW_UN7rny?1h2ktmH zb+a(Jbbf?P;u#)me!vKdF3_}^Q+EgxXakSM<yVfJS0VGMHZI+>K`TvMI&Z+NxZ&7& z1iUEWFnqGVdop<P>9~suc<9}!n?(sUPYY^sJHV{~l_*Z#Axa=C9FVMVaWTB+%6S%2 z9Yi=bKV@|3%u$IcVRzvKb*3YbQ(L!-N(5+nobyE~_wg2RLIRD+d344=W_-b^<Qr51 zG(8Q9F3@ZrC``dKxS*kQ2A|G7Di1)V6m))m1vqlos9XTiy|pZ!y@f0uz1b|jy#Xw~ zpt*XN?i!VZZWoml*Vg}_H0J@%QF~NC>wQW@TMv{9IyOINbm^>70nG)x%mzsxcToW+ zD39(bDxll|n$-na3YvRg0J5lajmivA6zd3fL-Gi?(H`K_xkkl;iGjhVdyNXnVUD1= z*~_mwr>KAe8J5lhV6*Aq`CxEL0$Bvg3?Pd@K5^*=n+(YlZ$W|T(JdklHmL=iYCz*c zojxiaFr&anje-*i$S6>XckH$SISw?C4xY=h=oS&X{0g=tzyhYx29&zMQSaG#3p57} zO1duHBF5d2Gz-nH4u;?nJg}!rOc`7ZuY)em0cB)|%kQ`${)0{JgJ+*3K$#vi=m$!k zj@>32po9xa6x}^4pg`=_(FBRTHT(`uKb)^1>j7dwPAY)u2c<p7ZWA3)ss!mT0EH1K zkUcw3b?a!tbQgf+-@=S2aWQ<)Jw*i+R*?Lb0m&0NC2XA6!SiUaC~gI<G4N5zXnqJ; zV*r{30Ix9s$ICYtl>kQc#OT|36`USHd6S{LL<Q7n@#*~J!uhK+M8%@>Jb0?r2DBIf z<V{c*fP$?=0wVAD{kjY155*tfuW^1<JkjZ*V$<!SVgsIhwcu|7osoj%eOU5q0jK$H z76Djt1Le;GP;`NkSvPFSKmlw%zXW7P1|$flfQwmBZyS`dAZCCP7PuJ60GR>G3UD(r zU}ofiaxZB11!fE+Rk6TR)eBHT2db31x2S+}71W3p6_6%~DO12xMj(SgwJ6LWP!j8A z5r(F)%OG<=(xA)&8J7m}U<QCLjRF|}8V-XQ07+9U0-*E*(hZVx>=qG%=mzm%x?4c* zJMN+aU3D=<1(KXV%O@a<Au7Om6r|Bb1!=`auZv0nXf#d$)D_DBu{b<>AwC053V@mw z;3ioGXt*3?p-(SlLCrqU#-?2$0<l&C(L}T7Z{r1(A0StN<`5V_COdd^$EX<ibVDj} zkKWzjneyHe6^ECTL5e}L9^I2c%lSa|CV;zYJ3)CCJQ~sMqms}aqLKpYt21`CfNSON z7ePm2D4yd4SEi6^8<Zr#r5b2x2ePsv0A?v@Wd)24Sy>SR${?Tu8om@Fp!H;lc(;p6 z2x2J&XfF08sD+2*JP$PIfvg1=Ox-RjKA>|`lzmh@K*yt6f`ZoKwKGbC3gjyWaCmrh zZ-)8U0jceF_22*htp^}(1g)(AO<gcR-B_X$(CwoV(w(DX0m@UIufR)t96FD4p6{$t zaVZf4=RgN=&incOD(4Gu{&VSeQE>t1KZlY$kM3rWt>9*1r;kbmq(#{2q7s7?FA>ni z51!pFD%e*)Kw4s;#SaPJ{{Q#rYzDQ~JQ|OHnsZ2PNsr#y1)%x{w1CE=cf|$p;)gou z;s@At3TP}ClwbFsK$7u<oyG~7fd^Nfpe~<*M|T6r1N_@K__sNL7Uv~&x~QaprMf*z zz_drV1CB)y;4%+&{;)>H12K10qv8R{DL!C>x;r3kKk&}=22g#C)Br?U4FQRMl)2;@ zP!u87R)DHrP*Dgq7Sh~<7>jEr4ccHqcP-Yn0zSPtDgmG#lmlpt#R9ab$pdlv0qAf9 z$Vv;a^*+5j5<n{|dP`Ii3@`0u2d_i<4{CRSd<f2RV09k7J7$2?bwZkb(1Q<rpb-OI z0sx!r?{!g$a5X&X*?AYVQV-Os_UOD1o(uC)F@TtP+(iXi>47~y0kql|l-~;wvtST~ z&?zuPdhqG|3|i9&YN^0ht$TJJ17$pD6#zC6nl3yT`L{W+f>wxjx~SxUeL^T<Ko;MC z3SZRF-UD8ggGe3_J}8B>sDO)YP`V~Akw6CZu~`8sT#>B+@gY`#%XWwr#3dMTC4lOM z8kGu!;Wa81Ad_8GYCv<>pjr%+W-yC*(1LgHxfzBBVAIB+E@lQIwSXfEmLj?#3B(69 zUko|(5t=wabq8pXGiU-Ew4mf0V$}htO#w?6Eh?Z@?Vzv$$CgJoG->Psi=(9u*a~Ju z>VRzk0VjzTl?k9i9aJlVLJnS9crfvAb71T20ZW4_5pc!hqGCWeZGfgxL5@QW*gYyB zaYWcc_@LlzQK^9&PE-NXqEY~LGrAQZabzn%e25jG5h8GCb$1YxHd<6b%hIs<0wj)X z1&9x^A_wG)-Zd&GKqYu@57-1yjDj2iY7Kz=k6g$glFAlvC__^VsEY$CSYYScz*0@; zf8Wl(usQ%K!5m<ME-2aq?wtE{{`Udh%pwYMJZh@Z01XBpVj7-mK;nc`P4^aX*9V-E zp-HC$8V%rpMI;?&{%sEIojocb>CP4vP@fa5f^gCS_vo;!SOAG52Reuk3G@_Dpo3B_ zvBe5#*$^mb(31{G9N7vGA7Vue!V2P&4!FyJ#TOuPWGg^?h!vooKd6Y=0Zlp}8Bo#z zIRcb)K>o#*bU>jDOFGceAb66&wybFbG`-+XC!ppgD2Tw3hE%$M#0jPoa90;xMM8=; z&(7meb71uis3F4B*`oql7TVdOA_MX>I0gwcM_RzEhd>TPk2_Gu3prpxd`Q6hAmWag zrb&wmXkiF8D?sANR)F{rE5Pf&!R{xrWC6EOu_O<WII<NWKEw(ekS}`IsH^}5Rd0_9 zNCuQVK#l+<50HOxB@a+2V^1ESf(A5}0%>@F^EFbjvH+S)y0<`!mG3BPsZdK60URX@ zNE{=@{0CcwsE=SRB+t&HXeou0f13kuXO9X8XyZ?d3J=Kp;E*PmQb6mButg(C965|Z zd`K9ZfWjDTIFWS_Xt@|RD?sANR)F{rD|8T65Lb|ZmONpz0wj)X1&9x^LIq?+?-~_w z_oBB4yl4uPQb3LXr4*2VaitVcC___<Pxl`1=qPNJ6|_LXo>D*?tH3Q5(8biy_AP4i zV8D?)K;jt51Jd$FN*>UP2iB7H=sb*;Ot|>BIq-G%sDRducebcNcDoVPQ~_-c!4|+E zapV97@gV^W8W09sPi%by8X(4I1xOs(3J@P+1!#8w)C%H~33x#lmI4JNj%)>p53zy= znoK%CISRU95tK|ojsPVSkbiL{6Hq9_l1V2dFGG_DDAj-p6zmOK&>A{WGU;9eEl}Qj zbl$_7TtNL*P>_KG0jd50iK8VKSR)B3xquo!G0;8~sGS1o!1HhO;O5`vz~9-U0$ShK z*`o3WG+qmu8F9wi`9&=5MXzl@<21;@3gSb8l?7xZbpE3m)P}>>{cQnnlLGk<-C)q( zHe`cAe2Bq+K(UKs(gWVFZczbk^ulH^NF3Q<5FcXj7f^GscZ~{Y8zX34E=UHH5I|Og z5(3C?xDo;=9H9xp16p2yOLkalz}me54R3%_0knGqZl!v5Uiav{3Dyf5*aL0I1^EJW za3iQc4O;6B+KUU{gaB(e!@4%0aU<~HoC0V_4%9aSjiO0_IH0C2h$8^vfZ8u04tV4a zR5gJ{?tFT;faf0efmR^w0ui9@|99|8-WC;5k^_eW6Lc{sWJ(A+@q;~j!5#rc7|H<0 z9?0fC`2G<H9})|1K&rdJjlk|^P-TwYV9*{#P{5$48<041^n&;hgF)p-cQ>Q~-VB~{ zKune)r5n(Y3O0j5;>ZSr_z;8dK+{bIv;YCgfYJ@fYEXEA!T?*kK}#>NG98*^K*<PH zfMDt6fc6$c(hX?*95npp(fQ7&^A~c$34m8KlR;x8pqK>-A&Lxmf`N{hK!@-^i-y0w zw0Z+wGz?xZ3>u<>6)qmVyFmlY80&>W8Nj1=Gbp_pUfOAov|jiJ&h^5e*afc_p0|bt zG%vJo0eHPI@{HU8*mNIcX3o7^gx$G2gq;PvtMV0SHQR65I(x@n2hf6HcJM+T7tNcO zpSf^ebL@2htr%v9tr%wSym{~?6KK}-{zcG2JkVN}6Z|eeUMqqIhuJ}A7lCE3qsY2+ zUhBN<+nc}wT1d=}SV+wIyHvjO!@(C!h954zGd$M(jFIzm2`_Am+)IDZB8=wWvUQ3O zx3M7H23i^laT^OeY?%%F!B<SMl~1rUc$~X!EI{)%?>lW&oV!hIF28eZ{w>>CqQX+A zj%g_8k4_d9Bx6B~09hOlzGCW@0bltGnxkg#<Waf!y7L%#MTM*3NysT-EROsxA3@_` z(DlA7U|T_RC>qY)Ha4Jjk-ud@`{O|CeLX-6rugCE{FL)(XO4;nlD(j75kNcUG`f9M zbUKeWKWFc(QPFTQyli+Flv~a>KW7BpxdxJSQIT-uclp^_qap)YV-&!74ZH&{q=b$0 zb7zc70C$N>!1rsA88C_CE-EshjOo}ttpv0e|H47=_HxDxod*y8WbM^C3zBTEQIP<x zm(E}Tt(OMft^)EIcx1ox1!TGOLssy5V$gJ10BAX}V|NWJ19%oNr1_UboqDe;w#Cu` z;DuE#x(t^efUkZC;os(>5&+uO3SxwGx~PCBEJ0-^gL5|`3^|;;MQkAH6tt5T7KSE> zFnk8tZ0p#30JLTrlq5iFra{|kIUo_l(VU{f!w#MPLYW-#c&P~L4ZL&gjxl8bO~)VV zym<LFXd)NXEAr_40P-Jbh#b^8a_#m3Eth`T{7b^6^IYfsIt8##YY>a2pL5>tb>#tv z5-10q^XSY`iSX%Mq5^6ugBE3HIPh;f2AVtp&p8;rb!hqS2tMZmv~v1V>j6jpsfS!T zFM?N2Uvd0?#c>;>OY6hZ64%yCpheZ7;8peLE>Vf-bwdy1!@X`i;5F)?rPBvpI8XC$ zJKgvbw8)Wv%3;TE2mkX=J)m&Ik>g(HVHb|eC5nb`A+iqqQ;za)JI%lCfGfu}SI#Tn zuW}w$JnN$P)CaU(#*u&9vF}$~U+}kphNeJC0lex7G~WjbA*XKm+Gz=p7$}%vYo|ds zq|118=cq)~srUMFICf(!pOye8i4a}b>S-C!VX56dDl+`rKx^bAK<OQ_cDnH)$RnLT zDl(lcDv;IFES}vhDxj5T-SFkplAxLU?ma4?^4g>G5&B}GcYL7L(|f?0JUXAf+{6dE zf&yv7EO>$fG$;;U{u2O>BT(JL;0f7@0kf&Z%CmbP*pl856%TZ4+QHU<7s-OgZ9S0J zPrqgbH7`8C6F{EbkRvw`>w-W=zI5edU~um?0hJ++m*0T`6_j|Kx@9abzXp|Gb#{=l z%LGv%K6Byx<_IpVZP+hA=e*zf1C;K6LUwY1w^@9Bt?1GD;N=-!1_p33+=;aJ!=>|E z=Y5yXuV7uU1H?GbmrBAmdb}^;gPm*$ip|%hU}s=0mybc50WOyhW3%bvJFqCM8gMcE z1}dCPR5(v}#;CA>Q%eae=e<r7&^6l4pBW8Lu3+SMIo-(v+KUa^x(1#-2dBYq7L^t7 zP1eF27+VgMV%uiz+1sK5svSYO7_`sLw|9#QsG0<cgNi{=8!iIG0hM?lPDVHA&OA_3 zJHh#ZzeNqS?DDva3b=3wMF%9^+kjS@gH}~*fW#o_9<tJ0qO(Lr2U{r!D%mx_Ngh%P zf@&BYP~itUv{(bg0G(B=1IlEWr66cs0wf`bSb{3GSD;8By+G^+on&{Y`4M|(hzdvN zDF}n7`2jQN3QNc|NoS7=c<!Tj4R|XA(lSA$SuN;6R-hqUP@pnoF@=J23g}#$<{A|r z2GCZ>4Dc#;kLDlj{B1fs3=By3DS^s;P!A8J8Pqe2=;rY34rM@E9fh=%9X$VtvI08+ zz5+X?`KJV~rR)hPE3i{QAq<+YOaL)bKogga-Ta>2V%RN(PUj%4pRa&hTGRYf7MG<J zD3;cAyQoxj`>51_HiUozy#f~KHSj<O&DVAIfHz};hHXJyq(FB-w0sE!x(j5jrUN*< zpM#EqMXKvsz(X*gv<039?1u1-T~q>AFqVpUL$+ZWyQqY$U<99K0-9%F0BxOVe#F@A zqavXQy5dHmyF^8%vqVLu^;?NPs3cS1{HO@pprxXC@wE&{Kn0YYOH>q^A2PzC7n~A0 zIl5g`RKWA#3j8gg(+UX2I;hc%x`Dw09sxFZV%-8I0&GB$ZPDqXVgX{<bh@b6;EQ$e zVo{W&CIGio1W&9Bpjaw`ybTBx>jJP?7lFpQi;6*~kBSLsf4%`cviE@3SrQrFd%%af zFq8^(L-t35V|^+IYA$O5&$3|Fl%Tz|p0Jv-7qSiry&l`oj#ORtLgs5;*0F=uMzi~N zvw<3opyPcQ4?1?9f*l^z{P2P13HNRu0q5=z0hZ2#j+bA8mdeZ4IXm`xfm*&C;Fd3F ztAGpVS;yWY&{}%~&|ZPg@1U0MM@R>w^*`t|!S}D#K^<+-#Pdrbb_NE}21R%;qZPD6 z(WUcj=QWqk^PQiYAG3ol<+;u8@|p8oso=r4OopH?3g<s?C0?4-{6iMBX9wg46Sy0m zIdXnPa>FNV7Mwfyj;Zr{^K*7k@8Und%XJsSbD%VCq5|Hb$Wp?}d9Bk#rTG)1;mL0- zDvbOtr#i7zR-h#*pwoXqV_~3dB>`&Ybe`k9#@}Md!oc9$>%{^Z<&5a&^zDvCK9>sK zv4++_j@>%OkY%W#ZB81F-8IH6;I_98C`+jKhH*G{%LpTOH9Y17ZF$gWJ_ZVDW6<6! zQ1!&W%|``vo3;jsq0{N3q5~Q$0Zo2``T)+|CQO~6W1qHwYXIkN5k_z<c1{7;40XDY z$h3h6Bxviz9<W-Z;Jntk1+2Wg2Q1SK;dO%RoGD--P*?JM^K(YSOU=*Np{hYg?()0* z>a0=W0XYdY?gep@4aiCFp$l!DyG<A`zia*>+qnh2`y1vY9k`R8a{ldH11|F%n-78d z1p?qrn~-u2TKaWEwvKlmgIEu;>);C}P^%LZ>&?%=9WAID7nKBl7tpRf<edl}pc(uG z(1H)7>n;#0vwR>0GNc0h%!isaK_}vXR;}Wz_`vIxP)Z{QcxmJUif<fyxExSQBNtG~ z=g{e*;s9cRPLOhO>}Cg5eDDoFo#!AM$H4iwTgC`v3uv#4Pp=!x<+q^BBi-xA(QU&8 z%f8P!fA`k$blX^6e$M$9wDc6zvj=TGZGH;A=kH}_jtY30Afy!65$ik%Uc_qQ*li<l z`8_D+YE*3MltHG-z)giDR&W8%Vg&6cf)+4Zbo;2-z-mwnXdIn_booIWE7?Jp*>}3A zDDb;n>kLs*K`K!}wJ9i;6hN_5fGAsGu>?690aA>D)PjrAB3|^kssJTMP)P(zjG#Il zUURyr)Ih6E(86Po5>TTEq#Sf=1xWcfNGZ%%0#X1H1S#mQQIP=Errm46g+BD4sm@o5 zpk<x{ou|S5caaheP^Busd5iNO=S#(pilA1qh~mZ9;FF6)AgfLVK-maXy^4UVR{{PO zNzgbNp|l0wz<`pr3_!6CjwKU3Y0Cg5ZJB_QltHJ9iUEjW(&?gNLZh@b2RtARPhM+O zzJSI#AqfkfsOrGU6gffN1vwX-n2v)xI0xS{fz|=90S}-ad=I{%4Riu`=N=W%z$sG7 z0gYONMzKNdTF@xu2L=WPZ0QFyoDNApARXZJ6U&X7ejrObF{|NX<PK}^6!6kk)M~iI z22@Rhy1AfJD?p2ekh_!6e&iHzj~B7QqzA%>?l1uj5+bb%bnTY+?PfzgJ`cJ6cGNuW z+${sCz(F02*O0Ln{=Ung{gS;-pjsTZulFWo$Db3ZZeak|;vYagPgpGu?s~p|xe2td z0&y@EBsYLE2IycCP=*0@lP$m%H7KkZUizSK;Q<dnfjZ^^Af?FXSnc?XvJnQ-s)d;i zD$qe15|GUP_2vJ6P^$tIlrQ)E`~M%a((k<6d9Cw4=#(#Z@IW7Eobv<cxl-YSZ<#K> zhqNocgN-cB2lc{04GU1Pz&8Wmy!?RkuwySMSit+1!JB~*Ef;XG+&K7x32gPn*N|;l z-}qgw86F0W<H;bJ7MD9^$ZT4i;Jo15`IWyVkQvsxa8Zfq<^Z+2Gd#Ktkor7_5B_F7 z_!xXJBe;*-ts@5-34@f^8r?N=NbL*NUT2PO7B)ol;sGakoU{2bPdAGkw8X~Wr!`>$ zr7F<iA!uZe5t6!K9a)TE1oe?QkZN%7$R22GHamDs4^+&;S}mXvdJr2F<B(hZ!2KoI zFn#k9aGQg<c?q~x!tButy8n#<l&UcMwV<(oP}h_Jd_Z$3I9bBGwn)9&r5Jm&1AIGQ zfC3p2)&fXjO}9=h4oj)jsclYCsQ^Vl4Lc|TK-DHF%Yh;Q#0Et`1*nV1fF1!LSx^Lk zPTxU}0L(rvo(Mqc=K7;;{Du?&*t@yDo$tu%=_-NhVC0@Ixb{_n)V`peE^6o27c?CX zseM5SA;Y5^M`S>nM#ww#hfW6<bkGSX1&FYN!W!I`0NvLB-V7;#CGJyH449i!R7?=D zj-#`S8u2f=KmPyk*v;<S&4=9IL_P!u%kFepVt1!Ama;ZKWh~Wi{vk(jUpnWl=0}YD zt^DBL8fYszX#GAXXh(X6Pqz@#94mZpA`9rub_V2a=q4(NUFa;JHkKOJUFbF{;88*o z*d}xq{%t%eojfX_&KhXZJ@^U}@L;ubw+U!ZI;b@DfbC0{?F>=z0nKoM_U7Bbx7maG zXf-Mx&@(DP`_n;XsZX~HbcYdiP!PNXA2R3;nP&0<4b?%~)yU@wXn@+&0m$tk&rZlu z-_12DI*^W!2DmYlhtcto0ObpU1tfS36s3X60dJu4fC3Lk=Z6E-;)5Sx!2@cSf-Vr_ z05L%KhVkGV{~^%h!a3@P*5m4SQE}+@QE`FwSwLG^Ks{gZF)*Osic2S?qyh!(6mS<7 zdRBu^FL>uLs9H(@wK_p<801g}S4GV=Dk+d4P5=k730i*zGLQ?(nUDe>!Z&tNk$?{N zfd+VuT~uVCgMFaI#0<zq`8OAp3P^tiRAhtuD=pxKHr<eMN|3)8J3~|oI(<}1T2Jz~ zuro3+aGq#>$OsYu^`Ko;3SNFfZTP{qJ@(dswo8<#Ah+W_en4r*!M0TO)~Hl~suScr zIz^vQltS9pp!x^YoCG!NKpG6d?K5y-y=?h{q5+>X>``}wL*fW#HYh4UW+OKzC;j~Y zzxfk5D2}_Rlz^0WyQq{vcOn&lhJCml!F!fm4gbI9aW(u8p5#03+WF6=^MecL5B?Tz z&;$c9^#$mp3e*8nh3*(5$e9)@@C>1YbfODr5F`ibM3-Bj&M_z-D1bZ5+gwyY7j~$C zW-vgl9ehI(*ak*nmLmp6F)c?7jDjr392f<q73AVZq!Tin3CS3sbE}~l0~EWU&H^Y? zfI890nF3z)K+YqC^u|Dn!MVd7Z7AGD#e%2;2wbb76hH>>@J95IF};lFA%nb(rH70h z-nGbc9?+&ZxcS{}BHtY%&jL9~N48ED)biFrI!Wg#=Q~iV+eRLCk`8D9v=eg5Gia<5 zeDmuRa4#7eg7Bhc4|vfSC?HAb)9P?y>(hb?4R9_4b;coC(bz@BVg+NVR5#?LPh%Gq zn-z@UUD+Uo(6R)?bW}X%+WNnQ+m-X5qvA0~#pAD;T@C-cbpH5$!KL*>2~xgcM#?Ur z3lNBiz83Iqd2oJ2w6GvsWuTEb2Ygr}DBoy<PC`PD$2DLB;C0EZ&M9E^{M#TVcS0x- z7j&LsC*<fwn#L@6VeTXFL3|fFr>H<y=YlR_VF2X~4MxyyWIf<Tx;XL%Xvr`nZ-5ko z^F}*b2c`vbc0J+Z2(pzPBYZ)pJix;jbb<q(@CB(w315(UP=JCYIw2N-xFBKBrXkQO zvF;Y|SqGq!it0HBv|be{=YUtv_QJy#G;$BhG9Xnr!WXoh7ZSc8#o+Lb#^|4b7Pob` zsDLPANNBHM1QosvrNZ4UDxjrs#w{wKrFP(xs!;OCaYw~t;5_2^{km)GKmHa|;<_5h zJM7@|nUL*t&=%Di@Q%9XpAtUM0Imb)3G~y!=76{4!Q%{c^Z;ll9w?GPo2sGbXoI*Q zVbE?J(3lZMWZ^s@2vp1wIxq}c%z@UDB1INxu`INh17!x#;$%={fmESI*2_96&{DZQ z;B*A)T6Dhi?e=A$MfZXU)V*LTH6*Tk0Uie8Z;c)99gK@Fz;!;@yRgA~+?@;;6|_#q zNl?|nfZoYCHrmNhaljU`csd%;qOC?H0kqf_)Vf8^DzIQi>1cFNyQAT1_zm3G0GEIz zLdPM!3}_<(G)x3Nk^<a$0(UrER7yZcVS+}rIS+zTl8Z_Sq~B5C*m>+FXpIcYo`3LK zAy9Wp!lUyUQm>%mBTBCT(nSK*XQ1)}K8}c_VJ&LE!$k!=egiTYeB37TX;E{(qL~fq zmcYygu|eGk<SxqAZ)h4oOP^sHKy1+HKWH&Cl6%6@`zoL+1*QkY2I&D+rAT_3F}o|S zhTmK|KQw<}Y;{p7DB*@2$mweM?KQJ&=XaORA1<IpP{Ne-QIJlZ#JN-l?bJ!?^-*A! zBl;-lm0FAnqK^VP@)EO;f-PSW(NBSNWRUZeXD77u!rD)Xp<+J;=50hj1=HJzehSFj zr1VoLtH8mND$t$^xE26Suz*^$pcy@ACGLZ0c!6fsKm`;DeHB|8^i__#wtfTkRlYka z!rEb=j05Vc{J@oMIEc$OkgfzM>mv0wp?wudM6Ln%J>YF=^thaZ+E)R!%RrqHP(ut< zXpq=fp-$|AdMhZgi?zSff~CI#&m&m+D^qCEUx9@&sH1@=j6r27O4}Et9u&qP2@?A& z)CpryUj!wLvG!S7z}HmaD2K50SyCwOvmoUaSik<8<M(T>t>5`uEJtFW1y<yN`XeY2 zhPB_)0>1YHEy7+N<E2->g$2}aVJS5tuHOP#hQr^QINUof*w-B)cU|BkY&9wxphZV0 zT^HZZgRnL=%KXR;(6&URmAWn}5=4w|xu^`Q`4Q}4i`jXBhA_N&P@|FnTBAc$=Vb<{ zHbx3)NRyv}S(1V4yeN>`d5I*x^U^_T=Vb{Qofj`MIxkgZc3uvF;+^n_ri%);2^L7( zAV&o;!GhlS!Z<R2aCKg==Pb<b3p8hedcUBV6Hu-~pJsuVV6g5BPd8*SSMv|{vOLt? z9TqP^eQ2V3;(Wi+I=>*Dpw=+REg&|iw~TylvnTrL$2fc9L=~ji=6PVzJ{bE?2j4M) zR-i+sdLXqgat{g`k?<lCGTQ@+Py9V7P-KGoTA;`jL5@tww9acCq{xH_!Qu$Zhz4cz zLP+gLqWfBD#O3l~)z^Zj1W;!SH6?(0ThNpM%0{3GA5aniDMW5qB4uevZwr(PK#H-X z0?;_rYkibd01|?w0uUQC<OJ$*B8HrZ$bpcV9*{98-Ct0z3O+pn>S_&%t{FT^Kz%dR zC;@fOkfH=MM+AxzkU|_$0_mKAq6DNEOO$|y`Ou;SBm@deP^^R4peO+aB_c{lnSDaa zi5NY(cU14mQE}D@=S(bRJ#TpQf_ieO(F^LzAw@4}3JMgxAcZ)h7j$qALn$bFL5e}q zn}u5Lf=;GDUo~E030e^j+A8ePI|XtVCE6`fY?uE32dROr8V8y3^5{j#x@R!w$VIdo z7bFTxG$1x8(ST<35Q*kx$$yZks9UZ}%t7`*+yXv)1$FT{e@pd6kS6Gs>t4tO4c1_n zu!5|631Uw`0ghq89?fqgJUTyncK+LE37YeTo<rx+yc@I!oq@lFpOJy#STpFHX9iq{ z_akkag7%Y8@7~3FTkiJ@t;b8EVRsJTJaflI1-cjp)kp!v;U+bZd%s*%L_B(TgW?v{ zf)RKf48A)7`w2nt{v4{ISlx+aC<pwoU^iT61%tu~bXM>w(7hC$&7d&!Xg(ql?HG4> z!h{K^;cYb<-taSZYE(EN(@Q+m3~$8!8v!nzhaEf5dv<>F?ELG|yFNmOjlrYyd*`*z zQ!bqcJv(1OMhkv0mX!JSF8v|H#^Bhz*F%<#fuTeioG)E8e=^?m0H1y2()kOl+OzYR zi{|hDhkZJqyLA5Z>HGve390!9W2u2><Ix09J_aA?c{QNRnxU#3J8yV2AK^gk5_t*A zov1>f#4=&R1drow0^qz0x(99__|TfhV?S6J7z{5No&;+{$<7l%xmf^maj8c)`o*Qt zD>h)aV!MEj{pgOdU~#<s!Vz@Z!DB{`?rETzzHTWO!^7P{EH0e4I<J4f?#Ms&Am?Sp z-_4I1`KKH({NH(#^P&U))Z;D+CtUcqop9|u<;d}?#L}_(0i$E{XGT}f!!C;VTQ7Ci zsBpM+`l#@fsJGrORd(dxcF2YEysP3(u%L_L4aFawE-D<aIpGRH_fB@U9bjc(=yp-z z>1;c~3idYm?5D<KD_B8GZd_D2Kz;{@KWL9YG&H0j;o#BT20Cr1o1?R@fQ^Bn+kvIC ztpqf{+FPgL(ReI^4H9yWJ5m@J7_fw&W4L3OV@PlSv_P~*&7cCH!-Dx+IvGF(Vg`r< zJ7U~}<@?1}j*=*!-rb-hg<xmzd2~B)Krd1U?*j+B8hpb!D8?B)dMASpoJAaR<k5K? zti<pe$d5jtV`CqH1zt;dbT@+z#QMg-SnB2hu>~yS*^RR(0=W=W6b1YTA6pJ`JuH#M zImRFU=D@;OYDKDx!4=O-&;S4bgY@&a6#W1HpFA^I|Ns9F&Yz$}3#)=`P(vIvh{V9( za+?Vh;-DM17(BYWLE+Ht$kKV}`z2*TaNcy>^&jM*LyY{bpx%+I;cf7`&jXP9%BOob zsA-0@G33y}U#tfoF*|nKh^vAc(wYYy!RG7*O@&{6YWR(R@p;fu_zd8Q@?uLz<s5Mq zgg}XgM|U$goe6Y%urxnp{C=tP#P<t|7gjKqaDs=t3{Sph>O8@D!nNaY=c(_PS`U@7 zcAj(WJn7PT(uMOTXesKA5=qX3E}gf&UvlBRrFh8k`$ZSdbBfo#UvupI<kEVvL<$<o z$63HbkI)0GUV<)gBv56-@^`n0L3fP-%jH*>-*<xVc?C^7Z)9{eywrN3RO{OzM*b-W zTfc$MsX54Tu=QJsxC8&xW8V%jI`U6Bz;Vz~;e(^W0ayNQ7x=dwbm_eI{Teug9Cv_1 zy7d@;>s|&12E|{lh9|!rVgv`xeo&e&DZzEh8d~Yotz*$0V!?9xE%<f^kM3qrxEX73 z2e5Eng@!Je2io^>;rj)}7ohW>82Mc<c3y4%$_NSu2Fn+vES(oSuXCOUg+6#2MC*@I zR>#hhou@i4x^P}}={(`Wd7(s%^PnT=Cr8CY-!D0GUUXHw==lAjYv&1<)`R>lji8m3 z;H!c>x+jDB21uy{x_t<mLb_Rux<iauF2A_^s`&vU=#C)7y{6q@$Aj+572pm8T}dQT z!Un#fQ~*5|OH>3vS=(_3=!#-jL&)SCo;(an^PoKZ?+-W+gYpBkIpG)|dw7CRcN^%q zP{(eD&Nc<`VF92LPX#n>*9)sIIM^YD9+u(`)E)tqb^C0Qvt93Q&;ctxkOn09fHiPQ z<I%etv~nFq0Cct*iog%hxB$3vi^FCgIKMlFf{IwQ`nW<KUwtg00IrWS6ey~XCj?Mh zALDla3?+Q-w@?PVzeAZK_a6wS)cqdE+g5<;El_m#?gK@qN8_;x%s3NBKsWeCd5>=N z8|Be+vxr5viv>&P^~>)sgBG0eFFsYo*Lt9Y3wAo(Yu(O+%^w+Ce?o*n<?L&z)&u-g z4|cMER>iZF@NgXD-*&RoMTMo42Xq`!XIlgdxFNvO*%kwuwCUXkN^KsE#~eWS_I5r1 z<s)#yj6gI20*Gw;bkoAU9FT?!OJ^Goq~QW>uYJJnUQl}jRQ)M<c7FHhZUYq~-5xBU zDnnV|+abmhZqR%Qs7u4}I@0h!>q-8p2Rys`K_^>w2eN<)Wo1K$Z^sx*IKic#;mOyW zh9_GufkO3E=XLPiMI4>yzz4SRlt_RtQRe78{{1@VamDZ7FL7Q}{0VBGId=Qo_;$Nv z*`*3z8t>R`BH`E_A^}|-FH<KEzVF<I0dddlbIyOwhj|>kZ6rX;<C~wcgHAdsQ4#12 z0rkmZR5(Dp)g(aobqMSO9V@j9M1Z#|I_?A|M{qUk)A>D%F&G@9poSgjbTY@zb_sTH zB9L+HY?lEYk<z;#bY`(<<8cOXu?E)e)A`T0^8-w$LbM~Oc>`_`2EfvvM|U5n!uEia zm)#7;jGZhh9^KO*f_<PuwA+(~+Y7wmtAv&FL?;U*5uN}Yh0=EhT%y(`cr+f{0CEK= z^?|JhTZ%}4!9FNPI(Az*g4)sDoQ{e=yB%3v4G%bW9(LrsTq5GidDF35it{ozqv8!m z#lwz@2SIC%Z-2k;*zLr^dA#{KfAb@L@IbRicN@6H!=d=I+ku7i0;u7@dEKLX8dx-n zg<DGTx8e=On~t3aI#0Q9o^t6t;KF&MM3fWMsBq;x?WlP8`$b326RwIkKvo>+oL0dB zy63*F26TK4q+y)E0V)E(K8i*zw|%<XK$lv8>lY19&|x&7`USi>0bYCvaDwz=?T$>q zU0&``#aCWNsDaDN6>1cfml_$AmY2BQe?tSG`ztiT?mwYPk^3X^Y3KeQ+W6c*LkH~s z7djNVzoU$H?w8QV=l&h~VE1zvP~`p{wX}1;g%LjY-!KBZU&ELp_bc?#&ixUl_}u@) z6zqNv&`sebWRIT&Or@RsD=hH2U&0dX{tQcs!oOh-?c6`Z8lU?uY{2gCu%XEPFV@h` z{X6XNxj(`l?EV$@6uDnw3+>#0!x5kRE1baYKjB1?`#pBk&iy}J@VS46E7<)nTq$yY z#Ua|cU%~^Q`*(PN-Ou4kk^3K9qMiFKyz#mJhBwa@=cU*Hz?-0#u&2Gl$8?EK={ z`FEck_?DpO;MT8a^Bxt@peF-=OFjqa0&B=#PRC;{Dxmv{7#zEOR7yO%OH`2WYPgIz z1_ylJs7p7GT=Sy`nis*>M*BdnlwQFAx>DLj4%8?wa0K044ZTG=0eWq<k4lPTcaI9_ zdLYo$7-(G@wxc1Sn@74$^ubnuuavg%=-dOol*pqKa`LK6cZ@zuXAk5MyUVXTKSH*- zfKCR6uxvn9fwl^IcCP_%C`7XA!oeS`pi7>QyQqNIxA=BGa_KH%WdPgfc=?@6XNih| z3+U8EMv#4=@fFbFw-wzXtSp^1Dh8cB;4OidU%{^OHF53UqXL?ccIo_i@F(cxM;FGQ zj-6+kA3ku@Jnw3F4tD9YXZJ49uobAE2tH59shdUJrSm8FLRbw)L&(L_d%#CxJ9X!% zgKmA+aN#@zQUbqWSI4z`73c;7m(CZEL&{wkUpRIi1fOAl)bZd?R>y-6nSDC1`*t^h zrUyWFf%o}1ce8M~biM@JB>}O^xjTmgWQW9Mxal&EhTmK`Z#gzUV*~|*0%$}pL`9{9 z!;$kESO8=mI1zNas3<f)VC1|2J`)vmaTWt8mOyj5AT{81N8emj5*R`E2!NI<`E-Y< zRDkdA1sxO20J@G5#PH~KW&s^Q3|fBS(Ob&m*&D^;*;}K+;@RuS;?iBBV&T#qqhjOQ z`VFKCwEn`Qa|`&+w-RyJ*4w2*Ft<uLHa}*3DGWN`4HmPYnQl<J1f38JwyX1%N9Wyc z8PKt<1(!jmKN^7C3|c_|x)K58gl-%5%b=qaLCbwW=P`rE2@OEUqk$MEAfJI_4rDSY zc)LZIK({J0Fff2GB-iM+VY~bubml)uxdu!h_~2r2EP!wQ1)bjy8li-yBhWEX626^> zK#2_$jxOCUrVNgkUqEhq_fe66XCN7n{oti|pg;xf=Ym|&{1co@6bx^GJPPtnw~VIY z2hd8W5)}nd>Ur(L4Y>}*k@E)V9IY4?6_8P|gHi-R2?Kmm3h1J3kk>&5fx_CUTSgFO zk_SlDYq$wMh8G+;e?aB|9YFI*;NufZ*j+defDTjynGeohuAr;FL8pE+KY-ly401R4 ztZHybesfVVU<9WP&<0zG-Fs9(o&epO1qu(4UqJ~7oOVEtV(9i!(dc$j(dj(r!uhSU zL`9<W5NP@<L`9}VniF*Bt^{aFJ?Cdf#mnC>a-MKhJnYgLqXIfZT?Tv*pag%*QqT$w z*yZb>tGglTqy>EE7wE2LAC&@dUU2|L88~IYE_-$WkAioGsDSU=hTil!1zbLLyNEKt z(gP@3!M8kvrj?;rG`pyv-tr7ueF7SZ2RQ&#&Nz0n2zA4g1!VP4w}>z(kRcq1)l<NC z4TC}$dfWFDaGBxQ4Z2<#Qk+C|i-?1?xu`@y^n|E@ulEL@7w6o~!U&2?kP`zCQ7ys< z(GdWWdj-k*A)phU96;qC2Z)scy2=H#u@8K{X8>rFC<3&b803+Cpvli&AOd!RB<Qqj z*pXoJ{B6phFb7pkph5zC1G56??qd)SbekP$b(ClC9`L<Epj811FOPyW9Ea8jFr}W| zQ^1!=cRR6w&Jt<mf?TO=0W$(}r81;m!+u?{kBUWiiHZ&A7&}llP5FKiG|r}Yo)cP) zB!EH|oOT_)9fzKyZtz+VcKfjjsCWSliXI2K9F!Ohx?NOET2Gb;BW`F0m5ndYf`)Ek z2c&lwv2<H;emA_-{QrMxXzMqSILMR|*W)fK;1y=zalzMCNXy8e3vUr#-vahJC;}9~ zQ#{~G{pG%Y|NnP~fKIA)Q2`e-;L*ks6%FusBfCd$h>FB(!PZNl;0RHXf!!o>oC#!q zcZ`ZecZiBi=RMAIoh2#?(6LCB5;5?F8492rr1<0eWzJiQpkt9hH-11*t1c-5t-t|A zDEPF+P9GHy__fVG$T8^wUy9+X0h(eJ@aT4M05RB)JAm%ZV}P|kK(i+q-~a#j>}&xy zQ#=}vfQ(1Xz8?miQ4hL#2z2i*s8H|(T`L5-zWK%m==IIuAOY1Cpd1a7&HxSYc9y7A z>~GkJs^%ytqQNy4%p-{Fn?VO-+d!K`E-1|*qy;qK5)Ad4pc+uogt%Z?1Cph5K<yDo z(+6^L4pMsr$vkl6p_?axym|(F^Mi|u49Gl4`=$lGeS>5kxUN7qPXXCHP&(~&QBm>e zjZrZGZOl*r<!K4f5mp-eK*PQ8`*!bx1J<{9j|yl_11N)9KyMiWUxf;}$PTOq6!iw+ zK(A3LfL%G%c@HcHz4;J&9~sCl5AbzE-@(@mJp`)(#R~YeDNt5F0iI5%QE@=byh9X0 z*J>al)u+2er2=--kq6{{ZgA<0h+Nb=m<h!$xNt=ey$Iyci-5##3@A1T$1b?+MK>=1 z*}MRVc_AS42*ob>*O1KtU&IAkfd^Xl42pvQP<%&Vi*Hao<Gf$FMy0?9bo&@e)Pgpo zf+l}l4Nrm^RiI1?zHb}67{R9#7R4<ppi5Ull^-IO_khI+M|9^mXc9OIT1*XUQbWvX z0hf;a+u)ZfgQPlJR6xs1@ke$G_|RLBDX8%SxjhUKMG!tHmRi7v;({WIP{c!yGQnmx zXgLG2*&sf|Y*6Hb%m&e*<O^OCLDf6O=BTUy)vmog;Nk-mX&~D{bvY<(u_uKs;O&yI zT;II~-2Z}|A_~pu*b~G8M)>8)pacO{^bT?BHgd8E098s1pab@&s6aCR9u<%n!DIot z{ig!tcm{ChZ&3ly#eq$NCk)t?$snoD78TGkQ$h&?wCEFC<blMIBM-!fMBWwf(JrvA zF7EOkvI`!Y*`Vzu$Yz815VJwYei4^2K#OaznGF(0HXFo;n0*A)T<M*oG6R}0Kr*0& z0kR#GFhD-Ul`ud73QHKB-@&l}O%NX4H7W(LyU?M<Kgd+jedw-+Cw)PS@&02kAwYB9 zATJ<Fh&kZf%@IifesvtQHUPCz9YAeVpWYgk1jPKM;Q^49pkxG2dN)Dd0hK>shl0Wr z)O`nKG;oQ5HKT#&JwYo_(5@Q<i6e(6hz|+RJ<xOj>aKY&*)%%c>JwP5sFML2p z3?iEi;zP^^9RT9dI|qFCH>e~B$$-2FvV9-uq|jX;!tekrfIxM!0t;yJ4?J<`)A<kV zb&xP<>K=M@Hd-{nYv~ph(4~gZin@Ca`05Og&U?t&7JMrTII~Sr0WE|99eE29L&O!V zpg?vKXx1IPCgtVruh44&!E?bN0(?Ip=(azY2I!&|=<+IPn-cxfz@73)_XC3N`G(Jt zBIXA@8sC7HaD(p$?8^b)57?Ffz8~-;Z2ab=V<+-as*ai`ox5!$oIz9TE}bVYzXu)8 z{8O&Z)Db+tZUQ^@`y}WZ3CCV%9>;DOhRcsRFL&N(e!z@;r&Q<H*W#elt(iM7LT;e~ z-PY0huk&)}U$9!({ZE{yOGRPVOua7QI_?4~&R*v`Hvg0ZovZE4;n)p7SNr7U2b{+t z_9D*JhFbwXR{P(@cc6g@X4uhChTwH(B`O@?+d6nk*uXt!4$!(z@VHL%2T<pT!?QP< z<+zIq4=8y$c26?_U5|GW5=x90JI^2d&+5{v@)soAT%*DPx*rd;xSqe|9;h&Zt-5mQ zd;q@s?cj4(&0n1tFN4M!1V9(aId<o;GJp@(7is<_Q>W$F>!N~weH-YYYXQgRBRtOC zE~=nA@<82I5zsNa{LLvUBK)A{BDf*$+-<@PiX4#X8cy9J%#cXx3{lYm-HnG4U?77v zpr^h{Tz<d_>fV6w7X(F_i;6~bii!?1e+x5cND0&p0eAO6U15utq98HQr4FF0>m0z| z%VA{z-4SuB^Wx>VAVn1*|AG1;pbF2mI|Ot=-mB(cGA^B$JKxo*Kz&+*xFYWv=R1%f zk7IWXE5qf-E}WNrI*&I$=I^}m{et2LaCgt}+X{ZieW1Vw-HP|E^)~40JD1Ln%^w+E zJ3lynzu>r?(WUiZX|8MQH%I=d$3bBVv&jWv(*w?<P@7y>89<lf9dhBk+WeHi`7b~J zlmm|64)OC(J*aTdk>hyhX%~*qC31$}n*Z`U@J~6$zwI#pwv(<L-(5LBe80wdPVtzF z;xSOe+rhK*2WS+hz>$C3@$c7KKk&DBf~pZ%3IJ_(s&GQwi6;OWK?8*h>`pwHP8Sss zPy+A)B>;>I@t_GHL=|=;o(S?qLj0W}kbMQP^D_B6eN;q1E0!TQ;_-NPx4mFyV1Qjy z$KR3!TIbWf4^&cty2l*o+cQpaf?6Q^K$<{JV2;<xDBW^!rxDZ*2On@G0FE3;fr@HT ziLEEX5({)oQc*1d^`}6MAFx3$wLmAe^tz~6yj0+1U~ul%0jKS^&fPXlpnEfa%60zh zd<`oIWDo_=W6sx*g1`iH@4!FM5I`w+^J7NH@kOQPj?F*iYZM^m7E1YX6rv4WejMin z-4Vp!>dMT((EOdzvGYGDmx1?-Kx0w|bjus)q8$!IOhRsXlj$r`;Q_@YW>yB}Tn^-{ z49bE$phN3HS(%3)o`apcMVKJD7v@70ryfJfwcslRn46z5c9y7UzzR1|o`;^71CAw7 zCr1OhUId46bB&4(<gj3i<{!-bZTg^<Lnt|2z_GgzROESfPXiTeohKk;?u;iop$kqy z!2<1N!_N<_QBi>I&I50bmcex&8rsQD%_%AhpkPqpckBk&N}k>OK(!K*r8swJ$<@jB z5;`6b8b%37VU&UtMj4<mO28IIIgl{Q0EZE1l>qWqEXX0*9>zW@0)_{^9b^QL_xTvR zsEB}1X>@7*!QTS9p^}(@gbsfo1*8N#AZ40=;tWU$q_by2RAib{R3tzFDMQ<U3_uFV z5Tt;N00m^gO9}A6g^LQu%LG=?Vyre$L&LYb4;-cN>xEF88CXtim+QRNdACm9u@`h^ zxeoly@~5149eayGw~H8pZWnR*c8sZ%yZI>-<V59Co8}+#1kY^;m)o4Tz^AV8w}Ohg z=1+`{o!5LpQ!?ElDh}OUptSGPJqeul5v^kQIquM{S&rQ%THx6;aK+AnILVy{6lv<c zp&X9gHbRK2F(3!7bD$pOj#3QBfX;4z4Li484(5Loxc{GVeukC-p!3=xWx&PPkhu*F zu#dp&@j&GOeCJ_}iUnwdi*Pyc5VSBFwHyGIdJf$kpz!eMo&XLH`jiDwi$RIUqk9K9 z@gOXwtUQ1my;Gu+fD&FQNa2+M3NONC!W~d+2qnBgH@4v^6Fz{G9)C*-cq)u=2|H8@ z23Uv&poC}$Qiw)?LNowdDH{VRWh20)>~B!(86`vw(3&tMhDa?71N4>!H~9J_c=N^J z<-+g(|ARWm1}`sxJ85Xmp>1G6XmbcWQipCLXxoTqH`GMPc2(c+D0^k0n?FJItpK>q zglHAL+=_ariHiz&>Jg+Ae4#M%WX8ImXr_W^Vn9m4S7=Benfem6ZxeDW0C)z%vwIq- zL5H~RsSnJB-u49Q@*&M6ptR?}+g3q#V7Qza{X`AiF6a1*(uGCoZ-V;%;Qr=37tq$Y z?tK=lpmn{Y_tArI0vWxJ9_M}ZpzR5rc<-ZUU>&`We)K;2j6r@M{Q=MhA&mRz!2|rb z@1qB4BKbagkS171AABD@*pl85ocGa#G=M5BeD~3V%s{GFK-|&$=xJ~tJ*ajB4Vr<* zu|aeFplT9y1wE)31dXaifH<HM55yV0j~;wsALwdy&`=suGZXXPKG47tC{Rc5qwhR; z`7!73&KQ-^`{+UIeMax27wGm;5dq!XH8}302USO~`is8>b{{>c#sM8`1X|UE-Zz8Y zM-S2oI-dxnX7oP#(fjBbV3)s--bW8!uR3}k{pfx4Dk0D-*Fc3Ns8Ix3LIzsl0-9AF zy^sDM>%nKtpn2>Q-7-d?$(PQ9mtXtzy0Kh-+x$Zoa*4bR*G|xsm*&CC&pChh*70=P zSY3Y3`4^tHhR1#Mpvn|c4a4rEhgHL%wNa?mFyuaZkS<Ua43@uy7^{SiPePWoAv%&h z;3aOI&=E?|AR*ES<>-C%qxaE|-bc@XxEQ-e1+!lZTIL4s*N)ytkF8TXdLO-u%IJOc zqxaEwkKRYmz`y|A%mcp^dh|Ye7tqes(fjB}@1q~Rj~;Q?Bj|E=l=ZdXfl<)L1K9dn zcJTUI$Oe|t`{+mSqu&P_NpUs&54sxlp9|>B+#mccqxaDt;CBJt-U+&K1inCYj|%7v z1E1c}`{*?fc9WTNK)bZyt8b@(_je+NFX-?HQ22sWA@5g%H+vwbwJ?+lbhm&{ni##0 zz6E@wjpOKj^grYXE}Mq0m>#{4z88K`x(0aBJ8Eu&9GE?NAH51@Cj;BP*_c;4Ld!Jx zePyHf(Ysy%P1k@HyMU%^Ko>cI*r3H*$hY8)-be4E64A{8+B%To(Jg?qfr$8BOQZME zL$-*)E_4L76+lyl;I_i(ee|IH36RnsUOGW;Qv_{72OTSdTou4V@((D}f?HjnbH2bK zIeH&G`2Kp(UFo3nuSV~q2bJ%j)5JhYWf)v~2-y(?IXDGrQxJ|m%jkXd3=BwnIj4Yo zC7`?k+Pl-)q5`5o+#Uu7hECe_Szr+c+U$=KVJx8S?2sZ4)b0QscmgWxK<bdoI?v9N zqxaEse($tVLEYZT!oQ72rISa6f18gA=!{qv(9UV_UMlBq6VPr?(5Vd`upOVWogped zb?T5^uQu>qpOB5C9!NVsL1#AjbVD{F`@q(4dSJiV*{9P*B>*&98KQ!`C2#aTdIko~ z6Yy2h4oDd=1WVTid)Q)jUZAVd;mw25`{;@5yo}yQKT<m{*mKtCee?&xixNTg1$Zkk zQme2=#Q?NM5qyA<3Fs1R)m}G_ZW$)TZAXxu9tJ37nhF0l7Zrm}7Zn2#!=%$i#RPPQ z31}4&l?OoKcOrv&L<e6nA&pso0iDVY*}DucA|X8}&~aWM^~kLX)E*S*USwzws%-Q= zdQiM;V~h7WDxfYEQq)fwxV{!VC4i2SMokHz*&%3U32In^W`{sY0HhE(35?!HPpixc znkPby63~I6NKpcsPy$5>NFk0W0i7QRxv(6hxcLV=dd38u;5m99J+>Ykt;=3e4-GYX zL0vhd=mmA<K+y|Qh$DJI2ZchS7o->zy*Tcp2d)2xtr~~iM-N*u4mv#(b;US;3+z66 zkS@@wagZA7-A4~H748=Bee@8wU_IXhb{{=R6ZC{d@E!CXkU9#?2A#@?z=-oL(C_Om zh{bnbia;FrzLbPGitbA(;O3|BzHZ#^pOAph{RW9(_ctU`<o*Rhv~&N4WPI)qNCCTl zK?+6gzaU9F_g_fE=l+6pu=@|BQ{;XIMcTRlLnc1=Psjqh|3MZ-?l;h&o%;oH@wtCP zF4+AHc@()nL7#T+Hz>g8{tE?Q_bU`q<o*d}v~zzzF+TTyC<eRVp@bs$AF!pJ`wPnO zxnH0h?EZvuiroLfg?8?rP>Ij|23279H&jvNegz-exqm|qKKBRIg5AHMmLm5D1k=v_ z7wYl3zn}r^{sRpZxxXQbcJBYsgwOpGn!)aW&`gp0Hzd-|{Q_<H+`pj>?0$xJiroJo zgLdvW=)~v#3!Py1D|Auhet`noxj&!>pZh=bfZgxVOOg8>%4z5Rf_{AN7nlHcf5HTc z++R>fJNHkRgwOp3lfmw9m`suT7qrsO{Trs@bAP}zu=^KGqsaXidT8hV3p4P!zhEZV z{Rd`J<bH-Jv~&N5+4$T)VGh{+59UzheuLSxbHBiReD2>cAMAdH1r)hIVG-@zZ?FiT z`!6g4yI)~3Med)ll6LM7Sc=d6AC`jM@34#__aE3mJNFl?z~_E}m0<TLtfa{OAGXuZ z{S#K>bHBkFu=^X<P~?7v{j_uchIRPdAFv+m{srqPa(}=v+PVM2Mttrs*aUX}flU;- zzu_$H-2Y(<KKD=93U>d4trWR`!xh@OUtkA5_ixw%c0a>TiroL;HtpPRup6KIFYE@p zUtteL?iYAWJNF0d!{`1F`@rsZ*iVuB9bVDS{RIc{xnJNA*!>BIC~|+nN7}i6!V!G# zH#iD*f5TCV+`r%l?cBfNI6n6WoB+Fj!3m1of8jsv+<)N|KKB=#2D|^jX^Py>z%D?u z`J)eK@wtD(Ik5X5oTJG727I)0zraO&?%!|`?0$wz6uCb^ly>enxPs697p{QauW*$j z_fL?ao%;i><8%Lq>tOdg+@Q$)2b5{&{(@Wh+%Iq&?EZw?6uJL{7VX?W;VwS+8{7lC zzu_K5?pH9Po%=UDz~}yehhX<Fcu0}^11xFh{tJ)sxxe5E*!>5dP~`pw2im#+!!vyD zpYR;){s+$~a{mT*+PPog6+ZWGcm;Mp!)uD%|G<xS?l*Xg&;1wPg59t1jw1I9gwf9Z z0Uz+W|HB8c`yD<~<bH=(+PS~rGd}kVd;z;Z;R{9XFG!)C`zL(E=YE6lVD~qCr^x*a zvT5i34L|X@Kj0VG{R@6k<o*jqv~&N3Klt2V@E7d<1Ai%UKSLGm-2dS}KKD;xU}tb_ z-ur-ooq?f58hk{oi{?+pn;xC-eLBCnbp8S__V(;N=A!xg|6!lb=PsZtvOjg+1dBKS zU@SH8Y&>evNIUlnFtamwbbjx=)_KaM^Pp$v3z*F%Wxl;jH!y?U&%i>F`x827=Y9h= zeD1%%26n#!J4NoF&`&$}2XNwZ{|8R6`yIF_a{qy8v~zy}4?gz`@Pgf+z)O+)Kg^|_ z`zP?@bH9NA*!>Lx6uDnvDec_9K?tAw1BAiuUm#48`vca{&ixle@wvZ14D9{`VidW* zVKeRA|3Lzu`zJ_(-Ty$6BKL3DMLYKk$l!DT1{tvX8DuGP|AT|HbH9N+KKEac2fJTE zfg<+{oTQ!m1C;Q&|AP|P{SL|$x!>Ue?c86WiqHK5YGC&#s8Qtpg6p(%{{#(u?l;f` zyT3t`BKI%2M?3d#(8lNf03ERV7wAyr{tHiO=l%<N_}pKh4|e|n&~5GHx1Sl_($4)K z4Dq>tf)UvL4~!@Ze}gZybH9KoKKE}h1-qZYj3W0Z{HC4z4J`1v|AGbB{R);8xqkwa zAkF5F0<7`5|ARHy{SG!1x&Hts?c85rhtK^2_F(rX*i+>G4+6Av{{%;T?l*7(yT8GS zBKIpu(9ZoET=2O+z!mKN1+EmiKR}*#?!VxU&;11+VD}&Jpve6VYP56z2QPf?pWqF4 z{{wG|+`mDWcJ3GO!{`1Deqi@A_*3Nm2PU+0zd;~A_g@GEyI&!QBKHf}(9Zn<A^6<? zAq4Dxhfs>#@8C>3_ZNiYbH6|Y*!>9+6uG~^i+1jx5QWeE2GL;mH$+q9{sn=wbN_}| zeC`j31G|4g97XQG5J5ZlUr4~`{(?lX`wt{i<bH+(+PVKjGCucDNCCV5K?+6gH%O<Q z`vubRxqm}C*!>I{6uCbkk9O`i$inCT3t3?ID`ZpT{t2bDbALcCKKFmf1-suNk0SRU zsG*(v3kvYLU!V}|{)9q`-2b7OcJ7~0jL-cBC1CeAlu+b;g>Krpe?u8Q_Xm`N-M^rm zBKHSOqMiFMRN`}gK^55j2dXG?f5S}Lx&K2AKKD<k1-t)2Ek*9%uz+^%7ihre{tXRa z_cJt7<o*ZCY3F`}W_<3y&<u9JLJLLi7g$d__Xo7$bN`1nu=^d_DRRHVHrlzrpc9|_ z1-iiQPw1k^{RMkz=l%&j_}p*M3wD1)FGcQOaD;a5-_VcG{Q(oe?q4v0BKKc7O*{8r zn1s*$1(U(<KQNgh_cL6ko%=sb#pnJB)4=Y3FpVPj8{DFu`vqp=bN_~!VD~f3qR9OT z4{7IqgE{!ze_;;T{R(p_a{q)Ev~z#Je0=WzFdyuGhXoY5|G<0NxxZi$KKBbO2D?9D zF-7kG@QrrvpRg34`wf<X-QTc`BKIr&qn-OVtib2~fR$kPFIY*D`vX{oXg2?KVKqMY z7pwuh|G*lG+~2@MJNJKBhtK^J)`Q*uU_C|d-ylpo_X}*o=l%_w!0u<*Op*H^NYT#y z23zsD|H4+V`xUlP<bDAq+PObq2R`?I*a3FG!%m9a@1RLL_ZRHO=YD}bVD~5Np~(FO z2DEekgnjtjZ?GTi{)YV&xqpE<?cBfNAU^j890I$4!6Ay=f5DD+?!RyZpZg1rg57`M zC`ImPaHF03KOD#B{s||*?tgHCBKI5k($4(?r}4Re!)dVl8O~7T{)7<Px!>R%KKEZZ z2X?>0d5YXWA)0pX54ecW{U0uZ-S2RTBKIFiqMiE-uHbXOz*VsO6RuL^{tub7bN__v z_}p)B1ML2W8x*-;p^$d&-*5|``vY!+-M`>AMeYx%pq=|K+{Neqf_q^1AGk-6`y1+M z=l%~5@VS4&L$LcFJfz6|8`@~+et{?W+`r)o*!>JoDRTdVUfQ|e;5k0`Uw967zrqWO z+%GVdcJ2>&h0pyTUV+{3@R}m`JItY-`wQOUbHBhlu=^9<QRM!D#k6z(gb(=KZ}1W9 z{)Uefxqrbb+PQzjXMFAt_yTtSf-e-g|H4Mvx&Oj9eC{v!4tD>6?-aS8VJGd}|KTS- z_fPl*cK?H46uIBv0PWl_@E4!^H~a;=pWz=x?oT*QJNFwfaNya$e1U-jwEtRxk%N-` z*Avds&iw(*_}u@28SH)s7K+?|;41ChU%-aX{Q~S@_b0GZ<o*wLXy^V3ocP>tzy)@H z0~bZ^S9n4@_iy0A=l%d*u=^MAQsn-C*R*s01%7<)FAxB`|9}8R?r-=+JNJJO!sq@8 z!eIA55T?le8-CKx{Q_e6+`mB#?0yDuiroKzL6~OqM+TDk+<!q5?0y9)irg>2K|A*c z$l!DT2N|&Y9b_qTzXLz*++QG%&;0@lVD~2|P~`psG1|F*f)YOW8z_U_-=Iv9`xnU4 z&ixxy@wq=h4eb5}Y81Kuf(q^2e?bGE`wKL|?mwVOk^32RXy^V9+W6c*K?m&q2RanF z-@ur5?ibL<=l%`)VD~c^P~`puE84l=zzCoFFBpN{uV74(`zJWk&iw(V_}u@&6zqNn zGm6}Qz=L+~FR;MpegR9c`x7iFa{mW^+PQy%H9q$n*nr*NU_+7n6~bxf{tb5c+#g^M zcK-r<irgO%M?3dlaKz{S0w=Kh4>(ce{)SZAx&MO;KKD;>1-t)&D@E?#kV8B73wYpj z{{|1R`x!hba{q&3+PUAr8=w0xc!S-q;6su71*&Q1{s2FG?*HHicE5u^MecWKqMiE- z0`a+DAPDUKgdmFCU(iWA_fH7H=YE4wu=^WADRTdU3AA(nhH!lD4~PJ}e?bIA?!Pdd zcJ99rh0py3(O~x<h^EN>4D)H{{tvPE+&>`>?EVLF6uIAE8SUIJkciLy8xq0pXGo&R z{RwMn=YE3}eD1%H0(QSbDn;&}u!VN+4@k%7{txM3_d8@z<o*M@Y3KfeEPU=4$OgMV zA)6xie>g-t_fN>h=YE4cu=^YGD008TDcZSzLjgYb2NZ(czo3vJ_Xk|0o%=5o<8yyO z3E2GyN+@!F!wuTG|3eu*_fIGXyZ=EsMeg5lpLXsSsKV#|4OL+GGgMRL{s+%!=YE4) zeD1$c3wFOk9YyXJct<<;2Q=Vw|Az*!`yCo7a=*h@+PS}=8K3(FTEOm4Xrajc1%GJg z{t0dP+;7khc7H=VMebj~EJCySuMM5}+#k>dcK?DdirjyJi+1k6(1XwY1-)SRALymX z{R~31bN`2aeD0qx0qp(<6DV@Ofh6tRFEAOO`!`GmyPshSMea{fpq={-rr~q{g=t{- zD@>=z{S(w_=l+11_}u?tCfNNBvnX=^0X^EezhDkN_Y2GgyFXzrMehG#N;~&Yn2*o> z1`ELMZ&*N)`xR_y=l%_g@VP%=G1&bJ7E|Q@02kW1|H4vy?k`vdcK?B86uG~_n|AL1 zumYd^C#(d!|G`R%+`l1+cJ3EggU|gN)_~p5u$ChCKZvBA`wiCPbN_|)VD~F*pve6K ziL`Tnz$Sd||F8+{euvEzx!)m!cJ43OiqHK5+raKm*hZ223-W2_{s}wqx!+(X*!>MV zDRTdUGTOO+!)|=;57+~C|AIXfx&J~f?c9H1A3paN><7F5z<!F{&(KOc_kTEu&;1h) zf!+V$5Jm1c=%Jna1&-o#|AwPr_cI)$$o&bEY3F`}6ZqVJ;RM+I3MVOY|AbkzbAP~T zeD42n8ti_DGZeZ1z(U%&zu+7`_Y0f{yFcMPMehHwf_Cnoa1o#T4K9J*-*AZ{_bY6m zo%=Uj!RP*ft6=vpxJr@x1Gdx7{THs|bAQ1Nu=@|(pve6V`)KF>54Z5Sf5L6B`ybq< z$o(6R($4(?_wc!Y!#%M38SYc${s(7h=YE5S_}qWtA=v#2k0^4#z!loLKi~;I_kVZ- zcE7_@irnvTn|AImc#hBg0x!VsPk2F*`wJe?&ixZ!;d8&iYq0wpUQ^`$1utpm{ta*O zxj*0?*!>IMQRMy$A86<P3m@>gzu+U-{Rci$<bH-9v~&N5&-mOw;S1RP557?3euMwC zbHBiMeD2@y9qfLF9~8MiflZWV^IrzP@VWoOFR=R+epBTB3B0s(f52aS?*H%??0$!T z6uJL^2<_Zoz`%)T|Fr-kCusk50wX6S`>#Jp)6V@9nDM#afCcRS1{R9kub@mj_itds z=l%e8u=^LVQ{?^tE!w&N0w+HA7jS{ye}Ic3_cs{Q&ix;F@VS2iFWCJLcqwxK1`FD` zUqAq#`!@)H-OnIMk^3Lm)6V?{!uZ^OK^W|Q1rdteFW^o)_XmjKbN>f1u=^dvDRRGq zAMM;<Ac@cY0#ac2CrDA`{(?~2xqpHTKKC2Qg5BRBOOg8*#L&+D8|3l1KR^NO{sjsY zx&J~k?c9Gs37`85l)>&lpiGhb8M0~T{tv47+&@7L?EVL86uIA^h<5H5(8TBd4Vqy0 zGiXud{)9@}x!*tspZhQ9fZea4OOg90G|<ld0s8pd|3M$@eg^}J+<%~*cJ41Q!smVg zW3c-Zj45*ehd$c5e}XAK_Zygj-QQqFk^2><(a!xFEbzHMz!L2K1(p=KKVUBH+<(Cu zpZg1J!0ta_Ly`L%me9`qAMEhCe}X;O{SWLZa{q?av~$0J6F&EEa00uZ!I>iWKiEV& z_Zzt4bN>Zbu=^F<D008RF50<2zyqKAKX`!M@8C(1`yCF_&iw`6_}nkx19pFc4@K@T zI6*u2Pw>O%egl87`y2cza{q$!v~&N4Kz!~G2m-r*K@dgmzi^Fq?!OR%&;13VVD}#g zrO5pZ_h{$-58?RSKOq9_{s$2hx!>R^?c6UAjnDlXqQUNGh@r^+32$iUeuFrC?!OQR zcE3VAMed*QnRf0ENW|y<4~by+J0wx${sX^g=l+5eeC`)W1-m~Xl_K|lU=*X-{LzGT zeC{{M0K2~-gCh4UaMI5G8?x}ZKOh_I{sq|-xj#UFcJ9BBi_iT9d0_V+$fL;p4dS$O z|Azv6?w?QycK?Gyirl|Jj&|-BD8c9c4JBarGn7)~{s*eGbH713KKEZJ2fJUPf+F_| z=+e&p0af_i|Dg)(eurv`-0xsQJNFmV;&Z=19oYQ|briY3z?ydMpU{BM{RWL-_ct_B z<o*Rtv~&N4W_<1sXaT!_K?_Chzu-wb_g`qk=l+6ru=@|RQ{;YzK-#%qVLv|iAJ`9e zzrX>C+<#)0IL+EW4u|l$|G^=!`wb3L<o+KEY3Kfgqxjs<a18AJfMXQ7Ut=Zh+~05l zpZgU~g56(mk|Os<Y@nU{7o5iDeupz)_fI%Ok^4Kg)6V?|&f#-^!g;X!H=L)){X6#2 z&ixNA;&Xq)C9wN1T%ySRFOJgA{R~&}xqrb`u=_t;rO5pfXKCktg&X+Xf8Yk#{Q@^B za=*tF+PUB1Ha_=1xD9r{!5xa+UvZmu?oYUf&;1Pd!R`;ZPm%jqJffZZ8y@0wzrrK1 z`wJdX<o+8kY3Ke0Pw=_l;VIbt6P{A!evXf{bN_+o_}riH0_^?`FDP=q#ShxK|G_JK z?r(SvcK?Of6uCd+KkeMl@D88*7rX<z|HC_q+&_a&f@bY!g^&2$f8Zn7{Q{pTa{mcl z+PUB13qJQh_yTsn!B>jh|3id!?oarR&;1NP!0r$DL6Q44WN7F9hF|#Hukah}{(|2W zxj#agcJ5#B7oYnb{(;>;;U7ir@6e*1`wuX1;n}~Cz{myKzp#Oki<12dI}B;({s+wX z+~2?gcK-zyiroLgf_Cm_V8`eF1?*t=e_*G`{SpqebH4%?KKCEs0=r*;n<DpnxYN%4 z4!ro>|9}_legi&=++X2GJNG9D;B!BNAlUr@f)u%bMJVmu-yn?7{R$#r_ZNsz<o+8m zv~&LgF?{ZK5C^+|f;dI)=SZQQ`wvLsbAN&q*!>%%D006=HtpR1Kn9=t8)U)mzaUGI z`!kAY=Y9qSeC}VM0CxWe1&Z81qmp*+S5U_1{sYQj_Y0^{<o**4v~$0M8b0?wPy@T) zK%FA@|7fS3`x7+rxt~D`?EU~PirlZ!PdoQF=-_j|f-czo1-cZuKVllC?hp3p{M@kr zIx7PMLy4fr{$-2|3_J`B42IucFfcGMbT>QbGB7kh_~+Bz9H7a-;MmR4{E(6JU}rZ- zyt~;2BJ0@g!2%TriFowx){tRf@aWyFq07Kvc**c2*f5XY-4ePC3?AK^K}PLjWME*} z2_j&UAEd#07<3sJpw=O4{h));%7m_U2Sn=)9jsbUAZcYr*V+Klx&gb^1xQ+1(6xF% zw02<ET7je$6uc;Y6@X|>z^*j_Nh=$=trqg&V6wojRRc*YJGxc|h*kkCTDzMWbWmg3 zgAp2~Ac^i~7KpTCw+Aa!93<k=yZeM3*gY?_QKR~XHX^<_(H$}YqUV4%*7(|hq?HR@ zYXn5=4D4DvkhF56YgK?~Ex@id0ZA(;3!uc;3t6xyJ+N!FK+?*KZtDh!Rt4-@1(3Az zp=+&xX#JsuHJDy#AwrlRq*Z`{fdQ78ez1TYd;wYOPLL{?f&)mp<w3fUv!VmU;uXlc zp}Dxbd4?8RLV=YDAc^kgIS^^bZVw)4LIH_*^zP<>7}}tPnotUm9HNNskPDD<Bm#>= zJbHI~AZb-X*E$2D)d0Iz1thJ?C|aRqk4NwB1c(V7PzOi91Qm%BP(d(i`FV^LrTp~i zZk7NSn+(kl7(1IC^gu<Z0}Ghrq6dnh-3E#vYO{tOQm7znW9n>{0BiGLx%dLYzWB2F zA!BE^48(vR3Sa{ma2deT+5ABlWUmLy!52&r&cT;VU?aYO%H!VM2S7$N9=-uBtvx#L z!TstO7VOdd#>1oYvuEc&kNp-53=E*s7g|4fbUPb#ds}p|aCEq+ICykE^6C5zPGB9) zKNuMpe0qyDJQ@!_U<COMoSz(bbO<vr_;h~v=)4D3xC_MJ2_hhZ9?eHQq8;PlLG2h0 zazW>3kNuXYRv&9#z{J47<kMT6;M2Rig9&bhtKk8k&hI{*|G?%$lrbA#f?99k1-2el znh7qw;vP&o+A+>C9_|cyq=Q_86dpFHj`HYkJ^`vWyDu;>FklN64`xuPY(Buiz~Iq% z*nk=CYR4T5+89v+2E^Y9BCrPx)J351aSRC#fJKl!s)Ibc+y0!9Wbo|nV>v6y;L+Lr zgPDQBqqF$~*d4oPFo2x1_y)uspz;G8djEYopMgWqv%8JwELgh;hIYeCJ3$&8cVx)1 zG5B;M>yd%zQNgWeg*GRe9vz4t6Wn?<q#V%n*g*8S;MS9&?u@3#2cjngx1JRyZfJU9 zAbL`8>v`emiKZt9qNfD69u0pVG(9yCJuSHPWW@NR>FI&!nSxu-ip(H1J#!#>mf+U& zqAV1u$D{cON3>%MJU4*KKp4*Y;Q(fK90t=1V0?xTpk&Cv;Lr~iXP}r5wgparYAQGf z%7BSwJOx$z3=9&_AauoZ2(9q~Lf?SW9WNn#i&qf(1(crg8p8K@1EGIF=@oAw{D^lD zn&Ul$-T|dEK0x>tpCI&vFA&<{D}??4r5AjI@B_X>XoepUdIOYB_zB?){DRO2pmawp zsCB@=(9jH_XDo!!9*ZEf!wCp&U<+z7F)$>!LTCYZ2yNg6p(lhw=nt_F`awK|4oHH~ zGYTLyLlK1jPy(S}R6uBGaKkBBxWfcMEnN`XKfu@D#Z|#Gub{L<!8ItzKS;q!AtWPJ z0U{A?Y-JFupqivmlCR(r9AIMMYN(KzS6q^qmz=7gnyZjmtdN;kmY9>7qL5gkpsHt_ zrjS>xrvRyZK<5940d@w4|LhD5LLfl~1_nte4RZ&my$=$CSPOMecw$jrW?nj~iwuc& zQF%sco<eCc$b`g_lA_F{(vs9-h0tKvc;8T;5CvNW5ak)*6N%#XfSlCC;#7s=)Dnc! zl6-~K%#w`MA_YHxKUanPA_bR7KSy6rXFZUcK^+bdEeIkQ7#Kk9c~E%6uuG7$LOI+k z&W=Grk<O06&Ype>$%%Oi`FS~&3Q4I7rNyZ!3YmEdB^jv-$)!a_sd**XgFFqaq@XCZ zEHl5f7@?+Ep&+#=Ex#xi>|uqH@_dC%aI`wQxPY7-9O@Jj<meotkYA8mlvt9PpQi&7 z#%f=&LUMjyT4s7_5yDZvj?N0H6(y;8DGK=ox%nxn3g+rbh6V<P1_lPmA;^Hmzn*@< zu0EjD0t(iWd<6qT1BLR;k_>1v1BZ4=zCvzkPDy4#PG&OLc?ud86%_`C28Nn?3LzPw zq*knun5U4LlardBn4<u8LSkNuLT+NELUBfZxk5^6StdkhQff(gYHFTBab|954n(LZ zwYW5=1Y|;rLPlayN_k>YszOp~Mq*iJevuv`7?DypQj#XaQ$eooFn<|9J&>GOTAW&} zP@0#WmtUTzP?nfenp&)om{yWnq)?O!3Y+}o<kF&IJq6DaaA=jLr>7Q|q^5xKUuiKc zSAtBoRWMWlC-CIN;^f2>P@Lx^7Nw^uB&MXKf=s}cU6DP+z_5y$fuVt!fdSM!03}^m z-jo1w7#J9&ptKB>)_~H`%7P&P$`69l5l}h`O2<Iy1Sp*ZrBk4E0hBI+(xp(k97<O} z=_)8)1Em|F^aLn96-rNs(xBE7h&dBPFfcI8hSDpb^hzkb8cMH&(xBEJhzV*Gg6OLt zf`NhII+VTvrEfy%+fe!elzs@MA4BOUQ2GOu{tBhPL+OuD`ZJXN0i}OJ>EBSA0aTEH z+KW(H07?r%X$dGT1*K)7v^<p7fYQ29S|3U~Kxro^9RQ^xpmZ#hj)&3-P&x@pr$Xs; zC|v-hOQCc*l&*l%wNSbqN;g31CMewkr6)k?Nl<z!l%5WyXF%y$P<jrOUIC@oLFoff z`Vf>p0;MlN>8nusI+VTvr9u55P|!bu@;^XnP*({g?gZ*tF)%PRK<NokdMcEj4y9*6 z>6uV^Hk4igrB_1f)lhmJls*8ZFF@(5Q2IKQz5%6gLh0L3`T>-F2&ErG=?_r)E0q2Y zr9VRH&rtdYl>P~&e?w^oXyq&br6r)WER>dq(i%`&7fS0xX$L4B0Hq_KbS#vPhtdg9 zIu%N%L+Ju2T?(bkp>ze5u7%R|P#U%V0X1ge)xQF`_665Qph7f14{N!fSqv+<Ra0O^ zaZzevX(p&PEXh~MNGwZ5GbstuVkpU1Fa(v=xrrsou*xmS)m;ZvvF8`1q!xiBQ}c>T zi&7OzG7?L`RXI{61*+3>6v{Jmaugs&fNE!q+6rV^Vor_%s76RmEKV)fV<6aW!rzF4 zwr*f;x%?uq!w`W5(wzntO)OC;E=WzzOv_A$Ro<YQ8A~G&TxG-BJ)k-|DODk*G!+yA zi3+)y#SjldTRaf=gW?2Kqa!Q^i@+Lhpjau%%u9#Yk434;`MJ5Nc`2Y85)_<xjmarb ztSkmKwLleZNj}(%#fiD8ka}28fzm*Pg&ruNeEi)#ofSZB>tK|&5vVx~DntJN2aya6 z1`vuOs}Z%j0yLEtD<D#Q04NBdJ~jlmS65|0EoER}5P>ootAZF9D+Cy&dDuBX<u7Oe z30Cie>n|rh0Vh5QCq4xyJ`G1cgJ!lK)?SuA=6)uodwd#TVF|Dj4h9C0URYb8A7s8G zOs^xKhCAN@#%9(&mVV|oCZ=kTSqflt1swS}7$AD5fb=jhFpz35s2u~VyFtT@AY(vn z8CX3GZm&4<2{bb?d4Vhg$-(MYY;rQtaz7Yk5JaCZOrHe~IS&Q~h7hQnJBS7c3Mkwh zL4Gx8X6=E5+C&f+>UAeR4p%+{rfH}`3?Q=>fXsl67vnN38C4_5tW;DXuvu@QW`%=j zTxO-AY6O{e5mkr*6mAyKx&b|GKyAbjs9XZ5V+66skx!u+>~H2E7Eme@aN^@&a6zg= z??S^EoEAW7%!$vyk<Y@B&!L&UhpiWuU=D+X44jZsoFg9xD4b3}?F6^|;OPyKRuKsf zo>m>fq3(!jmI$;S=|OiBG~GkZ%171d3UV+aCqUf=tJA1q)*7h0sNqM@_#()A)Nq#z zD4rP@7^q>^1S*<!hl*xtKnE(Q;l~mxnsoqb)=^Mo;!gJ~h)JtFpgBwi1_nya0`>PI zpl0!b;sDuQSo1aWQ)X-#+m+A31)Df%RGxu>VGq;}O5F=8KR7^xHdOFC$gB`5nzew6 zX1$@JSr(wmno8jXx|<r5e0PEf<g|iX-p(N=4PSt|i&C>d?J^nAd^iIG!%~pDocIJB z`6NK~7;_&J(?k#xREfFrDY(GcCJYP=0Z={QJ~OJGw=i|Cd<sl)Fb)F)xIXQG>hlNL z1!-$|!rB^3@W_GoHG{NZ(+Ao!hD#2#9v_z+XsshIIRohMGP-@Bu^u0&+!2sw<aorI z=b2_>%i6fIJjnbtQ1dqvXMPu{=JP;?@)>AhKB!F0fts%X%7=u*=O8xsJMuX&Td`r2 zVPF7-(FLd-?4ULtrX4PP0VwUMCD=@I<O`_ACJr*+0JP+Sfq^Dr1TudDjm`f6HGe;G zX=oa@aKM*F13>FaXc#^lXl%X!WO|Bb@ml~jpH|_20ct)i@-QfU8i3a3&@g@{(AfMB zQ1fXO{sEv)I1R&R1C7lWfKKAkD*Y7D*!&ALG#}KqHGobMf#+V3>lf6vS}`$gwHl~d zsAEJJefA<^%sN3uvqXeJl>-9<HQbdzMYGmW(X1a-G|L4#h)9j_nm|Rf?oiPz4N>Z) zi4rQBbpUEsHOP7J{0JLsgpO3jAr0U`M!j56MHs;2gFIp&Ll_vSVO9hc&00c5vp!JK zEE{p^g;xg^&ALKGvlKvsy$sYz6FF2e3zV&QK+UQIMS&xq08=?12T1$^7IBc!3lPD; zz#s=z-^>&N>RyA_KCnO+Bf;hjK=U3FP_gAu^)7r0%}h)SK!X4rAfqgx^4QD^fyx<z z^fE9ofXvH)ip>RyIr0fW%$o)`uLCOY3zctXVsZyL5Y*gR0+ovf$uU6IYwW-xb_R>s z11w@+u!wO$7kePvA%jKC08I?kCU${}-2=G~UIu{&>9LLBZzZ))wFGMZD&ov<B-MNt zX^_DT474&o1#12-kTrzEXCkTYKLRzMR_<2;C13{Hg-;9Ae9)3?2EySppVaVqLSyq? zWI+*2yYN{;WAj<Sg&6JJpF(5vkI>kB6?xjmZwrmhe*!h1R^^Y20wjEBW&RSV`LrtE zSU}sEXjs0bK+UH``37nq9D$k-YrlZnK{udc`k<(B<P*r{;{dPq_y85Rf{Iu0ae&(= z98k@nP;p56#1Gs)0TrJbc;rED@PNwOg7ib%JTXu)@LCXfn<o%#CP+^WR34@WBsKv} z3}p8bs8}w@Y`8gTU~@q7NASpl%zOfsN4Dn&7BL>s1~Uc*24r;#P%#^j-O%+iCQz|# zkQk`#nF(oo`as1qVB%>I@e~~5HBfPMbEaSs2d$}D0~MbSn&X13t8n5|fc5nt>q?mW zIgq+`pj8$O3?R3^fa>K0%?m*EI)bLI44T2qGMFks20>TwfYy8zBk@%j7#K7_1Oo#D zc-#<mUTZsSDhs3}07SsX|4`MfMpjorq`Cz}syji1I?&pb4^VaBwXKezBqZU&=g`dD z#>5=L2zDT39TG^Hj4CK1p>rL0{Nh5Sx*Q_Zfr`2*P<2~C*2B~L5|Cp#9QhO&KyKRs zRYNE~9zfNB$20NxfkO>s8R7UffU3i19%$|~0;+BjXk8AZO$SbI0ig8O#>AY@1WRC! zd>oE^;AGPS)kDZnTcGOjxdF7s{0>wdcnuE34dBH;8j$%&7rq8Y<jF8*24=nvMn}F0 zj81$r7@hbQFgo$AV07Z!!05@>z*vf{)ycpBTEp(54hg$#gkK@{IPw{|@J(O@t(Ak0 z#7yCv!I;6ffYFn00%H!;77#ldNj#lz2BRBP4busXRYeSt_=nm_D6Aep)y)Dq5jFlN zfs?xvA4drT0|N&rb1*P490d6RQa(V+7SN0#Y|Ywtz6dA21Sh@>C%ytFz6vM421ZZ5 zfO@_NAHIMbzK9II1W&$zbiN2TFr$bs!ksUmmM<a{%GGcLtsaCHGN3XAwEhcf?{;Xp z<j5!C!e`LT+{eVc29h5kWf-{pgQ|T8S_g&d#sb*7I_5Mc)bh`fuK<)DUqJPO*T6&c zg3}|k{9~45gr!G_eV{xhqX`N${P`bL*1JH}MT6Gjz|)x{p9VPWATiI}%fuIv%9r2> z4m?l30H(vJd}akkQ~@S;B#(d#C6JpoK<&bp_Ce}yK-CdS9}HTA{Ro=R*MO>%bYx(_ z(noXQD?rIgcUkx<9Qhg;o%lK!o%kj&y1~<W0rLeWY+1>Xub`N(!VR3H3YeF2!je}3 zvzRVIJdcsDB8{(s(GhB{CtpDoL<D9NDDQ%D4=nGx@$F!A;yb|T2}uVZp#De$ttCK8 z2jFt4pNTn~3pE{p+7~L?kT5z7qTuE69&mXKDz9Cj@@G-xcY)<W<uxejWkA&^Vy1I3 z<a7>FI|Zs%1yijgx?0fD3?OTXQTv8Sb3yxVBy>QgLdyeqnug5Hxbtm5D$|%*8KFV! z#CL+xiSGiV6W<L+C%y-ao_re^nI7PioQF}mfx>$V)Nb&89C&!|1cxUmFK>a$&x3}K z6R03l09E7QwhVJCa$a`ia{%di0o4Oun+ov{IR869>mzB@`UtE?Mi&wWpFm|I7Ck$# z=m~)8alxU78%+-=ueLz->;YMaT1IaHc@4DA2&8TeRNYogb!%bjK<VcSR9z-Ce2~i2 zIOOyLG81$h0mwM;a&(B@(DIk*3$mG@a}Pl3Zh`!TqK^3>6HK8ap8?4J5b!E<28PL) z_IDuL59+5hK-Gfc2vn}*@o|9H#Ls|=YeDUU#WAeBM#LAQygmcfL#S;00#(-v@({!? zuytLvFt32ZMh4t@Vqm}*-XL`@P<7id&07aE59HSzs5<a|IZ(eLfR6*Tm#zgWz6Pov zvIZJle>lMEHKqVQ4=26=Pd<k_q-p`;cTgYa1k?;~kQoe+Ho_gKSO-W9)t?P8cY)%I z1swPc46i`(1#1&0fZN0mA@K#Sei%S%4WMc-BC8Dmt383N7Njf&L@+Qg7~qIQMR;C7 z_+tW84{Yol<hLbIF{pRIWA;0sV$f_27CVDQ>;Y5^S??D#F%XvnYN09AU$FEBt{2*v zm^I*K3M4E*@o59q69NifP<#gQftyhwP;u}+L2&tH(9Fc-%V*)n=itd_z*Gh<$sl_# z8lY;ydmKS#R6zQSGoa$Ib#_d?5b+II#6j*q0Tl=D?SsTMEFZ_fE#3)>b%@=6pnA~l z7BK>Oh=Bp!ZXGP*AiG_l;-uJJ0o4PWYh<c~_-_JK9Nq2}P;uBk4JJQ64v^gkpyH(1 z{ROHA-3}gOOn-y&w+d7oOS!Tf7NVfM;R96%+SkAUZ(D#Wn0}@z_^t;A1|J3nh6<?K zJ0L3|YGLhdM4n#)&ht*-R<|3p;ppIpk^ez;<_V~IxaOB$K;^N`FR_3Yt}-w%AkY0s zU=h>7BIbZ52J%}7RO}jPtr4W&g!G3X{VsRD1<WXo#Ugg>4Z;P?khQT(pyr{w9c0%5 zs9Y*&-4`~y4xsk&KJ#L?3$#}hWS)R2C_)$*vO#0+*vy;2hT`_~oY>8qzy@)90@OTo zx6ff<V5ou0U2?+OrgrCRKn<r34!#a%N4^Qno_r0=%-M{{yk{spJr3-yYG7tIW=7Ul zj-u^7i08@&+7k{+&oX9^u*e0Ki6~_Xa}uPihm_kOwH`#O1<e<hK-JP>yckqpT!ESo z9pVFzZ@z$veF1q1Tqc9&bKinXVvrsVbC3iB1GH!W?_raHih=hvBj-`jS|?ba_zr4z zbmTJt>y3cwwFmW8;pqrgr$hUMddx_gA@vH#EmNR+p~W+}zrO-1mI!htBrafWmQYw3 z1Pa>=P<6BjTaft%ppG~L0|PD02l;&h)O=Xnf$G^MP%+qCJkwH0U9ks?I7sLUhyaym z&~yu{%fW5^ekNuPMm`Tma9gShrLzaJOTZEozzhtaem$r?%9O?jp6}Oyik}2YL)PaX zhRx5rK;>ZZ1q!DKs2DB631t2b8k;Wxo*<x2JcHa{L1Xi8(AaznYfwU<UHHtPvH3q} zY<>i2(t$?h1StLNpt1Q9&`BU#<=+Y#n}36b=7ZWv7Iu*Ie+abh7GA%>*ZjEieL!uy zoW#*00UdBB!N9=K0W}Z3odmLL2~>_6Z9GuB>kHJp!PxEsrR^z@Q`u-z$AZlNLSyqo zK!X}I%==qtY`zF+jGKn;FQKvdS7>a$38=q9!|<6xWAnez*!&P@NZClMx?>BC%@+X= zV9>5@Q$l0&uh7_h6Ia^C?-UxF|Aofphq%!;e74Zod=Yory1#_R=3k+)`6i%^V6-g% zX>9%%8k-;DN!#?Zg~sNKc+uAVB{Vkw3XRP-flji~s(znBWAnez*!&Psr;Uc?!xkEw zF9Pm_(y;wQWAm@j*nAT|+LjMfXl(u$8k-*io>-(^`q@Hb^F_duth94~360IaLSyqy z0%@H7X>9%%8k-*iUf@Ez^s|M==8J$2HKd*UOK5EV6&jmw0$%V!yYQJpWAnez*!&Ri zCK}p>&lVb+FA_%E@~wo%=3k+)`6l7C4WB7AHvbEa%?|-@_@ZI@r?L4Wk+hB95*nL- zg~sNaKreWpS^t;D=6|8F`61ASbhHYeEi^V?1T;xV!~S~-jm^J8WAja7X<I%_p|Sa2 zXl#B+9BspA3ysYeiKngmOK5EV6&jmw0y@l;hULQ)8k_%x#^#5B7irS4{X=8(MW7co z(W?F`p|SZ_Xl%YoGHuI;DKs|!3ysYW0d2mfVfnCy#^#Hp(l&leXl(u!8k=vDM%(b2 zLSys4(AfNtblQf`78;u`0$%)1yZWny#^zt4vH2#Mw2j{>G&cVWjm-~%-Y-R~_QMt$ zn=b-BkcWoppT_22p|SZUIW$iHG&cVWjm-}MA5=xV^s|M==8NRfHhxQJZ2lD*n{Sd& z+whq}WAnez*!&RCp-8lB|I^rfkwV(WZwZafzd~d4O`sPx)2e=-LSys4(AfNtV%nyk zEi^V?1au%64fAgajm^J8WAja*7nRd2{nOa|FElnkq>RStpT_2kKrf)DRs5FF*!(Lr zHs1tvKo||nhbc5R{|k-H4*_3FK*RPwjm;MU9|%M{_m|Mv{3|pz-voSU5$(cf3XRSG zLSyqoYG_>k)7X5GTH3n5gvRDyp|SZUb+iqiDKs|!3ysYWsi$rDY@xCFA`P^4e+iAv zzd~d4O&Vz%K2vCH{udgXAJRnI@YzCR^F^9z>;4iNn}3DI=9{$8HhiYg*!(XvHa`S> zuq^G`hg)cDzDOHw<F|yy=3k+)`6lhO4WB7AHvbEa%?|+|I84LzPh;~%I%ylfB{Vkw z3XRP->7s4;Orf#)UubN8NH=Z6XA6zZ7wMs``%7qS{uLUVZ_-QK@R>qm^S{v8{E$A{ zhR+rnn=b;s$eMQbR|$>Hzd~d4O~9AG(=L3b(AfMhG&VnEB8}5Ojm;OCL|gZl(AfMd zG&bL4GHt_W3XRSGLSyqorqDKgw$Rvok*TzGe+iAvzd~d4O{UQ{e5TOY{4X>%KV&*> z!)FVP%@>(LTlbgH*!(LrHs53>ZNp~@jm`f;WAj76mrc^HeYl0j=8MdxZTyzd*!(Lr zHs1tvh&nCT|Ipa{FElnk1bhh-4bwl3%@>(R<MdBs^RLj@e3SXK4WB7AHvbEa%@0{X z+wj>!WAjB8($@VYG&cVVjm<Y%MBDJ0LSys4(AfNt#k38dEi^V?WC?BEUqWN^uh7_h zlclr`pD8pp{|k-H4_QXr@YzCR^F_cH&C#y?RzhR*uh7_hlNGd$-zhXU{|k-H4_Qgu z@YzCR^F>zC*8L?kHvbBZ%{N(1+whq}WAnez*!&RiMdq|CKey1>e37-Zjo%U)n}3DI z=9{deUHE|R0-ORh-;fn@FLE;zvo<Rq2LniM161xV$O({pSg(WbVFlgOeFiEA-W~$E zBiDs5p_#dli76L$M=t2D;xABj;AOIqdwoH7>9X`O_cJk-A};C%nW?ZIWDx@cc*!NI znPo6DLD$WCK-Co@?z)HE=Z$n%Fmo&u(tW>>yOSZNW0Ha_3=Av`AOEAvY|{pbuz&~@ zyap=Hfg;Ml&;S(&-K~o(H4Q2b3R7fp3sn%0g+T#CA>k~jdQkWw2{U{I1rh@b1L!Vx zWbuVi^`Njp7I%i4552+<E>#Lu551ZPF0KSs4?WT!F5V4Q4?PMPF0Knz4?W@!E)HTa zGk_uo#6rc)44{ODDkiPVz#zoH#P9%ge=`FE1N2CBhP9edadwD$nD|E-i1-Dl2cTDQ zFr<h=#0{Wl_RWVzki9TO`~vhW9!}6*#S9D#3;7`851?n<?1xyxz|8{@UjRMZ1$-Ah z0|UcD9f)`W^h^_&I3v`Z3edAcVB!uc5cLnx#LW~T;tbHU6=3SOK>c+9O?;LlM12GF ztO1yMJE(sdpnKF|?q4DVQGWm`4pScw^=|`o&o)ebDK|vD19Y!4O#Nc0e-}XaaKhB* zX+YE`K=($%)PGWjh$}$%1SUY^vrz#e{sFp|24+qQ)V~{`dq|*D4GgSM{{}$!P8@_L zB{8Uf6`*U%w?pGm66)Uv;DUpJ;T_aoEvSDlK-XyMLe<~kg1CnPy0#DI{yeCE4?xAE zp-FYSIz+t!bS(%>{RXIiA3()n{(2=3QNIAXHUg&pp$J4g0lFqY5_)%JgCIn_;R+-H zrg1@NL8$u;K0)|<AQXca)cqfz;#;BOW>EKU_zV%qg!)$%=Kik`aacju0d>E^H;DKx zXgJ3}-Oun7B0d>v4jVMSF8qRsYeCgt7l*i?;V(oS=HInY{~myfL!*b`r~pKLz(0t3 znETK0Lc|524%`NjX4t?95jS9jI0U*Rnc<%{M0^4(MBD)Cp6O~3aRy<CILtk7r6J-I zL?Pm^a>ESjo&<4-_%(ir#gm}!aZrbd!_-T{%r}FGXUjs=J43^>AQ&PJQ~w2O&IRaQ zfY5Bi@Dl2;0O%ciF!7VJ5O;onio@<_p9Xcm0`$%~XxEb=MGUGQDh|6F{jL&3+yPpJ zxkH@Aa26Vm2GH^oI>o_o1e)&@pm&}@kG^IIhvvHmH1SKYe1|4p0Zq3K(7VB4=FEqd zGYinf_dwGv1N5#enEDy8at2MD8(OX&Koj2sEoT-$&m4z^TP@VT7og($kmzF2hx(TR zY9aI*a|R`-e;uIW&?_JqoT2_*fG!U8F9Y-}Z0L2+48l<VIzYu?^=CWOzYCz^F!c|i z`Sbyr_(^EGQh=T%4XsWXM4<kifF^DY^)CbT>}HtxQzW420ZsfDG@mx0iO+<VO9!Ch z&}+aM%%SO-0ebc^w3=klht`7uKOq?r+%{xjV7LHHhXqje&?5sG)<VPW0h;(<X!ry` z8x}D0OJVVjCVl|w-v!XKsA8e%d>J%;9iV5>Y=OqR7c_nupl4f5f;g8U8|t10=$_te zsQ4skJbt(bG2k$SVptD#=YjhWfwK^bp$eLACp>@%C_>%y4;qgL9zw)bpyKnO@%Z5p zs(1m^UkXo9#g(A`3U~?;ht-d&(DbSB0wR6@LNS;@{q+DUJ`ZZ|S7<%b@Cu?Z1nS=^ zsJ|Y(frx*CieG`H&-V~<7pV9isJ|9K>jhZ*^c%Duxd1KCp;rkp=t2EuzyNUww2R45 z22Gz2pyJT%&F~hQJ`X_4|5p%g3_Z~Fxq%rXa1lZ=Oo6)R06RngRvu<R-NV2E5r>rn zLNND0#dRRwV|Wa$*AmdgcS7AW0V)nN=Ml6XIe;d91DanxK*dcV)-&vZmj4D^5CP~_ zISgFT@Sgw`zX^5EVracnzztCkZDuj#K>hmxDh|D}jNzpmG{5se)WgJoLhF$UP;q^T zG(#%XzXy0B0x<I%p#F8>gNVb-af14H0-E?dsDB?o#bM^_Lj5Zs05J!8Gy=mzSUnCE zhncSm_3s0yIILgd5B0BsAjBM)`BqT>CI~^qVdiXx`ga4G_%&F)DFRUss|S;z{(S&# zCqRpPhWY%^@DzinhlvM3{ks57d?p7(Jp;5|0aG6fEypfE%SmwG7}W29_6rhzK{6Js zy>tbd9vo&t?1j~9kD=vE0aW}8G@U3z%`cb@QEvnlXNQ@;03r^{7f+$#Ibjh*9A<tp zv|Ks>6^FGq!lC)4U@=5JOq>T+{w#rrM?)wES!nswuoNNyEB|*v%byQW@sm(@CPMvt zU>QU`%$>KO_I`kd54iu&z`$@HYHxr%!~p1&3&S+1y|4~;Jj6H#7g&5vg9zk8D26rA zay~&3Vh$|*@Iu>T6QKP8Xfeug66($kXzG_j<AnhlkT7#3pz#ubCjJ!aFM$~lhrrx( z5*q#s(A3X_s{eo{?hUOM9F$Sr?+vY&4L(EC6?m+Nfq@|d>Yjoh5OMH04+8^(5;VUw zutCfThd7IY59+TA&~~XDM4I6+v_5r!_O}zD?afYTe%t^}hXqh`E<(*$m<tiGhxXUR zpykg3X!?Y9;~6ZV`EdipnXq7GxC#|NumNJuAt8u^6rlCOgu@VVSUda_G(9|kngc6O zWTEN70O}5yxFt0IUVyf%Vg9|v4$0RIQ1!5Ji4bTx25|)g!v`qiHZ+`Jd|{~gb7;E= zTD&p9#BHJJ%>d$j2Iv+O21`~32K2EdkWrB=*u`ydh+ksHu6`3cc5y*o?Bcf=v5SM8 zjm@3k*s!Z#jYE7D6L$5SIKn|0hx&9J?sUeXz5qw~MB@mbMJm|c2^!YG77n0d3Y$1+ z7z&$sB98DW$05#)!~M^3_;(79@R^K5{R157>LZSL`G~_kw{fUnheLfcj__B+Va_2O z{(6r?+!crU$~fE;jzio@8%KJ;VQ)VUb7XP2Cj&>kEYZSlZyApGV#DE{bvV?6x;fa= zCusZzoA@dm@lu3CTm(nB-N4~5CmimV#NmEWI|7?KFX0H!n>g}U3=a1f;D|3l9Om!F zVZJa9@opUAp!Nkee}S4|*u))hn4^nB{4@^tgNBc=ng0h`-yMLCzqx=aDFz0Hzp(la z+TOkc6&HurcN3uFY^i(@2?uC9;Q(|z?UEBjd=0c6{s3CP!_>z@>+yg~pc;sQ0jAy@ zI=>*W5F!pfYm$M1VH337asf@83#y)BIjZ^ssQLqF;yzISHmpEZ-wJJSIIKh!e+TVH zGps@tUj}Wr96%E<h4xz;R->w44fU_X8dUKE&~Rf|izW_jpBz9F4}!L%8rGqzSA&Lw z!+KQlMrb%NK-*og@C<{t=M6+54J?@W2WY$Q1Df~-X#Z}57^-@IXuq;R997&M+MYC! zKowsGZKr)e6L*64?>0!HsxQ=nwBHJ(P{moH;b0(*DlQI9w;#~NqoMOe8)Q(`vq(YB zmqiu-0Bt`T$f1f)hW1}Rpotei+y5KnQPm%ZhI4@es(1)={KP;JRa^v`-aep-Z-IvM z1|?MW$D!d|0BTUd_8u@WFmOZr?*=NU>bF3{`2(7GqbejEHmIViXNTtB0yR|eUT8QQ zsH2Ksgog76H1R5EIB(EERbK_|?-XdFipxUh0S%xN7qIjx0__)nKobv!_6Ij;qnh&= z8qNhesNz$h;cTFbDjo;T*B{Wtt)TgOgC45-I%qf-=%b1oL-UaVbfN?1{^!te{(vT4 z3k~NDhN$MWLCf0$BUEv5Xg)G9Misvg4d)MN;<upTyuk!jeH}EM3rtbP8=&EAV1_Dw z6B^DR(8MdC{n-uXsOo*7`KZ7GRs0n+oDD2d#ZN%P`2(7`1T-IQutHV86dKM2)~MpC z(DKT_234E|nvXu9iT{9x^9EZ~_2tlTF0extXMpA-1AA0)duVy}0ZsfeG@Lg$psIfg z4d((!RB=maJ~D7Z6@LT`=MQM&2chA-0n|}KDhCpw<y8T602r1I9iiowfh(%{Goa!8 z0ZrTpnvXWPp{oA`4d()PRPiQgI2(ANicf`>S0B*CZ$iU)gD0x`CTKVpc%h2NL-Ub= zH>&tkXg&S`O*|S}UTyF}RX-mZ&IP`x;vUd^WZ;J?9s$iqAJD{qL&JH4KdSnt&~PpY zKovKH<|BhZRPlq*aQ=WMUJNa-HUy!n*Ma7vf?!l}31~htfKC*^;ynghUVT6lXNBgY z4WX#!9EFB+K^UrdG_<@j2uBr9f##zRXyRX?<1iZ{P}T2+hI2tAs`w6QI2%NvipN0n z(FZi~IA}iF5RIySJ2adNVo=4yp!vuk7FApenvXu9iJyRm^M*K7^~<2)To8{c{tX(= z1_`L*&CqcEfF|w?%|{y&QPn?!hI2s@s`xc%I2$CRiibk;(FZi~d(d{^h7?rwve5FX zAQe@7BQ%^1(on?@L&Nz4nz#luA8klSReup0&IO>3FjBds1uX{{bRqo&==2Z6259&j zWTKiA0L@<?(8LX(`D;TKs`_?lIaUCj7=)#NMQHvq$U#;A5E}j;(8LR&<=BQ?RP_~5 z_ZQ@$il2anzd=5#cp<bL`+z3y2hCp_3Q*NwftF(h(1CN9`@Nv$m_ZS$`aWp*e?SvA zhvu&h#i;6)q4Nj=dXVskt-G*-=DUItRQ0c*;crliD!u}m4lkgYa|IeNAJEh*K=b2< zGE{Tgp#7i;&<Q%&`kW2W_$nwzRc{0h&j9EICd~aw&~nnC0#!XfH2;1;6L*64BLqML z4h#$oFmu?U`FcYosyW`!d|gn5DlP%drx(!7`2uyPK{cxSEzo%XfF|w*HD^N&s`_AP zI2Y8Sia&vts|Izb;)2ld{D3B&2+i*kpc757aOQ!EZ>UE#X92VvC}=<xp9Jkk2N<KK zpH0y6!JrXUy*Mm=qKVIgre^^YRC6{%%Z&|9sOJ2I&Zk^JQ{N6PHwv0j)f>UmZ40V6 zBQ$&_m_qD@g#$Az-J+>ahn6QBT2ake1T9ws%pm5#(hnmn-L|2s{|XIH0drLK2chMU zK|8AY1Zes50Zn`tw0yXLW=;gOT-wlqYR-0Oxm3`JDjp0=pIxZpP0;l40Zm*CnqM|_ zqpH_{#$!Pbs(21G-VJ(D#YLgz(g!qgUTFEVp$}F4253AM^rMRRLgUL|0;>2EXncJ@ z6YqxFI{~@?7ZzWs(D>Rg5!D<+Xn9fqU3da3hc80o#Q-$$j#RJRfQJ7EG;tYdxv^mi zs`*Eu;a@NnReTb(d@z`XDy{)7A3mUouY-pFhUuv4A3?*vU<RuAY-l(b%tRIMhKBzK zG;uv>y4^4fRlO=SzZc9#6;FYd^9FNJ#lJwq`2(8xGH5t&n2V}DA6jk~%tICThL+C; z^HIg;L&Nz4ns^Ab-L+u>s(KD+zAIRWD&7YTXM;tk;?tq!@CP(;4QT$_uozYS2WU7K zEI}3j4Gm|5rKsZl&~W~MCT;*LZ!J;FjfK$g->?kToL|uJFIbK$-U%&N4OXCv$3n}` z4`|}Qq2a$_C93+H(C{w+P5dFHe@ke-GgytPej7CWKcI;>L-Vx&bU`2V2s(x`XuND# zgKCZ_G~X4hMHT0S<~xIRsNx;ac=>=PE(pzc8`h($4}g|?1<(m>Sbl#3jTeKBsOrB# z!~X-CxED0vZP<jW{xdZE3pS&QUxJ3e!4_2UB53%0KobvwmU|nvqN-mB4gZ2|sN&Ah zd}pv7Rh$``?>?Z3Plkr`h8?KtmqEk1U?-~hD`+?y>_Qcv3=QWGXyOIX@@>OzRQ2DW z^;*FmRPh{W`DU;eReS?9oIjw6M?&-6hJC2&GokseU_Yuj4=jEUpo)KkhVutBaVKd0 z+5lb92TLcHpy6C_2-TbxXgC`jMisY(=C2QE;+oL>wc!Y=`dn!FR&W$mTnU=L4343S zUxSA82Q={<X!*9`II8+mX!%xf0#%$17QZJ^#m_;*`2(8xNoY84IEAV{7aGn5pouD^ zaw8v_j||SBsy_h@=MQM&>Ck+%;Vi0pYiK?yIEN~J6B^D2=TXIvL&I$WwETgs-`EZf z{|{*D*`fJs!v$1x=0e*=2G)@B8D`EDsQCpKQPnSj=C1>2>O-LAo&jj06DfQ?L*wfM zn)n{*yix#k!7t3-=g@fEa2eI!G-x~)TtOA@ho-9sXy&|!wr>rtqN;xfou4XzE?9=y zs|PJVKcJ~U1daC%*HF!Ahx(Vn4mI4aK+{9PbyW2+(0=O#H1*q|<&uIusyTO|?feaB z;x5p5ae!{Pg1Nr{8jlyC;;?m(YS8!!fbIi<i8n#R{{ou$Z)i9NK<7bW>MugW?E;$k z4`@COaE7=arrsFp-wSBsQc(W}KqqWr>K8-(djU;+3A7vzfbMI6sV{@7SMY(@3tcwN zAPLP!1~*W{e>*fEeLxdef|}0&UBCt1YRoVdnvXWzL^bCIG`$r-H?qLW&mw5N7~Dox zZv;&zAJD`vLi5FjJE-c_q4BZ-%{@Dy`J&)1s(Ke_d>PzB6+a72{~yrACqvWuhWn`M z)uHL90J?Au<}XWF{q+!4eJ!m1LKAO-w)YbJAn^h-M-5uuZg_-d4%B=F&;kPn1_oI8 z%R$TGg2$-p4?^1&22W7MSHtQ%G;t<qIlSR1s`_SFefJDid=fO=E}*%`304n+7C0f5 zZ||Y`>jRqjH)uNF@B-C*D_Ht@i7NgWnhp(Kp^6`bhI0dS!Qcr<ure%$=DQDQ>MddE z>NTo4`=Q}n@CH@<Gt_*8x2WQ;q2V782(b`m?;2=4en3+{2daL<J5+PLq2*`6dsK0D zsCyKk3-)30?gTAA4L+c%Z-mC<2Q+a(Sbh2tRecuJofpu|KMak>0_cVVSo(YmU1!?> zU1$k&&qSDepbO_=;;*3Yd4MLq1Uk+$0lJ|FroIa*zJLc3p0IVWHc<CB@Iu6)M_n=m zLfzvK1`&su;|D9ppyDw1GeX;+8^R&#Vd9$5dcOd=F$iXkJ~W*xK-a-QkJx8WgW9VQ z2{8wzz86|PT!4zh(nA2uUNm#2K+SRBhnNHN*MDgEKY)tE?7ar9FAAWGePH5>(0t7x z2r&mH?g0(Y325RAq4`<?x=t6So*n96(0B~UB$#*wG<+PO3uBLhBpDbOoS^O(5P=B5 z#Dih(hl=w-*C8*2y8i=o12#;38?+udAc<;@Jk0%4sNzCU_a{iBiXVf9zkv*@I4E2| z`<fY``vYP2K84mJ7og%hA=(&DLd%~C(1pe@adD`BCqUPAAAzdB1g*ak!27}x<II&% z|0;m@XCuTjq2=BKsJH@jUv(O^zHXR_y3R)jDt-VW3}4R-ZT2vLg7*>w1H+&H5CA<Y z1w%Xpsvo2tw8a&sz8XpV7?L@CNaEL!#G%Wyz~&>X|Bs{|**(x{9k6<2acDChEDqY@ z3Ud#1TLD=7B9gs2&~@G*_y0x`_d*g!R$qf8j;wwbk~p&Z^GM>z>VF}LBdga3IfMap zW&r~Ovifi&anP14n7=xa#F5RJ4iyKL&Y-Lf%^nQ%pyD73w5J4S&Nh$$)LvwBjzGoH z%{d1Z2T{o8JOl|q%>ivagPH#mNgUaHcIdtfnE4>Lg0cV~R2-xY*?biwab$ChpyKG} z*g(b6%?UvgM>Yqv{u5+B$Q%%cxxX1nJ!mTyOnf<#IC8w)KoUnb{~1&qWH!jJuz2|Z z6-Rd`GjyK@NE|slrIEyu&DVsA!^{WS0rHPAR2*aua(H?ni6fg+f+UXY-|0x=$o@Tz zB#vwjXq_#{ewce;{(X$39@#yheFPx&Aa^4BR|Hy~fy9x`SAvS8o38^E2dPIk-x*09 z*&J`EILI7O)`Z1x2~-?p4zl_ts5nSHD2zcE<nA7*I7mIRy-Se9k<D2H6$hDvZ0}{L zILI92@c)G*j%<!Tbbk&=J#x7bha`?1Uqwjb$mUFhii6w(@)In+=0e3m=7Y9~!op`g zR2*IXZm2j&{Y#{B^$1iPUHx~cI7mIRd&D?Fp~?V0aRk{tN>Fi-dSv&QK*iC`cYun6 z)FZpc6Dp3bz6L4|QjhGO*-&wG^~<5+Aoa-Z*$EX#SAP^L4pNWoo^w!fboGqTb0a|N zk=>&J6-QUE0~H6UM|O`LR2*GB=-dfVNQ2ZPyC)cj`ZlOINIkN9mO{m0=EKgv*$5Q} zsYiCtVW>E|`twk6ka}eIfX?>-xf5MIH#bNWQg0%=M++*BuHFnP4l*CvJswbTboC)n zagcgs_ryZQ(bZ3Yii6Z6yJtOA99{ixs5nSHvU|=##nIJ+)>VRh3{sEmo<}&;OF;WS zAoa-ZF^7tyo9_%22dPJPPbgFzU40@{9HbuEJy}q3boFzf;vn_N<@`w`anM#}SUGbS zN&FmAdGZp6I1{vA2QvRMl6nawanKfKnEAR$;-I~%FmY=nab)$uNaEL#%&$ZeN49q< zk~p%zwjzlm`|C22II=lUki?PQ!wu~Rg8Yl@9#<rBWP8(*#F5Pho$molSIFkiM^cY$ z{#7J#Wb?lxi6fiO0quW++=*<yK9V@H`Kd_a$mW+Ki6e*GWF&E9dzT=IBinl#NgUZ; zR#0UFZO0<ptBxd&Y`z7OII{WiNaD!mPel?(Hh(*kII{UCki?PA2aSWl;tSb)RcQYl z6wb)zyCaDsn;(HBj%<ECk~p&YTam<(&A*N$j%@x5BynW(`Jv+lAa^30?}{XjY<@bD zII{T_NaD!m&qoqRHvcM;II{WQk;IYB=YWn=fZT~}zCMyTviUVg;>hLU6eMxva&<G3 zIOr%0Sow1pN&GxgIdct3{5F#K4<vEWQ5!IGe4yhdAonA?Ck06y**(oj;>hlqj3kci zo@Gel$nH6TB#!JJ8BtKGh1A!`?y*1;M|Mvbk~p$^%8|rDN3y{D+m0lT?9SCl;>hZE zBZ(uce}E*8to{R%II?<CF@(R6)vF?jBdhm95=T}ag(Qxwz8*;&S$#i}II?=s{vD8u zK=F>O{s<2BFOkHN)&E2iM>bzh9Ar8q9+B1SA&Dca4@MG4R-cR{j;y`|NgP@I3?y-6 z^?Q-Tk=36?5=U152}vATJrlI!0}3}}_3B9C$m-3J#F5p<Ac-TZ&p{GLRzDF*99cbR zd=(VZ=<eB!q#iln-9{2e&UZS{@lcRC$ob9%NgO%fB_oL==euGgab)+mA&DcqX9bct zvU~m@i6gs51UfzoawoETjFH5V-Q$cTj_jT=BynW-R3M2XyXOp&II?@5Ac-TphZ#Cv z400#3dxVk1k=>()B#!JJ4<vD9_sl^OM|RH^BynW-Tt*T{cF$uZab)*=LlQ@Jj|6nQ z8{{u!_v9dnBfF;sNgUZdi;={U-Ln}<9N9g`ki?PQ^8!g6**!MUadwb9k=+x5B#!K! zVkB{7_cSAkBfDoBk~p$^K=Z<|{D|xx9_aW!x_eZR#F5?Oj3kcio+u=7WP59n#F6bi zgCvd|4o{H8k?my$9aI5r-y+*9g(Qw_uMLtovc2g@;>i8VMjYax`F&WpA*)}4r2Ym{ zduAJwII{YeNaCO~v|#nVICQ`P6#mHSEurGDeke@6FH{^|eGv}vP8{N^aEKqrA^sJI zI4AVHA$0dB;1D;*As&q+jvPLPNaD!hvl>YpS^YsIab)$sk;IYJ3qsE=LU+G6k~p&Z zL?m%!^JgQ8BdgztB#x~9HIg{8dPeBH8oGO|k;IYJ2O^0ho8OHjj;#I=k~ng{yMiQ+ zobMh$#bN0Xb{^d;s5r=-7m(6FGj#qBB#xXPMRADBK*izaL+8&Nq2eHOKu62M(*FV^ zab)-JMiNJM|79d`WcM&Y=N&=je?)SB0+Kkg`PE3`$mUN*5=S=w0FpSe`7&yt(gf1} zL^j_7NgUbyFeGv0_Ej;GIOr@CSoriKi6f6QEW#oF2uU2-d`5MIJCV(oKoUnb-xf(6 z*_;?8ab$Bq`#nK6fWjZyoEb>!k<9^}dkj;LY|c3(^~mP@MiNIhhaY;7Imlk*dQ%@s z99g{|k~p%xsYv3;_I4qOBb&1lNgUan14!b?<~&6bM>dB=6BG)N^n+}UG?F;7Ird26 z$mYZ$i6fg+iX@I~&LSjnWOKG5i6e*SH6(Fl^<R<1k?jTT&jR@j6fem38ft+=A^t@+ z2eghIBm+{9Y)(3odSr8Yki?PAS&bx)Y|bGhab$CzA&DcK!wNo^9^y`9b7YXjk<IZ$ z5=S;C7D*gAJZq7}k=4&Z5=XXoGm<#6y*H4=k<IyzB#vwjAGE*+g)_1_#z^AG<^&;$ zBb$?nB#vxOCz3d_IV+IFk<HnUB#vy(MI>?La`+FDIC44c1l^Yl@)vSB9ET*1Tn?8Z ziGz-!g_XBck;IYRvjT_sRU~m_cQQlwmxA1b?9Korab$O9BZ(usvl&So+58Pi;>hL; zK=-49>_s+T8%Z45d}kzaWb+G<#F5RvfFzD={%a(0Wb^+Zi6f_fe&{|}kUNp>wLua` zws!)OII_K~k;IYhJ&YudZ2ku%ab)uYp!;A!?m;#`8%Z45{AMI^Wb-#5i6fgY0NuZe zZoW2>II{W9NaD!m7a)lvn|}dG9NGP^k;IYB|AQos5--sGu^@LM+iQa)j%@D)BynVW zS0jld+j|&E9NGL2NaD!m2SE4Dg4}~_em0UgviZ$O;>hN2KoUnbUjVwF7TtVpBynW( zosq<m%`ZR_M>hWgk~p&aUn7YloBsz%93@_$`*lI?M7GxkNgUbU2}t6|_O3<}N4EDc zk~p&YACSb6%@2U?;{~|~+5BuIab)wGk;IYB-+&~JY`y?=zbm@=+DPKa<~t*aBb#4< zB#vzU1tf7~_rFFGM>d}sx{nd$9%S<kki?PApMWHeZ2oE_ab)ukBZ(uM{{cxH*?b@9 z{vVKgkj+m)5=S<_0!bV>T}?(3N4EC_k~p&Yw~@q=&3}U=j%+?VbiWYDoyg`Z;Si5N z5=XW-8%Z45-WnuvWP7J0i6h&)35WO#BynVWe<O(_+sgypcLeemvc2j^;>h+o;SjGt z5=XYT8%Z45-Z@C($o6hW5=XZ85)N?==sqZr`;qOHMiNK1R|iQP*<N=fab$awaEQ-9 z5=XXoHIg{8y?c<vk?p;XB#vzFCmiA$(EV5F{<TIDN4D1oNgUbUbR=<Pdz)~G??4hq z_U~yVab$b%Ac-T}`yELf*<K;&f@zSyki|Wa#F6cdMiNK1HwQ@^+1_>}ab)us;Sj%p zB#vzFYb0@Gd;cJbBikzu-Oq;ZFC!e{8A#&D_EsZ_Biq}9B#vzFawKtN{~p32&I;W} z2XZH}z0yeH$oASGi6fieiX@I~&TJ%cWOMc)i6fiC3f-rN;T|M$WOHng#F5QuMG{AG z50W^tIeU=Ak<DR+?gPYd50W^tIW|b*$mX;niKDm&NgUanJxJon=CDHd8Dh8xNgUZ6 z8zgaLb6SzaQQU(hj%>~zBynVOSfTqHG2DYBj%<z%k~p$Ctw`c1?m-eqHfIl#II=m; z(DU~}?m=G1dl-lKT_kbj^}Vl<#F5w6@j=hK2bqt&KcWUn9JHqs)*qjQBo5kp1QXwj zBo4Y71}1(NNgTyJNaD!ukp&$z44sEU_HQ4OIOqx(nE8j1#F5>97fBr1-q%oZ*myW} zTAbk%R2&oz$m_Iup!Z#Y#6efB!R(bp5=VA_Ig&WCd#>XUH;3Mj1u`F5{d64S-*JeC zLod)lH)lHzadGH=U3B%{NaAmi#_em6#F5kUR3vfa^t=N}9C_U7G?F-SIsY0-969~# zK=<E*+==X-NF;G&_Y@+DBfDohk~p&YcaX%9&F6&fn+4g6Y`!9rII{WfNaD!m_aKQQ zo4*T59NGL!NaD!mKSL5n4mWn_{#cMZk?r+C5=XYT5=k7{-ib)!$o6hW5=S=w50W^t z`I^xEu^{&#o9~Duj%<E9k~p&YYmmf|&A*2vj%@xnBynW(MWFj;LGD2wKQu)WN4B>H zNgUbUbx7jK_8vnLN4EC~k~p%xtk8Y1Aon2K>w_eYY;Pr!II_JHk;IYh-Hs%VZ2li4 zab)u~q5Et>?m;%+5lI}`{B$I7Wb@Y`i6fhT6G<G|{EtZD$mWYf_wj<<gKT~bk~p&Y zok-%y<}XANM>hXBk~p&YJkWi@AbXL`w?q<0Ha`$a9NGMGBynW(_aKQQoBt9?9NBzE z=)Pi*dyvgnM-oRiKL<%1+5DME;>hN2L=s0f|2mR5viUO5{mdZuAe--nB#vx;5|TKw z`DIAr$mQ5{BynVW?;wdI+sg&rM-6fhvb{=3;>h-zA&Dc~8;&H7Z0{T-ab$Z>B8em0 z`w&SS*<N<&K5dXYk<Ir(5=S<_5=k7{{E0~7$mVZH5=S=w50W^t`FhZMF+uJ@Hs1|N z9NGL7Byr?&tQAQd+1`Cf;>hippGe|AklGa{(2LSQ?m-rhLJ|k<(TBCWx{<^|SIxr2 zcOi)*tAC9oj;!7idhaI4US#noBynVO`jEtt)!)P+E(yJ-6Wx3ZBynW(n~}tk&0m2e zj;#JOk~p$@OX&Tb==N6P5Z{SI{0EXaayvW~dVeR#d}Q%UIK-Wx_jjVJ--<(A6?zdE zx_Wmcapd-V1Csb_q;xeENgQ-nCoG*TgNmcK|93#eLG~i2=aWd{psP7y=G;IMN4EDZ zk~p%x|DfXN_6j6{X4xV0+Q{~*Ac-T}Ym6k0Y_A8BII_KwP;qp7v!UYX{;fw6N4B>Q zNgUbU#Yp1F_HKcSquYB7DvoaNEhKSddtV}nBis8ANgUZ;{$xmaqT8zo6-T$%6iFP} zUKb>BWP2l##F6dIf{LTtTLTqGw|4@PII_L-k;IYh-GU^JZ0}L1IJ&*pq2lQFzD5#9 zw)Yp3II_L`DUk34nS*Sv0#qE`UL&YDNIi0Tc0&?Jwl@?>9NFG{B=Ivy`MLut4zsrh zx}I$oR2<#jbx7jK_U=UzN4EDmk~p%xAE4ss_A;d+!Ux&EVo2i1_NpR@Bin0_B#vxv z1XLW|-Ylp%x_|4C#F6doMG{B0cM*~}a{O+Fio@)MjguUOilf_m4M`l?-ls_7$oBq1 z5=XX|I}H+^==RD&#nJ86LlQ@}*A__}+1?-|ab$awq2lQFmO{nR?QKI6N49q=k~p%x ztB}Nz?cEI(N4NJZR2<#jdr0EQ_P#|DN4A$K9TJ`(bCB&7hKi%xs|pndsYg!FW=P`5 z_PQd8BikE=B#vxvHdGwl-dd<Qy1ji!;>h;SMG{B0cN3C0vb~3);^_8Xg^Huw`w~eU z+1?*W;>h;$LNB@nnS*Sv98?_LUIVB&NIh~myC8`p+Z&7|j%;rRk~p%xl~8eXd%L0H z==RP>5=XXo4U+gXq;hx%4)G&6#4q3wzk@^k1rG5qIK&w;5$PP+ojf?iC2)wV;1D;! zA#Q_1+yjSr2oCWC9O5}R#4B)!x8M+;fJ6K#k~ng^+6Z((DFfo1jXBVI)*UJiN<ZI` z(nAE2IP!RSE>s+r4q@grLB&Dld_^*61rG5IP;rnsAU&{l_*JMlNDTR0l+Q@w$o|sI zhNKgaIiM}nuzD>ODh@IS*<YKH#F5Rpha`^NUzW^)n2&BwF_Jj4IrEUjk<Gb@B#!JJ z<6MOK$mYZ$i6fgc6G<G|oX<$&$mYo9A<RcMClW~<*__;bgnG~&qp*0H3l&EX=RHty zQ1~FL|AZut+^-ZaK$ws0PCF!VWOr5~i6gu7GLks5IscHvk<GCzMA(aL&SWHUWOMc* zi6fiy6G<G|oYh4L^O3{hA(A*sI20q)Biri<6^Dg0tUcTc6$gbgvb~p)#F5SUha`^d ze#;Vsy~yTFMiNIh=Ok1d<{nu7VlBmPPB4-<vN?4~;>hmVi6oBfp4>8o`CN>MbLw_M z#nIh!1u6~-ALQ^~UJfw_UHw6*IJ$bJ3W$1i@q8q4<apeSB#!Lgmr!wZdsQnD<|F&J z7)c!2oOwv%$l-PqNgUZ6+bV?l$mX0v5(nMg3d`3|p$Gqh{EIApu?Aue$o-(B%V6qn zLB&DpnULb;4U+g{r1Jj@R2*au=twY_Io!1f^FihI7HEBB0Tl<C&x2(CKBzdz9FThG zwVe#7(8NVR3K$p|l<QE<hhA^V;0YB6nGd?t9p;_}B=Ltx?%xI#2N?^p7kX_Z!yTwN zx;aAi5PL!5$mW<KiE|?P%LytDGau&uc&IqY9OU$T3rQR~9WsM1EQijsBgeZXR2*hL ztUM`&ii6BYPCti{#F5QmX@vL-W)94q0#I>~Imq+rSxDl@=6r>UgUkUPbp{Lne^7Cd zImqcspb26xNE|s`NkGLx=75eCgPEg`Bo2x%=rxB7u~2c4Imqd%1S$?P2c#YrJ`GTD zkb2~Nw;U>tuKqrnIP_Xg2G(YT`;pU|HIg`TItfJ*N49qo4)OCy;>hu6)`GAXIsEgH z#F6v+LnLuzdqr9y=Aipm0V<9jK5kHPboEJ4adh=_k;IYR{|iYR+5Kj15ch!0L3V#M zR2*h6te(n;ii6a1AmzIzs5neLEZ+N|;vn_N;jjZLj;{U)nm8y8fn0b4Dh@IS+1~F+ z;>hN!wS#ma+UGnVix?Oftf1oP=6FHHLCQdOLa#4nh=YowtFJ{8N49q*R2*i`4-kWa zfnhIH9NnA;NaD!mNOwTo4^odDk1<ejkg*_lLa)1KD1eHC)FX$_bR==)_}vH<hnd3x zI!uv)f#E1r9NnA`NaD!m7<5A12{VTQYEB4L9Apl1K5a)5N6x2nk;IY1;RTX7a=KOO zLbVr`KCPhQAbXL+Z8{F|gE+*6yCLR-%t5x-4oMu@os~%9$oAeu5=S;itq)>8DBM6t z7{be2s5r=8<Z{~)NgTP{_JoRq%mEz%2s0-hNgR|;B%txq02K$Bk6dm~f{KI80jURJ zkPBx*#X;(k*L_JtFLVH@M|QsoR2-xp+5Kin;>hl|gNlR9L3V#Ik~qjM93TT37#K>R z;vg=v`?H}J8-dJ0c25aZ9HbuEJ#9$h$nNQbii6BScFz(dagclNf(!)Z7pORhi|n53 zP;rnsAoU;&3eS5`agciC@HCzXl7-B3A*Yi#Byr^QHWNu4IlX;G5=S;ia}vaSn0sLP zB^4?Tau2dOn~}tk&AEppj_e-E$q@6=&8bBaM>gj(R2<|U&=HKVbo&P?4l)NhzEY+j z%ttPN&QFJkgUkVi@e*je_a;;vWDasTKf@vZ87dAk2gN;5agaI4?%{(T6b}+dPCsH$ zagaHnqZVQQ(m)aixf66>0?6f&P;rns$m!?zERZZDJ-k3_KbOr$h$EMu-B59my&&^9 zfI1lr3=H$3;vn;p>xDf?;-`_!KMWNI<sp8g`u!SI9A-W&{k(#Tqnpn@2jNa+^ZB6S z=;j+i#X;sEtG9%TqpMGVio@Kq1M1%rs5r<RWdBY@5=S<F4pbauz5tSck3z*k<{+y- z0~JSC{}n2ZuKo{H9HbsOJo)EB!UyL515o!XL&ZVnAiLiINgUZd?oe@%`N-}sfQp06 zK~`T56$hzDc25^n9Oj-AQ1{G(ii6BScF%q!ab)w4LB-L{e+3l>nS-qUBUBuu9@+g; z^C01au3ix;4pNWoeiNuT%>5Uj?)QL-gUms8e=3qVvU_r%;^^j2fQp06K~_H<Dh^VQ z?EdvoahQ8x=bImfii6BScFz+eab)vfL&ed}7nzSee59e`=;~dd;vjpG&G&|iqpMGb zio@Lh02-d<P;rns$nKwlB#vzUY^XTMeB}7r4;2TQgRK4-R2*IXO{h4`Jujf{c?%T> znS<;ez6IFBM-(cKZoVZ{9Apl%dmNzRAoa-cn+X+1S6=`X2dPK)Z!=UJ=6=}u_0yr^ zAaju2zXeGg**&|V;vn;p-SY%04l)N>{cET=NIkN9{z1iI?)d=?Poaf~a6@*FF_Jj4 z`BqSIkom~&NrsA}yC(}Oj;?+(R2*IXET}k0J+k}PLd9Y3X8;X`F)%P3go=aAL3aN= zBynW-KZS~;o6orj5e~@e1)$;}^~nC!fQrN1!vS@V4OAT6J+VmQ$mXX&#X;sH`?mus z4l)N>eLqwjq#oHlJD}p|>i0v%(bZpvio@J50CoRss5r<R<nZKJj6Hn#q2lP~TR_D@ z<{-P@9x4t}kL=$ds5s0$5>WS~LB&DlAiJj>NgUbyKBzdl`J15PAajt_?}Unj)FX%I zBd9pK`j=2~ka}eI|AC6b+^+z2zrYeixFNe=7fBr1Jtk0bbn_#i;^^*)hl-=CFM^80 z+@k??Pa9MmWDc@>mLrKHyJsC#9ArLn_*{XCgUmrze;X=}uAXrzA{>y_b3nyG>XE}! z4Jr;Y|0z;?)eI^Q^OphCUtUmgka}c)r6Y+W+nWa!2ic44uPIP*kU7ZeXG6u&)o+H1 z!`x#5b<c6AILI7i_dG!oM>hX8R2<!Wk!9G!O&ThWuHG0b4s(wK)IIJ{adh`&Ac-Tp zCm$+~ZvG6YILI7i_4A?P=;}{E#nIKDhl+#LBggwAs5s319#HpxgNlR9K@Lyh<=DeV z3MvjVA31)Vq2eHOklpVE6-QT}1Qmz5Cjjc6GN?Gn9Ax)QM-oRie;!mEWInQg4?)F2 z<{+y-2^B|I{}w8auKo*D99=#83P||C+#dmTzcf@FWDc_XEs(^K-D3|GM>jtiDh@IS zS$!5%9HbsO{F|WSF!v-t-7^g;4l)PXJ=>AQk<H%+6-PJ!IaC~E4zl`pP;roY<nR}Q zUfhMQUJ@z}QjhF@L#Q~+{TWdAyF$f5<{-O22}vB;J(*B(kom~&>4l1e%t2N^1u70w zkL;c`P;r=h3ZU*e02K$BgY2HWNaD!mKY@y)o6ifqXb)r#vU(AyIJ$Zrs5s0$6;Ss$ zK*d4kAiF0PNgUby6sS15`87~+nE4G*^Cv*X(am3rB#vzU7N|J7`DdWwF!MX0=0AXn zqnrO1NgUaHmer7a2QnWye#N2UF!Lus&DVyCqnq!6B#vypKU5su{3NJ2%={Tp^UI*( z=;lvG5=S<F7E~PF{IyVVnE4B!<{yNLqnm#ZNgUbyr%-Wp^M63aVdk%Zn$NQa5}xSh zYa)pwn{NOWM>pRYDh@M$1JwL*s5rX$B}n4P=2t_-(arCJio?v`0X2USR2<#>{Yc`- z<{yKKqnm#dDh@OM0Mz`qP;qqgxz-}W4cUA_s5rX$Do}Bl`6r;}TR_Fp%@0KqM>anO zDh@Iqxx6ZYio?vm05!h@DvoacQY3L?^VdMd(ak>s6^EIB18V*as5rX$Uy;O-&Hn=x zM>k(&9V9$q=0AX%uK^WDH{TUW9NByys5r=c<nkdNDh@OM1=Rdvs5rX$6OhD_&7Tex zM>l^JR2*jh2dMe`pyKG}-$oKgHvbV+9Nqk{P;r>~KcMDwu7`vhy7_8I;>hOfLdDU| zcYun+%x3^Cf?!}^2!V>Dn_q||j%<DfR2<#>Zm2lSd=9Aj^P%GC=I=ogM>hX3R2<#> zYfy2R`2tY$UqQvu&1c_$2sdQ&`Jm$H<|{(QVdhIf%{PULqnjUuB#vx;Bvc$^K63so zgo?w=hux#v3Kd5;e-V;6viU2a;^^idgo?w=*MPeJDpVZZ{7*>Y$maiqildt^xDgVb zF!K$d=Bq-*(am>45=S=Q6Dp2wej-#HX1)c~{8Femy7`lk#F5RP2^B{-e<M^JX1)W| z{G(8Dbn_n}i6fi;5-JWdAG!Sb0~Lpv?*TPmU=t+V(9PFH5=S=Q1S*bhzB^PLW_|$F z{Aj2+y7?7I;>hOLL&ed}p9B?$nI8c)e;HI9-TcEy;>hNof{KI8M^68bpyDv|6QJgQ zgNmb@FT5EMZph|KLB-L{H-d`8%+G+D?*<h|H$NFk9NGLVs5rX$O;B-|`2|q(r$NQh z&EJe9j%@xes5rX$m!RS>^DCg{KZA;+o6o!jd-!lc#nH`If{Me;Z-AO_1{Fs)KNv|I z+5J&aadh*GpyDv|JD}#bLB-L{UyLM<Z2l^!IJ)_VpyDv|CqT`=1{Fs)|1**}viZND z;^^iJZH0s<%={Tp^VOi@=;k{ki6fiu1r<j(KM5)hGk*cp{4%IGy7`ll#F5RP1r<j( ze-l(3X8sDO`NyE*=;l905=S=w6;vGEe5P%v;j;m1z8F*--F#ytab)wYpyKG}2SLSQ z?%x45KMg95ZhkY8II{U&P;qqg7eU2g<{yBXzYQvmZvJH?ab)vvLB-L{{{$6>nSTOm zKHGLkxS^Y`j3kb1z7|v*-FzpgIL!PDQ1io};^^iVBZ(uMUj-FMH-8dT9A^FvsQJsF z;^^idMiNIh{}fal-TX&TahUlJpyq#rildt^yaN$#$mUBy#nH_-f{Me;e*rb$4JwXq zeln6cviVt1adh*WpyDv|KS0f&1{Fs)e>0LeviZB9;^^jIf{Me;{{c1s8B`qIeCD0l z!-oqhj&8mZR2*hL18DIw0|SE@R2<#>U?g#5_eVj+(akS{io?w3fSTV16-PIJF_Jj4 z`KzGf=;j}Sio?tofSP{|DvoacXC!fC^M66b(ajgy1qn}>`4Uj`)u7_&<~t*aBb)C9 z6-PHe2`UaVUjb@<8B`qI{K-h-$mY+2ildvq2`UaVUju6XF{n7Y`Hzvrk<EVv6-PIp zX*X*47(mSzgNmb@Z;T|4Y`zs#9ArLn``H&N4s*W+)ci!KIJ)_DNaD!mw?f6y&7T1k zhnepHHGd6M9NqkrNaD!mUx12(%tvnDzJQ9u%=dtr{|73LZocFmNO*$8k<C|tilduv z0TqXt9{@Gq2PzIS2YGxr6G<G|`~s*ry7?VYahUlLQ1j<N#nH{*i6o9}{sE{sy7@Pt z;xO|Qpyt1Uildv)xfgr*2tdWr&DVg6!_3csnr{OYM>jtbNgUbz2~cs6`N-+B7%C1k zzW{1}J5(Iq{3S@@$mXwxildvq4=N5bzXEFhC8#*M`R|d$k<I@G6$hD*oId&XLBbPe zego8eC8#*M`SwWS$mY92#X;sHhfgF_9A<t8)cjniIJ)^=NaD!mPlSr2o4*7q4l{oO z)chS#adh*qB8elLe+Md#ZvK0yIL!PRQ1hAhL&6Q+d<7(NWb@Ub;^^jELB(O_FMyiw z2Ng#*KO0FL+594?ILLhD`0av<!^~d+HGdvd9NqlgNaD!mAA*Xbn|})`4l{oP)cki) zadh*!4<Nz~*?b|WIJ)^-P;r>~JD}#<LB-L{k46$lHa`g}j&6PxR2*jh0jT+XP;qqg zS0jldo4*Mv4l*A({U3#j!^}ScHUB159NqkHNaD!m|AmU9n=fz>5}q*gFF?&#fr_J> z?}#LhY`zCn9NqkAs5s308&LD}q2lP~_aKQQn?D&U4l*A(eXfRz!_0pGHGe-;9Nqjo zNaD!mKZc5f%tsFYZ%}cV`7fa6a~*<&8@l=GNaD!m>p{gq<|Dh`4Jr;Z{{z(gD5yBP z`Q=FB$mZ8U#X;sHyMH279A^FxsQF8w;^^idLJ~(d|0Gl#WInR{A40`p<}-j4FfcHD zg^Ht_FLW3YZph|KLd8Mak<B-Rio?w304ZQ#U~q+sqnn?EB#vx;CR7}x9ohUws5s1g z0gwU)28O9nadh)HA&DcKzY{7B(vBSdXQ1LR^CdtE7#J8HK*iC`|BED!Y(C2oNVtKt zBbzS{6^EIx08+rfz@QBkM>pRCNgUaHf2cS}JF@vnP;r>~8XyG>3=CyZadh)1BZ(uM zKMN`j(vEEYTBtb8d;^dI&^l76IJ)`wki?PAe+m@`X-78y2UHwpz6D4D0|NukQAl{A zo3Du^j%>aGR2-xo*?ecHILv$pkOBq<hH$7jy7?tY;>hM#L&ZVbk<IUeio?wJ04ZQ# zU|0kdM>l^zk~p&Y$DraM?a1cego?w=4*)4(U|@I)6-PIp>lh;3kj)o_ii5Nx=PymD zIL!PAkOBq<23x2&y7^H^;>hMFLd8Mak<G7!io?uL04ZQ#VCaR4qnp19NgUbyjZkrr zc4YHULd9X`XMhwiFfiPOildwV3rQT=e8%IDa06*a4u27-IL!P4kOBq<1`Vh<y7{h1 z;>hOvK*d4Yk<E{Xio?vW04ZQ#U?_%)qnkegNgUby=}>Wyc4YHcLB(O_H-Ho{Ffi<c zildu<8%Z45{6|o6kalGAze2@f=68S;FfcH1f)4kGo)3WBKUG5#M>by<Dh|?)Y`z0j z9A^FmkOI*BHB=nk{e?*4$mUl-#X;JU&F_Ya!_1!nQUKZ)1{Fs)e-Dy4viXOh;vntF z>GL*J9A^FkkOBq<hWAi$bn|&mLee2f9NBzfs5nSFviaIjahUllKnfTb80?|qAajtz zKL$x0+5BXvI7mCP`PEQynE4w(3K$p|`k~_J=C45#M>c;mR2-xo+5FQ`ahUl#KnfTb z816&G(aryZB#vx8^C?KUfwUu=FAWuknSTJJfPsNQA1aP+z7LW(viZSKagcW8^pge^ zhnar@q=12ep$aOFZvJ#6ab)x7LB&DZk<H%-6^EIB0i*!5uNEqfZvG=Aab)vfLd8Ma zk<I@D6^EIB1Ehd~fk6PI8B6}vMG^-wVf!~tKparM2kAxHC+GtehnfEXYJLJ#9Nqo3 zNa7$S%={J*2aEZ0pyDv|UqH>@02N0!|16R?hzT?Q3W$Tn{5Mc>nE4-|<}-i}*~Ah) zvPj|}tuXUdpyD9y$m!DtDh@OM2S@<}1495*9Nqo7NaD!mmq5iq+L6ugfr`V-X8>*5 zW?*1g02N0!e=m|aviV1#;^^kzfr`V-=YX330V<AeJ}>ByL1?%kn=b+tM>k&wDh@MW z0BXJiR2<#>SR`>|^HZSW=;qfz#bM@4K+T^36-PIJEs{90`CFjk=;oh+io?uTfSUgR zDvoacUnFs4^I1TLr(;Q<GEi}t`5I934WQ!a=KCUvBby%r6-Re}4pbawz5&$y2B<i? z`E!xPk<DKM6-PIJ4^$jxz6I3$3s7-%^WP$gBb)yPDvoYG59qLdq;m)zpyn$;#nH{T zMG{9g-vug;Zhj0@9OixxsQCp@adh*0k;IYBp8^#}H-8OO9A<t1)cgZbadh+VB8elL z{{$+IZvG#rIL!PAsQCgHAoVG_`MOBr$mW|s#nH|8fr`V-Pk@@A02N0!zZOXx+58r$ zIJ)_BpyDv|Goa>gfQqA=e-=p`+59U|adh+FK*eF^7eLKtxQIP`WRb*?%~yenqnmF7 z6^EH$0X07WDvs{{TqJR1^Gl%O=;rr8#bM?*K+RtO6-PIJFOoR2`A4AQ=;r@}io?u@ zoiD+02@yWX=Szs<5cftBM?SaV$YqE*F!MX0?tcvx2e}{lT!=qVagciCb1GP`K+FND zM?R-Q97!DcoC<lUILI92b1Dpw#6jms)PO8uU|`6Bii6BYcFznXapdzo-d;txhaIWC zlyx1u_{AFtapZH)ULlDin{yI$*#oqmMOMEGbQv2KaTCxb?nvci5A>W6AE-FUU&!ae ztwa(>J{L|0bXgbFd}Q_INaD!qT|kkIMg3AFab)!+j}YO2Z2k=-ab)#NK#_{Yd=Ahd z#ZYl%^;eL@k<GVwim(@1eLm>0V5m7DC&Su%T}a}{@sji$VGgqTUL<j3d;cPdBiri= zI`jl;FY<XW*O0`K)jNSMYr&$P6Li=vQoIX5&q30FilfJS8j?7&`S*~-k=-No2I5~( zJ_6+znE8fKadh(?pyKH2<Duf{>SrT~BfG}|bZHG1|MG(l<-y`#J*YUk`L<ATboazS z#nIKzKoUoGj~(ccFD&j!1ziG-#XT)hadh)1L&eeEvk5AWuKqQWII?@3KSAOJq#n6k zs)UNe%!i$0vk58=QjdHN5;Lf9f%*&CoNOd<WcA08#F5oMKob9lw0~I#RCyqU56nIH zq2lQ7F#{b2jm14rk;IYRWA+VuIBWu4CImGHS-lwOQX;50viomB#nHp<GgKVpPUL(Y z3A*GGY7Vmbr;x;v<Ld>III_JHK#H)0&kCqG$X<}wVdc_k9OCbg#F5>@{09;~Fn_`7 z1vjWTy7{>{#HS#MBb&buhqy54QX**hAp6%2NgR~bVE(E^5=S=wGLks5IozN`1~nf! ze3FsGk=36@5=S;)8Kekm4u}bJj~|Ew6-Rbw50W@2>A}>?FhD9?P<Vo(0w(SV6^DgC zto%%Xii6aHsy>+dJ|uDE`sECgII{WbjM(jUMiK`(8D@SaR2=3W6<$dCsDp}w+=CpR zRiMTeG#rrYK{L=LtWa?lr1p<5R2*hL%)dEMagh1Q`Di|pII_QZSrPt1HeUrQj&8m) zR2<#>G9+<i^H(5=BZp4_$Z=TmV=7b}WIl5G>A)er8i%+5C=j9HhHQ>84)H`B;w?DD z-y(@4`&Sz@hzzwCSv&%Vcn1#g-8jTw;}Dky9bOEz7r9;G3>AmPqYgA3`lE@%(q|f) zxCvB!6I2`&FQ6(Kmd+<a#bM^d%-H}H2dM`|5lp=V=+JPeJCVbu4u|+lByr??8V|aJ z0E;<KaEM!iIvZHjcj6G=izJR5p1+X9k=>)ji^xaF>Ng{aBm38c51}4eyc37`O&sF9 zph0S=zmV<qLJ~)IXAzP(vU+CFU?|iaWcRe<5Wk5-TuTtUzhaTZk?oy@B#!LPLrCJt z>Vt)_o4*o=_)i?-0>TJ$kjo`Gs5mS=!`e|AP;pRt1~sW*>Dde_4pR@S_nn~PAoZXo z8%%vLk~p$CDLBNdki?PA=|&PqHfIhF@%2dJpe_i^Jy)UPF!#gm4Y>~$2e}{F{0}(9 z-9#Ya2{Q*az7YTwM>i)Hhj<oL9Aplt=z+Pj7%C1j2UJzU#9NWXL0wpwcrR2OWDXxv zJ9!S0IH;dx1G0pHf#Dof9ArKyi^I(E6NUH-Bo3-VVdBk5;-IE0OnfeqIC8pL4HXBu z2V^fSU2TGjgUm+`=QBv+$nky?NgO#I&BYMzM0U?~9OB<`h=+?~H>Uwf9NAy<Wf1C- z+gD6-2yx{6yAnwp*}weq2=$<;HduJ-;SlFlK&VHyR|`oTIow=vhzCQ(LF09xA_rz~ zCR7|0FYl1jPcM=<@_kZMk;Fkm5ioO>BZ(vX>mrgka`;S9#O|-vNaD!;+K)s0F;pDg zU$3F!=>8H_LfDJ!FIgmUWPceVi6i^VS{Y#ua=Zi~i6gr|6Nh*QR2<#?lcD11?%#_f zj_m%UNaD!uzlkJ{?0z;Cg!_@><pYv9vcGs#5$cisC5J=Y2`Y~6FJGuQy1%NB#F72g zgd~paukA?U$nKo3hHxjc_(~*kWcTmIA^rp^j_&?9P;qqkOR6L6MRva;k~p&aeUZeG z%b94XIC}Y+1QiF_i(H=6Ac-UUs}o5ax%}L!jc_M&KlCG#IC6TB(!s9Y3P~I^ln5&a znsp)S(cM1}Dh_f#a=a|pLsbu|GeAAaLr`&a^&j+6)x+wSZbR(i%b?=u=Ik~?RS&cG z8dMxzJ+BF>dYHW$P;qqiB}n4P;k*kf4htVxfA$zu9Apl%`a4i@boK0}5ch!8BgdB! z4)ItV;+ar!bn_2F#nH`wgF{@w4B;N+^nBVDA&wmHI(7(gP}dojeoiBaBlpuK?Gfsc z{ksfF964X`I3Uy`mwTEx#LFEK>XF?!A4wcJe;vXhegP^DDmOq)a+tq9L&ZViiCoXh zI3essE;m$=#F5JlM<j7%e{FO|n1k&8qe$Y&?!S*i{2x>t-TmAy2=kHMZ-pd|?0zRC zab)+0Ac-Tp|Bfrdd}R0kMiNJMzknM;J+intR2<#?j!<#*@Gn3TM|OV&k~p&a`;o+v z-7oHea1XNk^^nAo-RXcsJRT~J?*2@uIJ*01Ac-Tpe*uy>vio--i6gt;%nQ5weUZeG z-Jgy_ybmgl?*7?Oadh{eLJ~)I|0N`GWcNQo5=VA_f)950*CUA|yMH1M@eNRMbocLq zile*#C6YL@`#&OyBfFp57vWB1_qY2Y#F5j_JS1^s_wT?Vejh51?*5lhadh`f_#^B^ zcE19WII{cAk;IYRKOq2N4zl~#Ac-Tpe;*F<yHIg-_rHLOqq|=;5MeK}`(=^Dk=@^k zB#!LP6F~@bkj3vKi6guJ8xC>BV1zly<)j~yII=s#ki?PQS&Jl&T%UGB#bNa|tQ|55 zDh>)C<a%%ok~p&acOr=+w+}x@Al#4K4l#^Gh$Gj(QApy*>Z_2%k=utRF%Wam-5(7V z2e}`4d~9Vbs(M&E?E+LBUH!CpRQ0g%IRF($SFe-+Q4bPFcE4*fL>%3nsZeo{dgOHS zA_Y}F%$?k+5OH+%O6d@Bbo0HT;^^vEB8elr^CXfuayZY=fS3<52RXh@;Sm3gL;PzN zc5`^LA>!!nH-n0U>_v{pvK)wdkT|k?dZFSlb70}N9V!k|k8Dm+9(Hq@q2e%eVDauy z08x)F9tRZ%nU5Tga|*GmUyLRWbLT;*IJ!BLiXi5I#F72G7l-&e9O9D2*v;|6A-=T) zq8{D9H=*Jn_aOWCdnu}Vn7{s^iNnHEz6_!s-JFZ%5OH*Sze2^))f-l#s)yMd3Kd6J zf3FHvJ<L5zYp{zShKi$`!&--3Jr9~V%spCAaddN7>LKQU#F69I6o+^M4)KXN#E;?- z|A|99wh`hU^zd(lii6yV9Pf*pP}Rfyy9!Ml7S5-k;^^iywxF5=Q@<1{j;{V`E2?^! zy_{_jadh?5+9BfT{z~Y?E?x%}M>pqC7k2e0(Zpfyc@7mvH|JnC#2k<~a=d@SAubD@ zC_z{6heNyxhxj%e;xc^@_n?Q515_MjFLJ#1A&Dcqe?JcKS2)C<_M_Sh3%56D;;{6< zI{~5|-95=j;>hlKKM|rH-Cx3!AmZre<RFP7o6|HIq8?@r%$>`i;^^l5M-oRiCvhsO zIWT{<LdDU|xs4=_Twj|^gO~$Sk8FMvR2=S3=(@W!s5nSHvN^R-adh>aIK;O>#X;sE zw<j-8N4N*M-KaJLA&#uxc_u;}S^XL$anMpb*to|nByr^S$tNUnWPh>ELYNO)iVibJ z9Z4Ly{TzoRjyxX}H5*|L@;vW6ByrGEBbfQek;IYx`x{C8HPZZu0CWNo6rP|h8ZdK= zk;IYR>4GE<T2cyAABQ9kS|SP)UyCG;Z0{i?ab$ZhL&ag~0hT^LLd8MuL^g+QE+Tx8 z%@K!+!_0w=Pg+97LFOQbkIy`aIWYAwdzBVI#6jwj*QN9#i6h6$1|)H0^Y=l;(ak>r z6$hD*oDOf|5PyY3{4bI?azDssA;SI0={XBY963EVA&DcW=V?gd$mw}Ik~nfY`GX{m zY_HZLgnN+fbwUzHwl@q(9NFG-BynVW*CB}`=cB~M2z!y^OAtEo4GMo`apR>3^~mk^ zTqJR1^^b6fZ(W8k2ei}?mM`8Si6fh%vmBuwIozU<#F4|T2uU0{+}e=Dk;82{k~ng> zy+IO3wpVHe!ad0L8X<`z+v|oTj%;r_k~p%xYmmf|?Y)I0j%@EIBynVW*;XRliEOVr zk~p%xIY{Ej_Rc~QN49qpk~p%x$B@L4?R}0Uj%=^aDug?c?TtbbN4B>JNgUbUHY9Om zdzT}LBis81NgUZ;snrPgAlqw%B#vya8<IG(z3E8e$o8&55=XZ87Lqu!y`PZ8k?m!J zUU&`4r^xoIBZ(v1n}Z~dZ0{^2ab$ZpA&Dc~dkje&+1}?!;>h;utVM(;vb|AA;>h+E zA&Dc~+lC~LZ0~X;ab$bnAc-T}E42>c9%Orski?Pgbwd(Iwl^I~9NFGANaD!$-a-;b zw)Yc~II_KL>k;lmwpSfV9NFF+BynVWXCa9r+q(%#9NFGuNaD!$K1UKqwpV8Z!kx(W zMj?qK+gpSrj%;rmk~p%x%aO#9?R|hGj@+*B-Hvb%a{p-S4um*z`#f$ZLL9lhaRNyk zc|80L4spfZ2y>9_bwv_K9;Z#jA)XHv2aS`1mUO}D$5yB~D8D0*Kd(X(M-HD&NaD!j z&nJ<@k^LpO7rXmak;IYRZ-YZT0V<B}{tT!%y89<1i6gszHj+59``03gBfDQ_KX&(9 zBZ(us-xr5?2~-^2{q<0BboZ}95=VCbCM0oW_a8$NM|QvILG14LK@vxHe*zBiCa5^N z`@5jx=<eTvB#!L<14!b??*ED;j-1YK9>VVa4@lz3?q@%YP>(Dw3Ka*rAGA~xmj2D4 z;^^*AK@vxHe-4s3vis*Ei6fUAtD)lP{gF*jage>p<--A}I86Nt=sL_ZP;roYWPja6 z5=ZWju%1AK19Exjh$N0&US%PPBdhO15=Sm?FQ0^%4|6B1ANLL_4ss`QJbpigsvb73 zB6J!ej;`L}3`88={M%=-i+_WPqnpEh9#uWeUNxvVy874)sOn+<s(^~4t3QGyjvQ`W z7g5cD^^3)z;vjR7)$2gTVg7}w4~L3_)Fa1N6AtmUIK+2C#nH_dyaaJ4y7@LZ#G9`p z)FZq9Ad)z;J6|D*Bdh1SfiMR-T^+dv5r?@G7XGiH;vjb-_Y*DepsI((Zvs>tUA@{p zRP`|P1EAvQ>Ng>YBfJ0VL+s{!g^GjBK~Db_k5Sdb+&LR6j;?;wQ&jaZcix7IqpP=k z22l?ZM|Ni<k~nfW>pw@RM~<&39OB(L#9LotH)jr196cV7LB&DtM2<(c*VxUGg^I(> zfrXnpR2<zLrnlJ55r&Gx%z=gHg?AA3=;H67;vn;p<5A}WcJ;<+;xKmxLdDU|QThlm z2PBRh{=PWG>u`v##36nQhq&u!h`s3k&4h}B+=J}j_AjXFVgBkv6NiQ8dZ;+MIf>s; z&4H<Jg^HuAKlmM0J<Q&xP;qqic|TFr!^}7Rja@t#DvoZ>)IZqO&p{K1xn~zt9NnBL ze<9|8#F69oC=T%tIK&nIVK*lfhj=Fr@wfjW=Ag&BAOlE*fdS-B<ajq`gouMkkY7O< zw9eKFO&k`^(NJ-8a|D?|CNO|)0hs|)ZweJhS6|A4sve{VWbaI<IJ$Z@HdOU6^FOd- z7w6-Eh@+bm#0e1xksv!^=0u{2gXBQ&DTj)qn-j<dG652v$noBULwqd`@q0MLg}AYs z?}kHs4G%;;hy=ME=C2D-adh{~@q$!hy5Ap%coh!uQa*?|AQEIR2!q0{22C91p1DwQ zboYEl5=RctdI6BhnCWUUR2<!$KS<)p<_HO)ngesE8B`qIoPH#6WOF_WqnZQrm#7Ft z9NnC3Byr^W`UsLZviYx|;vmyNZiKZDzd^-8<|CWKD+*GH2p^bwNgU!aP;rns$m1<t zNaD!theb%@$o6hS5=S0yxsD``+@F#WgSZpjUN0nZWP6j4#F6bSLlQ@}cRG?dvb}eZ z#F72WC5~_pvb{=3;>h-zA&Dc~8;&H7Z0{T-ab$Z>A&Dc~`v^%K+1_tR;>h-jOCa2j zY;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYhm61fa2iaaPBynVWlaR!b?JYwRN49r5 zk~p%xcaX%9?d6g}xChx@B_wfVd(Du<k?jpf5=XXo4w5*sy{C}Gk?nniB#vzFHzaXn zd&Q*@?nkya21y**-Yz6@WP2AOi6h&)4M`l?-s?!>$o9&}Al!p&uNRUyvb{-2;>h-v zA&Dc~I~_?J+1@)y;>h-L$s*i?Y_AfMII_KFNaD!$h9ik1+dBtI9NFGeNaD!$K0*>l zw)Y#7II_LsatQY$+Z%%<j%;rik~p%xi;%>T?cIhXj%@FBBynVWW#kd=LAKWmNgUbU zBqVWUd&`i-k?oz1B#vzF9VBsNd$|-4?m@O!2}vB;UNa<dWP8Jr#F6ctgCve@?<pj4 zWP2YWi6h(l4M`l?UU5Z)`;qO9K@vx{w+l%e+1^D+;>h-HLlQ@}_d1d|vb{1&2=^e{ z>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5$_V!$+pB~mj%=?Pk~p%x;Yi}h_Rc{P zN4EDAk~p%xkC4QX?fr%%j%=^E3c~%!_QoKIBiq}BB#vzFA|!ESd$%EpBinl&NgUZ; z8C8UPknQzC5=XW-2}vB;-ZCU{WP7J0i6h&42T2^+UM@9+dywr_LJ~)|*9=J<+1_v@ zab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}S6m(8eq?)Nki?Pg?Lrbqws#SdII_Llki?Pg zy^bV~Y_E(4!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B}j%+WNCc-_)_9`KXBin0+ zB#vxvIFdNBy>pPnk?lQ&B#vzFBP4NTd%q!xBik#kg>XNzy)j7Q$o6(2i6h&)2uU2- z-fc+Y$o5`G5=XXIMjPQCWP81k#F6byLJ~)|w+u-f+1}|$;>h;iK@vx{mrDoX9%Or! zki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW|II_Lpki?Pg71u?$AKBg*BynVWyO6|@ z?OlW<j%@EXBynVWuOo>g+bg4oa1XM*UP$7|_9h{TBimbsB#vzFbR=<Pd+#8LBiqZR zk8lsNy-G;p$o85ci6h$^jwFt3?;Ip?WP48`i6h(l2uU2--fu|a$o7gGAl#2^Zw!(+ zvb|kM;>h+cLJ~)|cN>y8vc1=l#F6clF+{ir*<LRsab$awki?PgEkhDVws$&`II_KW zki?Pg<uXFJ2iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v?R|tKj%@EYBynVW#f=f} zN47TxNgUbUE+lbedlw;zBip+TNgUbU>qz3r_R5$b+=Fbd7m_%#y-7&o$o7^Yi6h%P z9Z4M7-aAO*$o6uXBHV*)uM(0tvb|<V;>h-fBZ(v1I|oS|+1^t~;>h+sLJ~)|_ZyNp zvc2MF2=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC%n|NEw$}?u9NFF^BynVW z%aFv8?VXM!j%@E8BynVWxhxRwLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@ZdmkZ* zBis88NgUZ;aZ7~zk?oB^5=XYT3rQT=-bF~_$o6hS5=XZ8I+8fDy)sq^_aNKrg(Qw_ zZxWI?vb|+U;>h++M-oT2_YRUcvb|i^2=^e{tAr$uY_A!TII_LrNaD!$&Os7Kw)Yg0 zII_Kuki?Pg{e~otY_GTt!u`nh#vq9!+uMaCj%@EDBynVWw;_om+j|{J9NAtOTZDU% z?e#(uN47T!NgUbUG9+<id#59bBinliNgUZ;E<1#KknL4M5=XYz3`rc>-f$#wWP9fz zi6h&43P~K<-bYB{$o76i5=XXI+#cb6WP4+f#F6doLJ~)|cM*~}vc21o#F6d2jwFt3 zuZ#o2J;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%iB1!ad0LDj|s@+iQj-j%;r@ zk~p%xbCAT5?LCDgj%@EEBynVWzafbu+biyba6huWF-YRb_I4qOBip+ONgUbUZAjwC z_FhL4N48hS8Q~sed%cjvk?l=F5=XYT3`rc>-swo<$oAer5=XX|%LU;cWP6p6#F6ba zLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4cSX1#+1?l=ab$bDki?PgU4$f# zZ0|NCab$b1BZ(v1E8~W653;>pNaD!$CLxI<+gpYtj%@FABynVW?;wdI+soySa1XM* zN=V|!_L?DyBikE}B#vzF93*jMdru*WBis83NgUbUZ%E?E_KJHT+>dN;43apqy<JG+ z$o4Km5=XXo8<IG(z1NY%k?oc7M7RgpUN0nZWP6j4#F6bSLlQ@}cRG?dvb}eZ#F6dg z@<O-=*<K|iab$bVki?Pg4M!43ws#JaII_K`ki?PgeS{>AZ0|QDab$bNy%Fw5wl@Yz z9NFG3BynVW7a@rw+q(@(9NFIMNaD!$%J?AMgKV!Ck~p%xNl4<z_Ld=uBilP2NgUbU zJ4oWl_Hy|m+=Fbd5|TKwy=F+_$o7UKi6h%P2T2^+-cv~8$o4)$5=XZ88<IG(z2bfd z_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?`WP4@&5$-{@*9%D;+1?~1ab$bTki?Pg zosJ}qZ0{W;ab$bB0ub&&wpR&B9NAtoBynVW!;!?1?VW=pj%@EKBynVWA0de&+xrbk z9NAv+K!p2|?TtYaN4B>MNgUbUMM&bv_HIKGN4EDmk~p%xGC>IUAlvJOB#vxv5|TKw zy=6$^$o5W05=XZ84w5*sy<EWv_aNJ=gd~n^uNjg!vc2I*;>h;SK@vx{_Y{&ivb~Rx z#F6d&h9r(`uXqT;{mAylAc-T}+l3^KZ0{l@ab$b9A&Dc~dmTv}*<P7YgnN+f^+FOy zwl@h$9NFG7BynVWrz43Y+j|E|9NAv3Fob)M?Nve&N4D1tNgUbUa3pbLd*>jDBinll zNgUbUM@Ztx_I^VWN48fy9N~Usdt;Eqk?rk55=XXo5t2Bvz1xt)k?p;XB#vyaOa#I` z$o6_6i6h&agd~n^ZyAy}vc1!h#F6d2gCve@FIObOJ;?SdA&Dc~Ylb9_Y;QP{II_KS zki?PgJ%uEWZ0{o^ab$bHA&Dc~D;|Y#KeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$UPlr~ zwpS(^;T~jry^zF_?M*@wN4B>NNgUbU=}6+p_TE7fN4A$M2H_rLdzFyHk?l1@5=XW- z97!D6-Z@C($o8H>5=XZ85t2Bvz2A_;k?j?aMYtc?-WVitWP7`i#F6b?gd~n^?=~cH zWP7h8i6h%96NhjQvb|nN;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%N37s53;>VNaD!$ znjwiJ+Z&D~j%@E7BynVWPa%mT+xrMf9NFG)NaD!$iYFl4k8E!Yk~p%xT}a}{_AWva zN49qxk~p%x*OA1L?UhMHxChx@FC=kfdy|mFk?k!*5=XXoI+8fDy?2nrk?rM5LbwOn zUL_=PWP8n!#F6a{M-oT2cMg&`vc0E}#F6cNgd~n^?>8iIWP8Pv5$;E}HwH-@+1@TB zab$ZJA&Dc~yA4Sk+1~3&;>h;Oq#)dbY_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$ za-|~NgKV!7k~p%xW=P`5_J$*gBilO%NgUbUQ%K^-_C7)qN4EDHk~p%x;%Nx?BikE; zB#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu7rQ?m@QK3rQT=-XtV(WP8hy#F6ctjwFt3 z?;Rv@WP7<X5bi;?R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<SHX zg!_^0jX@GewzmsO9NFGQNaD!$ZbK4Bw)Z-cII_JmSqS$a+v|lSj%;rdk~p%xWk}-4 z_D)9<N4ED4k~p%xT-gZsAls{iB#vya8Im}%z2QjW$o9@b5=XZ86p}cyy^oN@k?sA4 zB#vyacn-q-$o9q{i6h(Fg(Qw_?;<2|WP7(Ei6h&49Z4M7UYT5kdywt*LJ~)|Hwj4` z+1@fFab$a^BZ(v1dk0Az*<P+ZgnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGS zNaD!$enS#RwpTnK;eKR$W01s=?d?JmN49qnk~p%x+mOVO?Y)j9j%=??0m41V_Ie?S zBioyVB#vxv8Im}%z0;Azk?p;MB#vw^S0TbZ$o48Bi6h%<h9r(`Z#a@Tvb}SV#F6bi zg(Qw_?;|8}WP86Mi6h%9UW9Nzvb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2SEd-@ z9%OsHki?PgO+pe!wzmvP9NFIKNaD!$-a!&awwJ2};T~jrm5{`d?KMLZN47T{NgUbU zIY{Ej_MSo#N4ED7k~p%x-;l(S?G-OYxF6Zx7$k9Id%KXtk?mcCB#vzFHY9Omd#@vj zBik!ehHwwEy<SM-$o3{7i6h%vh9r(`?{p+_WP9%*i6h&~RgQ2Evb{=3;>h-zA&Dc~ z8;&H7Z0{T-ab$Z>A&Dc~`v^%K+1_tR;>h-jS0LPvY;O#bII_K6NaD!$E<zGVws#wn zII_Lhk;IYhm8nFy2iaaPBynVWlaR!b?JYwRN49r5k~p%xcaX%9?d7UMxChx@B_wfV zd(Du<k?jpf5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&R2}?nkya21y**-Yz6@WP2AO zi6h&)4M`l?-s?!>$o9(AAl!p&uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-L)gs)3 zY_AfMII_KFNaD!$h9ik1+dBtI9NFGeNaD!$K0*>lw)Y#7II_LsbqMz(+Z%%<j%;ri zk~p%xi;%>T?cIhXj%@FBBynVWW$F>`LAKWmNgUbUBqVWUd&`i-k?oz1B#vzF9VBsN zd$}4A?m@O!2}vB;UNa<dWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?UhzhR`;qO9 zK@vx{w+l%e+1^D+;>h-HLlQ@}_d1d|vb{1*2=^e{>xCqaY;O{hII_KENaD!$PDc_) zw)YN_II_K5%?S4(+pB~mj%=?Pk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%%j%=@Z z3&Q=#_QoKIBiq}BB#vzFA|!ESd$%EpBinl&NgUZ;nO1~*knQzC5=XW-2}vB;-ZCU{ zWP7J0i6h&42T2^+UamHTdywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-X zLlQ@}SG*nJeq?)Nki?Pg?Lrbqws#SdII_Llki?Pgy^bV~Y_CiQ!ad0LdLfA;+na<W zj%;rkk~p%x(~-oH?Y)B}j%+ViC&E3*_9`KXBin0+B#vxvIFdNBy>pPnk?lQ&B#vzF zBP4NTd%q!xBik$9g>XNzy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXIrW@fNWP81k z#F6byLJ~)|w+u-f+1}|$;>h;iK@vx{m#YWi9%Or!ki?PgHA50dwl^F}9NFGENaD!$ zo<b5ww)YW|II_Lpki?Pg74Jp3AKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g+bh$D za1XM*UP$7|_9h{TBimbsB#vzFbR=<Pd+#8LBiqZ>k8lsNy-G;p$o85ci6h$^jwFt3 z?;Ip?WP48`i6h(l2uU2--fu|a$o7g)K)4^--WVitWP7`i#F6b?gd~n^?=~cHWP7h8 zi6h%9GZEn)WP81k#F6byLJ~)|w+u-f+1}|$;>h;iK@vx{munKjJ;?SdA&Dc~Ylb9_ zY;QP{II_KSki?PgJ%uEWZ0{o^ab$bHA&Dc~D?S<Feq?)Nki?Pg?Lrbqws#SdII_Ll zki?Pgy^bV~Y_H4|gnN+f^+FOywl@h$9NFG7BynVWrz43Y+j|E|9NAv3sR;KV+pB~m zj%=?Pk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%%j%=^^G=%$+?TtYaN4B>MNgUbU zMM&bv_HIKGN4EDmk~p%xGSd<6LAKWmNgUbUBqVWUd&`i-k?oz1B#vzF9VBsNd%0#H z+=Fbd5|TKwy=F+_$o7UKi6h%P2T2^+-cv~8$o4)$5=XZ88<IG(z2Y+w?nkya21y** z-Yz6@WP2AOi6h&)4M`l?-s?!>$o9(2LbwOnUN0nZWP6j4#F6bSLlQ@}cRG?dvb}eZ z#F6dgnvHM|vb{=3;>h-zA&Dc~8;&H7Z0{T-ab$Z>A&Dc~`v^%K+1_tR;>h-j&q25! z+1?l=ab$bDki?PgU4$f#Z0|NCab$b1BZ(v1D>E129%OsHki?PgO+pe!wzmvP9NFIK zNaD!$-a!&awwG%j!ad0LDj|s@+iQj-j%;r@k~p%xbCAT5?LCDgj%@EEBynVWzafbu z+bcdF;eKR$W01s=?d?JmN49qnk~p%x+mOVO?Y)j9j%=^Y0)%^z?e#(uN47T!NgUbU zG9+<id#59bBinliNgUZ;u7wEqAls{iB#vya8Im}%z2QjW$o9@b5=XZ86p}cyy^oN@ zk?sA4B#vya_#%Y+k?oB^5=XYT3rQT=-bF~_$o6hS5=XZ8I+8fDy)ugt?m@QK3rQT= z-XtV(WP8hy#F6ctjwFt3?;Rv@WP7=mAl!p&uM(0tvb|<V;>h-fBZ(v1I|oS|+1^t~ z;>h+sLJ~)|_ZyNpvc2L<5$;E}HwH-@+1@TBab$ZJA&Dc~yA4Sk+1~3&;>h;OEJL^l z*<LRsab$awki?PgEkhDVws$&`II_KWki?Pg<yww#53;>VNaD!$njwiJ+Z&D~j%@E7 zBynVWPa%mT+xrMf9NFG)NaD!$imyPpAKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g z+bgpY;T~jry^zF_?M*@wN4B>NNgUbU=}6+p_TE7fN4A%16~aBp_9`KXBin0+B#vxv zIFdNBy>pPnk?lQ&B#vzFBP4NTd%q!xBik#!8sUCqdt;Eqk?rk55=XXo5t2Bvz1xt) zk?p;XB#vya%o>DyknQzC5=XW-2}vB;-ZCU{WP7J0i6h&42T2^+UaqwW_aNJ=gd~n^ zuNjg!vc2I*;>h;SK@vx{_Y{&ivb~Rx#F6d&h9r(`ulPEI`;qO9K@vx{w+l%e+1^D+ z;>h-HLlQ@}_d1d|vb{3v5$-{@*9%D;+1?~1ab$bTki?PgosJ}qZ0{W;ab$bBHXz)C zY_AfMII_KFNaD!$h9ik1+dBtI9NFGeNaD!$K0*>lw)Y#7II_Ls8xihDwl@Yz9NFG3 zBynVW7a@rw+q(@(9NFIMNaD!$%4|Zo2iaaPBynVWlaR!b?JYwRN49r5k~p%xcaX%9 z?d95xa1XM*N=V|!_L?DyBikE}B#vzF93*jMdru*WBis83NgUbUZ%E?E_KI&oxF6Zx z7$k9Id%KXtk?mcCB#vzFHY9Omd#@vjBik#p72zIad%cjvk?l=F5=XYT3`rc>-swo< z$oAer5=XX|Ya7Bn$o48Bi6h%<h9r(`Z#a@Tvb}SV#F6big(Qw_?;|8}WP86Mi6h%9 zz8&FyWP4+f#F6doLJ~)|cM*~}vc21o#F6d2jwFt3ugngFdywt*LJ~)|Hwj4`+1@fF zab$a^BZ(v1dk0Az*<P-l2=^e{tAr$uY_A!TII_LrNaD!$&Os7Kw)Yg0II_Kuki?Pg z{e~otY_Iq(g!_^0jX@GewzmsO9NFGQNaD!$ZbK4Bw)Z-cII_JmyAkd|w$}?u9NFF^ zBynVW%aFv8?VXM!j%@E8BynVWx%MF3gKV!7k~p%xW=P`5_J$*gBilO%NgUbUQ%K^- z_C7)qN4EDHk~p%x;(HP9N47TxNgUbUE+lbedlw;zBip+TNgUbU>qz3r_R8!-xChx@ zFC=kfdy|mFk?k!*5=XXoI+8fDy?2nrk?rN$k8lsNy-G;p$o85ci6h$^jwFt3?;Ip? zWP48`i6h(l2uU2--fu|a$o7gKK)4^--WVitWP7`i#F6b?gd~n^?=~cHWP7h8i6h%9 za}ePkWP81k#F6byLJ~)|w+u-f+1}|$;>h;iK@vx{m+KJ1J;?SdA&Dc~Ylb9_Y;QP{ zII_KSki?PgJ%uEWZ0{o^ab$bHA&Dc~D}ET^eq?)Nki?Pg?Lrbqws#SdII_Llki?Pg zy^bV~Y_H4_gnN+f^+FOywl@h$9NFG7BynVWrz43Y+j|E|9NAv3qX_pP+pB~mj%=?P zk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%%j%=^^F@*b(?TtYaN4B>MNgUbUMM&bv z_HIKGN4EDmk~p%xGRG0_LAKWmNgUbUBqVWUd&`i-k?oz1B#vzF9VBsNd$~>^+=Fbd z5|TKwy=F+_$o7UKi6h%P2T2^+-cv~8$o4)$5=XZ88<IG(z2YYk?nkya21y**-Yz6@ zWP2AOi6h&)4M`l?-s?!>$o9&dLbwOnUN0nZWP6j4#F6bSLlQ@}cRG?dvb}eZ#F6dg zI*o7-vb{=3;>h-zA&Dc~8;&H7Z0{T-ab$Z>A&Dc~`v^%K+1_tR;>h-jpFy}E+1?l= zab$bDki?PgU4$f#Z0|NCab$b1BZ(v1D{~g%9%OsHki?PgO+pe!wzmvP9NFIKNaD!$ z-a!&awwLQ1!ad0LDj|s@+iQj-j%;r@k~p%xbCAT5?LCDgj%@EEBynVWzafbu+bez^ z;eKR$W01s=?d?JmN49qnk~p%x+mOVO?Y)j9j%=^Y1%!K$?e#(uN47T!NgUbUG9+<i zd#59bBinliNgUZ;u8RowAls{iB#vya8Im}%z2QjW$o9@b5=XZ86p}cyy^oN@k?sA4 zB#vya_$7q<k?oB^5=XYT3rQT=-bF~_$o6hS5=XZ8I+8fDy)u^(?m@QK3rQT=-XtV( zWP8hy#F6ctjwFt3?;Rv@WP7=;Al!p&uM(0tvb|<V;>h-fBZ(v1I|oS|+1^t~;>h+s zLJ~)|_ZyNpvc2M05$;E}HwH-@+1@TBab$ZJA&Dc~yA4Sk+1~3&;>h;OTtm1A*<LRs zab$awki?PgEkhDVws$&`II_KWki?Pg<+_e=53;>VNaD!$njwiJ+Z&D~j%@E7BynVW zPa%mT+xrMf9NFG)NaD!$ir+xEAKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g+beSu z;T~jry^zF_?M*@wN4B>NNgUbU=}6+p_TE7fN4A&i7Q#Kq_9`KXBin0+B#vxvIFdNB zy>pPnk?lQ&B#vzFBP4NTd%q!xBik!}8{vLrdt;Eqk?rk55=XXo5t2Bvz1xt)k?p;X zB#vya%pHV#knQzC5=XW-2}vB;-ZCU{WP7J0i6h&42T2^+Uaq?c_aNJ=gd~n^uNjg! zvc2I*;>h;SK@vx{_Y{&ivb~Rx#F6d&h9r(`ulPNL`;qO9K@vx{w+l%e+1^D+;>h-H zLlQ@}_d1d|vb{3*5$-{@*9%D;+1?~1ab$bTki?PgosJ}qZ0{W;ab$bB9w6L<Y_AfM zII_KFNaD!$h9ik1+dBtI9NFGeNaD!$K0*>lw)Y#7II_Ls4-xK1wl@Yz9NFG3BynVW z7a@rw+q(@(9NFIMNaD!$$~;222iaaPBynVWlaR!b?JYwRN49r5k~p%xcaX%9?d5um za1XM*N=V|!_L?DyBikE}B#vzF93*jMdru*WBis83NgUbUZ%E?E_KH72xF6Zx7$k9I zd%KXtk?mcCB#vzFHY9Omd#@vjBik$U6yY9Zd%cjvk?l=F5=XYT3`rc>-swo<$oAer z5=XX|>lwm5$o48Bi6h%<h9r(`Z#a@Tvb}SV#F6big(Qw_?;|8}WP86Mi6h%9{v6?c zWP4+f#F6doLJ~)|cM*~}vc21o#F6d2jwFt3ugnXCdywt*LJ~)|Hwj4`+1@fFab$a^ zBZ(v1dk0Az*<P-f2=^e{tAr$uY_A!TII_LrNaD!$&Os7Kw)Yg0II_Kuki?Pg{e~ot zY_Iq$g!_^0jX@GewzmsO9NFGQNaD!$ZbK4Bw)Z-cII_JmuMzG+w$}?u9NFF^BynVW z%aFv8?VXM!j%@E8BynVWx!xe$gKV!7k~p%xW=P`5_J$*gBilO%NgUbUQ%K^-_C7)q zN4EDHk~p%x;%^b|N47TxNgUbUE+lbedlw;zBip+TNgUbU>qz3r_R73NxChx@FC=kf zdy|mFk?k!*5=XXoI+8fDy?2nrk?rMrk8lsNy-G;p$o85ci6h$^jwFt3?;Ip?WP48` zi6h(l2uU2--fu|a$o7hVK)4^--WVitWP7`i#F6b?gd~n^?=~cHWP7h8i6h%9^AX`5 zWP81k#F6byLJ~)|w+u-f+1}|$;>h;iK@vx{m+KS4J;?SdA&Dc~Ylb9_Y;QP{II_KS zki?PgJ%uEWZ0{o^ab$bHA&Dc~EB+bbeq?*Ski;)CFfjc24*}DW#D61+Z$T2jgCu?l zNgP@Idn9pW^}JsY?m<?sg(Qxw-UCS-S$!&!II{X?BynW*3y{Q-)$c<RM^=9uNgP@I zPb6_<^<rNU{z6u7f+UWtz6po;d?ayXbM_*MBb##zNgP@I4<vD9^`hUfyVDp+99ew; zk~p&Yc}U{O>bsG|k=3t65=T~l3`rbW{Szc{Wc7^SvAbUhNgP?dJ(4)G`LRgi$m&<) z5I=z=j%>~|BynVOn15h*ry`O#vU)otab)vjk;IYJcOi)*=ih@!;>hZmej?n1EbfUz zJPS!2+5BcC@uNuPz#1g+TS($3ki@Sdi9baWM>e157s8#$=4j&(_s1b#g(Qw_{!Aos zWcMFM5=XZ8A(A+<`?-H3+=*<y7m_%#IdwS1H{lR}hC^KH55it#^Zjs$H{lT9hC}=n z4sp4^*zJwQA<h5=AYJ?n3=D1x5CIkl#Q+ok3l--;6YqkG^Pq_zgNh5FiC=(<Ln*lV zP-P4;PzKCi14f9z3<w2Re*h|e1x*~fJ%Ql`nmBaWih%{H4{km)M4<wjcmq`222K0{ zR6GJr+yNS3OVGq8utLoLfF|C+1`&r|?+o|X19pfw^co_#xC1ocp~s=Y#TP&W9=c2b zF5bWkF-HRGUYLIs_#ombXyO~7;v3M!9YBc!R34y-KY)sRK>ZCfzW^HHQ_#d21R?f5 zKog$;71x1=6U-b1A&B}4H1Q2k@gr#B4#E)i0?=@UnIj+q5syI=e*hIffF}My0-~M= z8V@jY4oE`8BhbVrNI}Gxpou3)L&QIzi5tj3#BHGQ2{WHT79!q(CVl}beg#c@f*eG> z0w;uqnG+xn;fJ7!Ge9$351M#_B1HWPH1Q2k@gr#B3`!97575K|pyGef#1}xtCAc8^ zVD9_?6*oZ>cTk3?3qTW}02SYWCZ3=IQ7^y^p<(7Ls6zM!XyOl`;#bhb8FV4)O`!P+ zW{!X!M0^UG_ywr=7c}t+`VjRY(EJB8C%^zAz6DKOzz`xX0?mIg^%tPxC1~Okj3DZ- zpos?<L&Qy>`4VQ1fC)r=3Yz!@sQ4E&@d>67^&!xF3^OOd3?jY-O<cenA}#{W$1wF5 zpyDNH;u9<&>aU=Q2UtSHO`!Q3W{!XrM7#k_d;wJa44SxsHAKAxv^;>Ba{wxyf+ikd z15v*LP5c2={12LVfh|P62ekZvna^Mc5ubu4J^?BYD{o-x73?AEbwnXFOnd`WyaG+! z!2zP~2%7i>sJMU_L>^{NfFpz-gC>3fD!u|uJirN}{tKG;1*o`#I7A+9zB7d1gC>3f zDt-e^JirB_UIkjd!pyk<6)!*&4{(L3--9N80V;k4O+3I2qW%q<_ywpq2ekZ#+4})1 zE`uhn;0`gz22K0|R6GJr`~y@x2Tk0-17c1On)n5%_zE;}1y6|jJ!s+$Q1J_B;t!zW zPte32yddWMKoeg873YE0SFmtk@P?>YKod`ZikqN`AApK`pouH^K+IWzCeGjs5$Ax` zhcJ6LK*clA#1s4=>QA7FEBHgiHK6q;%$y5Q@eVZch5(5A7ii)Efe>*IXuS$EM<ECz zz5-4B0#uv>THnIdHv~h}XP}82gh0ekpou?#ifcgYXP7w?LLurq(8L|WAmT63#6LjA zJ)reD%$yD35cMn2#0w%I;vCTW9Hw3&5+a^~CVl}begaK=K@>#&9W?O^Q1LHl;tbIc z^*qpe9%iotR9pv5d;wJ42TdH-AxJ<IcYt;j8qmZSK*gt^i9djfuRs%5h=sWG1e*8+ zsQ3#safUdE`afvm4p4CcXgdYw-v+3-3Yz!<sJI21I72+d`~WoZ0;qTfn)m~#cmtYv zKmx>^8EE1MpyC_Q#0?T5>ffM=U&w@r>p<ICFn>MBf{2Hpi7&{8h}WQrC*(lHm!OF& z<U+*Hpou?#ihn^9Uyuh;4{P7S+`~`+5%++$?_lBwpyCB+;thom^)t}K9f~00d(gxg ziXq}p(8Lcw#d*{q@-TZFN+5g_G;xPgh<FT|I71mkd;*&If(nTE4m9zEN{ILaG;xJ0 zh`5J3goeAP7Q)|yCjOxwBK`zTd_x07oJRvf!^{b2gz#I?#1&c~;v3M!AGAWm-=K+K z=!A$XXhLY1`3&6<z6YB40jT&EG;xKA5cPM^#2-M#S+pSXF!L8og79_F#1ke%#J`}4 zH$Vp%G_)b|FmpcKgYZ4j#1Gtui07b*Pj~<kpMfTR;2}i(2%7kZM-cH3XyOV_AmTDQ z5E|y5fTs}t3^Z{C=s?I0H1P*e@i%DV4X+^PC_wu|F!LY0fry8oi-RUN7#J8j(8LWs zLDX+R6aN4ezkw#c;WI=%3$$Mavsd9OL|g}5{2N3(0!^IZCq%pjO?<&Gi1-{d@e5FK zSbq*?FT-Dm`X6ZO4?x8gp#40U`hb5B^&V*A0{<c61!&?IpyC~9;sy+mfub{L;t!zW z575L77$NF8p#4jjdk#RwP0++QFhkTQpovdlg@{i<6F<NX5nq8O&cFc?--9M@02RN0 zCY}Hle}X1H0V@6jP5b~<oCn$uhWYCQRNMhg+<*(>o(MGY2~hDCH1Ps%i26Bb;vb;m zThPQEcp&P}povd_iZej_<uG?1;DxA{KofW1gNPfTiBEuvd!UIwfQr|ki3<oo%$b5F zJ^?De22K0{RQw2<xPc(VoF8c72|^HY0qD2_%wHRz;u>h;48joguyF{O`U6n$8Z`9+ zA`taw(8NDL#owTbPY{Ku=YWn|z|4OD6_-I1cMyZ9H$W3#02OyZ6K4>IsE<GsPk@TA zKofURhp0b-CO!cwE&?4#fw_~x45B^-O}ro&BHn-|egG=203COMnX_OTM7<B1xWEjE zcm<kx!%T?y4K#6wSrG9zXyOG>aUSUS4b0wx*%0*#XyO~7;xTCA3UeXqXP}8EEP#lw zK@(p96~BTeF0c@y-UK>s1ar>?sQ45#@d=9{>Q|tNAApJ<Koc)m3{igrO<VywkS_uq zmx9^buoR+R2Tl9~RNMni{J=7Z`WQ5EhUF0PJ!s+wpyD#n@h!N$D<JA?(8L{9Ld5T& zi8HK%h}%HNy<p}XfQrvS6K_}zQU3=`++htwJO(-*1~Z3YEkt||n)m^zxD0fh45q$e z9YlQ%nz+Myi1-~eafS^LaU1CP8O)pmQ1Ko#@d<|^>Q|tNKY)t=KobwR1W`W&I^G5| z-#`?^Vqo}zCjJ2`9swP11E~THoo)~Vu^1S3potfVL&PPZ<82@wNWFmsh{eEAfhPU| zDt-e^e1jxJy#;g}4#WeQQy>LmF)++P6E~2Ci2pzn{{R(_fR4|BRDsOdAOm7CFzi4R zFOY?ZOF+lxKs=Co133_jfuRCT`~y_{2AcQ=d5C%o=(rt-2QsHX0mNcpn1Lp4pa>EF zfhPU|DjoqHw*#pHnX^F&#A0CBfhJy{3=x-rj?aO3AoT_+AQl5d1)BH=sQ3*u@eQgF z^%l@^I}i_KPJtST#lSEFP24~oBK`wS`~y@x0y=I7QUx++g9eDjz_0^Nyg(BoE&(04 z1MxuW4YWWk28IeW@efe(8))Jiv?1y(pyPHR9>|;m9T1CwVFsGGfi6V+2b%Z?sCWc) zoDZZ5WX=XX5Q~9f2by?+K15ssI?e~;fz%rqfLII+6=>ogpyD^s#5Wj1)LTHu1wlNJ zIR!=_76Zc!G;srCi1-gQ@efe(2<UhrNEOJO4JIHK1H%q9@d8tbxCC?@5yS(jH!uUS z7#J$h#6LjAZ=i{9Fo&qOfQ~zYcp!5MEI=#<h8bw$29^-<A86tqpyCnG@ko#=kU1Ny zKr9A^9cbbO)(~+C=r|>a2U2eUop7!|6aN4ezkw#c!4{;Gfx!YgehK1%%qg$~u^1R; zpotsUL&SfeiGP5KM?l9rL8?IJY;XXv7#Mb-i5ECR#3i8Pogf}ay@3;m#lTR3CjJ2` zegjQ>gEK_E1$2BA!~>aA-~wVXFw8&`H*kfB|3DM}02Pmbj;DfDfy~+924XQV>_8JQ zaEFLXK*v)-Jdk<=4-kuip#n|(162G5n)n7!h<Xd?I4g(;GN-@`#A0BWfhKO?4H5r= zCjJ2`9swO^1*rm=v%v?%Vqn;TCSKqR5to3Dn}T>C^#*<*76U^Cn)nB(_zg7i4gL`I z7SQoj5D#QdK>&!wz%T<%+#nDl{sT??15`W$I&KP51u|zt5QxRVumerJAQ&Pp0UaL& z@j&VgLO?7Ah6*(C4^Z(NXyO|}A?hul<E9`U$ee;O5Q~9f2Aa4*I7Ivhn)nB(cm#Bu z6{HGe&Iag0j~!^@1(6^X3=9%UknsZ$52W583dCYys6Z3{02RN1CcYsWqTV7I)trJD zi1-XNaf4Wh_zyJk4^Z)l6jXCI#6i^WKoc*Bhloq0qN+DYfQVP1iGP5K-#`=JkO)z4 zk%nqcK@voK2Aa4*GDQ3bn)nB(ctkp?IU7<S>UW@t7o<YOB{ERe8>B(RE6~J0K*evM ziEl`UsJDQQql0*$cr3^Ou^1R;potq~Ld1WdiGP5KM?lBjL8?IJY{&w!7#Mb-i5Fx; z#3i8P@gN>Zy+IC$#lTR3CjJ2`egjQ>LoP(U1$6u#!~>aAkOyKhFw8&`H-O$}{{v0@ z15`W$I<61W4Kil~^uGBWXyOIXd)y_U<NYx82GIM|E6~J0K*evMiEn`3cW#l78jl6g zd&Fm;i5o!g^ZtP*{sAf;0i92Pxn~3PzU>`o;swxqtR<lH3^4Tu(EFq-(8NDL#c!aA zZ-CzSYyq8@fSFSOy+?Tlnz#Y<KI0!~;vb;m5zzSxm^mAu_YLnr6EA??<0}E3$AGCf zfZnHDfhPU|Dt-e^d;|2pTMOvC2h5xT=smJC(8LX(_qqN+6aN4ekATjTz|7eIy>E2~ zns@>99#e^O)Oa_5E}*MG6aN4ezkw#c0eau31#}(;W^V!X9?cnO;s((BEPtShe}IZd zK<8~>=4^o8H@O2%ya0NSqXcw52d3TtdY@tin)nB(_zg7i4bb}zEviw&p#XZ1;0!cz z1L%EzKhVTKK*b|!P|eu@y>D*^ns@>99y<x>yc690(EH>n(8NDL#c!aAZ-CzSW>JS~ zZvpfkwHavQ2GIM=exQkefQm;z=euC;*#Nz7YzLZn0rVa(3Ftf+OuYg0KCKEg@efe( z8))Jip!Z!_K<CY1<`h8hk(z-fZUDW{=?9wl2dH>N6KXhYfZn&X15LaDdXJGrGpc$6 z=zT&JXyPBB;y2L5H$d<Ev4GC+!QBtNM`s3_xB>J&n;&T6AE4q9(D^`^IUAt&&FnxE zFM!_TBGHECe&~HF6=>ogpyD^s#5X|iJF#d-HKzc2kH`!(aRcam9zW2;KS0GJI#A8o z0KIQx2by>R^d1Wd=sYLPzXs6zBr4FvKS0HApowpQ-uGb9g=%jB^d5y7XyOLY`wV`d ziGP5KM?mLMVeZ)gy>DO#ns@>99Dj)(RC^7e=jm6ViGP5K-#`=J06p*Cq8HVi0_Z~R z8EE1L(DU4XpoxEgibwRJnzI3V-uezS@dD^M<`Vs=>J6ahiC3VBe}IbLKoj2pJ@4CM z0;)L$&~vnBpotqm&$Ir4CjJ2`9s!+~hKE1&yy+ci;swxioF$<1)iCu2(DRfl(8NDL z#c!aAZ-Ab6Y%v+l{m^rSXP}82K+p62fhPU|DjqQf)tn8`^LBTji5Ec6v6YyLs@?#4 zo@@o0_y?%?4K(o$(DPm`rlFcs06j-_2Aa45^gPoaXyPBB;t|tP&Dj7wZ*&Kmcmebr zPYLM819<pD&(o|x6aN4ezkw#c0ear0#Y|Lt3!vvn&Oj44fS%|015Nw`R6Jr9syQ2= z=Pm9)6EA?CV<<5jRlNapqd*0k_y?%?4K(o$(DVK*=AfEW06j-<2Aa45^gO#CXyPBB z;t_LE&Dj7wZ*B*gcmebrH;H+u>J6ahsa2qfe}IbLKoj2pJ@3q7KB_qd&~wCQpotqm z&-40$CjJ2`9<cz`oDI<PwsxS27eLRkl30kU-T-=@R0W#&2dMZBH1Q44^PVggp_)?w zJx6H<nz#Y<Jfk0I;vb;m5sOjH*#JFnXa|~j0rVUni6yA&4WQ@gRG^7}fQsKh6W;(m z@5W*&syPMF4LLK=#0{Y5x%@yA{{R(_ScYoO2IzS!JJ7@npy!xKEJsyu06kBn0!{n_ zRQv{-_y*{C9~LW6%_)GMqcH<b+yHu>#Sb*`4^Z)lm8j-yfSxz815LaDdX9s{Dpd6b z(DM{3(8NDL#c!aAZ-Ab6V6ht2oC4@M0yEIW4WRq^f1rtffQm<~K{aOsbie%$H1PuH zK6Z(<sOk-%`^hWN#6LjAZ=i{9fbRFUSchs(0dybr3^Z{A=ziucXyOIX{gxNd!~>xF z8-JjQUx13MtVgvs0lLr72Tl9~RGc9*J2SpGIU_ZtG$%E_peR2%wYWIGpeQrHD6^yz zEL)b7pB|r-SrVU|Uw|Z1TvC*pmtK+q5zbA`O-7b2%`47K&r3~-&n?X<$t=i0(g-su z-XOjLVnIeq4#Ev3MTvREiOD6I`FZgmGZJ&+i*xeJ8T5)$a}xDRQY%Uznn13CI~<Fq zw8UaUDvMIn<B3p~m!B7(l#`#Fotc*&pOTuBSP64jegU!-sU`8rrA0-lc_r|GOvx|G zP0WKT0(mntFSV#7GchN=C^aiJ87cwtN^WURd~$wXaw3u#Iv*aWrFn@(mGQZmd8NgO zz(~u?%Ph`-Sq&D4hYvJj!GhrUOizV45@csdd~r!pX>v(?K}iup1;mbgs0hgIsbwIq zLF1$#u_!S&wImfuJ}0rbB)%*$r!*B7K4^+S{)9UTIm+OnTb2kl52O_0{rKYK#2lC~ z$Qj8Qsma;#MTvRosqy(~@tJuksTJ}01q`4hm|KAG04#wfBMT<y7J!@>?-v~8UzS>w zlZd1NBp2!ukeZU7id8-%F)t-2H9jrBC^<F0C^aWFu{bq8F)t-Pr6{v3wJ5$QwKzYg z6qIlgj>ya_%g;`YPb<v>r)Y=-$QK1g`2~sTi6yD=NC5$n2Kk+UbV_PYY6-&Fc#t*8 ziEyhk^D;q+EVC*#z9g|Y8(kWl0+NeT!M3Bxm*f}Z<do$iTvD7`5?_*0l$x00nF8{A zd}&@$VqQu<L<y2jFh9m8C+6gU^`}(kCFW)(Bl|GEEF%RX33de5)POU;fej^6A0(L~ zbR_5Jm8BMy#Fym97o{fVFzDswm!#^s`-SQjmn0@<LllA{29nA_en^6ggJJ}WI5Y^8 zKnXt;o(y2=4q_xoYiUYBd~RY%az<ueIzkky-yzNhmrC(@Ag88-sKj*A^&u=uNlh#U znHyh}iewDb@lYu!g`xsp+<;2#JS4qonK`NP<wcn#sR&ET6EjP|nE{ku@=_~6g-Rl_ zf~3+k$D*RdN_TWo&ol#6F}S_SpbS=#oS$2eSOl@ExFokEK0hxfGY`TCCkD8BkUNv} zbCXbHOEPm)p_NB!MtmBm)B?L29{kX<5nk!Rsw^ZMu;xZA>OiF`D6&CC2-p%(YL73< zEC$sa2tja~02kqjc_|QeAR%a`E-p<fD9TSq%CzyBY4MQKJr$xnH!&wCKbb+VxTL5g zF^NI1xH1<^gR97*{FKC!M26Ig)a25V)Obq<qyi@1(9#l3zzj{m$P`V$&=^g?$jH(X zZoCDmaYmMC0*2;j0!C(N0){4N0!GFb2=k2$(PRzL1&j>PRals#I?B)#O~A+mT>y=5 zXoMzUWN41;CNx=N12h3cOEWYln4t+6nW7088lwpqq4Plkuvj%TLv{|DN>fz3jVw(O ziVZ;=loVl(uG|bwz|aIuz!;5h1QLL|3{BF|5KX|y08PLI)wzZi=mMr_0!Ah#2oD(< zqsbZ?A;}t|Ng9C!U`_>dki){*07<J6h=VL^Xlab*QZqCGBU3a1Lt``nBO_yk(+$xi zjX?qk$04aSGC<~_xx(BCRlvv$O~B9uO~4q9Zv+y6S!{?dX@DkRXkmzIp`j_7fRPEB zfT0nZfRQ1ZfUyCZfT5)Unk{B%0!F520>)^3Lv#TnBQzDph6a`ldXN%RFS)qH0$dtH zidPFn8HZAY8d)M_j0})D7Dy6?78VS!k~}v)G1(w3(ZDFp#L_a!(l|9WJ}Jp0(KIpM z+yd2TbA$<oNNghz2PG*QpzAb4(uu@2GBiWA!W2oJr75bMF|s5Q+sN1iNf#2^$Pk%h zV1i~Gk|tvW+sF`^V_=M`$q*y}ONvGaU4}?(BSS+}O-2R?h2|(BYG`4JCSZytU}S<O zU}%IcfW|j6L=!MJKoc;uv_P}f3{Aku6ivX;7)`*)$if_+0*wqU%!x@(sJ0qenxhIB znxhFAnV|_7nxF|78KVoBBb;T3#5MwPP|_&6fRO>3QHB;~Xznvb6EHGC7eM118kr$E z2Tjt*5J?iuL3W?90h)4CG{;z)B8)aemqZsZGDTBijK()a7ceqHGT#u1ZG^%xKsN_n zz{t`B&Ee)~0!C(N0){4N0!GFr2*09m43Q;39ONK0GC-CEagb#VEsW6|W{M_YWP&b$ z#y2!FM!3rmP0|Qmz}NsuwGj#j%^{XXXaZ(v0!F520*1zD0!BtgNKQkOG&V#NFf=zr zwb957O~B9uO~A+)UBCcMz|g_~%}{eR0Yg(X0V5N10W`j$5t@LJA)0`(fdRtfW~imD zB^uwr(hRu_LzOi$N0u~4l{7X(<r|qH6dNM3jX)gaRA-7%XNJT!L}DAEa12aQZ8AX^ zV2H#vGB80^XN;r{iEU(v%rQXX7#g7(U}%6YU}%V{!q@<nZ-mA-G%!Fo!W1Pcj4Ult z1q{v61dPnk1Po2k1dNQ)1<?40=zMemBLhoQcmgoAv_Nx`1-gJKnt+iBx&RvA&<IVy z$j|~|BbbAnIE)R@lp9)_qq)h<9HHM7P0|Qmz|a_71v=jd$v7iJbXiL?G~3P51dPnk z1Po2k1dNQ)1<Vj0FhpV-fjG#4VTdkZWPoOrDVpmn&;?A<1dL431PqPP1dI$#5zYg1 zkey^~fGi8*Aj_JdImZ%Rzzj{m$P`V$&=^g?$jAiY978loV~_y6EsDZ1Kv#+|#Td<% z=4b*&W@rM2CTIdi#>NQa43XGIAP#bf7#SeTf;h;sh89L>ZZSm@Ffu_CFf>9FFfv3F zFg8FFFtjv8v&9Tez{nI$z!;5hh%R7+roaeYz}OH?g`v3tsw<4l&;$%k&;*Q((FHL0 z28ehtVJORqFNn7^H!w3ZG)|5$E=`IzL28qmTcnw$8Yd+tCYxIr7$zsi8<{30S{j-d z#G9ZL%$Am@d?Pfzp`{6OiHWAz0wHN+Xn`teXn-VZYK|&tWRB2jh{QHR<`|kG6d8dy z$f?N`Sq_<FV2Wy$36er%B#t2x+X#hYV1jCbF~S66G#Ml*LnO8l3daCh2FWZV1l!OE z)nFq7gq$%_w3?+_nwumW8W^M+fjaSN@ySU^CTRv{$??VvnW?F%pd^uKo|<NuoCHo1 z#wd|(XlaQiV1_1OWQrzWXpAOcWP~PQh{iXvv@k|aGH5oMTc8RUnV|_7nxF|78KVoJ z@ePqIGy-vu(}^LvfRO>3c5_q*8CsYl+;565i7sGdf~LX<jc<r9U}T77KA3~-Tw?=t z{g!5E?lVIZFfv6GFf>LJFfuYjc*zinZ3N;VTW@H9rqvYHawAJL0Yh^%0V6Xs0Yeis z0V88mB-bFZjSSIM8X(JpILK}_L3Ns;1-gJKnt+js3BqC{V>DSqBP3ZvG)W_n08-tH zYPGQel2#*Rjxnl2LrZi4Gc*AsQ)6T|qRAQ>qX`%pAt^URlQaeiz#Wa|5_2O|0V6Xs z0Yeis0b?}25r%*PnhHY;Lo`dw(F6=l(FBZ4&;*Rp_=ZMk0!D^t0>%bt0*00bsLn7n zLlZDEMH4Va;~Sz27@;XJLKiSLG(d_-6b{ys+6bwXH%u`$H#RX%Gq*HKG_^1^i8nDx zw6sjKu!uK8E6-8+Mixehk{5|>WN3k=&>W%A$j}^B(hMPIh{QGmagg($DUv!Qwviz+ z$G{ZTa1(?kBV#0vArjjNg=2suV~niZ7}aDWBuPUwIYR>^Nh5?I#ui8%BSRxp6O9c} z`9=mt-~oB?G%9?;7CA^j^V*3ykoofB)DqB?y+M3&W>u;o);Kq0(DQK)@H8~Dj5j1I z`e7Q(E#jd}BV#id)6mQm&NMfPH$+V;7M5rNrf332CTIeNMrZ;?hG+uD2516?mKJEX zn4t+6nW7088lwpqq4Plkuu{y>!VsRqjX)ga&^NL)N3-1=O~A+uO~B9uO~A+)UBDb+ zqahO82*g3Q-4I>C$N<eKGc?y(pbMCy2^g862^bogA-M)k(#Q}^z}Nsyz!c2^mgoXz zXaYv2Xaa`DXaYt?rbsSAlQcF&k~Bi$7@#RNL>DkY7;A#+Y$HoF0Yh^%0V6Xs0Yeis z0V881^9_;MMj#Gyv>F*8%OZ1(QJrOIfi7T*CSYV@jPR6^F`BHQ5t6JSnxqlBfUyCR zY9ln4SQ;VhF}6ULHA53HGDQ<GG)5CJGC~tDHbk<)5Y2XTGyx+sGyy{sGy!8Yz7d9i z0h$U!3j<VJjm*&m3{BAlj7-o4(D;T%XaYutXadFt28NIt0JJ~`YYl+Bb}$}R1rX2! ztu;XFO5=+QQj;^&D&rvwJ5y2&NYIO-8nXT{-T-UGV}Pi5U`%t1co@^f9L5CIE-+Cu zQ<$isxe1(U1ZNq<8=%%W29~G-MivIhWjC6nIYQD9iERYpAm>LjRDH%~Nczy^Owp8@ zB9s~#BXJCo*hVNE12iK{5GEKRv5inT21qi-2n|NYNE}0BG`ATcDKs=fl{7R!k~Kt= zGej3KFn}Hg2w8ef7P*(5fdP7D1&aQR6_AS~JfP=K!XzQ5LBV(o44~!RATj9m5O4|T zF;5JM(EC(i63}DuVO$2#GJNP&7jQ8UW5O?pt2)X+rZX^rt}_7bErMR}zyLkIoPmXx z0es>k^mu!aRxn-xwHJEK09Xt}!1TlDOY9K+AhSX8Ff8y7A_BXI04@$=K<TsW3=G)Z zFHr~yKj`*mu=_zGFneL{|H%TeA8H(&I>HU{KU@IHfP@B=!@$7sh7GJAdO83?`~*~g z11N$J!VEC`VM5Q@u)BW()cvsY@Ic`Y(hI^My)gG5WoH22r2-N|#vZ#N?)QM64+e5K J=qdwr{Q$cITC)HE diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c index 8289181..c9f38b0 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -54,595 +54,40 @@ #endif typedef void (*funcp)(char *, char *); extern int main(int, char**); -IKI_DLLESPEC extern void execute_2(char*, char *); -IKI_DLLESPEC extern void execute_3(char*, char *); -IKI_DLLESPEC extern void execute_4(char*, char *); -IKI_DLLESPEC extern void execute_5(char*, char *); -IKI_DLLESPEC extern void execute_6(char*, char *); -IKI_DLLESPEC extern void execute_7(char*, char *); -IKI_DLLESPEC extern void execute_8(char*, char *); -IKI_DLLESPEC extern void execute_9(char*, char *); -IKI_DLLESPEC extern void execute_10(char*, char *); -IKI_DLLESPEC extern void execute_11(char*, char *); -IKI_DLLESPEC extern void execute_21(char*, char *); -IKI_DLLESPEC extern void execute_22(char*, char *); -IKI_DLLESPEC extern void execute_23(char*, char *); -IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_26(char*, char *); IKI_DLLESPEC extern void execute_27(char*, char *); IKI_DLLESPEC extern void execute_28(char*, char *); IKI_DLLESPEC extern void execute_29(char*, char *); -IKI_DLLESPEC extern void execute_30(char*, char *); -IKI_DLLESPEC extern void execute_31(char*, char *); IKI_DLLESPEC extern void execute_32(char*, char *); IKI_DLLESPEC extern void execute_33(char*, char *); IKI_DLLESPEC extern void execute_34(char*, char *); IKI_DLLESPEC extern void execute_35(char*, char *); -IKI_DLLESPEC extern void execute_3821(char*, char *); -IKI_DLLESPEC extern void execute_3822(char*, char *); -IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_1958(char*, char *); -IKI_DLLESPEC extern void execute_41(char*, char *); -IKI_DLLESPEC extern void execute_1959(char*, char *); -IKI_DLLESPEC extern void execute_89(char*, char *); -IKI_DLLESPEC extern void execute_1983(char*, char *); -IKI_DLLESPEC extern void execute_1984(char*, char *); -IKI_DLLESPEC extern void execute_1985(char*, char *); -IKI_DLLESPEC extern void execute_124(char*, char *); -IKI_DLLESPEC extern void execute_2035(char*, char *); -IKI_DLLESPEC extern void execute_2036(char*, char *); -IKI_DLLESPEC extern void execute_2037(char*, char *); -IKI_DLLESPEC extern void execute_2038(char*, char *); -IKI_DLLESPEC extern void execute_2039(char*, char *); -IKI_DLLESPEC extern void execute_2040(char*, char *); -IKI_DLLESPEC extern void execute_2041(char*, char *); -IKI_DLLESPEC extern void execute_2042(char*, char *); -IKI_DLLESPEC extern void execute_2034(char*, char *); -IKI_DLLESPEC extern void execute_126(char*, char *); -IKI_DLLESPEC extern void execute_127(char*, char *); -IKI_DLLESPEC extern void execute_128(char*, char *); -IKI_DLLESPEC extern void execute_129(char*, char *); -IKI_DLLESPEC extern void execute_130(char*, char *); -IKI_DLLESPEC extern void execute_131(char*, char *); -IKI_DLLESPEC extern void execute_132(char*, char *); -IKI_DLLESPEC extern void execute_133(char*, char *); -IKI_DLLESPEC extern void execute_134(char*, char *); -IKI_DLLESPEC extern void execute_135(char*, char *); -IKI_DLLESPEC extern void execute_136(char*, char *); -IKI_DLLESPEC extern void execute_137(char*, char *); -IKI_DLLESPEC extern void execute_138(char*, char *); -IKI_DLLESPEC extern void execute_139(char*, char *); -IKI_DLLESPEC extern void execute_140(char*, char *); -IKI_DLLESPEC extern void execute_141(char*, char *); -IKI_DLLESPEC extern void execute_142(char*, char *); -IKI_DLLESPEC extern void execute_143(char*, char *); -IKI_DLLESPEC extern void execute_144(char*, char *); -IKI_DLLESPEC extern void execute_145(char*, char *); -IKI_DLLESPEC extern void execute_146(char*, char *); -IKI_DLLESPEC extern void execute_147(char*, char *); -IKI_DLLESPEC extern void execute_148(char*, char *); -IKI_DLLESPEC extern void execute_149(char*, char *); -IKI_DLLESPEC extern void execute_150(char*, char *); -IKI_DLLESPEC extern void execute_151(char*, char *); -IKI_DLLESPEC extern void execute_152(char*, char *); -IKI_DLLESPEC extern void execute_153(char*, char *); -IKI_DLLESPEC extern void execute_156(char*, char *); -IKI_DLLESPEC extern void execute_157(char*, char *); -IKI_DLLESPEC extern void execute_158(char*, char *); -IKI_DLLESPEC extern void execute_159(char*, char *); -IKI_DLLESPEC extern void execute_160(char*, char *); -IKI_DLLESPEC extern void execute_161(char*, char *); -IKI_DLLESPEC extern void execute_162(char*, char *); -IKI_DLLESPEC extern void execute_163(char*, char *); -IKI_DLLESPEC extern void execute_164(char*, char *); -IKI_DLLESPEC extern void execute_165(char*, char *); -IKI_DLLESPEC extern void execute_166(char*, char *); -IKI_DLLESPEC extern void execute_167(char*, char *); -IKI_DLLESPEC extern void execute_168(char*, char *); -IKI_DLLESPEC extern void execute_169(char*, char *); -IKI_DLLESPEC extern void execute_170(char*, char *); -IKI_DLLESPEC extern void execute_171(char*, char *); -IKI_DLLESPEC extern void execute_2043(char*, char *); -IKI_DLLESPEC extern void execute_2044(char*, char *); -IKI_DLLESPEC extern void execute_2045(char*, char *); -IKI_DLLESPEC extern void execute_2046(char*, char *); -IKI_DLLESPEC extern void execute_2047(char*, char *); -IKI_DLLESPEC extern void execute_2048(char*, char *); -IKI_DLLESPEC extern void execute_2049(char*, char *); -IKI_DLLESPEC extern void execute_2050(char*, char *); -IKI_DLLESPEC extern void execute_2051(char*, char *); -IKI_DLLESPEC extern void execute_2052(char*, char *); -IKI_DLLESPEC extern void execute_2053(char*, char *); -IKI_DLLESPEC extern void execute_2054(char*, char *); -IKI_DLLESPEC extern void execute_2055(char*, char *); -IKI_DLLESPEC extern void execute_2056(char*, char *); -IKI_DLLESPEC extern void execute_2057(char*, char *); -IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void vlog_simple_process_execute_1_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_2098(char*, char *); -IKI_DLLESPEC extern void execute_2103(char*, char *); -IKI_DLLESPEC extern void execute_2118(char*, char *); -IKI_DLLESPEC extern void execute_2120(char*, char *); -IKI_DLLESPEC extern void execute_2122(char*, char *); -IKI_DLLESPEC extern void execute_2134(char*, char *); -IKI_DLLESPEC extern void execute_2135(char*, char *); -IKI_DLLESPEC extern void execute_2136(char*, char *); -IKI_DLLESPEC extern void execute_2138(char*, char *); -IKI_DLLESPEC extern void execute_2139(char*, char *); -IKI_DLLESPEC extern void execute_2140(char*, char *); -IKI_DLLESPEC extern void execute_2141(char*, char *); -IKI_DLLESPEC extern void execute_2142(char*, char *); -IKI_DLLESPEC extern void execute_2143(char*, char *); -IKI_DLLESPEC extern void execute_2144(char*, char *); -IKI_DLLESPEC extern void execute_2145(char*, char *); -IKI_DLLESPEC extern void execute_2147(char*, char *); -IKI_DLLESPEC extern void execute_2148(char*, char *); -IKI_DLLESPEC extern void execute_2149(char*, char *); -IKI_DLLESPEC extern void execute_2150(char*, char *); -IKI_DLLESPEC extern void execute_2151(char*, char *); -IKI_DLLESPEC extern void execute_2152(char*, char *); -IKI_DLLESPEC extern void execute_2153(char*, char *); -IKI_DLLESPEC extern void execute_2154(char*, char *); -IKI_DLLESPEC extern void execute_2155(char*, char *); -IKI_DLLESPEC extern void execute_2156(char*, char *); -IKI_DLLESPEC extern void execute_2157(char*, char *); -IKI_DLLESPEC extern void execute_2162(char*, char *); -IKI_DLLESPEC extern void execute_2163(char*, char *); -IKI_DLLESPEC extern void execute_2164(char*, char *); -IKI_DLLESPEC extern void execute_2165(char*, char *); -IKI_DLLESPEC extern void execute_2166(char*, char *); -IKI_DLLESPEC extern void execute_2167(char*, char *); -IKI_DLLESPEC extern void execute_2168(char*, char *); -IKI_DLLESPEC extern void execute_2169(char*, char *); -IKI_DLLESPEC extern void execute_2170(char*, char *); -IKI_DLLESPEC extern void execute_2171(char*, char *); -IKI_DLLESPEC extern void execute_2172(char*, char *); -IKI_DLLESPEC extern void execute_2173(char*, char *); -IKI_DLLESPEC extern void execute_2174(char*, char *); -IKI_DLLESPEC extern void execute_2175(char*, char *); -IKI_DLLESPEC extern void execute_2176(char*, char *); -IKI_DLLESPEC extern void execute_2177(char*, char *); -IKI_DLLESPEC extern void execute_2178(char*, char *); -IKI_DLLESPEC extern void execute_2179(char*, char *); -IKI_DLLESPEC extern void execute_2180(char*, char *); -IKI_DLLESPEC extern void execute_2181(char*, char *); -IKI_DLLESPEC extern void execute_2182(char*, char *); -IKI_DLLESPEC extern void execute_2183(char*, char *); -IKI_DLLESPEC extern void execute_2184(char*, char *); -IKI_DLLESPEC extern void execute_174(char*, char *); -IKI_DLLESPEC extern void execute_2186(char*, char *); -IKI_DLLESPEC extern void execute_2187(char*, char *); -IKI_DLLESPEC extern void execute_2188(char*, char *); -IKI_DLLESPEC extern void execute_2189(char*, char *); -IKI_DLLESPEC extern void execute_2185(char*, char *); -IKI_DLLESPEC extern void execute_177(char*, char *); -IKI_DLLESPEC extern void execute_178(char*, char *); -IKI_DLLESPEC extern void execute_181(char*, char *); -IKI_DLLESPEC extern void execute_182(char*, char *); -IKI_DLLESPEC extern void execute_288(char*, char *); -IKI_DLLESPEC extern void execute_324(char*, char *); -IKI_DLLESPEC extern void execute_573(char*, char *); -IKI_DLLESPEC extern void execute_574(char*, char *); -IKI_DLLESPEC extern void execute_575(char*, char *); -IKI_DLLESPEC extern void execute_2330(char*, char *); -IKI_DLLESPEC extern void execute_2331(char*, char *); -IKI_DLLESPEC extern void execute_2332(char*, char *); -IKI_DLLESPEC extern void execute_2333(char*, char *); -IKI_DLLESPEC extern void execute_2342(char*, char *); -IKI_DLLESPEC extern void execute_2343(char*, char *); -IKI_DLLESPEC extern void execute_2344(char*, char *); -IKI_DLLESPEC extern void execute_2347(char*, char *); -IKI_DLLESPEC extern void execute_2348(char*, char *); -IKI_DLLESPEC extern void execute_2349(char*, char *); -IKI_DLLESPEC extern void execute_2350(char*, char *); -IKI_DLLESPEC extern void execute_656(char*, char *); -IKI_DLLESPEC extern void execute_657(char*, char *); -IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_62(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_193(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_194(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_195(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_196(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_197(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_201(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_202(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_203(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_204(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_205(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_206(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_207(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_208(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_215(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_232(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_237(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_265(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_266(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_267(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_268(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_269(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_270(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_271(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_272(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_273(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_274(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_276(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_329(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_334(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_357(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_358(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_359(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_360(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_366(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_994(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1000(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1006(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1020(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1026(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1032(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1038(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1051(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1057(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1063(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1078(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1084(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1090(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1096(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1110(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1159(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1165(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1171(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1177(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1189(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1195(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1201(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1207(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1213(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1219(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1225(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1231(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1237(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1243(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1249(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1255(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1261(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1267(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1273(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1279(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1285(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1291(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1297(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1303(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1309(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1315(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1321(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1327(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1333(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1339(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1345(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1351(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1357(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1363(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1369(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1375(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1381(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1387(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1393(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1399(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1405(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1411(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1417(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1423(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1429(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1435(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1441(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1447(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1453(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1459(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1465(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1471(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1477(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1483(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1489(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1495(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1501(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1507(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1513(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1519(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1525(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1531(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1537(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1543(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1549(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1555(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1561(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1567(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1573(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1579(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1585(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1591(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1597(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1603(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1609(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1615(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1621(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1627(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1633(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1639(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1645(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1651(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1657(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1663(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1669(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1675(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1681(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1687(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1693(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1699(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1705(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1711(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1717(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1723(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1729(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1735(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1741(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1747(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1753(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1759(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1765(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1771(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1777(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1783(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1789(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1795(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1801(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1807(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1813(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1819(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1825(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1831(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1837(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1843(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1849(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1855(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1861(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1867(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1873(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1879(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1885(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1891(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1897(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1903(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1909(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1915(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1921(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1927(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1933(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1939(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1945(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1951(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1957(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1963(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1969(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1975(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1981(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1987(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1993(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1999(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2005(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2011(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2017(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2023(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2029(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2035(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2041(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2047(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2053(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2059(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2065(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2071(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2077(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2083(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2089(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2095(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2101(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2107(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2113(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2119(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2125(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2131(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2137(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2143(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2149(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2155(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2161(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2167(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2173(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2179(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2185(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2191(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2197(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2203(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2209(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2215(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2221(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2227(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2233(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2239(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2245(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2251(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2257(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2263(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2269(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2275(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2281(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2287(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2293(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2299(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2305(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2311(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2317(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2323(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2329(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2335(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2341(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2347(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2353(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2359(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2365(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2371(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2377(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2383(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2389(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2395(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2401(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2407(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2413(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2419(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2425(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2431(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2437(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2443(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2449(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2455(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2461(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2467(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2473(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2479(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2485(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2491(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2497(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2503(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2509(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2515(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2521(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2527(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2533(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2539(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2545(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2551(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2557(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2563(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2569(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2575(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2581(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2587(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2593(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2599(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2605(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2611(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2617(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2623(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2629(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2635(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2641(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2647(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2653(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2659(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2665(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2671(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2677(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2683(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2689(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2695(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2701(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2707(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2713(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2941(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2947(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2953(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2959(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2965(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2971(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2977(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2983(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2989(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2995(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3001(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3007(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3013(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3019(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3025(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3031(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3037(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3043(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3049(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3055(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3061(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3067(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3073(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3079(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3085(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3091(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3097(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3103(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3109(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3115(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3121(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3127(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3133(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3139(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3145(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_3151(char*, char*, unsigned, unsigned, unsigned); -funcp funcTab[581] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_3821, (funcp)execute_3822, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1958, (funcp)execute_41, (funcp)execute_1959, (funcp)execute_89, (funcp)execute_1983, (funcp)execute_1984, (funcp)execute_1985, (funcp)execute_124, (funcp)execute_2035, (funcp)execute_2036, (funcp)execute_2037, (funcp)execute_2038, (funcp)execute_2039, (funcp)execute_2040, (funcp)execute_2041, (funcp)execute_2042, (funcp)execute_2034, (funcp)execute_126, (funcp)execute_127, (funcp)execute_128, (funcp)execute_129, (funcp)execute_130, (funcp)execute_131, (funcp)execute_132, (funcp)execute_133, (funcp)execute_134, (funcp)execute_135, (funcp)execute_136, (funcp)execute_137, (funcp)execute_138, (funcp)execute_139, (funcp)execute_140, (funcp)execute_141, (funcp)execute_142, (funcp)execute_143, (funcp)execute_144, (funcp)execute_145, (funcp)execute_146, (funcp)execute_147, (funcp)execute_148, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_152, (funcp)execute_153, (funcp)execute_156, (funcp)execute_157, (funcp)execute_158, (funcp)execute_159, (funcp)execute_160, (funcp)execute_161, (funcp)execute_162, (funcp)execute_163, (funcp)execute_164, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_168, (funcp)execute_169, (funcp)execute_170, (funcp)execute_171, (funcp)execute_2043, (funcp)execute_2044, (funcp)execute_2045, (funcp)execute_2046, (funcp)execute_2047, (funcp)execute_2048, (funcp)execute_2049, (funcp)execute_2050, (funcp)execute_2051, (funcp)execute_2052, (funcp)execute_2053, (funcp)execute_2054, (funcp)execute_2055, (funcp)execute_2056, (funcp)execute_2057, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)vlog_simple_process_execute_1_fast_no_reg_no_agg, (funcp)execute_2098, (funcp)execute_2103, (funcp)execute_2118, (funcp)execute_2120, (funcp)execute_2122, (funcp)execute_2134, (funcp)execute_2135, (funcp)execute_2136, (funcp)execute_2138, (funcp)execute_2139, (funcp)execute_2140, (funcp)execute_2141, (funcp)execute_2142, (funcp)execute_2143, (funcp)execute_2144, (funcp)execute_2145, (funcp)execute_2147, (funcp)execute_2148, (funcp)execute_2149, (funcp)execute_2150, (funcp)execute_2151, (funcp)execute_2152, (funcp)execute_2153, (funcp)execute_2154, (funcp)execute_2155, (funcp)execute_2156, (funcp)execute_2157, (funcp)execute_2162, (funcp)execute_2163, (funcp)execute_2164, (funcp)execute_2165, (funcp)execute_2166, (funcp)execute_2167, (funcp)execute_2168, (funcp)execute_2169, (funcp)execute_2170, (funcp)execute_2171, (funcp)execute_2172, (funcp)execute_2173, (funcp)execute_2174, (funcp)execute_2175, (funcp)execute_2176, (funcp)execute_2177, (funcp)execute_2178, (funcp)execute_2179, (funcp)execute_2180, (funcp)execute_2181, (funcp)execute_2182, (funcp)execute_2183, (funcp)execute_2184, (funcp)execute_174, (funcp)execute_2186, (funcp)execute_2187, (funcp)execute_2188, (funcp)execute_2189, (funcp)execute_2185, (funcp)execute_177, (funcp)execute_178, (funcp)execute_181, (funcp)execute_182, (funcp)execute_288, (funcp)execute_324, (funcp)execute_573, (funcp)execute_574, (funcp)execute_575, (funcp)execute_2330, (funcp)execute_2331, (funcp)execute_2332, (funcp)execute_2333, (funcp)execute_2342, (funcp)execute_2343, (funcp)execute_2344, (funcp)execute_2347, (funcp)execute_2348, (funcp)execute_2349, (funcp)execute_2350, (funcp)execute_656, (funcp)execute_657, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_62, (funcp)transaction_73, (funcp)transaction_183, (funcp)transaction_192, (funcp)transaction_193, (funcp)transaction_194, (funcp)transaction_195, (funcp)transaction_196, (funcp)transaction_197, (funcp)transaction_201, (funcp)transaction_202, (funcp)transaction_203, (funcp)transaction_204, (funcp)transaction_205, (funcp)transaction_206, (funcp)transaction_207, (funcp)transaction_208, (funcp)transaction_215, (funcp)transaction_232, (funcp)transaction_237, (funcp)transaction_265, (funcp)transaction_266, (funcp)transaction_267, (funcp)transaction_268, (funcp)transaction_269, (funcp)transaction_270, (funcp)transaction_271, (funcp)transaction_272, (funcp)transaction_273, (funcp)transaction_274, (funcp)transaction_275, (funcp)transaction_276, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_298, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_306, (funcp)transaction_309, (funcp)transaction_311, (funcp)transaction_313, (funcp)transaction_315, (funcp)transaction_320, (funcp)transaction_323, (funcp)transaction_329, (funcp)transaction_334, (funcp)transaction_350, (funcp)transaction_357, (funcp)transaction_358, (funcp)transaction_359, (funcp)transaction_360, (funcp)transaction_366, (funcp)transaction_994, (funcp)transaction_1000, (funcp)transaction_1006, (funcp)transaction_1020, (funcp)transaction_1026, (funcp)transaction_1032, (funcp)transaction_1038, (funcp)transaction_1051, (funcp)transaction_1057, (funcp)transaction_1063, (funcp)transaction_1078, (funcp)transaction_1084, (funcp)transaction_1090, (funcp)transaction_1096, (funcp)transaction_1110, (funcp)transaction_1116, (funcp)transaction_1159, (funcp)transaction_1165, (funcp)transaction_1171, (funcp)transaction_1177, (funcp)transaction_1183, (funcp)transaction_1189, (funcp)transaction_1195, (funcp)transaction_1201, (funcp)transaction_1207, (funcp)transaction_1213, (funcp)transaction_1219, (funcp)transaction_1225, (funcp)transaction_1231, (funcp)transaction_1237, (funcp)transaction_1243, (funcp)transaction_1249, (funcp)transaction_1255, (funcp)transaction_1261, (funcp)transaction_1267, (funcp)transaction_1273, (funcp)transaction_1279, (funcp)transaction_1285, (funcp)transaction_1291, (funcp)transaction_1297, (funcp)transaction_1303, (funcp)transaction_1309, (funcp)transaction_1315, (funcp)transaction_1321, (funcp)transaction_1327, (funcp)transaction_1333, (funcp)transaction_1339, (funcp)transaction_1345, (funcp)transaction_1351, (funcp)transaction_1357, (funcp)transaction_1363, (funcp)transaction_1369, (funcp)transaction_1375, (funcp)transaction_1381, (funcp)transaction_1387, (funcp)transaction_1393, (funcp)transaction_1399, (funcp)transaction_1405, (funcp)transaction_1411, (funcp)transaction_1417, (funcp)transaction_1423, (funcp)transaction_1429, (funcp)transaction_1435, (funcp)transaction_1441, (funcp)transaction_1447, (funcp)transaction_1453, (funcp)transaction_1459, (funcp)transaction_1465, (funcp)transaction_1471, (funcp)transaction_1477, (funcp)transaction_1483, (funcp)transaction_1489, (funcp)transaction_1495, (funcp)transaction_1501, (funcp)transaction_1507, (funcp)transaction_1513, (funcp)transaction_1519, (funcp)transaction_1525, (funcp)transaction_1531, (funcp)transaction_1537, (funcp)transaction_1543, (funcp)transaction_1549, (funcp)transaction_1555, (funcp)transaction_1561, (funcp)transaction_1567, (funcp)transaction_1573, (funcp)transaction_1579, (funcp)transaction_1585, (funcp)transaction_1591, (funcp)transaction_1597, (funcp)transaction_1603, (funcp)transaction_1609, (funcp)transaction_1615, (funcp)transaction_1621, (funcp)transaction_1627, (funcp)transaction_1633, (funcp)transaction_1639, (funcp)transaction_1645, (funcp)transaction_1651, (funcp)transaction_1657, (funcp)transaction_1663, (funcp)transaction_1669, (funcp)transaction_1675, (funcp)transaction_1681, (funcp)transaction_1687, (funcp)transaction_1693, (funcp)transaction_1699, (funcp)transaction_1705, (funcp)transaction_1711, (funcp)transaction_1717, (funcp)transaction_1723, (funcp)transaction_1729, (funcp)transaction_1735, (funcp)transaction_1741, (funcp)transaction_1747, (funcp)transaction_1753, (funcp)transaction_1759, (funcp)transaction_1765, (funcp)transaction_1771, (funcp)transaction_1777, (funcp)transaction_1783, (funcp)transaction_1789, (funcp)transaction_1795, (funcp)transaction_1801, (funcp)transaction_1807, (funcp)transaction_1813, (funcp)transaction_1819, (funcp)transaction_1825, (funcp)transaction_1831, (funcp)transaction_1837, (funcp)transaction_1843, (funcp)transaction_1849, (funcp)transaction_1855, (funcp)transaction_1861, (funcp)transaction_1867, (funcp)transaction_1873, (funcp)transaction_1879, (funcp)transaction_1885, (funcp)transaction_1891, (funcp)transaction_1897, (funcp)transaction_1903, (funcp)transaction_1909, (funcp)transaction_1915, (funcp)transaction_1921, (funcp)transaction_1927, (funcp)transaction_1933, (funcp)transaction_1939, (funcp)transaction_1945, (funcp)transaction_1951, (funcp)transaction_1957, (funcp)transaction_1963, (funcp)transaction_1969, (funcp)transaction_1975, (funcp)transaction_1981, (funcp)transaction_1987, (funcp)transaction_1993, (funcp)transaction_1999, (funcp)transaction_2005, (funcp)transaction_2011, (funcp)transaction_2017, (funcp)transaction_2023, (funcp)transaction_2029, (funcp)transaction_2035, (funcp)transaction_2041, (funcp)transaction_2047, (funcp)transaction_2053, (funcp)transaction_2059, (funcp)transaction_2065, (funcp)transaction_2071, (funcp)transaction_2077, (funcp)transaction_2083, (funcp)transaction_2089, (funcp)transaction_2095, (funcp)transaction_2101, (funcp)transaction_2107, (funcp)transaction_2113, (funcp)transaction_2119, (funcp)transaction_2125, (funcp)transaction_2131, (funcp)transaction_2137, (funcp)transaction_2143, (funcp)transaction_2149, (funcp)transaction_2155, (funcp)transaction_2161, (funcp)transaction_2167, (funcp)transaction_2173, (funcp)transaction_2179, (funcp)transaction_2185, (funcp)transaction_2191, (funcp)transaction_2197, (funcp)transaction_2203, (funcp)transaction_2209, (funcp)transaction_2215, (funcp)transaction_2221, (funcp)transaction_2227, (funcp)transaction_2233, (funcp)transaction_2239, (funcp)transaction_2245, (funcp)transaction_2251, (funcp)transaction_2257, (funcp)transaction_2263, (funcp)transaction_2269, (funcp)transaction_2275, (funcp)transaction_2281, (funcp)transaction_2287, (funcp)transaction_2293, (funcp)transaction_2299, (funcp)transaction_2305, (funcp)transaction_2311, (funcp)transaction_2317, (funcp)transaction_2323, (funcp)transaction_2329, (funcp)transaction_2335, (funcp)transaction_2341, (funcp)transaction_2347, (funcp)transaction_2353, (funcp)transaction_2359, (funcp)transaction_2365, (funcp)transaction_2371, (funcp)transaction_2377, (funcp)transaction_2383, (funcp)transaction_2389, (funcp)transaction_2395, (funcp)transaction_2401, (funcp)transaction_2407, (funcp)transaction_2413, (funcp)transaction_2419, (funcp)transaction_2425, (funcp)transaction_2431, (funcp)transaction_2437, (funcp)transaction_2443, (funcp)transaction_2449, (funcp)transaction_2455, (funcp)transaction_2461, (funcp)transaction_2467, (funcp)transaction_2473, (funcp)transaction_2479, (funcp)transaction_2485, (funcp)transaction_2491, (funcp)transaction_2497, (funcp)transaction_2503, (funcp)transaction_2509, (funcp)transaction_2515, (funcp)transaction_2521, (funcp)transaction_2527, (funcp)transaction_2533, (funcp)transaction_2539, (funcp)transaction_2545, (funcp)transaction_2551, (funcp)transaction_2557, (funcp)transaction_2563, (funcp)transaction_2569, (funcp)transaction_2575, (funcp)transaction_2581, (funcp)transaction_2587, (funcp)transaction_2593, (funcp)transaction_2599, (funcp)transaction_2605, (funcp)transaction_2611, (funcp)transaction_2617, (funcp)transaction_2623, (funcp)transaction_2629, (funcp)transaction_2635, (funcp)transaction_2641, (funcp)transaction_2647, (funcp)transaction_2653, (funcp)transaction_2659, (funcp)transaction_2665, (funcp)transaction_2671, (funcp)transaction_2677, (funcp)transaction_2683, (funcp)transaction_2689, (funcp)transaction_2695, (funcp)transaction_2701, (funcp)transaction_2707, (funcp)transaction_2713, (funcp)transaction_2941, (funcp)transaction_2947, (funcp)transaction_2953, (funcp)transaction_2959, (funcp)transaction_2965, (funcp)transaction_2971, (funcp)transaction_2977, (funcp)transaction_2983, (funcp)transaction_2989, (funcp)transaction_2995, (funcp)transaction_3001, (funcp)transaction_3007, (funcp)transaction_3013, (funcp)transaction_3019, (funcp)transaction_3025, (funcp)transaction_3031, (funcp)transaction_3037, (funcp)transaction_3043, (funcp)transaction_3049, (funcp)transaction_3055, (funcp)transaction_3061, (funcp)transaction_3067, (funcp)transaction_3073, (funcp)transaction_3079, (funcp)transaction_3085, (funcp)transaction_3091, (funcp)transaction_3097, (funcp)transaction_3103, (funcp)transaction_3109, (funcp)transaction_3115, (funcp)transaction_3121, (funcp)transaction_3127, (funcp)transaction_3133, (funcp)transaction_3139, (funcp)transaction_3145, (funcp)transaction_3151}; -const int NumRelocateId= 581; +funcp funcTab[26] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 26; void relocate(char *dp) { - iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 581); - iki_vhdl_file_variable_register(dp + 701048); - iki_vhdl_file_variable_register(dp + 701104); + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 26); + iki_vhdl_file_variable_register(dp + 8352); + iki_vhdl_file_variable_register(dp + 8408); /*Populate the transaction function pointer field in the whole net structure */ @@ -653,37 +98,10 @@ void sensitize(char *dp) iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); } - // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net - -void wrapper_func_0(char *dp) - -{ - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706376, dp + 710584, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706432, dp + 711536, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706488, dp + 711088, 0, 15, 0, 15, 16, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707760, dp + 711312, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707816, dp + 710864, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707872, dp + 710752, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707928, dp + 710976, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707984, dp + 711424, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 708040, dp + 711200, 0, 0, 0, 0, 1, 1); - -} - void simulate(char *dp) { iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); - wrapper_func_0(dp); - + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net iki_execute_processes(); // Schedule resolution functions for the multiply driven Verilog nets that have strength diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o index a9f97708d37957c5b7332b318e1a8328f23eb48b..6347e4da7209e8b0908794cf5461bd74caade9b7 100644 GIT binary patch delta 1600 zcmeBp&2&O%f(FwG!HHV(no<l53?AL03?Qo46hyrM5gxs}7bq|=z}Pnw7$z2}a(Fbq z;qd4+WtjYrNo;d2Q!pc=)Z~lIFD7$}&6X8mU|<LUX=PwwPzKQ+d;(E?91Io=3=A2Q zRmHt0KVW8ATp-TKc>yHLz`)=(d7^~)<OvcHoM80~3=CZ$5yo{OQt}7`1A`C)BSRTf z^-3sx5+uub7fdouZj=;fR0NYwU@{6sPTn9X!PpF9Nls^EU=U<rhw5cuV1crhf@B#t zfXUrp@)(%B2qy1<$>(756PWx9COIY-N{KTHgGo6ssR<@cz@$Bx^a7KiU@`$rW`oHx zFxdzud%)y$Fu4d!t_733LFD8e0ganm0(BV~%_dt0Yfr8U)~PpRNUcasE-guoH#SEw zEf7pgIMc)c!8AfJjS)-}1k)73L|9>hu)+jlg(=8p6NDY62s=y-;YOGmF_aV~<`pL< zmt^MW#T!hvijkWvP_{xc4jSl83=9m+3=9l^{zE|W<cnqE^|?@4P}B$^$xecbgLHx9 zV7g`^iL)Z9p9d8OsRboQnEDk+;-GX66JHMzuV(;Rz=mYd7Kj8mt%xCs?}mzl)PqtK z%%B5MagfF0Na{}^iAx}fKZ1&DF)%O)Fw`T$<0X;=ACiU-NaCDG;@_d-AO~?EiT{C$ zgB&D@B+kVMkpPK<@;%rC8vp<Q7eSJcM$!OL&cFbYmYrN%Db6bg69Tz?a$%+T<Q<hf zyb4I73X>OBicfw~$-}FJBx*4EVx{<Gi7Fmm6(muI$&6LvlRc_<c-4?Z111|*iBGPm z;^Eam5>1$#SS3DrMHLS(DBNHY1(PRMiBG;!#lx!u6JlUsXqbGkN_;X$H4m>IlIVoV zAFISCTU7J#8X$=-n5<YWJ~^YBht~*6bi?GpYVpZ4s(E-#kVFqmZmbrce4?6%$&6w0 zz4bvtIZ#PZ41jPM!{(?Be2h%;jFYoAnsGhghR7E%O<uLpj47UR@<nE`$pzvplmBc~ zLFU?QQejG9oSd}Dj`KGtuo)N_xF#ox3s2s&Nrj1nY4W2@c3cV|3m6y}E-*~i+HA%Z z07@YY3=9QKle0FPaejcx>Oiz@R$-Kx{BWcCWR5KoObX1CmA2S%Ie_vW0|UbXhRIo5 z%s3ASKpdAadF2-K$qJh}8CfU4+G57V0ZL*F3=B7zCTndq<5UoYC|d|NxMm{{0BBXZ A!vFvP literal 46472 zcmb<-^>JfjWMqH=Mg}_u1P><4z`(H)!FB*M9T<cd1Q|Z_%l!)W=={CYm5G7Dqgxat z<<V;jqF#UqkKWxCo46QY><ut>^kI-rkLEWVD5{%}aDXL1Mtbya-*KIb!J~J-$8j!( zEXFKG$DJS}9e038Fmo4(1vPtf!EKP@-3>%2Za$*m(YtxWO|XU?5Dfy3JNX$H7@($u zm?)-CxCK_c;S6D?Kuo`I1FYc%5gK|oCqNV{oF&$D2Z-Avh|tixnE?_q6Npd@ak~P< zvnPnsAOML=NR%PPeCK^|%z$GH%z~&34F<(}=WmbBub?<=0EaR(5qR|4B4V`j9n=IA zQ6v=zlS6~E7y^(}hetOr$RJpP>;^jv#hm6N3j0$S7$7MVVUkDl5sv8C!weP0nYnr? znML{~N%3i!MWK0_CGknA8Hr{3V40%Socv^v4PYK_b;V^Rl?AB`41~-}E-EWdDa|b) zq%Jo#mm#w_GdDMvA-5Q0KPcOQ=utcx0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0(e8f-PzenK_fJ&G_Ryo!O&RGSkFM$tQ5>OsxvatGceJF3WJSd zVPIeoU|?WiWnf@%_X}lUVqiD`5@QC-GBAihX~wD`2F3~jMrj^)jtPtm3=#|s3^GtT zD-i9<C(z7P!pFe?(PIG;V_;yA1koOR0s(v+3^5E03;`fM0|Ucm(BL#!PcWZ^6Q4ph zpN12kK|Dwy$=rB80Y{L<AUAD*ny&$(!R9A{q!>&X7#Plg#26SDR)T0(K7lqSM?Q&W zW*0sMCVxH+M?M25J_|=aheAGu2tEl%sG%UWSTM->EDRt2W07KD#xnBG1y%?OWiSIy zfX1g87}(%^7y}aSYz*u$0VoX)PX<;7u>YW9AW9BIFtEbI7tCc~U{D4#SQ#q7G=lJA zU|<kJawj+r85qFkg3<#*C4^<iz`!8L0E%4*Uqc8&yFt?rgohx5afp{g?S<I~@ecz7 zXb=>ey^T=yAoD<`gVPNI1H%Ly=7ZuG<S#ZRkZOp3K&-1c)c?dG&d&%5A3dl!;4}kD z+l-L>0x}aBYv2&~#UY-9L%bJ<_);9=+i{4W!y*2N5fqPzR0YcGAb)(oq5c;RaTX@* z;lPJOTmpx<5)N@49O7m;#2s*md*cuf!y%rCLp%qEcsUO7CLH3uIK*e*5MPW#d>sz) zojAmg;1EBLL;MyF@uxV%Kj9Gnk3-y;8GHJ1z#;C9Lp%b9crp(00vzJCIK;bfh)=^I zz8Hu21{~shafqM7A$}c)_!AuBA90BP!y(Sif<0cuafmD95ZA{cZjD3S9fx=@4)J&# z;@LRF%W;S|;}Gx1AwC<2_;MWLn{kNm$02?ihxm0I;*W8NzsDi|8;3YMEB1I7#vv|` zLtGn&xH%4SXB^`GIK-oIh^ONaFUBEWk3+m0hxl|H;)`*Jug4+28;AID9O9R8h~LK{ z{u+n)cO2r(Y}n(SABVU!4si_};-)ynop6Zz;}DO*A)bjtybOnUGY;_yIK=1T5MPBu zd^-;DBRIq_;t;=wL!6%-dwe<I5ckC)9)&|Z9fx=c4)I1D;(a*8XX6lGfkS*N4)H@c z#Lwdpzk@^kB@Xd#IK-Jbu!p|@4slr=;#xSw&2fmk;1CbQAs&ZAJR6621rG669O9F3 zh|k9%z6OW*P8{OLaEM>VA^re|_*)#}zi^1Nb7GHQ5gg)*IK=gEh+E?j_rM_@ibFgJ zhj=~?@fsZBojAm&;SgVpLwo}c@x3_2PvH>1hC}=@4)G5-#Q)+D=i<U1zv4K=m2rsc z;}EyTA?}VtJQ#;~JPz?}9OC6T#G7%5PrxBQ7l-&N9OBz?h#$crei4WGJsje%aftuG zA<oK;J${97h|A#+*TNz0jzc^Qhj=Ou@e&;3%{ast;1FMrLwp|&@v}I@@8A%BjYIqw z4slK%?BOqgLtGt)xET&{R~+IYIK-22h!^1yZ^R)!0f+c}9OCP6i0{QAeg=p5Z5-mS zaESlHA<o5%Jzk`6h-={xx56Rrg+n|Fhj<na@hTkRT{y&N;SgViLwpww@l!a&Z{ZMs zg+u%o4sk9%9N~{cTnmS|6%KJP9O6+p#ItaSSK$!v!XZ8jhxjTS;=6E&pTZ%23y1hC z9OA!lh;#Ad2!9;nS~$e5aEN>15Rbwko`pla3Ws<X4)Iwy#8=@E--Sc`6b|uQIK*G! z5dVckoJ#;l_~Q`Q!Xa*jL);68coYutEF9uhIK;bfh|j_yz6yu<ZXDugaERZ<A^r}B z_<tPY0)p7XUlE765e{)@9O5B3#8YvIm*Eg^$00rghxkey;=6E&pT;462Z#7u9OD0Q zi1Q0!4`&4&;)XcHop6W;;}B25Azq3@ybXu=bR6O<aER~3A$|&n_-!2GZ*YkJ#Uaio zj6Iy?afln>5O>5O9)v?Y8HacY4)InT;?r=5FUKLi1BduY9OAcdh`+`m{s)IRuL$;V zmct>gk3-x6hj<_k@gyAL#W=)UaEMREA-)WU_;wuPCvb@0#3B9)hxl(C;yj|*!&w%G zxE>C1dmQ2cIK&fih!^1yZ^j`$1&8=j9OBz>h#$uxeglX2OB~|AaENn@VGm~+9OAk- z#O-j1`{NK#z#(3UL%a!x_+%X7OK^y9#UXwShxm0I;xBNB|HL8AC5}CurE!Ss;1IXP zA?}AmJRXO50S@s-9O9F3h%d$=z6FQ)Q5@peaEL$0A^ro0IHv^maF)U$u8l+728XyW z4)Hh~;`unl8*qqE#38;2hxle3;zw|ZU&SH*42Sr49O4|3*uz;8hqx9FacdmnJ~+f< zafs*P5U<A}J^_dLLLB0oaEKqqA$|pi_){F>-*AYtOJNUZ2^`{@IK-`Rh<oD@kHI0H zi$lB)hj>2@@dY@<H{uXKghTu?4)G^A#J}PYXOqSr&f+-4HE@Vq;t==3As&rGJO_t( zEe`QM9OCnFh;P6leh`QFB^=_9afpAxA<im;J)FgGh^ylex4<Fpi9<XJhj=y)@fsZB zy*R|@;SgVsL;L^^@ryXbAK?)Hj6<A77JE30;t*HEA#RRC+yjSrBo6T`9OBhD#Cvdv z&&46W4u|-D9O4&nh(E+3{t1UTvmEwt7QrE|ibLEChqyZq@dzB^nK;C&aEN!~5TAoX zd@T;~eK^F=;}Cy<L;NESaVB}};Vg_pTm^@?DGqTr9OB_P#4~V+SK<)w!XZ8zhxi&C z;(Kw3pTi-3ABXq{9O8@$*uz-}hqy8haT6Tkt~kWQaEPbl5U;=?-ibqe77p>%IK=nh z5I>7U{2mVR_c+8E6tRc1AP#XQ9OA|}#9eTRhvE=V!y#UdL%air_)Hw)t8j?##vy(N zhxlC_;_q;X|HmONpoBgA6>*3g;ShJmAs&K5JQas{84mGw9O5%@h_A#Uz6*!=X&mBr zaEQOfA^s1CIKMLXa8|$}ZiqwN35R$v4)GKm;-xsm+i-|a$05D~hxkq$;-_$k-^L;S z28Z}x9O8T`*uz;KhqwU_aYr2DK{&*dafp}T5O2jHJ`IQXavb72aEPD8A$|*o_-h>E ze{hKNs$vgkIUM5pIK&-rh=UJGi#OCuX3+C-HUJTZAi@Yl7=s8C5Mjy?@9yL8<meOc z@8%Zl8WJDk=;Y%X&yZG{mmHFq#E_Yt84o_nEwLmO%qh!A$%#+P%t?(eODxJvOv*`( zFG@|%EG|hc0?QYt<`rj_WLBjzloury6r>i#gN%wd085tT<fq3MCugLll;)(ymlP%D z6(=T_Waj6^7iXsDCFaDZB^H;&gRLk_%qdNcFUibJjW=K@&de>%fw=&#zn~~TIkmVr zwKzVp1fsGkwJ0BKQEEkMa%o9wJX{GwZenI0SSlkmu^>J(FS8^wF$d&KkPnMfOX5N2 z)TLw=#pmQFCxV@nnHFD^nhWwn3RojZQE^#3=(sva02CyaWW?ts=0XAnBn>*GE*^AB z9fpG9%v`6U%#`%h`1I70@RX$RqD)wbB^RY8mZZj~q=Lc#Zc|Zmd`VGeVorQ=era9_ zL>L+hFr|K_xj~>)_7Y1{JyRH9UN(ZWjNvR3ILj2yGJ~_s;VcU{%M#8qG(a#7;Y=eW zCc;J|gq21JJB<()7$IyhLRevpu)-K&t}((~V}!ZJ2y=}Qo-wvSa*(lwkr4wpsU_#< z6_>;pWfa2_LOd*#3?NA@FCUb8<MZ<46Vub-o-?#GMYz)h;UYszQ-q@}5KKc$3xop= zEiDjEG_<rpIMNU~Obn1*Z)9MMhz%nHW2EphFh;n<$iNt3r;&jPBGilwkX&hGV1fua zBLk!WGc-b2V~7+hhDgz3h!ih|ND*U*6f=fMQDcY{H-^Y|A^Fb`$$N%KzB5GfoFS6m z43WHMh~zUvB##-IAO*PzQiz)%1-J>4e@zgfYG{H8R6`R)m>QZQg4ECyDc(&H0cvQ9 zWUncb`KCzbBPAb0q$Fc#hGf1OlKEyx=9?jzZ-(SAGbDeRA=zt&WUm>Lzs!*QWsYR8 zIl^8e1EfGSGC&GNBLk#hG%`R6M<WBIfHX2d3P~daq@Xl1KnhDE1Ejz-GC&GVBLk%1 zG%_$n_}9q56yaeb1Ei2PGB7oVWbfk4+=3i<A&)<AgUbUP8VvCj21W*!h)^{$G(bd; zk)a{7j1eM`jSP(tF=~XIEsPA2@`Vv{#xO$84Mxb>!3a4&7$Ii}Bjg-mgq$Ue43T5g z5IHstks}Z}D;Oc?1ta9lV1%3-j0}+@5IH{>86roZA#wy7B1fPhQeH7KL`wWdhDfR3 z$Pg*{8yO;{e<MSr3}9r4lmm<mk+Oi1AyOVNGDOM*Muy0_z|b5yvdobq*c>TA8k!>| zNJDc(7Bn(6N8~{xLv!RrVUEa!Mury1DZ~OP9~xR9y8x*&F)~E1Oi)~7f$RVaWCvIv zI{>MgGBQN2jF8-n>|{eEccC~7$y8&cip&%#yiAcCXo?(K#z+;3kug$DVq}a|l^7W# z)g?y8NQJ$TF>*m=jGVNMkyEoVa&csgTpSrA6-Q=BY0(T;mVg_h;HGM7S!!NMa$-(S zQetv816Ul|NHsP=<(i^$%}}`(4B&<=*Z=|sn;4*IH8Dix8liHHQMss&GC_5e396$^ zEK&5DqS|YUYOg7(y{4%4nxfikifXSZs=cP>sP<Z*a#3AnhUzLaR9Bgyy2>2YRfZO5 zd`nd2hL-5^sNOKNMD>TEC8|dZEm3`9WPs{ABLh_Xj0{liGcrK6&&UAPJ|hEE`-}|G z?L!SZBSSR(#%T5%qv<z8Q*VZ5pBcJ(H2cg@<I2b!HL{G%(Za(V%{^%GWn_*P9_DE7 zGe>iuIhy;-(cEW_<~|EF_gSF1&jQVTXz9nu0?mCEXzsH_lSfNGMwY1VGe%1v#%Sro z7%l#d4N(1KY>4W9V?)&RWsH^{jEzwJZ)}97-U!Wnj4}>QKUz*UHbwKFDVlj`nb{aE zy;-7#pP_*Ps)dFIXdz-~faWDb10&QlVrYPt2@FBKC~!FpEyxW)4N^1#)O2NNV1^n{ zh6ZRU&CmcXX&4$<qB|YE@Gvw)Q(<U`=5$bZ0mWgUb`PomS`IS=wLVZ)prv6$LkrYM zF~lh4(2Frc^m5102rZ!*8X2M)YGj0(H4Kf6QPZlS5n5qnXk>yG$VO-tl%Ww?y<%vD zRvj1`p_N#MMrcKhp%Ge{U}%Jvi4Bb`(OrsG%p0QTQ$zHOX^5Wl42_M@3^g`FOJkt+ z4ob`!8>5A(F<NzQXpB}z8ycf!NJC@vglmjeWE&czm9d7#XoaGou?4zI(W^~kOEj07 zpjBjsCTJy<p@|`yp(bc$k)a7%VPt4xjFzNK(3(buCMM{HqBT<tP0>Lld+r(9i@u zN132iGKMDTIm!e*N133N`-Y}y4Ff|{w3^(|6g@|oqUR`6^c-c1o}*0BbCfB1jxt5h zQKslQ$`n0EnWE<?P#Yg5otmQOC{y$tWs07oOwn_c8CuO}XognR8JeNhWrk+xIm!$@ zN0}L;6)0xtIm!$@N137LC{ULG#kXeYIm!$@N137LC^PgNWrm)k%+Pa`8G4Q~N6%5_ z=sC(9Jx7_N=O}aZ9A%E4qs-BBlsS5iGDpu*=IA-f96d*wqvt4d^c-c5o}<jsbCfxH zj<P_{Q5NVq$^tz{S)k`A3-laifu5r*&~uapdXBO{&rufWIm!Y(M_HifC=2vD$^tz{ zS)k`A3-laifu5r*(Q}j~dXBP0&rz1>Im!|}M_HohC`<GlWr?1nEYWk6C3+oYiJqe@ z(Q}j~dXBP0&rz1>Im!|}M_HofC?f;39A#vHmZOXe&~lWK0a}hSGC<2wMxcRw<l+K6 z7LO)?T1SBgJyBJl<tQTqv>at*fR>|-4A63vkpWtcGBQBRQAP%6Im*ZoEk_v{qU9(f zL$n-aWQdldj119olo4p60L62l5r0$xv>XK<cSKczmZOXe(Q*`c&<|A$T8=U@M9Wd& z(JxdjXgSIVqjQhZdpAPMQAQa3ZzHrEWn_ewql}Eua+Hw~T8=U@Ld#J`Mrb+8$OtV* z85yDFC?g}Z9A#vLmZOY}&~lWK5n7HiGD6EyMn>p4${0OIq4!UXjL~xxdJojd7(GWB zqt#JH#%Ohv5opj4B`S^4>L?>)^c-c3o}-M>bCfZ9jxt8iQO4*w${0OInV{z=6Z9Nq zf}W#H&~uatdX6$dtD}re(CR276Z9Nqf>uWvnV{z=6Z9Nqf>uWvnV{z=6Z9Nqf>uWv znV{z=6SO+Y$P}%PGBQQaQKo2hl#wZVjxt5hQKslQ$`n0EnWE<?Q}i5Vik_oP(Q}k3 zdX54OaigR{Q}i5Vik_oP(Q}k3dX6$f&rxRRb(9%;jxs~9qs-8Alo@)CGDFW%W@xQG zBQx|IWrm)k%+Pa`8G4Q~L(frW=sC&^Jx7_L=O}aZ9A%E4qs-BBlqI_7EYUq@iS9Yj z#6C(?TB3W-65Vr_=$->D0zfqb-E)>`o-;N;^PI5(n&*rS&^%{sfL2@>8=w^z#s+A` zg)wMB0kXr4LDRly0;s)tW6<O)stUB?!q@<<xG*+AD=v%;(25IV1GM78*Z{4#Fg8Fd zE{s9bttjp=L@O?g4bh4VV?(s!!WgvD0o4q&;=&j-*@~(Ht++4-&3}Rp^F}(;T#JE$ zft!JW;m>~v5QoZxjx`6J@edP+o#hWY51a={J?xx$kT~cnPMCVodHNuu(8XOrf(#6x zdjuGe%?F(~50V0@2c3BjGp7Y4$iTn=5*I|W7j{i8y7(g;>OtqVgQU>aJAe-A$6|gi z4)J+7#82Z8|ARwZ5EQ^z!a)ow4sxe3l7Hop#6joa!@@@cNgQ-108HEqDh{GRX2HY* zK>|>FLAMRS#6jns!@@@l$=(F0dXO2Qv;k9}4iyJcpxX&x;yEAzXn2C|TY!ldL&ZT9 z=yZ9Qcm+rRsvdOKKTI5SK03@jQb_KZ1yv79x1cKqVCokliSr?;Ux6gfi6p)rDh{GR zw-&(6*#Z)Px<?vG{UIcAP+owkKZ7JLi=-apb`S<dzdVQm#UQ&tOpv$&hylf*I0Z35 z;));!6obML!~}^eff!H>(+d)Z+~EL`faOP!xC%rFOd5bm2Jnpzs!%qFg5ARbQm+OQ zfMUqq&k*(MP%#kY0U{V6;xM~FLa=)~K;~$I1fVzqN`u5faR%iwBtRJ;acw9YL}h>o zsQEfjHi#+!5m0emC>un<?yUfsqX!az;sz)U64!^aK~x8bfSLmf6Oa(-z6cNvQf~-i zK=BMH4H7qkvO&}W5CJvE7|I4wD?kKP999N`gkblMfXo43QVbGgU|`q*r9t9mP&SA< z03x8~m_ykh>I8^@io@a#By<5pK*cSgY!Gz=L_o!1cWQuy9)Jj_xHXgwqQDn8L&a@C z0#N(`N`u@9zPlO9{{f{z;-EV~pj=1-ftq6v7i3`I04aou!_pW?S^!Dh5hMV`5=i1s zP%#jtfF$k=5`bdRJsTh<NZJL&fMNrX0MuSs9R!lHKoSStRRR(NVOYHYl6D6PK(Pl% z0BQ~_FMy;1ki<Pf0#F=*Bo3?NKvD@v;@%(uD9%6<_koImr~)K$UyuM4S0IV|LB&8+ z1CqEuNC1jEki=nm79<6_vj;?jqys?=D4qclfciHGDh8q!Ac@27UIB@(KoSoD2|)1% zB=JzF7>L?|BpwD5fZ_v4;^9y+5Oo4c99Fh~#4jL;M}h>P_y&@A6jTgEJwOtV1_?m% z3nX#SeMC@U2GIQ{AT~%k7Q}$!A0Po}_{2fQz!bDz07=J#1t0_mk~pjk1jz^>i6?>t zpcr&73Wy0ZCke!WVg)4i;0wy3;;{ArNImG<bEq(b0g`&qJ>@WQ3ncM0m>>fK1L(dK z5Eo=lI*0+q9!Tn8Wi?1D07*O(Bml(`Na9&gF%Si7SAfjV1_?lM29o+5s2GSUKoZXd z2|zKd{Qxp24=M(t8j#fIg9M<s14$fKr+}m;Ac+@(1fUpn?+S<sGQSAKfZ_#6>OprC zL4_G$?G2Fn5||(Z1H%R+^`%JSJCMZ7ki-uliG%J$f=Qh~5{K1&Ah`=j;*}r)D87Lt zUIi5cQ4f&Bt3d)#`~pe51}X-kJ|KzLf&`%W2a<RlR18dk8u!qAQ4bb?5FAM2usRwf zBY-3hy3+|H2Er0Z;!Pj{C{{ocZ-$D2C=DcWSl<C8Zh$1-3KD=~3ncM2s2GR>-7^EC zLGjoQVnDG6l6qKM0wfiHB;E-UfMU@7Gax3&oGuUpiW893cSFTMR0fiG4@dxtLHE*t zm>_d{K@2FaKvLfa6$4QXNaFn<0VwW35{I>UKvENs#3zCTpm+w7_#~(ph+2RoJ{cqc z#Ve4+r$EI(6zF~%5DjwwR1gD-cOa>s1{DKQ2av?4g9M=X1d=$cZ3dFMfFwQ>Bml)X zki=&}#X!^pB=OlG0VsZfBt8c!2BJP7iO&TIK=BVG@p(`&Fa_!`LDT<yumFVMKoW<w zAwe<%Na71Y0#Gc0B)$kL2BH*@#214EpjZP*d<j$xL>VB7F9iueu?3R&GN>4cazGMa z4ibQ34<vC|TNfl1fFusP3k@V5fh4{PBml(;NaCxZVjwC5Nqh}R0E!Ec#MeT_KvV^i z_&SgP6gMD=uZN0(s177?SeqOqJ^@J_Hnso~pMfO42_yi;3y{P&L&ZSU3MBC@AOR@e zfF!;ZDh8r<Ac=1S2|zLUl0|6x*$x#0Q74ep!}<;&@e4@eJ3#_ad;>{*7gP*HJwOuQ z4HAIj7f9lJpkg5E1Csb&kN_0_KoZ{v6$4YC!3C)M_k#r>1P78htd9ed5kL|@2oiu| z2_*4DP%#jtfFynxBml)4Na9DJVj#)@N&F~C0E#V;#E(J6K$HWL_;HW`6nh|v!}?+% zsQ@JLlOO>ojzAJW1r-BP2}t6nK>|>mfh2wgDh8qoki^e|1faMAN&FmC3`8{`iJu1v zKye3>IIPbIlA3@dei0-9#WRq^FG0mX)B+^&%OC+LUV$Wj1u6!jHXw<E?pg$if$$C_ z@oOLfC_aEBejO?XqD~-*!}_)$@e4@eH$ehWd;>}R7E}yGJwOt_4HAIj7f9lFpkg5E z1Csb%kN_0_KoY+P6$4YCK{06hzYi9G5FAM2us%3QMgU3tAxHp<C6L5nW1Ao;1tjsu zAOR=_-LnK@g6i2PAO;j0AgO-}6$4QgNaD{x0#NLLB>o&K2BJKW#9?CvAn^bs@s}V0 zD2_l9e+3l-Q3*)muR#J(oPi|%1}X-k3XsI#f&`$r0!jQGR18ElAc?;R2|#fNk~nNk z10)5y-w8y6!tEo70mU<r)PI7Cfv5#Y;-5hRP`m<3{0meJL~TG4{|XX-;vGoh-=Jb3 z>Hw1XcaQ)SpFk3ajlF=RE+C16?koj~f$$9^@n0YTD1Lw>{u?R=qFx}0{{aa=@dqUF zzfds{^#e)#A4mX(L4&-|^#30w#=yY9fg}zdjD*SwAc-@AA^|Ecfh5j^B(8uY&Wt3k zfh5j?ByNBt&Wa>%fh5j`Bo4YS3*-cl5IcwfaTpjFJdo7G#<D<C0Z8JUNa`by#JP~f z6OhEYk;F5Q#Ced!3y{Qlk;E&I#QBiK8<50da~~jgb|8tv#@s;S6OhCOk<6KaBrb#` zz5q#F7)cy-PZ!8MkdO$70C7P5UnKRSNa8z?#Kn-r4<Lz)BZ;3t5{Hcqf~>fJBrb`h z{sxk`6q5J@BynjZ@fS$qGDzYdki=z?#D5@(%OQ!wu0jS0$%6=xBSGmOIspI@f{kH< zq(JwBfoPDpB8UKS7#J8Nkkl(7i7Oz9D<g?(Ac?CWi5nn^t0IY8Ac?CXi8~;Pt0Ref zAc@1qT0u??KoZwPQXhdNu7xC?fF!PsB%XmJu7f0AfF!PqBo4Zl3}in@NDoASI1CI7 z4M^(sk;FTY#9?E?AT1M+#0`<u&p;A4LK0tqByNl(z5+?y1W9}YlDH|7_zom-GbHf? zNaE&5;wO;AVPn@I_g_E~w?tBZ14-NpNgQ+^8b}{V$Qnd|I1CI7FObyRAc=oK61PPX z|A8cKha}DbnrwrX|Mp1Y97y7@F?NvE0!ZSHNa`h!#GR1D6_CW8k;FBS#9ffY4UoiL zk;E;K#NCj@9gxJ`k;Fmwuz}nQ5`xVIfcODO>ODb{AVCHOh6p5aFC_5<Byn#f@eCw! zA0+VtByrH4;ZWllDv-qekkmIIiTfjocOZ$w<}5&FO+XS4L{dKkNjwNid;yYpFp~HR zB=Ha=anSv5AbUVUp&$ap0nL9QsSiUEKY%12jwF5pNgOt}0y63Xl6WMN`Wr~%QApws zki?^r#9ttZ#~_J=?vVr80}_e_5g-l&1H%s_^>Ikz3|tTeAfb2=0pT)mAc@1~fIw0L zNaBe|>LrlGlaRy}ki?Ub#5It_Q;@_Bki=7w#4V7-(~!g+ki^rG#66J2VRKa=_Xi+} zXCkSOKoZYF5>G%9&qfl@KoZYE5-&g!hpo*3*;|1mo`<Bq0ZBX`NxTC|95$y0G6!_u z9f$@gEd&uD4g&+j3?%hMNa72S#EX%{S0IU(Ac=245-&v(-+?4vh9rIfNxU3M`~;FX zZ0--_)C)-Bl}PGuAc<EYi9bLRuSODofh1moB>n+OycS6ubk82hevnWdhyZaw7__Je zTK?A~iE|){!{#VK$_0?b8<EsYAc;32i7Oz9HzSE_Ac?mii5nn^w<3vKAc?mji8~;P zw<C#rAc@1~QbFzyKoajnQXhdN-i0KdfF$0HB%XmJ-h(7wfF$0FBwm3e-iIXKfF$0J zB;J7}4x94@xqkwZ_(UZ2Gmyk5A&G<T8wBYC2~7qOAPxfq!wMwzQ;@_rAc;>!65oL& zJ`G9y0FwB0B=HkS;;^}CkkuEE#AhO@zkwt^3rYL|lK5;S@fS$qbCAS8Ac@aK690iD z4qF=rat{M&ksLJr&qq?vfg}!_LkFoBKoVbwq#krHB1j%2v<O6iI1CI73P|b~BZ+Gu zi7!DCH$W0!iX?7<B)$wu+yO~^Ig+>sk~nOxALOI}B=MC<>LZZES0RZfAc?O=63;*q zUxOrGfF!;aNxT9{d>xW_1Csc9B=HU;aoCy!ko!URH-c!8(v2Vj!~vE6Na{Bsi7!AB z-;5-_0!e%elK2KB@vTVWJCMY;A&DPA65oy_ega7xwsr#K)C)-BJCW4iKoZ}DB>n(N zd^eK#3ncM9Na7!m#P=eJ|3DJoha}DbS_}$J|ND`|IgrF*Ydk>i7eEp}h@@TuN&FC! zxB`;+VI*-4B=I9i;s!|KN0G!Wki?H6i8~;PA4d}RKoW<oMFF`#07?8LlKKcF@l#0R z2}t6wwWA<&GLXd2AgM1v5<iP1UV$Wj4oSQLN&GyLcn6X=Y|RYF-U&$J7m?J@KoY-% zB)$Mi{4$dG3MBC>Na7oi#IGWW??4j2h9rIfN&GsJ_z5I&*xDYD`!67g-$YV>14;ZA zlK2B8@!Lq^FObCVAc=oK62FTi{sT$;9+Ef%Xb~zj{oh9t=RgvNtw930UjRw`A(DCt zB=JW`;tELOkCDVRki?%Li5nn^KSdI^KoWn3B<_GD{v1i%14$gVRtn_)03`93Na`by z#9twaCm@NxMiS3J5`TjvUVtS27D>DUN&Fp>cmtC7dnEA=Byrf9E|B{tAc=oOQa=Mp z{1cM+0wnRzNa8Dy#J?bkZ$J|NiX^@RN&Fj<_yHvG??~b&ki=nY&p__KfF%ACN&O8Z z@n1;d50J!vBZ<F25{Io_2buo?N&GL8`X5N*|B%EPK#TaH>Hj~HI0uqAcoP7~aL|4P zBymRQnj(;t1d=!tlDGnrI5U#C29h`nlDGkqI4hF41(G-$lDGqsI6IQK2a-5!Eg#68 z0Z8JUNa`by#JP~f6OhEYk;F5Q#Ced!3y{Qlk;E&I#QBiK8<52Lk;FTY#9?a=LGGV` zBo5n~01}^pBrb$x&H^NHVI=VtNa7+$;v0~}MUljJAc@2FK7i~!fFv%Cr2YhwIBabs zNc{yQaY-cgH;}}oki;J#iAy7izd#a~K@$IfBrc02{sT!Iwnqcx9tP-weo)evM^ev$ zBo14{2~sbBB(8|0UIIy62}xW5Nn9C8Tmwm51xefhNn904+yY5l4N2SqNn9OC+yhA* zw$>En{s1I#O(gXZNa9*Z;t5FN+DPIVNa8w3;sr?Jx=7*`NaA`(;tfdR`bgp(NaC<H zu^{(PKoU1ZQa=Mp+z3g00g|{elK2WFaT6r*4M^gqNa8z?#LbYz4<Lz~BZ;3t5{Iqb z1-btMlDH+3`Wr~%R!HIxki@N##9ttZ+aQU5KoYk_690iDZigh!0NShtE&uJ2#5s_} zVQY**?g!mJ45C5##Suxp1d@6uByj~Kac3lP4J2_FByj^IaaSa93nXzjByk5Mad#wf z4<vEeT4<2F1CYc$k<>>ZiNp3}fz&4;iF+fd&p;CQK@u-O68A+CuRs#_LlSR568A?E z??4iVt=R^-X9AKqY>ya79CWWThz7+=5Ry3ykkkhwiLXEs4?z;&fFvG@B)$Vl9JU7y zWZwZK@o*&dCy>NpYs*3EFCd9WBB{TDBp!t%{s2il8cF;Gl6VY~_y;8MSS0ZuNaArw z;tZh8Y0&f^k0j24Bo12x4|2Z%l6WGLdI==)BqVXrebFF&pm<3}76%DJ_xB+QGr;!p zfW$x;wigJtM*}1V!mzy@u(gXIF%X8ejbLlWKw=;aFLU*ZD|1T{lNj`hONt<L28>md znv<wkl3G#1pqG+ZlE|Q!R9wuUSCkLpfRr2RS)g(aVO$11uwJmjqSPD)z2yAd+|;}h z2EDxel2ko+zfj%c643dk5Po`Isa`=*enDzcNhMTkYDRoo5$JGxXt+>Hf%e^j!W_En z1#TQ{FVl5Upuk1p+o0egpgo14C6h4qFbM(Z;(yq>a8R=XWDj(Dl>xR75VS`RBo1A6 z4AagajxGR|0jY&D!4zmu9mq^{<;3a-?TrJOiM$sMWH4-<KJr>>kT}RL5Dn4;qCx%! zvC;K!hB^p3%>Ysg#vrvI28;&nWdgC$f|&ufUK|v*AoVbe&Ij%30qKWY2UErXTMw=Q z&2KOXP<+GKAR4q631lY7A0R#q7eF^%R6sZJf#MJ3cMt}tfyEzaj}6Fv<h_R=c@EH~ zTLuOOQ2c=SFbq-)V#8?AUKSV|Bo4zSQ2j7Ij7C=r+KT~NvVtCd7Et}@{)M?8W*%rQ zKFCaT{Si?8$ZNVldeHTQ_7GqTzZcL&+ORz>pzs3?t-$od!VjC>AbTP}o0AzB7!shH zA3@C$(9|rtevlY?+=KM*fNq8hMBW?=Ex$qXFbpd0vFT5ME+$7_yARR>axaL6VE`Ll BHK70i diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg index 782eb299eb6d243ffe6117ff72272611028c724a..a5bc0fd247aa40d8e6463a2e32a5fabc69cc7249 100644 GIT binary patch literal 11176 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk_grQ!(Sq|NsAYFfcG&U}0boP+(wq!NI`5A;iGY zA&iMRL>U++h%+!)$U*Q7IR=IwatsU%3J@&Iz`y{)rVI=WAS}Ru2^ts~7+RPZ7?!g^ z<aihu7(mz&!ewA&U|?WmgkT0RkAdO;|Ns9%(qK6T1Ro>@!mJ<yia~59C>um8g3M!J zV1UseDUdij0|Nud2_SX|0|Nty2Dt%b2S^PFgX{%ikemer0|PSy1A`aTJdivHgXBRN zq{fngfq{jAfgu==8XE=%29O(L@Tjqex-AvzE|5K(3=9k)EDWVVZU*^bGLjl@1_lNp zNK}B;CNVHDa6sJ*QVTK%ghB2FVJ-#+29TRU<{n{SV8Erug@J(qr1mTxHJJ<y3?Tcj z<580Zjq8Va)MPU-Fz_-kFuca2CWnE6fscWK;VT|BxeN>p{GhagM@=3B0|O|Hax&uf zdp-jLgCGL~gD@i`EJ5K1!l3X2VUV9e;S36Q87yi{py5&qGJ}DEK?#c*m^rNs3=AR+ z3=H~s)XZdHU=Rhx8y+=t7#JAD7#J9w@u->0z`!8Rz`)>(N6i8T1_lWR28M7vY8Epv zFi0{mFeKtpvx0$vL5hKaArp_9Z43+y(hLj?Wq8yaV_;yA0R=uNUO|EO|NnnbegFww z2<rnm1H^=5P(B04FEl@a(gP@;szCD$s7%miU|=wY<|$CRV+iY$1my=Us2Hd`gIe_; zEYHBeFd?i@5@ZgjOaRG&ECkUY3{w*TRRhXjAaQ(ZKxG0UHJ~y9rly#IfuSDiK9GF^ zQGJr2{HY7gr=Tzb#mh#h8c>=7`3sa*&7tX06)6lsW`NuW@)yV)LT(1B0hI|b_kd^+ zhM5EMJ4g+vOaO_4%m&dQjIIV$CV<58sR5M<gw%k_1VU;+Wdb2JpfZ7w8c>;lPYo!X zL1h9qHK6(h6h0s|pfUlQ8c<lk)PTwaLTW%|0wFb^GJ%j9P?<nT4X8{Yqz07s2&n;; z353*u$^=4cKxG0<4Jh1T7?eNtvDC4kdIMB0Fa&6Ff$}*>JxHwq0kxp=9j4Y0T5o{b z1EBZ>iNnpU;N=39D<CnDxkd!c1+|l4YC+`?sJw!i3o;vSZUQftJ4hk4>;ly*AbF?| zxQzr?8=%P*2bBQ%5!5`xrWPy~pvjenq!#3MusBo<6t*C9!D0cLT%h~`k^-5lgcc4U z|H9Q)@N(6F6hg}&WgOuEGq)c}EvS4(4hK+pfXoG%&rre31xkY;<sdhJ$}zARAOUEZ z23H%P$puP7ASsYqY;8A?T3C8G0a6HcuQv|&!qi?xQtLxN?Gq%mz68{MMpElXK<z&y zwf+Rug4#GB<)Cl~AfOhOc0gsgJPx(sFh@!|Ahm?j87w`3)DlW(;$RCP?M_fSgK|M$ zfTwk^Sb!#%2ABa+iz}Uh(@uaUmkE+uP&$KhQOt#@b%IKQ+)F5(1t6(a!x3gMbEA;d zsuNI~f}~c1fZ9SNwVDLfwj-$pm1iI>sP6&_2w0wnrS<6`Nod;wR-WNf3rjno`VE(R z!Nwt_9gtdF?uDs^l{+A{ptc!u`2cbYC?A8>W;k;#0W%=|5m5UM$_051BkgQ}N`lPQ z!4YO)B>|dT`@jr{xuCWdl#A8eGf+v8xq1Z5y@sR~SDgh`5}?WT0L*~60au*`RuZ7e z^%l&4sD;Hb*s)m3Nm%&{axW;3k;5014nW}xPQUg3T>p^F1+^7H-E>gj5hM@lQ-jok z^f1)>bMb)$p>0l3I~Q3ksBem_Rvt;MEwpX{nFF#8ln3Ez%^bM&k<{9usRj7~t~SVn z%N|KBs2vR|r(ouS#vb5m%^bLVk<>acFff412$)(>+ZC=h$b%~iNiAsX09h?a9SDQ; zGMG7VB_gSHLUS*uUjkPf<iS;pq}CZarT_|8P~4&C%_bzZpz;-z7m(G0`bS_lfHXt< z#84)f0+|PjYmghj0#Jfp;!x|reRU8U6xaCN15yLRF!vHt4^s!y1Hy#-1*-2r>R=e8 z9+X}{^$JW3M1#aZ@r_Fym%Z^N`2`FmN%3i!MWK0_B@Bs0$r+jPNCHractZv#3#tUh z%gM}3jgL1qV}LTup$szv7{iz$IX|zYC_e{eLA)U{4{BC^L26NANoH9pNCg85FWwN9 z57rTnq6B7-1(acEU<zl!oMmVLbC;n3LY*Z{ogvJzhK7bvF-r@E;*89+5{AsY<f8b* zl$4^>;$o<rp{X&P1-HQzZUfxwhGxbL#ihCNiOI<f#U=Sgsqsar#icnVFwK@048hLv z$vOGS*&s$yYH?}_h>@9BP+Ah4m|KvOipC8~%*jjviKS)cl%y7=rXW<Iia->4LJju> zS)5wJ;2Do>Gzt%*!9O0=7zT6!h_Ybk_=2MR<kaHg%)E4${5-HTbMg~Yg26!+>>Qt& zms#Qn4Ky$}xd?@uSrS~D3-Sn97lP?uT2fF7awwYl{_$XiVB`H!Ob780hJ$zzv!Oal za~U8ksLB5EZYV(q^-xfJa%oXfYF<fjNn%MVLvT=hUTOuD<B4Q9D9jK(1Mv`cgLn|T zp*l))86YgU-DtiF4vJ6CPfZI-P0uVYNi70d3r=(h0q6MK(wq{1PzK3MF$4t|65j~K z_btsS34$c6U}sQ5g>i!7i$UQUgdU~ALGeYYi7Bw?0F8<;Fz9FG=cX3xml&BOmX?&} z=)2@6m*%GCl@#j-I|eD}DufgzW|pLaL=+NBQ!?}QOA2&BNu?+i!O~4FDNV^t%q!7_ z8&h1Ate=sRqmL+1^vW_)81zevi@-MOr{)!xBpK@ay1ME^rUdlMGRqQE@{J6PO!N%( z!@wMUC@&?kBvHQ%WFE-e;*ykjBLf2q{o<0uyp+VE6o}CUMfq9!6-lL;IVr{ZmX?;5 zdIs^Hej)J&CI+VQ1{Nj;`k8sfC5bsX#rlakIq?NKi6v?IMY+W=yC7QhiL#5T-Y7|} zD9OyHhbNG2qJ|eTQ&Ur6QIQg#lb@cM9B*i7W&-j{4ud{TVuXO5wDgv4QhrM1K==*W zV(NM@uQWHcC^I>}xCESwXdh{q7ShZ^$e~8#q=@7zWLs%lwnAHCpae<lVjQU<M-S^z znt5Og!Tn`WTNj2wZC?;R$-uw>>XY{|GBB7jGB89lF);jKWMD97W?(qa#K0iH!oaYB znStRM3j;$V3j;$8D+C{BWnchdGd2bW5C)kGG7m<B*q}ZPj87^J(hHii0?mPd#%w@y zG@w2zsP75t`&lzEFo4*g{w1j2=*Gan;0c{01&!l@#>PPNx1jmeFa`#OC<X?GSOx|L z(6~kd0|P@cXda7!fdMpc0h*Tp%{zeR6+mGDnil|#`<F8?FjO!wFjO)yFjO%xFjO-z zFo61IwG0dl?F<YI9SjT%oeT^NT?`Bi-3$y2Jq!#Cy$lQteW1A|1_lPucs^*n9yA^g z8tVj&r>|mQU|7w-z_5mafnhBJ1H(E728Q(v3=A6>7#KkF_d6LF7<Mr*FzjYvVA#XJ zz_6Erfnh%b0|RJ$92BPLm;=;d2lYdtG^ihit_B<50@P_|U|?{7(&+lo`7kpaKm(5q z3=9EK8eJW<8w*norvpG8e+CAI2q=xN4>UFmSB%7n01W~#Ffe35X>@&{bb_QD&h!8c z2!PxPrP1{v_od;QK=bU-a1?;j=>CEA$&vMd*y!Pjt`BM)NGS?N4^MP`(C9(Y1LB~E zC%Qgp^njG2VD#`r*9VOr6g?mgdU&GigGLWXDGD|K4Yn{aFjzoobbZk1LD2)^NPq@X zKx-hNG`c>haUi9r7}{ayfYRvtpvIx<VPIf*0agD2N~7z83S;QI02<_AU|_fbrP1|4 zg)#K)04+vfU|=`^rP1|4g)#IkfKFhofYRvtpu!mX(8~$*^avVX!q5bYH)sNcl@sXt zz;n`AG@_?ZbbX+;4_LG@pr;pfeW3La*z}>N7j%7~H4@nL!3L~g=>=UMs1CxW4?Vr0 z>%&;Y05t?Xy`bv@t*?M8MWN8s3%WkgnhO*SP!4)}LDvVWU!h7-DD?b}t`D@H0!0Iq z16pqeVorc2D0F?GWo96GbX)*UNEJ{TT_5^*9!x)ax`rlKm^64v8=Qm0KrgS+^?~NK zkd(uj=;bxKKF}N&Trm;@J$<6<Lmz*HYlRj(u=I(p4>WcOSBu0zPoL=eKy4}{<!~l? z`b5_UniGR7Mq;3+Pjr2t`7tEra3*^CMArwJdx9%QVxX53==wnOP)N$*O!WE>T_0#p z3a%K55dkf*BA^8jx<1hS6q0f{(*j!XSU?LBbbX+?D!5`Kh6c1Cuz=F&`attmNXp?% z31~r}0j1IPf#$H_ijf!`(1Jh%N~7xo&1WGghch{#1%UySM%M?L+kz`bVhBJB0?-;% zkTAMF&^#AN934wQ3jz%&jjj(g=Y_5Z#ODAF{)5`dP#RqyXw3#l9XeiNg(?Cq*1&?G Mx)-#51I$4q08#F-N&o-= literal 37088 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk@iZCY$l)|Ns9x7#J8<urM&Jh+trt!NI_A!i0gr z!2%Osuw-CR@MU0l5DLL3!WbAfgflQqh=5=j1_lNYW@Kbw0AW=IOwh&1z~C>;z_5=4 zA}7nhzyQJ)5H14~14J)KKLZ0JSoHt@|NlV@up9#e1B?$617TJW0mUFTGn5TduLv@a zfq?-;LxmYQ85kHq*0V7%Fz_-kFo0-~S~aLzkU9`wkb!|ghk*g?rVs`O29UXKNNPap zKyCqHkb00GSQr=>{PC!<WME(bxiJEd8XKsa67i_9XJBApXJBB+g1Qgn77zxx1%yHV zvW5Dy438RD1_p3=G~rR>&A`CG#lXPOhewSb0|Ns$0|Ub>JZeDU!^6P9uo{mVQ22n{ zz6XyQD+UGzJ_ZJcvv|~4GcYjlGcYjR!=pxofq_AQfq~&YG;TrR2TB{l3=9k)cYxTS zcn75eSw=|wgVca9D2zdA0i-60fq_8?8t)*rAbAi5sR3b7*n!LgnQP6+z<^7Q3j+fK z$W1PI)MPR+Fo-fRF!<q714<KO3=9krc+_MwFffQSFfb(HQIo^Kz#zfEz>tGSO)dii zgCqk3Lm3`5c?=8;QVa|X4S3Y#GcYhnL-RH$%s^oU3PXd?UQqOam~afrKcIL6g*_<m zg5nvJ|5TuH4a(~v3^E6#36!r71oyrNr7Mtn7;V77zyL~<Aag)s2_e1jL2NB(o&c3g zATvN1Bo8tRW*0~wNE{>vqCps@W<yBtdysoTaSjs4rv{YQ38?|)b#yhLybm%LWEaR@ zP+ljb29(zcsR8A6LTW&Hosb%A;e+mGY~h2h29(zc*#*k$gw%lY6->=vQ2a7N$~{on z6-4yD2jx*+XgLR}!*m%D^~`Pt1_p)M$E84J7bx$-Xpngz3^D^07a%ss9BlrAsR6|o zNDU~jgVe+Hf!OG3KzSV`j!z9JuM<)O%Ik#Gfbu#aHK4psNDU~j6H)`p>o7GSzkx6) zzvx5jJ5U`85(B9LmGhwd&XCI}1In8qagbV2-UYP|aH$2A$1t^q&~hG>wm^D7;&5~M zo=Abp3XmAcTq6Q*Fa;@umNUj^=7P+Io6G)0${k6q2?2BCplU&B0AvQpET|B;y#s1{ zfWyIf-84{r1Cj%&1(iqm)TV(HLfbi@G83oT$|q8wv;<NPG8dH2ajG?5Hw_erFtwnt z#;3Ldq!8)`P`Qq-7L*^req(+u)rh1PlxNY^g352O+W+m+-AHOd^&Prec$jTTk)DR6 z7F6%yRC^^|dLfcpQ2mEftyPWm8YH!#dJvQzLFovj2Smf&z?&_-1xYQaK15avQU}6d zzqzkq0JVQW%0Y1fDvv<sf%qT{$`>FStk!tlv?Cx6G<-pI7CyCSkkraS(<D>~oX$XO zn7LO$9H_bS1k^r4QmceTE!=NGz3)FDsa3|O_E)9!KP0uF`W@YGaC5_|q<Nr{pfCgV zJ)m5$37{~8tKDBMEd`YXsRgwUpj=F~dNtCTP)U$l4J_^jX#$6tS)DYfeFx%$)N0~W z8`S&W8_8Tyn*+)PX-AEVpx*b<P)U%v+62r6wbwv~fYgHeFHo%@Aq;ctppqc9pn4t3 z#Z+s&Zdxx?5~LPZR)ZBn+if5=I2?@EO`C(H7FJe+<Utq|7a$s@b~Tb(P+1M-f|Q`T z*LdBuT~JAo8$jhRl#5mEIjAH^Ev)<nE5zd7M@VWxWjvG%R*vr8Z%|2)xn}s{!g$>@ zE+$AX7Ni!`=Tv~!9Uvn>`5#1s!@+jlG!Z1Vpt=rOEl3Sqt=+n5a!6`H<tMUQP#KA= zRuf4rsE>xM7FH*M%xAD&H_Zf8Xo1>Cpf(TGZy<Mq0s<5c@VY6NQN{*IEvOC!*@;W7 z3zAw;`2ebmV0MGT1#YfctaK2PT3Gpj%iL5XwV?6=*<4T<g3JXgF^iQh12Z7)K3MsH zZZ23!E~88jm;q7ig(DomVV27%GY?5EsQrvB9AIi!BdPTvVD2s?wY~(@o<vgXM?mcr zB(<=y3iNP*x%WPj+5iIP!qN^XJ;Le>baO#r18U2`(hf*1C_RG2ajAu+2asAq>Fh1k zy&$!qbcWB|e@JR^r8BUSTt*o#P~`#bAAr&sHgjQWC6Lq-N@r?FYGL&ddN{z$H9%6U zjw3E$YORpe!s;ws=DH)Ph1FTO)W#yIg_YHy{EJ*Zz|u}Gl3G~18kgECs9I3p3R_(W zk_Mam@BjZ+5Ql+*0i+gNT?mo}tNqa`Jpsgls>N0pf~3J}KetK4(l5wdY;7ZuT2Q<* zY-^RC2I4@?#a3^Eq`~GgFfc3zaiD6k)tew`u-ZAT(py0ss9IQ>1cfaKgUVl!G}sL# zt<r}<9H?4YT!7SpFib7jTm}Y)Ye;Hg`2wU4gkfsI=0>ziKS5H9t&Rmr!_55-;y~R1 z%NHOsK^Vnc>sDz-XrcmzFSa@sWE9L?SbYIf3o0K#<vJ*BgWL$p+aR?dHiPlHX#z;* znnU|!Ftwoi4x|=ruJO8Q%1CNq`3>Y&5Jqt?U#qkMl3Gxo9&8^-A+-GfH<y8d!3jw% ztc-#x1-D^9YQg#+w@CXTsRfN)fW@JTVQv7smw|yH9!V{%EP*OTHTOu1bS9Eo(0C9& zb7AE#D12dMHCA(Hw@6nYnF|_=!e?$Pl3E9}xB%G&3J0*?jMq(r)!iU*P#J|B$DsBS zNG&KHL2=xUWUdpMxu7~8q!woGTqL!yauVHdU~_F+q}L&-1&ztz^V<<5wV<&yoN9Gj zq;Dgs1&tHqRIAh?{Rv4eXv_eoT9FoMPOv8-WuFJM4nX%CSjnGeX$3F?qSh0i+UL#E z=16K`?H#P<-e{KgLsAQC?_gDXx>-6ENiD3sgH`ROX6YIvwXpUMR<*O5r6(h)g|&CE zs;z03UWKF<*51LYHmzCuAd*^Gdk3ppzh>zhNNNM|g|Bt9^m`<=LHN`vHcPXC8t~9E zH5i{-!DeY$B(<Qq3Y=+&p;_7lNo^=Tb2m3hdn2h0!>6{sNjeEhZ8$!)QBBg7NNTao zfx+{!W0Uj*B(;(F%;jj3UXG+T3ZL4$jney&)JEe|d#O?SDw5h5d}?PlO20u;8;ehE zMWZw`sPhAjW6;<i&UkCcmzG3Q8;{T2!hC6cB((|n)cWU3yCSK@HrEJ`i_iw?Xe70u z@kgBQwQ7(qL{giK&kbq~((OoUQ}C(%S1&ymNo^`VweBkzHY2G8je+8H?}U2klSpdQ z@tK=iFMS_LZ3aHIHuch9k<^05@^QLBre2yG+As#yg`ja*oN7PSNh>0$1&!_FRJ*lK z+8jwOXpA4H+Ri#@UnI4lv3{ItYwDzvk<^05{Bf$yuamAsQVSY4#;G>CPP!jSZ2`XU zRjrd=ilnv>pIY`h>D@?bi}0zvTPuALNo_GcwOeYXpChR)!Kb#rR{AfJ+ERRKlWL`f zL4z02@&Pn|k2B09YNa)i)RyBjm#0?R9!V`|Tpp*n*J`9gk<?b=Gk1H9bT*RODtu~} z*GM-asjbGRc1n%(bR@Mk_|)dsNUudwTZ>PvU5)f%B(-(;)bi9w-$YVdk5BFUYH9EU zGBn;A@TomfEzJt*$UxPC<~?x6#p-HlX(Y9vwHi3p=2c4@BB^c0=LWlKX?G;GE%?+L zRZGVrscprl_H~tXF_PLgd}<F@Np~WtZO5m!u1b17lG+Y@Y7?uZw<4+S#HUucO8PXC z+Ae%*cUMY3L{bZygTfgXvn!>)BdP7dXKs3>G%u*L3QZ5a_|%41N-HC&1+B}$=?2wG zX-g!v{rJp%Um@*}q;>*6wYMvzQ<2n8#HTj9Lb@7B?Ie6^<0_;lBB`B>Ppwyl^l~J% zQ}C%3tB~G{q;@JkwcE?3FC(c1%^l(l->!1$mq==-<1;s@T>3wf+8OxN29-;Tf*J$R z^e_{jTI+IYZ6vjzHCQ;^yP-(h5lQWAeCAdZNrxk;or6zpaFKK_lG?fW)M^w-HzTQ? zhfnR>Lg|@EYUkrqd%RG3J(Ai5_|$GMls<~27BuIJGt43irEeptU4+kEmqO`}NNN}3 zQ)^l%%?=vqfX49>d}{d$rDc)SF2$#op-|cYN$oOxYF`vcJ0q!Gj!*5~0_iX$wJY$c zy;LBbiKKQVKDCDnq-&7WuEM8wMS*lbl3LJOLY(o|QXst$NiAru9;e!n0_n|2YS-d( z!>@elqeyDk;Zu7dU-~+d+V%L<uF8;piKKP|KDBQ7(!Y_^Zp5dSD_@!yG)M+bXPfY; zJ(?#ikEC`pKDBLm(uPQCLF*fEhOcs-v@??0t@zCSkSiUEq;?xVwfAzR(~;C}$EWs8 zu5=}mTF|;@obH{HE8UHxb|*CKK;woWJ)m_r@cyy!x@ohK)b7IP2K`*=T}Wy{Ys*09 zVI5;SnInA<NiAqi2u`&NbEKI-j)J;#FFrT4<VdR_sojT9t$U8N7n0ij_|yvJNarD` zJ%CT`<ZS6lNNNw_Q(K=cy$wn2A$)2bvZZe#sXdHO?d&Y+KS*jp>u7L>Z+4cnJZOL# z8oo#InQNaV?S!QE7(TU9S<<OUYLDYnyDd|?14-=(d}`-qO0PyzdlH}8=1l2xNNP_p zFff3Iq+w|tB!!&T?}7xN;cyzCxqg|_Katde)*j&uUxiF*QP83@sJWoE-8j{r%#b!j zQhN@c8%i^zeUa3j$EQ{)Lpl>l?FF=X29OkTIMjm#pzghhrWUj&4q5F?B(;~&)PmN; zA*<bjr1mnJTF{y}WVPp!)LubT3tA_Rto9X>TF}}qP}IQU7_@#4SuHEb15m$RLo*k& zehyi!0+QP6Xlg<0=aAJ}BdNWCrWUk*4q0s|lG>YSYC-Ggkk#fRslA1!7PPJ$S#2kh z+S_PqL2K=h)h<R-dk0M|XssQx+Pz3>@1m&%%_k$Py^f?7v_}CsE<p2f$Z9_#slAV8 zE@*BPSuHmxF+t<)0h(ISyd<((RV1|!85kHq^S7|{0GcC4R;z=g7PQt6XWsNrm3Bl@ z`xwm)pgC=1b3KsMK0$K>$UVqvL3JdwxCSx77&KoB3OiilApKy4Py(bLRJP#~2ZcRU zDVPGO2Zb@U#BsTkTH>H|268yeJdpeGg%3;}NDm0Z)I+<eAR#aYiG#W|xWWyWIiuqL z|C1B`)CxCHegj7!lmNveC|}_+AD8<0lKcXOlBD>w%%ae|%o2vgqU4OscqD=JoTMBE zsBF9;j0IH(6=%rF%u9`rH#LJX%%Kc30~o`Y!80$jBr`F`%rM>n&bEv<gt5&{<Bec! z6SH_@2EWvjpw#rl;^NHoJX5oHQ&a)-cr#Q1i+FQX0n2y`6agcHcuR)l{JfH){2Y*1 z;|-B{P!Hu7q!uNXWR|6ZR4}0M;tf&xFrQgK8HNU?a26~e3=Lo*VQ7F*X9-hh2n!xV zL(n=OP*esx$0z6HCucJRJI5EL7N?eg7@2tmr6s|Mxdl0?Xxy;GoXixESXyRINorAQ z3PKgC2t=WKa1eugNHBwYxGO_IkdF(4dys2zh<}hPLqU9LL3~MW0YiX~PrQ%6v$s1# zK#;$?Q@p#6lMjQlvyZnwgz4rS>;z@Hz-Uj1lzVVcd}dw=$ObSCvH{G1*#hQxg*dv$ zhrskgc+TDs6CgYnsCf{cZ!of4P;dwm*V!>3Bs9nsNhHWM*cDktu!pA`GCwrH#W4g$ zL#SVfD~h4Pu0DoHCI-9u7@=~FQMo2a+|XdxAdu&f4S<Oun*tL<HVP&NH805DIX>Le zCB%av1kM5lBvcU03=VM&3SsbsCK*p?it&s`PB17uNHXw`M@<|I=mHRB!OrmoMfu68 z#l@L<=`Q(s;KY}cpO_Myk(mZfn3*MxDJey%#l@gRn3<Pcgu=}%2`<eA$$)hsnEs_D z1*Ihnp6KTL$AcAujrWhw%tM$C;vozN@gQbHb(H2ZKv+<d{o~zGG6d8^LGj6@MMbH3 zCBY?$C8-R-LGgL16;Mu$O>%x-afyMQ0t1w3Xs5v7?&kt3mmnb=@97lk1`BR5C*CR4 z&7A=h#0bZs@W86T3J`t)DS-2k6@UT@A{ktoi;yUQWqz0tD3G8Y^#q3)go7*%i6V$B zn2jP?n#%x{Lf8W?Z6K<AFcL((Kgj3)nDK?0Kp@J3o#PWzQi4*8OLI!%Gvf^n4C3?R z4M52NRoD=_un~4)W9-5v*o9583!7mVHpeb(fnC@VtFR$<Um9Zfr6G1-8e;dQA$DIH zV)vyXc3&D|_oX3rUm9Zfr4e>t8e#XP5q4i1VfUpGR$m%nb%-%mcNk-JhA~!G7-MyW zF;+JiV-09ytl?~oHJFXDhO#kMznNh5n+aCGnPBys30A+EVD*~`R==5G^_vM+znNh5 zn+aCGnPT;uDOSIkV)dITR==5I^_wYHznNn7n<-YmnPT;uDOSIkVfC9CR==5H^_v-1 zznNk6n;BNWnPK&t8CJiUVfC9CR==5J^_w|XznNq8n>kj$nPc^vIaa@!WA&RkR==5J z^_w|Xzgb}Qn*~<CSzz^>1y;XVVD*~?R=-(b^_vA&zgb}Qn*~<CSz`5@C04&#V)dIP zR=-(d^_wMDzgc4Sn<ZAiSz`5@B^JNsff^R5jS7&EA*PTKrjRkFkO`)cDY}q3S}P_m z-W<a|a}4XuF>Eu(u*?#}97_yyEHTWn#54!P&6Z~9zOXdM5V61zu>^_amgbcBgSy0d zDMk!2!9i&KhG^s1_{?~4s!_lq9bc519&Km{QUgwJM5r+&LX8m-YK(EKF@?DYk6myz zc<h3!!EF~fc<_52t^~Jh;7V{i2Cf9RTg+kJHozG)a3#3i0#}0DEpR2q3ZM>FQEFle ztWO9^K}h^)12i`w%b_P5WH}=|`rttU^(V4EupClyEe3TogHqEoi%U|Aq77mpA%h|c zPQ$om4Dm=A;xy0D2)C3mZYdMoQl_}2aLV9z1a3Frb^>k}nBjDRIZhc1oHCZN;AB-? znhP#*ic52&jp13*K!Kqk-XK0RkD(ym5JWNPXXNLm7VDQ7nIx8$l;-HW<R_QrrskCt z>jygqDd;MM6eVVsq=G~g5=&Du^Yu##bU}k7MX3muZfZ$sN@ikSi7woj;-X~zjFcRG z#6YQDSw;$jenC-wmVQN2X=YAJvA(6HrKO%hyr*ACyn%^<X}p1jiGhA*UU5lcPEN6Y zVopwcK~7>xT7FS(v3^))Sz<~)REvH}Vo9QY8OQ>VMa3m4@kRy)7W%~{iFqlBMJe>K zt0c9eBr~6eHf5%!roz0H5}%Wwo|znPXlP~vvNDH8A&JXQ-K6}KO8QuwSDKqzl$jh~ zTmp_p+WQpALS%2!HeWy|20%ed>nw;gqz|zUk_VIXGg69664TNQ^-B^9@>42H^&^Ti zb0d=TgA?;olJYC`L0K!cqC~%<I5W2_wJ0+!GZ~!c;tLX!vlG)(i}iCeD^gS9a}x8? z<I8eNDhpB}7SJ~6P$nJp%4ijQ;81b|6?p+g`N?|4nYsGKnYr<X`bnu7iDlqG(Fe`_ zfLs7pTa=OsDVLmmiuFwm%uP(q3?Kraz{|-`2M1_rUS@G-Zn3_*p9`5fK_jYUY6T6? zlBpFmzDcH5pU@CvGPSw{2bfs68j`8oCp5%}e61$rYc(TNt8Zw8n*{}W&B@g3=Hl#1 zrdDUiprA+!g36Rkd&8Wa^+5ZBK=ZoD7&J!+!l1obAPia)1Hz!QB|&%xBLf2n8!|C4 zfbb$F1_lrYom~OK&CCo8ApD7$fdPbrSr`~V_z(*N0|>jYGBAK}9V-I^2=8QNU;yDS ztPBhwtk1^40K!>p3=AN=f{lRzgdejpFo3WeI|Bm<N3t_8fbdjy1_ltm$j-n3!aN)d z3?S^u!N35*EgTFCAPn*&$X_rT#0IVBfbmJCL3%-JlwkTm>wiFNU_k3AKx>R(;`F9L z=O=>B8~~jU3EFcHIwt_c2JP#&V_;wa?J4(UU|<04)duaW2JKY_?G*;?0S4{Y1?_<a z?Lh_YEd}iZ1?|HG?Lh?XEd=cu1nmU`?ePPp4bYyvWsD3A$5<ifkAlv#0G(3-I=2Zl z-wZkf0(5>7=*$Mtc}Fm}fzD<GxeMeTkQ+h!{T)GPAwb;++RF~wqYiQ(Xg@b-pEhX! zHOQ@?c!Oc&H6;bm^*|L+8hM=&Og)Tt0c}cyau$FV#(~Z;hSKQzkom~^R)7}CF)%Rf zfYQk7Kt_Yo0*D4-WPLk83+5OY7!E*bbbZKvLe_Txw0Mqzf#Cv_M%M>Qk08517+GHf zXt5as149RtM%M@3UJla6fUHjdx(8JPN+bIRq!_wo8N@`v7oh5IKxuS+P+=53AWjE# zkK+U=jjj(W3{r}MJ)nE+0-!XyKBzE?9uS8Ey1z;QN~7z83WJoQ;1f`Z3s4$eANu+y znC1?s!~`gft`D?E3Z@pBc7RHFKxuS+(53vyTEOfdQ1#%0s-b6xfhC{>ba^<GhoCk< z4cq~x(d|QD{{z#R0X47yN~7xot!09#MWz*?25LZQbbZjJr^s5s?2aNxik|?b(e*)> zK7!RE6Ar}?eI8I6T_3dgK-L0g|A4AzD1qol*9R>=z-p0+4N&zvpftKZXz_up1<bwy z4UY#<8eJc>_yDU#CMG}wdIpq6*9R>=khOr>9?*aefYRvtKy@8hAvysKXbvcit`D>} z7hMa8zXPiN0F*}82dYCs>d<jUDJ1+0pftKZ(3)LzJs`e98AP83lt$MFs=q<%(D4nZ z`Ug-NT_3bqMc2kKp&Vl03@DAR57bV;(8R#N-~l}}Cjd&L>qB2p3OAz?Vjl;TM%M>g ziwakZ#Ml8<e*j9O>jSO*MN$rD7F0p(tANtz`atV|;fj$M8r2Ye22dJZANo2%nAQhS z^)H|_x<1g_M3`D+dPWVzz6DSkT_5OdBxLn4c0eseUj&p!*9STS3Z@pB=BR_{6M)j_ z`atIjA*+Y6UmSo|C{O`peIPdIoFk|d3iW}2KG3;rC>o%g9|ZJ)&QgOaMWqhncPHpv zHB=1{9(wx>xt#-20Xkz1M5AN$_8Gc9(AnGQdO&>i_8Gc9P+JwG4jrSn&(QUO+PCO> zKz#J}8M;1Dn;4`H9iz9;(Di}Z(dc?W{0wLTQUImV^?}aK1F1vD1<(Sd0!pLn1GU-F z^?>*h&;ld@N~7xowevyh(6Ixw0P%p*==wl?19Uwgz6P`aF@VzO`at~&kUDfM04+cy zpftKZ&^d4DdO-XiQ1#%=3`qAnfaE|J)OP{V=omd+L#t1?GEiR(&Ou_Jr)zY5pgt0k zaySz`eWL3F^{e2Dkr?Rd1zjJgkBFok&O}cy==wnYO1NSq26}oy*9YqRAt{G5(bEgM zK2U!Vt{91do?g)Pf%=$8%Hd4(^n$Jr)GviAMq;3+7j%7~v*wVL!<p#$9bKO@TqP>w z0knd90j1IPfzF^q)dAt1fL3r9pftKZ(0Ozam8j$fXa#oxN<*7za7CbT1ULtY(EzRB zI-oSVK2YBtNjaQp0j=O1pftKZ(0Bk`F%m;S4^kgVKxt%spuR3>tOH3oocRH2&krb# ztPi9JG(G~N(eVmsg}MPsqw52mYlp4}#P2YIxN`!OM%D*%Cupn#qz<`14&o<3E8+|& zjjRtO4;mi<(dc*u^e~qVP#Reu$Ue}R3P=y6wE|*6umrRMSAf#U`ami`<1io^9cLIr z{8s>_k@bP>gN@ywj~iTo+H(U+BkKd%0XiQKM5E&u`Vjj*Kxt%sAp1aP<$?5o+D;%E zhC84QjR{a1SszFZXe<mwqhk$Ih<yf78d)F6KG66XNDulrg#)yK;sK?R^?}rb&eH?Y z==g*I#J&qq8d)F6KG0YlNDq4b_5*4U1GIsItPi9fbj}`#M#ml|5c>k4G_pRBeV{Y< zKzh)}Z#F>f*#V`I^?}rb&ff#k==cLPzy5&I$ofF`fyN<0dO&Ot4Z|7G23P@<M%D*X z13H%vM5AK`Xah_GN~7xoozaJ`2gK(vhlGm<$JEavNx@6r>KK3dDlo8&G>5Kxt%s zAQhnTRS=DiIm{p?2|#INeIWZl=lFs2ppR=!fHsI`Kxt%sAoZZJTo8?pJ)jMu04R;F z4|KjCx*jke+92Y9(&+j?XZ?ZIp%FWv>JLC^bbX-lW;88eZUM9*RRN{Z^?}X+1S>=% zG@uPB11OEI4|E<Nnieqk0aX19D2=WUbT%MZAsR6Q+5lSsrP1|)&Iv@*0_Fxl8(<Mo z8eJdg%s{Y0G=c-#026@H==wlo_h?$c+yhYcC!jRCKG1kSSRoow0d2T7KxuS+pg96G zEnuzzwBcp}rP1|)<`uvS(TEpN^&g-#x<1fc1ez8wcLB7)w*pF|>jTYSfEA(<5zq!- z0+dGA2b%Lh(*ouSKpT7#P#Rqy=uASeLNww8RQ&}gjjj)LJ|UVGFt-8PQ0#!x==wls z6@nF_5f;#fq63sh*9SVc5KRl1`vI!{2b4zF2Rg$LtPqV@0c~JzfYRvtK<62vX#sN+ zpbg9nD2=WUG<OMBh(<_28<+}E8eJdgoI^A%VD1H|`WsLhT_5PoL$E?Lq66A6odBiL z^&w3)q3CpgHcUOBG`c>}S%@eapqw92_23CS=>36EDKG^(7ZJ=rBQ`)Av^$_Qx_zKC z64A7Pxf##~Z2^=<*9ST;5v&l6P=GdQHJ~)QKG4~TXj;JB8&LHRpftKZ&^d}=g=oYC zXhV1glt$MFI#Ur%3z+KxZ3qWIX>@&{^A*7g(Ftfnm;*|q>jRy&h^__1-vL#B07|3l z1D(4FQiqNUpbh8>D2=WUbOs~39uQvx+JH8I(&+j?=P`oRq2mWo^)H|_x<1g^jOcnm z{29=O_W~%5t`BrhBS;-O4uCeiBcL?8KG2zs=z2hW4rs$$07|3l1D)RpQiqNYK-Hgs z(&+j?XE~zl0r4xK4fX~ojjj)Lt|LetIyQhd*e#$mx<1eukLY?p{1;I5AD}e4KG1oO zAa&??0komN0!pLn1D*Ydt_Q@AfHw3KpftKZ&^eGGb?8_C+R&GP(&+j?XF{Uu0r5{j z)n9<p==wnCLxR+y;|6F4p#w^z>jRw?iLM94w}5sK9H2D1KG3<5Aa&^Y162JFD2=WU zbcQ6l9uR*8v}3UWN~7xoohJ!WhmI4V9g7Sojjj)Lwj{b95MKh?u~2~0==wnCOoG&* z;|oyrH=s1SKG2zy=z2i>4rqsD0+dGA2ReTeqz)ZBKszKJP#Rqy=qyTfJs|!MsCouy z#{^v;=v+#WI&{1Ns(uHQM%M>AqY_;Yh@S!N$P_?nbbX-nDnaVdu>!OsqXDJS^?}Z= zMArl2-+-!r0Hx9OfzGi6sYAyTpdFwYP#Rqy=uAs=Js`dZv;!0XrP1|)&bI`q!@$rE z5C@b-*9SW55<?GYy$n?S0Vs{G4|MJ&Hhl%qj#CAcM%M>A0~4D*4QR*707|3l1D%J7 zP2U5k`WH|dT_5OdOl<mQKs#IupftKZ&^ejd^aVgWToF(jT_5PoOl<l%pdBs&D2=WU zbbcl_eFvcGPe5sOeW0^6vFWRTcGMc6G`c>}xtiGY89+N~7El^pALxutZ2De6)qjA} z==wnCZDP~60NTM@0j1IPfzIB<rY{28!ApSB==wnCaAMOZ0PWyOKxuS+pffqK={o^c ze*sFP>jRz7iA`Svv}4!-rP1|)&g#Ub&jQ*pbb!+6`atJ)V$=5ls{RL*M%M>A!xNjn z70?dl1}KfL4|JX<Hhl@u4rK<EM%M>A+Y_5U3228>0ZOCm1D*4UP2UBm`WsLhT_5Po zPi*=+pdHZ(P#Rqy==@J?`W&DgQ4c7Mt`Bq;C^mgRpz0Z*9a40CpmRa7>DvHRzXM96 z>jRw;icMbzv;$iJrP1|)&I`q+PXXG2)qv9I`aoxgV$*j6s{R3#M%M>AM--dB3DA!3 z3@DAR4|Jv|Hhmt@j&A^zM%M>AUlf}@2585Z14^Uo1D!RBP2Ucv`U6lJT_5P&QEd7O zpdIE4D2=WUbOtFleHzdXvjLPw*9SU}6q~*WQ1vgMG`c>}*`(O?&46~a7eHxreV}tn zvFQtdcC;g)G`c>}nWfnDaX>rT0#F)VAL#s2Z2AsB)t`XU==wlsnPSsd0qvkSKxuS+ zpmR;J=`(<K&@G@ex<1eur`YtpfU5rhrP1|)&O61XZvnJpzXD35>jRyAicMbxv}2zD zrP1|)&OybdPXOAnmw?jf`aox*V$*j5s{R6$M%M>A9~GOv2Izo52b4zF2RbVin?4KZ zfPe#(M%M>AHx-+{4^Z_#pftKZ&>5=O^sRsnBy51v==wnCsbbTY03ArkfYRvtKxeCB z(<cEPNKk;%==wnCtYXur03ArsfYRvtKxeLE)29I)NHBoX==wnCuVT|@03ArMfYRvt zKzmNG>9c?iBsf56bbX+6S+VK+09F43N~7xoozaR--wNme#0Dsht`BrxD>i)z&;f`H zD2=WUbapE?eHqXJhyo~$t`BsMD>i)v&;f`FD2=WUbfzmdeHG9Fhz2N)t`Bs+D>i)% z&;f`JD2=WUbk-|2eI3vNhzU>{T_5P&S8VzwKnEaZKxuS+pfg~x>6-x^fLH*f(e*vW zrip=pVF7diVg-~&*Y^yEz8TPggauF<UEgyY`U0Q>2@y~lUEd2F`Z%Bi2?9_WUEfO_ z`VK(VpMcWn`d;DCR{<S>Xn@k_`d;JEX8;|5uz=F&`rhEs_X4W^1C&PB_ZEk~1<(%q z3Mh@P?;Q?(5zvlk0+dGA_a28n0ceLy0!pLn`+!5=322Ap0+dGA_YsG_257^(14^Uo z`-DTE1+;<b0Hx9Oea4~h1GEA514^Uo`+`H?3TQ)P1C&PB_Z5e}1Zaht0j1IPeZ!$o z0$On>KxuS+-*M=>04-Q=KxuS+KXB;lfEFMVpftL^pE&e6Kr@O5lt$P03x~cRu!ICn z80h+b<IuMO8elu1G`hY&IP_&eB`Tmay1u`-^l^Yzt1vJWKxuS+|8VG2;DqSYfYRvt V{^QVh1FHT7lt$Ob05KLrHvm5fY6AcO diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem index 36e11baaf4c0ca6b642d0758e9f898040e752a05..5d7a940bbe2ab210db682d2cd3ff4268ee20907d 100644 GIT binary patch delta 2205 zcmdltlXbJK5(64I;R+F00HqDQHyVbsPITa(+`%fq$TfK;>+$+I{tz|J91yyK@olts zj&!Jqto432jVbY&KRX;lbfQ#~muGsYvV@ofO>77=Y31VF>1X51CS!f$@s`BPUJWeV zD$^9YL^#DZIL0bmG3CCYQ06MCAQGV@=JDpn0n7YnefNFdq)e(%Tlg{b-JRd}cEA63 zFWs)ru&2KF;C`t9=5D8x@w-nO80~tMvtxz%+GFXrO}uP#8TC46gy&3KTDN$Lp}^65 zZeM@YX*$WKv`osMxc*@8Ew4rMpDawDd`fb4!x8-{U!UD=+vvW1<H5?st38^Q_aE8k zt2I4lLeNydljT`)Ic5L0aR)zh&9`ox@wF$kA@&5f>i*bgN9)(k__#*(*bEjGzlCe^ z4d3~cW^HIK<Xa-9!jqdg$2!$!ccGfW!rh<CYCWg!oi@d`)T@sD{dV)Gzhd_3fBKgw zvC__e`BuB=BbC8@>3Q;EYvm%#F0W5{ecPdIMM>1>9oGAzjSt?+>-rUSTk871>tR2A z6c0FntY-hd{6u-*lDPVd;b)VhURSFh%YHTUzS{TICdYp}Sp3V~x;Q6MZd=OS*Uk$+ zXFf|*e_Q_SZJXdPwOjjb@7b?=aL+i{P`^OvQ?%W6jrZ<ZerIN@x)v^+6I^)b?gPo= z0tOR`pUH?ge^BsRSP}TF$=<ed&xbeM%g_0=Et%cge&E&L8}7g6+8FO#w7C9<DU)Sg zwLI&#Z`zNWC!S2()X+2W<QIVhDb-cKf3b^PW)JYGkKccs=gZfPp1Te=@YjT0GT)ds z^QOw7kT#EMt_&{M)XUjjs*j~vGH-o&wZ*8NzhlLMo84YBgB(9CGCew>Gxf6gr{cw* zp0!_Z-q3h<&(VpUiIcsiKAO7e)F!XI*Y(@(ndP2!jJ)~&%*~j8Q>V|jKKN5_!PA&k z*OuLg*qmFZ^O*hJzh!J^tZG?Jq_-(AH{;S_TGxE=>w$F#59av4=3`#*Zn|3X27Tij z+DmhNE$yo8rbRqt{;s*npV9K(d4}fNuBWg5B%Pf(v-GU~nTauZcWTO#l9F!Ngz3#* zwJY!1oBCa`(Hp!EYh7=@(5~^jKj5OIuJabnGjSpZUVX_nR$8B>;jN~n>}??sS85*= zl~NzZ8so?1-1Ym|)#H1(PM%lRD0=?nucPr}&fMnLvitaJ$|lB?Us~(;a{t5Up*7O% zhxdpz+-Va!rR%$fVehBr_?f2ve=<!9wC`Wk_i3}kyQa+gUn}#^*ROr}TzKF7{Dx0E zl{4*E{x_Pi;J)lR-SzYQ-<h9zKHK45@}zIVYD^c-JH_-q32sUGv-4lJaj)gN>R-R7 z`A@ym`e@Oj+Yc_S{CZPw%95|!H{V|Cuf}Z7S5;-X|Lo7Kxu0jB?|;wS+{~-G`<-X$ z`nx=LVkCDg+i#(0a_@eAJ>#c;`xbuJ_|naIP`Uk%dE~;q$&%0O`0tx9u9IuG`TOU_ za?ktbrS{FWjN7JP`*8W=-`_l6!V+fHy}Hjey)Mpn{`#MFb(~evr|OF4aq6sp`24lN zlO6HQ@7R{j^uHx)P#<YKKb0fspR|IV?y^_v&u1RkY5HeQv%=p$awpPfn|wZ5U#YfX zOWS-=j!Wm|8*8?EU-my|-1yY=&l;x*b$ZP2S(d#7Y5Mf_!=Eeji+|Wway7-2*E2NN zI{lufQ?;$DZ2so$TA!si@1FN@(V8_6m;63@RX+S(qP?w}M)-{Q7)QCU*?c7)dh6=7 zWin$6CD+9#O@8C=ot)_Sj(<=8ALb+b>)BX~60A9E-f%N&tAv@&nE1SL!UsMrm*dMD zZ&=#>kUe=|{{s~}yE*F9Yps91KlFUp<K{IFY!x=u-E)*Zo-WY2n?2;3<PATk4Rt9s z5eJ|1HS*VU+q1j;{ln4prC49&4)?5O^Y4VSeEYj$*Zgn=4|`vW9pWaw_B;2nJgevW zt@*Y7;-0!DwqMl(eUDy5tom29k29wC<$EEk3-<$mNZanx-eu(weJ=dmZib|H>KAM3 zxmdsa_x!P6-sJ4hgFiJ2l$QNhlJ9+7Y+}$S%<-V^g303tHlh{flM8EjU3(sjFjX*^ zoVBp1XI;0gQ+d|+{ELnM_HnsanyLBLTgJ1_`?v1vzxqS>v-nPiXDqywDLjSSs4s4x z^0A4xTeLUs`ec5f_lIFK<6gcqiVwaw)HA6se53u~U)<pe=^y4FlJ3rw*0VG{Jy&Z^ zc~*MCl2rz&=f%Wzu0GN`ciZi*ZkX56sFMY6yVnJ~?hMfgPoMMB$<E#^D@3ZejF&Y; znsvKXzDcNL>hh#|aW3~`EtPlDj};w~F*}lTZ^pW?J5gKL%sJ70V8{6<#qLagU!E2( zGMJxzN>+5|o`{prKf9IQTPGTuevogay;a_o^tqqcJq%fv-I}zrC7HMMW&M+a1FT~G zEMm<PpOV{qtCH6R%$etwx5{)kgKF<4?YW7EXRSSVv-GsAq^{W=x104=adX|SY31Fu zs(s^Hd}7WTQQ7Nhy^%Rn=B#>pj(=|Xsl2m!Mb6v0mn9vQ<+^eu`|`D%m1}tKeK?eJ za)Z>@S(`F$PTgfab^E;eGd7&FlIZEa*0ec5C)B-2dGX=O*Q%i#{sgUjA*TC9Kl;k) zz-K4<4eotVUvO)7z}(<BH@4~ey)LewB6e!7r?<7RbMVzmNlIl~zE0gzXWNmIzGnZ6 zy$k)XP1&}3Nuu7C^BT<$d*k?YKQ2%`pYX|Jk(Cf<TI_SXN^Rw`cLD18W)AbNsjxj4 zFt1Ju=8p86eQM>&w8`gL-FhFSqz0?Kcxrr*)BC+i_BQp|OV=;q66xO*wxr?yI;jv( z&*TlpzqOm>Rz(K(C%eZp@!SsWO}aHj`O}n7zcjVhK3Zqg-2G#DOsIJ3`PZ*PH`zDv zUF6LCp0)e>6Qctq*%$5!w7X^VKI^+5X`1waiQ%+8@0V)<%&ARvjdrHIJwnqy)XOe; G<q7~7us#j| literal 85182 zcmZQT10^+*U=a=|?QsUe2Mdl8qaiRF0;3@?8Uj=gfjR&G{|8~1!O}1Wlon8DU|?r} z;4lCG|Az_>dTPU2Nd0k?3*yuY#<z0~J3?QI9#1d3{$^IMO5TJ7#Wk%0+}zA;JUbE& zG%$kc4n}7l34?@0#qL%x*l>s|J27#RCY#Ywk>H3334??KP6cHX6&#ybxW#)a|L^>K z+w%L_>f-m`pS?e``}NKb&uX6EdH?U-&wt-*o}YbT@P2i^uZZma_p|?N$q2gqm>XA6 z^l|Cu$&-bnQ*X-^C@IJN4vn|_cxS;!{`+g)AD%vDd?dd7-^Y2{y(il(e{4FE(mC1u zdDSn^L)X}4Vhvs#3c71wy}mB{$EJ@wg`b|^+q>(h<DBazZHr$g9?ACl{QvB+im#K- z#h(0sIDfs>|M%B8n{92)W%K^ZueGbs{u{D7=U*4!nIrkDq@R7yDi8QsR5i18y|AnJ zw~0#b%Rd;u-xcs;(Tk|pXXB#xlwW+Cd~dB;S?$gE>WPaL_Px12*(t`d-1NOhLB7o0 z9akEYOD>*V#Qi&C;^N>Rn+mlGGiBdTuXLX}>-dWkJ~fd^n~ns(uiER{>n6EayUKm_ z$NP3KcHfWQT9jXVdVAX$m37r$`}}8w?ew<$7`XP^in!YQYT}Q@K1UhsieO%IOmA<O zaCRl5)tB1ccR#&ani-|tzDO$B^xucy!ov5XXW1DVCf#*8@>)XMeeb<^)tKyO0$#~$ zjxoPVTH=17E;4o3X}#rozv_4*%+_lz*jkja?pQ?c?jZ3OA3rZ+-EPDGK3(^@xo`9L z%gZ`-&maE1;_07dnIGydnQVMoSz(`Nxj$2CkG+|1PNm#E`KWXGv)JC3`wB<TYyW<G z+UMEJ4*o8^d}P}5hqZT#>Mom9?9ZBY<CD#v_-%9UoABP#pQX0VhX1|0?sNXx%jC{3 zw3JFVmHS|~EPDOTjkn(4_Xx>;7~qq<=Gg1KexGF0D(}@xnJr9>$$ol4RVvvuE?@TE zl;?9_=R|Jkz8o@J=8AUM$2GT&Y~AP1Esb6#W2)`FH%YYm%J29o`^>LQtn<@3ot615 z%Jw|t>o?Kgg8bGeo4m66+EX$i_-SZ-=SiXG_q8Kq&KvbyZtr{eV$qMNo_eD%ho-4N zFqVy<HbbZId(|UbuVYURn}QQree<Vxzj?cN+<KaP&Ht^={EK=_?Ki<P{1<*(7sg$d zI4AKW_KMaqjrWVb_nz4u)UeBi9~8-<_y649_-L<{?k`_Wi$&MpD@6Tf<_mvtT4=JW zT|#_T_g1?HJqP!_$+{Q&R(*DqxM%*Os%xF!RF7WV%oDxT|K973`o|Z}=MnZ)T2)}L z8&oW@wo2nsZQ8Tiyl1|%D~;3ct(j?_{_OAFGr!wse)l(iAD?z_|JgnNXH@<-{d~{x zFZ=dOLG_jEC%FG#Ui=Vj=Y!uX*Zr%RR(YKLj={5^#=IcEeq1y8Q2voc9ggmYUd*am z?2&rW^>4oET1mc=KUbt(gYq>UVi$1LUE}Nu5sNK~zxMTn)k&*Pt_}Vj57Xx^@JPL= z`r9+Mf6e4IlUFeOZjpd0+%*5n2vw-DV)D9wvD?n<-X|LN<%YV?q4QRb6HhHVE%9aY zn)kmJM_zoq(~#5twX~~Nw_fD^QrmkCRu`4?Yg6Wia4ASs?O`mP^xoR$i`cYX30lyw z{8YPq-Es4ceqS2dYhF0Muk8P_@AInf4<A<bRt4n8^v5sw?orwASi9c)-itf=Q+w_O zEbH2|=}+z6Mb<&`yH>n7^pBPQ<IyR6^|~#S#UuU{u225-cKeGD)2}2hl>7Im?S;eL zApReZ<BmU>S-JQ?LbspR^Fx#5c;0k(t^D^hRdLRq1JI=NK2-d!V4t4WE(5jAha!Al zesEQsv&Z2vC|%tXm3<c6-da^L#Y*Re!Nza%s{@wU{Pq?Xjg>He$(3w)RX$Gi&`EBS zeL9Abk78f)|M!*^d-d?C)QbhPE_l1YFH^47n^X5B{%HSS?(cG6Tu)!$cO&kGJljT* z=Iw{i+|GRVR_n>e{gLKi?T;_9pJ<o)nA(|o&-=XZ*VWfou>UHut$Wq0G}U$b*9*Jf z7k}-NGq244ex*F1w$@ip<{8-i>*_8||L*Z#dG%TAXJW^N0^cj|=Kh_Pa>Q_tZQ8v! z<NWzEx8FZwo$yT7<TKyQ%J#E+l+*478o!@0v;58(>%?bsO+NdHetL2Iix#->-hFAi zzxXSG>r%(Ryfsd{7is){&dl<AXLct%i#7SIH?wm3**)56_d<=|&zf0&_ss6ZXLn6L z=b`Ff&Q;ox-JAUD+m@N;$<NNtsw_^smuvjKZ)W-RGrQBD-8KI#H=~mO?4C;F_Zw$^ zKXRrz>cnFC%d0=6cAgY^?{&W}Y=v`7w#?=2^JiD;pV>1#?cS5yfvp#hzu128%<YV4 zxkjJOW>-d^*|Rq7o|$p}?wRm_yx4zpCb;M-Uz-13?~39&)4rG1)o1qXO}l4joWFl& z`GGUm8P8;mKJ(44bU(9aaoRmY<M%semLED}o%w98){~3<FGFGa=R@`1PCv6}bJ{&i z<M(@JmLEK`JL6fb(PzEcmEmXhtWLXUYW#lJ%<{u$c4t1ji>lvW6dHz^#`(w2%r^b} z?986qY4>D}->;ile*Vnv{AacXpXFv%eoniWZ2Z1+=JzcV-0hczgCq3b)O~-~1hntC zX0a^a-u!dij7t5pdpvD34mZ|GpV`x!c2CVXfAh@kN6*~OdX{VadE4wt>oa@irrlfT zB=>iQZkN8d&$JyM=KlEm)=BnJ)Z-cZHXlE8JNwyN<Imq_SF)ek)0}os(fIv_ndL{$ zSZ6(xHU8W;yHfqkp2=zVR)P$OYlRpP`{MR;ZfHbG8oysNv;5o{>-=Z22A}n2RZc&% z=XBaVQRDZkW|p5lvpetEU4zegvnsc9RenjX5(TH`L*)zeDG3F=8u4G>HakJQ@b}J{ z>cnSzO+KrcyNJ5UFTT%aoWFSH_LFCB=RC_b{Jd>e<?S<j-lpB-GtOT=bNlKE?)GWv zk3ji%-M0&^`}XdJgy#D<hM&L9s{DOs&)>9rjK=R5%q%}~#yaPjtl{UrS(V4n?0KAa zkJI@5l9}bJP-3HY7es&le8bP@W>r2vv*&Z#JyzrQi)NOeJhMCJS*+pbb+an3pV{*| z?H;f3`(-oBK`ubVrfn!RMK3?QN76X|+L_tLpYvu{mY><PJMEsW@%w!<zaKbLo$>7K z?8^SLd(_kJT}DL2kN=DhIWK-L;6XHIv)8M-ing6^oaq=?Fo$K1qvWi|347W#0-LAL zToJg)tyfAli-qlowLr)rahdn$-0Pd<|8oB0sc-w(+^I6F<Ko7+YWvOi?|!kndo^}< z`Bl%*OTX7{-IaB9ci7r@v9&j!&#|(wu-JWzNk&FaW{zN=u6f?H=4NU0W8b9i>wU1S z@V)V`uBvAK<EW(f@||!0YH!^8Uu9dlgz4(r${v6G)VF=Vb>!PSkDT|top0|>y>YMg z$hUXCIq&}+`6lO)^Io>|?Oydua?1nzY*G}h6n_OTtjpZ$Dc|QUJ8Lm_*#YyGdAEYZ z&xzOf_8d2pyYV|Zsajus+xPQFzQuXwyx-sX_HNPbZIa!WjrXSu-QI1xaj&)TZR_bb z?%nNtdw24Udq<Ca>l3<N9i3GD-Y4h%-p;ps^*8S2SK0QxAgNm3JLmo5&bPH%8~2`9 z+4lYCk#GB^+_)DmeEWA*QuTeWocCEt)&A<+zW+V)ZJuY&`=3X?#dT~sZZ+qs&?bSo z0(-ChkJ8Qz=JePa%2ShcJeQ~B<=tM{G9~VdoEN*dzcc3yJ@g|`JMhhkU(Yz!?%g>5 z#zI@LwjXg(xtIK(-;J76y_Z$LFwjwK+pKTGdw*mrdoKHQpZz_%dF5lL*AEV;|69M& zK7UL6zPnZl`S*9{8#38%s>%y}zVhektrq8>7pqEESe{SkdU#Xh(C2SX71N4jY@<DF zye;q9OFjB|MQd4tp5nL9m->qpuO2>JX=eMoIMDL^>YWo_J$z_0d*0ujD}p|zTwGcF z;pT?FRZkP%)c&-;*ShrDfvVVDwRhLa{V1%cSdscFA@lmicJuYzDapktK6{Fu-G3Ol z`OW<Jl}{f34*#0gy0PxxzjtRZ7Jd1=@WE~N^j|+8&HnWLv-82@{O7-X{`mRl@1GMN zJbpT}&aOzY-hMrcyuIP&^X%_W-aqfpCU0+h`TXbnbNA1?H}%h-`||n0=uh827au&X zf9A_)ebyg8k3M)jJ^k0u=hdI*pI^@+zu)ZgdHdKi_s_dG_urrK^11xG)A!Hkv&qZP ze);^XTD`qIznz`s<@3+qoxksYSNq2~AA5P*Q}@rmXOpitxO`qa|Lpzq@@(=uw_jWH zr+2Sj_3x#B{?_RIIJQ^iPs~nhj+pdI0+Ahl9sfdBXe+s>E{HRikKORt%&mM|+YQH7 z9T#<%_{#Oq&pbBX<|mWc59U9Pef;)kz~>|VlfD*i>i_)UsKe27_e0D%^CnvCV~)Fa zEqB@9UDI#f^VfZB>2tYMNqOe)8;ia;x$W5%GUxgB-PXz}lL9SQwH`gM^lR11PyVfW zYp>KT`ds#Fo|U-SF<y_Wv-8)ke|ul*nA+>>XSd$(Z~r=PPnKQ1Z`>8L8y4pmZ+O1) zYFxqMk8-TfZ=QMJXr$#{Y7^7F#kKAdFUTAF((kgd=I`6uCtLdJ^@_h$3fH&iufAye z#>2FJ_p*03-aqRqlCC?>OuYa2r14$JXJyx}R+=S>oU4Cuj;+t+jm`X?H@j1cpI2^q za$sVz<$1MD?<bv|tA75{beZ}$5$B4}?J9j!5q#V7e9*nayN#~iWc^iXGn@6;Y^#>f zSN^_<>Ha*YcwTy}#+9n?&nNP2{<lOLob0ddzLgWRD$6Wl!(!95+wv~fy^OC-y^*^! z{^s-bH}$6`yO+<ix7_x$uH5SU;=e(bWnc9Cuk}CJI9L46OPkrO$9rW@RZc6i4Lh}K z`IO4@Z*P2*`S$0DEW`cz-ghgf70uI6jg321_~l*9q>b(E&!5lvtlsUr)^Y2-zpm#a zj<1ZUzr+R#m$=6nKF4@HLeH{sm)&VAzx1!F{<^Z!`gfJzF4+WIzkO@tz4LxB*ZKFY zGn0K8-<23C_x#>tF8Fs^-Yc80zc0jef6gfa=ej#ZmkvL(@$P&+(RxDU-N%uq?lZ3V zY-itDbMI@d$<_@wM6&k&KJDSW#{Oj+IIUdYof}f~Z&ujUr^`Rrn0dG9YCgXya;W%R z>8o|IUn)LDx5f5b9^Lm@=jr<%lVF+H75&FIPx+m`RL0$%`|QIhi8c3TYqE*GdTcX4 zF5`^mVT<#YuQzKZAOBJ~Z|R@dZI;KY%lH0XJtLuY+nQt~3vJGO?X)*kKW`&m7Wlnl z+9#WJH(eEkZhondQ{A+a+xXn)<%tY^ss-Z7ej8ufc>j#kd}>#cSN!(p!_Ril_d0Li z*}d!Yn&;<#3Vy3F`+WB8nWoP=Pxl?0Q&Dz(_x|o4o!1e&6hS53e6G^o%*R2Yn-4b0 zZhts;%l<9jCD-j@o_Y0eg~rpWT~ofZ%sTVz*2^DzUhlKZ=DKkE-R5^T-aF+Zz3QeF z#jl!DTB-Rtj_Z8TzJ9OD_m3KD=bd0b_U-eZIhE-fHLv{U*)J=xefR9I>Q$hcp=xdU z*YC%2A&F?S+s(RC^S9S`ZC`SK?aO~5>yCcb-EP`{{7j|UKIP53KG#?jC@)LUE18?F z`F^v^Q=vU_a&qUFrPu3eJ^vY?x1_4V;(X9M|EWc>Ve_AVRf$=0>htDKaH{`#V-mA_ z-&33CG42~)T#5RUbE8i9Wb;?`yAxVpw7l5*?&^#l=?SZ5@ru3K!TWy6-=%k->#ClY z;x9@28gl&by1Pa5mL3VViR^FQ-FG?Sx7_)ldD7bRc8c|<Pu4zpV71G<rE5;l*GReN zUHN~}l;^5TW#0dJe@HX)R8sJY-+QiyyCwaff1>;P#w$_@v05=R|JW}`zk9keyYqkg z&!B`4pSpZDAKJ)}e@ht@@~7=<rr5c#hpY05y~%jHE;s8S-`|NKH$FU*!?x$_ZQiRJ z7yr8<@#^w+dFwaMVvB?q>CgQB@&WrsE(=Zncid)Me07gZ{CC2s#OX`dcA;dw_t^*A zwyk8f`{E8#EB>B4(fD3auig#LiWRvf$Nik&EN}x$oILk=<H|kZYN@x~yH+3Wjsu&Z zv^qg>L&MiSix_%!j!b+87E?XG`&ngm(!+?4KV_rU`7^-f=Kaa~frpdb=Pt6cl6>4O zwHsvpqqV*IXAR6kO{H~itbD!3EaZsui-XShC#!$8dDkelLfCy4IH^sSd0)<IZz1ku ztJitBcS7o|WnynG$b<8B;rlhhg-2)SuT55e@aXjszW2t_p5NZwP=8<C$nVH!F|qvr z|JAPww}!X=f8zr3MEJatfA8-sc|Skl{U$Sc<z;;GzZ5`W`u&62mwPqYveu0H*|%>M zB(RrdfOD|^y}33sCEh>%yyS3o(w^zh*Osd)N(TfR>@vu=Dm`yedw)(n+p%?^MuA7! zI%Tza{u?xI+Xcnc<}Q8Pu2bUuqWXvbnPMHi^YNc^D~&t<Z%uH%ePz?PeOnuJN@l+h zEzd2ky#BiL<HxWyy7$Vr*dDJn%Fj!VIe#|nhGRFV0P*jW$X^9^*VJ-prALZi7A#!< zF-~4tZvMv7M;_In%<)U=y;T1B_F3w6Q`YoPuKJhv_)z8IXP(<C7hSh@6Mtsh{HN}3 z#-)W1?JCRP?e>l0XZzQEU;m3G-`5qVztkSvedW8F)yH+$tp(XFe!7W+4cyhY_I1Sh z_6{4(ufK~feeat;`HzKE^z(|vwnZ*`wDX(HnL71-CuZ;I^yog4D*v<AX&$%Vu6+4N zb&<E9<@8LSVO|9e(%04dKG)<by?;7?{nS&tKgSdV?J=&<v*<LhT%L31jK}$N53R~F zIzhf%Z}Z;fx=vqxt=<b`>2P)a7vPHbe(~SnGhg@Cr?*!<eJgAekp3?d93}CeQ{G=c zX}aImkp0yY-Lv4_nO%4N&$pTtm5<y{{<8S^{?1vk$0~j1QOegVgeQu1diQ=$v++xs zS2B;=Z*N@mpPdglEBA$651qYyUb5;rZxbiG&f`nxSHJ$MYHY`Mk~>QIx{aceu8{co ztsryWT#(v%Y<9;MkC?1I=8p@7J}>$5`@y`$3-t<1Zl=#Ge-!uY{i?3V>#HMgg*aXE zd9=U(zIthe|1Q2;?D_Tv&VNgDpB%3(nzQa%R?PBwVR`PmC$`>`*_*Uhocp1jsej%b z@nc-KuQ18~0%z^+?H1DStNt!S%S_wPXT;3?xm+AnpVpqN40`o*$+PY0NilQxEQN@j zegCz5-SPCCxfYk?!1?0iKI5Ekw)UB_tIc_q?@!(FOgT^MgLPl|^O~Ug{TJKvuBd-p zYFOm5$GcX4|EIJ|?h2KT!B1wXyDV{i^yv8#RB!u5?fK6h_cefxhZE87;9VgSDsue( z<(fXxD9)SgPZlkhJ!#scwn=T1_`IZ|q@ui{tTHvg@Wrx)OP4JDq2nq$#bk=f7LzR{ zL6^NwYG}MD{=H?3{=6lB^Q-?`{(kWG+_$RT>9)lW3%{MaH}miP&%2+Wn_0QJTw80= z)Z%R$OkW=>n!WK(onTz}E&I)~>t&M{i^-|i^sM;ZcKf5nonyN;-bvHhetehlokROH zpP2qVQ5#`C?RL-8Z#V95+<*A7qMZ6e4NH0Tk2W(tazF2_nDatH-8A&(-!tLGezQC* z;}`W!cPpEI>eMN*=ik@;4t-pIZ`GggHeuy8*FxrI1_dVe)lap(!(|eenyU6@+lsco ziPhJ*JKEFkn0Gz>`1)1+!kRzxbC17m|NP_U7xBsdrYifEOzE%ovYT%@VTYgQ{4X!7 zK4*%mzI$!Fe{K7bwM!pgHu3s@qwRD5#My5nw{@gd%4|!sy}#$!`4(O2yL-}%Yg_aD z&c3Pg^WHyWa?TRrTv_+Wg+I(*@B9<%y?v#dp3T43WxFqY-u_+PJ?B{I7jM1&vu!Or zx+ccw9%j$mZX`UBGyQw}Zr8W+dzqpy{W-OA;iNbJJRWUk7AlXL$!TS^NR{6#-8TOJ z3(*^sK7BkN;JJ)F@T<6SU76#fJZ)n~clRxC_-Aj9)2q3&|H<c@%$?O!KY7kyYd)Xt zeEoOFM++}~)SPW|X-9`i;%T??wKkK2jhFA4Gwt)k)@km~-juxX{WgDg#QD;?6;I#) zH_g|#_@6tc*Sf3D_}I>H!%z1Q|2VMNY=clqiOcDK8!TV%{4t|{lYDxP=V$HZoVIgc zI)?ncvs$9&Ui0L>$ad8iFFL0EO)S24y0t&v)jz#TAk_Z57Tf&C3B^vD^4AwG|8G2> z?fiN>rrG-5$Cu}P5_zojS}hsmsL!?E8lD^pZ+}`N^gR6H<L%S-d(Vnzou2ks?R?F1 z^LL>=pAUy~EZf4n#zpq=hIMD(@ZSf8hf`t9r9Y>#{SY?gKU^a}<x`>F;^j|2{S?gk zA>q)}C~&c8&qmAFPlfFNJ^l3a50l+~tzP-<se6B#RQ&rbeIq1lrfSFhO(&Jl3T!)U zajxDn(QV6|@SAhK#(d6kem3#S#PdPww>QRV-EF-W&l7dE`d{al2-n(q?=x9C_ne)! zV6k%4r-b5b#UEFS9$$L4e*Lub$L}O%r=?D_mhYQfGwpH5+X(%Hn4|ao7kyTW_%+=( zvj4Ade~Qjup?QZlMZ2v2XpwWa>P>!?O7hF;H}2p3*Kyp_#_y0^!khx>*B>nwx*JWa z>wG>rr~b%em*W<iUw)Zh_51fVFxw-ixG_dv^pyI{Dd(5#suW46ZaS=!-}~8AdQ;qi zn$SzXxetE*^m9x55x%fxW_EGzKWlo9_<8-bFhBA9vZT;%ji--`6K-)@z53&`>S#sX z0&TlBvxDs3^?oT~o8V%1S8-!|kloAKPd>lo?A$x$ljqEn${{Mp{PR8DES}>3DeY&? zFQ+8?r8P|RDsp)|d*=U3Fm}+Ce=l~s@L&y_(<6nYH8N^$O+R_qW-EIA{Gn#aCEEG< zc7TV>vMK%5t845ID;Bl{*=5E>aLl{!)^t{5X-&}fn|<8RjHQH*YdoF&q;-CRUGl-S zIYD-fJAZJCcK$qA;W1~yl>YMFHFm;^g_DBp`gU6Ii*|lAmK0j9@$~WTPd|NHk7RoN z+_7h4YO+VtA0PJFl3qWToISfXVB(2%HI;Kgr_@W^YwR{F7WM_%c@}>*3{g3DKE`9t z@+tlW%WLdDD;72e*~#VKxh*kC!k+tJ+QcBcbFteVH~rLUJEH3K^GEsV=bJ@@rfWWZ zJga_POV^Q~xt1#H0#2#d_Se{HD{hR^oG<d<Q1i#1%~CnnG@ef0bG<CaVE)z#JK{9w zKd}2*W9IP4ZE20o=kt#PCeD!0X*zP&>!-!{)6X}v3T@YV`uOuf?t{<g=O%29)s+9v z2nxh9hev)(YcBDhdcK)ks9gK$WF!9LEnP?cB=D=e3q7U&b3%>XZ-v7BL3WJtI>I&o zEE&w$r+)e<w@p_3%yR)1xxiD$A2!!F{gh#y%|GSSNA=r%+|P=6gzQ639j|q<n=d@! z$HpMLmztk`)-ZL>*Lpg+D#UL7d4-R~OKT?8Y>52u^Evm1pHZ6g6L!7bkzjY<;ZeEQ z&x-z&&p+F${97`mKUvO>U$pc4eLts1noDc`9Dn-RlDBie_S46ox}}@W+t)Yv_6FI# zya@`vIgLj)d;MH8Z^HTKGAd@L{xF2NDwVA3`84Ty@V3;u5qZYbZvUK}koLDGIKg|@ zzM@MtJJmu~7|*YJ7uxgHUAozKYLMN*$zMv?Cb`)89(<Oxw8o~+@a(Y(E_Tx!e2bUX z%$f4%M<%0LxW?1R_Nw#!y*KO((v-hmQ)v*QaxQ=EfoD=nYcBbpeqOA5BWC#&|E!5M zc54qV>kYCynf~N+Cb!w!DW5p!O+CNd_C`s-spH1+eSD&wKONmSyj(J+|MQ$0ySWFK zbqCq~Gx}MxtI5}VY0aJIPd~rpGqauY$#cKLe1HE9FPBd7&sthzxA)+({vf-Pp`U(! zVVzy)^^@i5r=MTgXES>Jtk6I8+*lxoP4nsFf<)=+UagP6N(^rB1fDu>-hI2J%f-(5 zVA_lzyLVPUYucL6axAU66eHpF;g6Y6j+^Gw$)BDcKcBHda`6=ZN!;a}ee*Xa82f3? zKcI6@R7>b{^Tz~ZM@{+nsXuGfn$D^$t&!QhBWi_5&-{}K#;%(3|3!b+ENePzva}{f z&nE*pS3GK2s5J4s&xV;xr})1L{aKUNd{$;@&7`!CCjur)*cTs6n-^qvF#FTbGwicj zy?$22R9rna;mD6j4zunlp9<rq%iDJ!OzRA?`zQVBrw;4vRIi^q(hBbcOtjdq%RXDw z>*tdDQ_iQW-U!itI{DF|OHH4fuO@7E)13b#`qSfd-5YDdPpMZ#+s)@q*c_-Se_rRG zEXXUq37aD|<)6#`tl8FZHf(84jouu63;P>vX1Aw)D&#-iKVLRsbFk+8FS|eee8V*R zuGh~cXZocf8REtpP=?r7WB2<&8Yn~5OSlx&6)@!RX+3=`J6+zs{lK%1AUnSL9jZxx z{_vK(x$|K<8>~(A^lp8Ic&ZHhhrburUw4bnd6J@Rp7wI#(j`wb+9n;_6QhxtvGYRF zlIe>jC!0($neydA5lGI%S--c}L?$yM^Tomk2O1aan%zp;y=}(Rq}9FK?!`YBW<K~< zzxwp=#_GDepKb0xj{9G0v2T8&p`qc%9ghlJy>oJMit68gEB(`Zd!u2kx%Zcz7p2)R z`@ZnJx39l)c6R8Enx+36W~_-ezf*SX&%DT#V;8TTag8>%=lR=szU`ka^L5^9=FfR- zk{`@_cjCas2etc;?Roic>$5)@)&<*VnxD@vyURI0>qd;|*TOoJ{8`tRvzcvOWS6MB z-(NQ8m22&u`9Er<5-w}JO1}R2x5>d}kyq3+9(>Pi^j*E8|F`jef9afCuC;5_>gH!} z*s1sG@&7~bFEh^e3zT0z^Zs&fv%8Dz61n&L^XF8#*6xY_S^FzNSe#d9VanYV?Qt`A zOu3l|b!`5l@QXhpxBR*P(R_FBeRVl~U8PsTe~!I3W-{YkWS3{X-(M+bl1uHH`#)<Z zB~Dg)_4xn6_mjD1Ph4c@$G+cRD(8}G?IHW0wMGfXQCE(?e)9cfi|@7-{eQ*xpO?7N zBl?x|-?Q(M?6W^EvdiP&f1c%rkI2`J{~msy$uj%oBD*^M{pUq)_=tWjOv+<>_xteH z|3?eiyWdM)wf<O}#Fta#T6-v>Zhq#5nR>4tAN~CMOv729K>2Em{pWRVJn8(!B3L*7 z=7yQduO3JJvHQ7UruM63)epbVG@q>rl((OFKb<j0tm~J>_fNldn$9K#&Nt}1znsC$ z+og8T?;o|(4yDap(LeXl`(TdQPZ!xaYVAMIbz_al*TVPDziT%6Zd}nn_1OE(TxP0^ z?EY!g&A+-KQu)>6C7*w<X+3KdINzZ8e!6XrS;wym{tv%%TF$Bk%3DvqpKhG9t>YI< z<R81}#Ld1}j-P$@{U+aR*+q8On*Z1>-EdR$)nof3?>BRs?RKr5Q~1ZOI$?A874<DY zYrh>#+rOgUeBS-#JZ9`JwQt&g*76;CHerST2af&c6K}`}el1l0_*<sccjt=!uNwRP zS#$b2fBl&Jv$pT>vz8V8pC$JDtLFH1{c7p|{CiH**~~zB{r3CMd2-Hm{kq}*;rE>8 zvz3AK6{g>R&Xl9q^=pU!r{6J6XHx^^v#s{~i{`BB`1Qm1NA0S_;?OI{E4%JPQsG0N zy7^W&u5|yZ@c;bV<iN7PE62}0{+`+7yLpAb!R-6XS<TiivU_Lz$1Zn6ruf&5pMKV^ zJNRtZivIsP`~BH-tXym7?EGUFyWyqSSI*}je}6f!Ed0vx>c0ES`OOMXoRrm#G=H@) zTy1^-&BSBPf9+L#|IKIpWA|}GXU8v=^PhkBH25~H=-)lzzPg~9=OVjn+<)v&ZtxWR z`Z4~=chA<d9)a@98}6&~n!Q|P_t5)~o#X~d-B*uwAHvd}5iIS+J%pt_MOfO4dkRf^ z|BCA7Yi^jS_3H7CKX#TIPKtizjDP%nCfn?ni|pbA_n+svaYp3p#`x#oXR^+=37o%R z#{G1&oM#=scI1Ef{p4`kx)uGQhu$0Wn|*ez{d4t?9e2X!fGfu<C*MyO%n|GU)sp?A zmMdYi%a!BR-S^WqbEb9vy7Br)Emz{^m@DcL=ihH;GShagy|eviZC66D&a33yC*LDk zXA1?&|CfcOwwy=bBe`dnF0y-=RyY6ahMNkn9`8N<ezTz2Y?s<MYya5IPTcHzMg7B% z+HHr@X0Pbq-FrXXI;X7TSH$~|zo#5ZTfU<Izuo@xdN<yPeJ%9*S^Mok+WHm#KN$C) zx4ZF1{OiZEPrs)eeAcj{|F7cy^Nu%UguZgxJ^F6RGh2C)-8;Fu`HMGbihtc`_w4)1 zhO>r&^7Z=r&lld9Bk;A*?&0^9EoVIg=YI&Un;*QvQuo#4sULsOX*?SlDF55IZhr9w zOZ`{EG7rA*WSHH($nM?qKX%g-iX*Qaw>t7Zn02=HBD;Tyb<kpO--GWf8-3TV@ULjO zznstP>ms{*)Bo7<Zpak*y7BbS+F1#gbzTXFeE7ZPz_QRQ$NzTSU(Rdxc9Gq+LRg_! ze->KkJ!jePFP^i@wf2x#-F&$lU%Gx(-2d?V%Rx20OY;w^pH}%KnUwwM?~JEuHP@f~ zw><h@n16Q13jYtf`_FUSQ0e%!WBJcop~T55uaawzzn{!ATO?5ae|6pbkQ+TBUklHD z{JrF$TI?0|KaKa*naviz7n)zs_~6<TeohWp_4GD2yIUqyU~b`OiOx-nngox=_RKga z+N*KIJ*Ae#ILlxn%VL!b6-W0+$_pO2?|<iiGhRvg-$YhcR#sKPW2^QSm%S?6wS4#c zlCr$>w!E85S1;SW>z(EEu<s>h#*a7N-Zj_HJ^tp~YYTHyw(bA=xoi7jmA~sY<}1`W zG*#WJR?9EjeK&M>{KXq{m)>@qx9FGk-01c^`I4Xgev{UooHK9Ene4qUSXc|^@aG>6 z@17BL#LzxL`&-=Z_?r@yUC+X&&;O@USJ%gB?yr98{EO*7ep>3?v6-x4e>nc}^K|DO zm3f{&G+*~u$k!UZ&XzIUdP9G?{`WU^smV_?U!6`p{<!wZ$zM|LKDytM@BaL*DYiN? z`9VkRgVnA+x{r>`u86h`P%oS6bDi_|g2Sw}%~lKjbeJx%CVf~btnf&uAkqHg#|3^X zgu5B8agK@&tuTm~;`geq{@#Zrb;=h?nCGW{egFKs^t%(~^?$di-2Wdsef~Y|kDov9 zF8J)e=uggmDSP{RF7tZTQ|BLq{Q2`o_s*Zm8ur%fkDouk|IpdDE<bPH>X|?Pf6MH` zMSs5B@0maU+(W-~*SKA4-~U_lkNNvz3#Pi8e^=JYUG#n9w10lpm#{y7WCZf~)K8uN zQ1a)`mzLSeou4jOtJl@N6V3}0ewzN<=g%J-g*!G=H0+zpA3uMd`0&|8m!CH)_3P^T zxXk-iPo2MZ^T*H1m>rScQ|A9;|M+>c-W?h5Df2Jx{PDBWYlr1L4g16VkDo8szmubK z%KyF7pFcL*cWmZs*xwd^{QP-K!RMq!e|YS==FgwrGJA68r_IHhb#-xKd2!xT=CAdC z^!)jhg3U8E?Ejwr`1x~N!RN9?e|pv(Ie$L$;WM8_e|YSB=g)5}_*}Q>&zE(_&YzEb z_$+48pPYI*d;90Dv$;Dz8SfCYw-;}n?F};6wyw@jEKg7U)cI@TA3qnn?)a$_SoiDj zkDoiW?!<sx9RBfhaqNztMuBy0=8vAIYuvfxF=hTe^N*iDZ!P#73o=;R-rl@*_Iu%{ z=>^7hb#(%HbumJ9ck=IE|8M#F`!Cbkn>y~l43Gc!ucT>4)l=zD*?hB?|2c89S|R`5 zedQMu*UYY1&Bs&{<h|^KeB-{z?Lu-p8jnl;ZK+^STH7x8zUD&%_wUZb>=gyEzp5DZ zu5gw<(mwoM>C#ET{hMyyQ+oYw=A|c}&ppw<<6Ce{ptL_#zSeZ>+ow|-&#o-|`t|cU z;b*)nrT>Rc=oI7k{pTy0FZMq2z3k-eS$7jFyw7s4vwl$*ke#>bUDcaAJGWoiwEbqe z>596(b3t><v#(uubWX{zkDe^^D=X`^@J*NS6WOKHR$6<c`(E$0a?YJvX`eBv{rgAJ zpFLZXjLw?#tcZ?weVRL~{$VNmOP`H-Lhnx<5Pf5Dbn}lo#l@RLp3B}iKKtozuJ|%j z?~9JFz2)y+uWE>2px?s$itke7<<iCf0-{sz_0M{;@OrGJe|Wsw)tJK#yO^&ZKlFNC z>&$~o1NS^v^5!!ueS5E7dH%7|HNFYVjvI?#oSEw-c5BB$Ho<^;jr{VZb<DXJHk|t7 zKjX~8+S)3)t393;&kJT<KfkKbckQp!SM25MCtbC_JV$uO=MN1(+wJzxn6v8UPxdSG zt?CzE-yQKI`S0&L|KB_{`ts}d<S&}FrdvZJzt7y&e699!>3Qq_TA#NGi|&j1{9b70 zF5TLt8yLmUCVrkG7qN-?)2gkz4_*JwTy1yo_O5Re?ihYDk1c<9GK(cp{8^{-!{=vq zudOlt_5TOc@?B<%d!jG*hdjRFw7z=vB!_t4+|ZIqE3H?^o5p_M^Lf2dzV$`1`0wx5 zH;Wcz{`%FpCo9+X!}JyZqi^0=`K+(e`*Owed28GRN*m>6xb~l|+1qfkgZJ&>;F?{Z z{wx)H`s__P-}1`t>nA7KsPospyZqwwGp&l-Y*K5cn^yX%u3u($ZEpV)IlUv*;tOnT zQW69&@A!4=xbgYLZ{N<dRVmN;y!+!n`~IzW!>=tbEzaKNcx6A!_4wP%-iQ<*FVDVr zV)eCo68pMNbLniEZ}zuRX+hra*I{L=b|ycGcez{UsItdShi9kEO@$v(P5Ta1MkjF} z%YA13xl*Ace`fvS?^jt3!ugu{w|-OjQN?@m%x=^9>N<{>`lCPp*|fHF``>?N!TUXy z{Ytw&QCk1czddXJue&_!_v<rOx!HHuzU)?<pZ<@1X3vRkQ_w1Y1_p)-#<z1`=e;rG zX}Qep$Nk0qw@2Oo=~=!xYEs7gdQJrYut*o<aJi+l_^(U<mTdL>{PoxOzhA#U+`Mkz zb?(Z=Pv?B7kLUmAq+VBB{k!{)xA0G8_UAi!_Pl7=BcZ<QaDU<d=H~3(eZQr5PJedd z|F><b#gbLG5}({nds=9`y!1}}95o;HiU0ZTW!`zSEU>QZy-fKPt~l-Ymv+40p?7^_ z-JVsC|K*+)u)qJhwbI2_S^tmv>yu69o40AdUo_8P;aawUUzw9P7k%I?f6diAYm4Z; z`k-^KzDjm~N=sZeM>JT{{Iz50oxqr2*0PUjQwld1tbbg0#x8Z?_q<p|3Es^C`Z0FX z_e=g%&d~axWc<nPbnL_9zcOPJjclVIp8cF1`|_h(N#pM;MNNOoW9F}nyK(fkea?HC z?WIo(qL%q5$Sr=F@Z+D%k(O;eGp?^W8)^BiWp`<-qwg)Q{MV;cu1tQ%l^<8IC*HEr zvT)UbySt1InwN)GM28z~-L?M1wskySrI&7>m{_=*z3cJC;`I3$u{V|Gd=j~LZK~#y z<#R>vU7PCoQsmi@lM^rQI&|ZJYF6LtzTY7^PkN_4e)zjO`aXZxu7Fo53z<IsRcN|s zAo^|%yLNe*e!cOh=<v7|2b81Q*zLbu_&#%{&-t(l{V&>Uv{@D>YTb$SSfkB*BYVZ6 z+q*6$pSj5OzLg0ovsRn;fM&?U+*r>GQtJ-gKL6gFVR=C7_u#K8cHR#{@5cZ9`B(g) z)7&4H(IWASbql`NF8Dul`+H_nhUFKWYNNx24tGUA$ctUsP}d(=5gjho5WM1$_1o;V z+MS6<bqlty3vt+gTw@R163Hn-`!-n{$=x^ixwBR~_13MwBCoxe3%GQzZ2yi`%iL?e zuf38Y3c2sB)fT<*-jFLFtR57eY)f0#zv=7wCv!yLAZjrEthL`SU%K$hNhj7P&mVHQ z9S+&CSuSKg^Tu_1)I1-)eg062b*_`>J?^6s;b2LqyKnEBw7@i`^}Ey0wUAJQI~zT0 zj+}qL{AAvaR;!P-3)u2o#CH6)6L=sR)w=yXv*-c27L7fZe<jCSCRi5l0L4GE#aEDf zg|@V<2FE||mDv|2e*96F{wOc@rofzHP~2NCOW!McZ{@zd@s`Y5^Rx@Luj|P9zDsk@ zTJ7p5S;s*t99O#iEWUE$#b2(=jMG<GuCsm@ym6v=RA2l1=MVGh<=(A)z3li|@heN# z#!O$s{Q7Ur=Xoh-?w*~U$s--}E}}fB*8W-X?Dy^czg|YI*q>o3{^!R0C02>koy&5c z>|eaE*1o3P%ZuHxe+9Qn<NjdvIDN*I7iN98Gbz~V>>u%CkNlna@?SNc3I1xd_;GFW z+g;ptSFi2)ezNg2{{r>?oAt|UuWqm1^xOU5@4o-SIe+iXpL{CtO!5{P{`<dj|Mu-F znET<XVNStM#%r?me$tnXauekiR(mk~=YIJh`J4K`I)Ts|`P^U6-d=N>QQ^O=)r@Z& zmlodN*dM#U`bWjuvdlXl&1?RY*T{2rvpo~s)o$`({{+6Oy+@viMO{A$b|HJD!=Js+ z{{30uZrFdp_Q=8Qe13Wn3>6ptx$N2d-);rt(+~b3>N?M-XB>FiS9<*S`_nHcT7z=2 z!jHJ}J?~s%cliByC1JMX&cbG$8~5t_Emua&aDO3JbYMGQ6#HT|uF_94{+9o;-g@cp z&l@f|W<onAbmcaF58j_%?K4-$Wcuq}!K;Pm-MG4JitgmgMT;!t{)t~IjQjU<?YmnK zijLc<zVynxQ~rYM{__?0^f?V@2`#yMQyLV~>2n(Q2j9OY>X5aC^<D7gK2TXx;~4h( zPhVklI4Fe%)?W~u)0Q8b=yY$r0q+&BE$Uwn868&KZ3fEg6$hAW7<ubr=HFZ2;I%)r zLjOzlAIpve6Q5RV?#}N-W%lZYf3Asw3z2J6*&c|j`mk-CN(OKE!@U7@uelCp%-|}A z=QVKJjnmj)+6uB^cj;0_i&m{YYqdF}4u@9gPr1L#$v|q^p}V^-G0brS6=5uEA8PIS z`=1$H9{gTeCG<V(W7Ku|I%$iV8%^E+>kn`XR=AwL@Zk4OQME0pNA{%Uo;$Ts{mx$- z8E|1<CzmJm%Co%HocsH#$93nvwJfg!m86Rf-F><I!?tyA8noqtDx$;LmMT5-PgH(f z#xHVw@#k}P7k7Q?TvX@qFgNyMW!%>gunO&YuYQ7x(le+<X?tIE_zX}P`T5VU;(&hh zMcjAx#xw450_9K<a28&x-F%7h*vrGpk3asJx#RzpU7zG_n?#sC!3TTa&du(7?8eig zep7*Sg8Xjx6M^6UP4}8*t=FygB_TXh`Gh8;oB2|;yZ?R!cyCLyv)l4^{+3kljn+@@ zAA5bi<iYj+{`g51H)?0eE7wcdTzSD;ajW)>aMVWoUxx4XZ&*f^-K*!hTQPTQ>9e_v z{orjb8|2M4&6SkSPEItxRU=dM;om&Ip3498jvUV0Hve~f*ICABv(JBw*Bk!V+<y3< z=$+GhHdlB&KUKMVoy@M~whVPHHqL@YPty5#u8Rs>ifY>Zx~pN&;oGII3^5_rdt$@I zyjbOR^~APck8a)ln#;F3X7&=@*`0S4e_Le~InGgB_eJ>K+1H&y_xJHdJ+#=}{ovg$ zBW}yy_aDAhsWJ-hmc3WLR{Oq?^uK>zb6!tU-01zG%vNwldByQ4*4rJEW!~M`d-Kr0 z>xN2k>A4%EbGLC?KC^lD>&}hJ<F@x}?tjmm@TLUp&FZ;Mo6cpilwY69Q?Tj&!?&fU zRIbc@D0^?6HuqB5DZ%Yx-M61FJao4-wd=#{2YmV0r-r9>U3nP$==N(-)*sH(AH3V8 zRPg>oS*##~_*IZ}YtTXW?rzuh;YKb6oik1sPCsr?d!yIvwez>{4*%pd9xW19zaPxd z``hWCZOo&CW!86!Z4=%r?^;(=wc@b#{ruF*<4F}+jx_=fb1SD?d@Q}dc==pn)9)#_ z6aRPp(fK&(sIy7<x!czr+uvpKH^?tO>op;{b_Vm|`|PP+HS-_5+jZ!1-rD@waFzqE zQ#<n4hjTf*sqEOj&R~JO7063W4;I~e`1b25r<D&DA3d5>J@>^fr_1l|_7{VkAF8x( zZ=5EN<V27$4RtR{Dt52qXz0#r{~mi?``_QX!fgsdg_90H`!F}re#$Ztex1X4TPii4 z?5LN}&w2A*^WWcp>IeDWzlp!U-t|eQ?!mjSMHnmFw_n$USh{OdfYy(U!i_s>|LNR` z4bQl>Z~dlLzCwwErlJYaZ1TSo&2QO=Y}y}xt|d+~HE#3Y9MjkD3g#DCzj4n!^;L7f z_~rK4Gs0#H>yMnia{KT<(a+ziSnpkl{vlY%*gYZq(UI@jjGh^s@78JC&)ojHi($tK zp^tBO1xXkw9lTrG%J}!fOIQ@W-!tKB?QNzBA|S;ouLEAgB=WCMg~Z*_>(yo(?R(b6 z)bCn%VM}cIwhM}U$~%@Z<(z(yZ2v}So}tVqubSUh91~imJ+OOPc4Pmef9aO|sUIiY znELr!)zb^MZy7%%Z;9mG^x8}9%PEb|v)-n!jx2BA{o0Go^d=~&%xu|Zyyvj>{DPYL zRQ6{_6q|OxKE-n1YRlo<rAvbzKjnMB``Xlq>_q9W6Mj|uh5ymp{b66W?as4biyqcq zuYBI$xZ!@z#P17DmhQ;>IEQ&g_?DYWPo}<Bf9}p^dQ-i^+Fng^zU7<`(?2^GFdV%7 zQ}IJsC}+K;J<AK0{O9clqC-{xJpCiEKzPqwd8Yi(sUUR?ejm!}IQE2w9*RH5&uBHU znrhSQ_n!Q0w*6%PCmETqHNiG1A3rT<m3Z)8=KjBWgQNnLQYF7-a=}f1H_y*+x|?zN z_|K2!2c7z)B3>z&zSo{-Epy((Zu`aM&;F)HbX<>&ZZDtrV(z?Z{X(a!@3kL2S-0oE zpW{h8{+<e-WlQwlxX$Kv?32*k^S)~D6nnRSD^}MQa4yw68k&9D?*?nUOyiqhwUvM8 z6`B93be%KhtGVfW?Q8dc{y8)6`C<-VQ6-gIZrt`t{DwTAq^};l9TWeoVc+FltIk+= zbF4lqRw&^W+%;S5yk$eBqI`nrrq7RK>IL__pLIPrkx%a&f69VuP3oT{t|_d4@-_DH zn{}Vd>J&7}qmF#MS;|;8k$(nL%Jbt-pW8lXZz@{uDr0SLr`X8;N#cdV{=hhE`v-UC zihX?Wr=adl%gN9G-_Ma%uYP~ivh9cK-zL5%Q>)BMfAf4h|L@L*?n<*~wbx7k#6Exf z8OfmI{}tBHmA9^Jbnak(-u{`{aO1UqYb+wG*Uzk-aoxrC^RFzn0!i-=-+rw!_@nO% zN)!hj>6&<sKlrOZDfoVlFbjMf`TE5!VNMCwhhZ%GHUFQN@*Hm5moX_qbgBoZ_Zh2t zv(Iy{JdiuS|L51wUta&=%lrT9+n?L(>-Ww1_uzG5jlFH{kK23yyfr<y{_}?q2gTo% zez*Jnw7Tx&zWO)W^D6JW{=UzC>oddqlJ9=De$PL<_j>)-@AuSe@BF^?edqO?pS$1h zwrgXNYy7^uELPsX#_n5X#n<z#d*4~zi@y1}d)@u7#oyMw-);A8;hxVs&KK6!D4k7~ z?aw>^fAPB4$&c?<-g&;z?$zUS$DjNNo<F(z|Jn3^Iq$RW_m^#cE^dGR*Z+RSM)wDI z@2_w8yxQ+T+*id9jpel*`PE$CR`ECT?Ro87aXWZJcr8cCgIM`Px92tOedWA>`TZ|} zd*4Lfgvv9?R$OoYux)w5`d<Ps4(zsT*>1~PeYM?z{oYr_J#RH{thQsZ`mm1wVXptd zxUY&AMvWc~kRhHz>X-KOAKr3ctqKdGXh_Ts1{Dn<EcQSC*RRjjU|(0TbBS@Fhh*YO zx9X%{duDE`-W~h@SMiV1Kc{}@-=F{g&yVMCKd{^XtE>7k`E&i<)A!>a|FC)<|M!1g z`TOkq&;LEMwg0)V{%y8g<(=2|zklC*{jT=i-Zwu_{{FXjedUwF_4j}OyE5x|P5O@K z>i6P*&3ONH{@cCZJ>|de`&Rp|^xK~&zt@3t_1{&o*N-#L@2{1c_qRB4{qDZ+Vc-5N zc^&?D&fZtQ*Y($G=*xY#T-Wcvq;k#Ui*J9LzuIM2cBlJzt=y}{c6xSd+v~qt?)+sf z|8w)+^?(1|N;~ggH}C6zd1t2n1H1q4V>)+!RzvmG_5+Z-E^-H+w3k1C=XGn=@9<(o za|gT_@jD1FM#{L}!HbdL0(dbpw+T^<j2dm%vVAl_Ko!jpOCbz@WnC){Eapd)71u6$ z&E^(ieRyA~;^A-meA8pBJ2^VfxvWU#dcGtxHuF!}vM(0r?El66`SE9IfBR+q`E{TE z{JVeo`1(2jzdyH#)1P<m@5{J<U!<n5FD|cm&i!py_59zTZ1;cKxBu($_h04z?)z@{ z^6|av`_At@H!uF@zW8sZ@t`u~7rYFa`u%UTefs{dwbzf^O)&@Of8$Fw*FL`Z_QxKa z|E2qX+gx$4z4K#+jQv;3onO=Io__zf@7wq5X4Q31-*5g`YpU{rW6$sJq6KwVSU{QH z4qU;HW_nPIXSApqEvlexVw^?Q>-ldU^R93|Gd&QoN@ecpK518xwz=G#-+8`UcZs~4 zkg{VlbEmt5N2Jn14#k!!svaI|ZhYpbY_Vb2F4IXAoaV9NtlE{r-`=OD-L)&Olg>VK zKk#YE*8i&t>zDt#{B)*^@l2lMZ_AF{e0j}}XZo`G`Ez{aUhK;DPo8Iy)K@oeEAw@Z zw#fGV)tND!|K#?*S59m`y{z(kkM{FD`kxNVe3|~_wazS`lz+bW%|Cy#tJME8eNX;x zW{=j02f6hs7Kg9?lSnwoEZ;ogpWM$|{}gsAPyCute?wmRbjqf+rAFUOjn{wNw<5l0 z;r=D_D(hL7IO%+_Tld?^-d^Z+^VbK@k27$~U6B{K6d%<8hF{^Wg4n00&)X*~XUhNW z{9rAg?vvC11Qv)_+?Hp`FU?Sl|JKg1_rUFc0u`&Bxqoi5XL-ST?_2wUXxXR})Bh?u z=zrK|&vNh8h1zrBHToTk|Nfq2JSSZ#=A3Ea7GK#j(i`Vb{#W?#-_*+Ql2^|ezdo|Z z^w{5w&+jtt*tVb6tNZuhYppr|E6K{98|Pi^BW9QTyIGsRfBNUaqbj2hjqG+UQhz_B z{BQpB_{;kxvHmBP$$?BLJ$EMI-h>(b1%-d*b{tSn^6%RBdi(x*q1Q^A{bKf4vu8ek zws($vOw4|EyAK^Vgcra6_4b$S;F8??`PbY3{x|f`D9?NU`B$IRv5Ws=^Y1_Xbc$oT z@AZRI;|+c?z3={Y*x;A<tBHRZLDBc^|90Jidsikn?R&$|Sl77yui}TWcCk;X^&A(t z_q^qA+-|jHg8pykhPVg0^&ESyE^Mv2DbMtUq8J5RRW)iA*;xWt0G5}&C>MV}U)pNg z_KMt(-`C8wD@=O%e)?mj&uf3x7PZ&cevaA0_+`(`(%O>OkEVL>-n&10fBoL8zoSe4 z%g5UNs+BL9ocdX6zii1r^A^6zGJn23y;D1X_Sv)7Bi@w9Ta{K-RDAjU`g+K>e_?BH z>#zIwGiT4mx^q7ErNzD_AHL<ifB)zC?Y;Z=m)F!^x4-l5@84hN@;-k*+4}b0{oeBO z>iWr>Z|~hd|NiZ_e`Ws^fA;wL^xDjvRLPR-_wK*_d-un_Y5SwokNr>4jQRQC#rN}@ zwfDJw`?>Me&mYJ6<8#0Mobhx+rtELqXG`TLW()3=`+ZV;x457GwD;;a^$pe??H7;Q z^F=w>b-C=j^9?)}efs>Lyli$@{%E&*&D^i|t-5xPTle4So`oCdOJBCX^kqxWzl+P3 zr+(=-wbfl*zvpG9<X>T5|I;t#=Tt?x{SUc(+345u+4Ib<Za->u?ElPV%Tu5Avuo-u z?my&n`o;WwkQ%4UmoIGhUYY#tvGvv|bw}!$)}_DJHl0zZTes)JBh~AdC7(20?A!bB z;`+H3_J5u(fB7%v<F7|mOYN8O``*8Hwtf?5#>}7oAlv!<FBtu*o;7dU#p7Xfrd>R4 zJ}Xo5?_Q8&-e*@uxyf56-wL@e_A8?9vGn#WXa4Y;fn2--<l@61MK`%!&b+v(jYQ0O zb^Sy7dI3XY`|8#XNn7HG;J>r}Yzpr<@#n2c>6Vqt*^_<=?i8E&`ig5@^w&zAl0t)Z z-vj2HUpIYz|K*dr_aA%ZufF?mx{o~1Z?VV6zu0su9jf;^zga`+*AJ<g%=0o{x<83> zS?4ad$gg0{DRKKVDR<u9UVclacJ{uYbJuh3?Um(^fArIT|J>X19}l0dSa)>e4f$w& z8*b%IamT*ca4V-lh`rN|fBD+*-;VZ{T@!!gi*k{!()G>%4mkJB{_gf8rRvSwUt#ap zr^`Q2e!cyA+O_bEU)%Ej`CfUw@%wpWqoiklKi^bLayy^i-XUo_UsfsYOHEnFjEm@k z|10<AJd=p#{~e~!A1!AQ_E!DTP2uBf@=6rfeZBtt*Sh)4W;<pc|KD=_joJH_*YjiN z-nNgMvG>y@9cY@Wl1$FHa^CRoX;56mXM$2j*5%8~euc>%yLUd@*Z=gH|5c#WWDbhp z{Wn1gZ|$<>sb9or&zp8JKC3Fqt$ydr%;4I`_w|<YaalrxeIvWo)x8^DRW`O2{+*|K zF8%uDuM_lt>TC7rdF~d3k4?nZ_r$u2*6qIi_hM77;}X%v+NBQBTyKNwwu<PqPLmJH zWL~>gjm62!EBj@b!%02u-CQDnSg#(*=uz|a30XAhU%N}PwCNOu#$ysK3p9@;tZv)V z72&X8UjDlp&=F4W_r0vnzn`1vXJlRetj75Ho&0^(?|1L6ey=@M=ymn4#{QeW%d?83 zmQKo)w<^)#=kL**p~Qb*uTIQf?%1=LOOkpdwgqnHH~oG5<Tv-J$uTQ+ja3X~)JtcF zKahGBlF@N=o2tsf#ica`vJvlV9vOVmY5w#f>a>Wr+`K5a+z(D}a{HVMbQC{3AJ$R) z;dgLz%Y)r4=Y^Wyi`jT{>~sI1qxj=vQ#$8Ce*qhBj(-v$`F>Fl(-|x(V&mQP{^Zr? zDhD^K{CF4hC*|N~iJItYy?+)5H@7^g-}4}nXJ6Ytd!34D6MjOBPzLFnuTde^JYBx> z_<IqMiOad>wN||3GOCXAU7wq?_?qwr`RAN6zqe#vw@$KH7tZz~>36%O|Ki+phppa! zW;9&E8z1!iVdF*C$DclkK7TD$F>iHX`;)`PrdiG6pHhrZ?2u#G+&imw#m#;R3-QaV z)|wm2w6|V8`fc&)8xh+j+rPe>+g|v0+LKS$!m}G+Pc^SM{xZS5zEv(|`R5ON$`+^n ztNeXB+dS*h$?UbWsucQiue@<STldC$eo@F}X+s(FsN{7Qe@=S4<*w1+n>9%`yQfX$ zKkSfL70^EA<{9gIrqhmB%gIT^8rbpQ@A;f~?@#3O!!|XCpF2n1+Vitgzxmb&P5VzD z_Dpxo{b2gz$zGnU=JgZ#-=_w&pE+MGc}20}-suH=2S3NLZ9RCp-=?lYzuAffB*D4Q zw!H4%=>=vLD?fjFxR>XZfDK5~gG!hJuui`ZwmW~Hu9m#QxzAc0q)qYXhd8!U4!Ql& z$(#r0t5k?JuP@y5Kzyc-;^+A~71IR%Xo6!yt74i!&H4wCP9IXi(ZM-Sm8E_bh<};& zyik*U><1mT_~hF20yf?!{-krwQ)RJt2BnOdAl>JMK*>P|q%oZvq;VTa<8uy>DtCy+ z=UnqzKYNEyIC<q5N1C+h?|{wo=i9!R{9C+c&kBPF2{OmCLoQkW+Po*_apGUurF#}y z$^Bl(y=cn*NZI*cEpxvwzWOn8|8a@ClaFmXA)KBFHo!hvc7wI3*e<@x>-DypU#GTT z&lYGpAJx9+r}njjk3F8h&Yx`m4U!7tHO^0Rk>l5W9w{7>Jo}H}F|(|13)AY^&hyR< z-*)!4KwaEKn{8`X_MLxJ`TFnGkC9b%KijW<?0pegJaemW-OFT{eNtBIWoF!#-^VxU z2z$i)nKqjzzYczDRdsXA?ZcJRzTVsQ?VkvLt#k9$tU^}l&rcX2nbP>nwF`y&f6n{* zvhHQY4fEWb{J&+THU_(9eC!WBo-X@cJ8o59y}kVR%HxGctvVz=eW>EykyrU+P3eil z;cJ?G1#TUAU!k|<XxZ#6i;V{tE?oG~@%99-S<Z)@Gphrv*rvwUT=M&CRFDzSE6#rF zOY*&i2QPkn;ePv<Z-i&V=h=B%gzG0=O^~|(&8Y5?oLowcU)JO3?LQ{}xR!hC&a|8( zY%}^()Hi$1PF58?S$;)7cxKt7_Hef)k01Kpy5X^BhN0yAipgb0ate*S1@(p!mzUNY zu(dIn@QHPM=IoDUHx!OX&$?*#@ogOMt&i7id$#rV|51&RlD}&-=VNbmMMKT(z~*JS zkCp#FWL&pha_*LmGke^uPu}0<d%K7I*oE~!MgOr2{d*|Xq2lsSXaBYSiyF*){|{}8 z-G8L}hYbI_$=f>fPfY!L{ST*U#^L<Chnc(V4cfPToc%;~-zonsYL6%XDV*?d$C39_ ze*Sj(a&bfUiHlE?Ryg>~_@Fx1bAO7WG^e0M!tX$DNBe>s`d60u+T4)!c;4b8%yaBk zo$<MErxQH-H!3JwKc7%=B4X43p4OL_D>vNdocCM&^$CX*1tS5;4jvaaCFY5Z)Z`vu zpV|2Sv~l}uv#IZoZEmVK_o76zLhWk7rk#7PUHQbk<Lm5e_gl-&A67c-Ij($k?f%-m zCbEaC3mY!CrHkc9?0m8;dtLO#tfgfua`(u)_dho7m)Kr&^;B2mne2HzhnY7&_da=U z_1UPEYxk~=UE_Q2!Cl75z2Eu@=f>VmTO52Qr=+w@Rr>DSxdnwgST;=C*S>nuS#^EY z5NWPQlFk~Lvu1R1zr1q9AnB7`vfb~_xT(#xHNoG!)O^03YB93zl)sVq+RHr0N^-`6 z8Dc7%rGj@~SKO_dI%T_nkAsg-mfE@_v-X-kN&9^)^kZ*YtYzNSS^J9ZH&@-fdcoSa z@Z7pvTlYL!E2}*vv0@wl`#W3IR$X>y*xi5T)*KC&2^XIHIJ|M*$H%v=H?fy^my5?P z{Wv%G@$rqNF4rRz&mK1`i8x%zHM@IB!rt{0Ce2%Z;oW_kg5$qRx8Dt|+hVhReSY1~ zSxddApVQR8w_{_@uJ=0k3t!LOe(z&z^!0OwF~8quKC)~q{*}u)QAfy}VZ!3e-}>ri zGO{0fnq0xLT;lnk<g{=7yzk6^eT+N!G-$?R$qD}+Jh4!G6w?{pZmE0HZS(o_nHRq7 zIyTSrj)U&?tuy@}yzN|isa_>hL}vL8wtE_^=|3}&63Ldn#@y&D?E;+ElKS^TzH(LH z^{et;>Yd7b{cZG;7vFU+-(O<&*W<zQcr8YO`pF{yje{m9CC^&*alNSeBYv-o@0+Kk z8L!Q%*>ha@<93gO&mGFYE?I0DW@>kDWzUcMlbX)YPZqJCyKV24n={pv4d3s3!rNl{ zo#B#?_^InBYd$KTm-o2%{_3<eW8JgD(#k=%yk%p5eJi={WFG(PPmTDAh$p{iti9a1 z^sbBd@~b~z?S7&ex9`i(pK&^#iuYxM)Vw^^r@d8+vRZp;yYv1Fxp$B1?f>%gr{0t$ z6W-@(Ry>cj+L&X0@ls~!zSvjgLQ9W}@A!G?`Sb4biOToGm%RAC%G@yAXX?cKaQ1hr zGw;Uj`|z;h$zPfG-pg<PtM{Jkr*+%CEAedNgP{5LKIy`BCfWZ!t$SiOQ}dw>+rIl# zo01EAc3fQ^(K$(C-TN(X=NFW|e%|?6T<zr~mO`FwM*cN?3w-yP*`N1j>9A4vY_j-v z%*y|ay%OuP@UoQ1x_LkTihY`+CH!E9^lKYcm#iN#j<?^gwf?>HpUuCI<s46}eTANy z_T5lkn0M`CWN!Sis()F9$^UN{1pKQl+TwKoueA9$=ld4t&xqANuJ-OZJEyf@^r8~; zdwaHdSHo8aab7R~^5ECH{o%_`TK9Q(B)>TDS337I@4M2?PoC~{X*f~b_@wjvg`nQn zrIktl1T|kAcwTzzn^fgGafMHNV$>x5$|?5cMa`Y5{_c9l?Y-v}?dD6fbl6PyoVWOX z{E>^ktBpTDF%=EuyK>_5<|fB^^C#JS{jQdnBdlxee<%3DFT0uR&-XI9%rp0%_v`m8 zi+!G@Y4cu|dN<{)oA~@~ljA&T75|#>DIv*;Gb<nc-LvJb>8Cp?4o@nlt8~0yk(D~n zg8vfVl@pue8%}(V^AymZk`ERti+}lQ^8Df-<u{{QI?h}2dp5nay0b%IrF%E^$~*zK zZ?^CMWk2nI@?Y=c|9AJNN}bp2yZFB|%;$F8t5;G1{B?dt`7b4F&&{%Xz$TjazWT@c zi-9t=2ktH|u(pw@J;1AZryNAr20r{{3ld*l5MF8Vt3f(!M;yPqe1S4o`G?r@`j^b_ zyt%&5X<y90Ltpz&KUn4Rg2Qrsb`Rc#@3;!0<pOr>1ku|xVzz_Sv5V%Nx7O4D!uWl{ zA=`HkUogMZ=K9_Nl3A~H#|mV2eDDrekdA%p3WC9IaDMph|M|P|zy3V_=~*cucKq}C z=n|X92jnI;)yRka)cf>lDgO?4k-RRDA#1s||IaP||M2kt?&ALsU4PF0lK8(q`+K~Z zcW$<Q|8a#^n|{vy_3}zk=B_hM&m+q;>kc|@K65VAQ1!=wV(Tro`8#V4w0~Z7{<z&s zc6t4qHHQ0g53$(f1x}B>Tl(Zf<MZZ>^Lt-@VvHA)|39Jb!$+^lKN9{NUb}u*(bcCC zo0r{wy4nBN2boK=&FncCm!6#F*V!wmc|m+$@*-aOlAkU5-RG|_k*|@{_P=(Z|KrOi z8Cy<Fi`)N$t7TW_)6&y{k9XQg{AkgQEwz<fsjC~mGt2PR)YFrGom$HIN!|9H)fr)) zd7G`Sz7zkJ$@9%S&HqflefTcH`foRmt-qoAg5iAU#dmdwuQJv|T3IOky>M{R;u!YZ z>LRO|?dv959Vo8Y^6z@A?1AY^o3AgGw~DBp^7?`JaWR-|-<Hz<MWqb!)A;^r|GnV& zKX6_1T;cAkjq>|FWFJ@t-SYhn(Yt+#yw#5P8rKg7Plm}_Gi9ufF9z$qH?i(TLUaS) zo%;cXL2s>6ViwOAE|-sA!}sTh>#GMMTUAc~fBA}GpN{MUHb$!-r44*B%R)Cu%~pIY zb@d>(`i{V;+WilX>j$wfU-fmztM}{p9-O|^xJT{YiwEZ!ts3H9ZJbcp`|80{n7h8L za*f(@9qi!=`ezNSDy$vYf7P06g`7PLQkZc6N>VA<xYVsm;(K3#E&dWA>oaxw&x8G% zT6LC<eujVT7A{eLlYU}{TWP_AB~bO3uWUN=clB!KADc=FdafR9Wwe^H<eB{(u&EEu z!aP1_nOOQUZLlK`x~y)V%4j8!5i4f2E>Lz#6*O#o!dG9}eH`pUi*v6Y6f;^)=ocuB z1le}Mcx{C2kB{9~4!+Eqy6n$Uh@yk99z0}_<yfM}EqQ$fpPIjZ7<=6xrP6}7sHL9z zJFOb@z1f4;@YP8zXfDk9clag`NY%1=fzSZ<*=liDe-~JldZet4=mO?F^84h#Mx8S5 zf<)$-fbNnPmEr6kQeQq0S=}7YT+;CU{q<yU6r71X`szS`{1U#jRjyV)+`)<#<dj~} zQ_ufl*jkc0>(};8KJ{DAfkJmde%VH=50AxHHb-VDe*1qA?EV>fr5B_ZF#oMphDX9b zOQ@OWg0wf4Z-)fxva1JG8LbZdZhsAl(oH*|iqclQ-uk=-tjOT>s|S}EtpYBa*KQ7! zjj7FpTDSS?t3NL#!ESqyv%2}L`%b>FIpNR{kXhRNd|nwiEk28q&9OXHy5U(9G**kJ z@Riv<1*d{Do2`D_3tZPcTVD$jzzsLH$ofM<PCP>P&zb~TgZE)quiEv0s89RzTscfX zzKpqOcW&cq^ABC`D=UwEQZEVH`(7-3@3eoN$NKG~(_H?DA2+irJ1wzKe#ZGJ3zEOS zkC}V%`SZ@#f}if(USu=zLx)IIyUq1NJ?S9%ir=;}e9ykCyjXVr{OmvbE<W1xQRY;o z*8RWx3oG07Usue_?|=UB)9L7U@w+Or>~h-v>|bGi#N@T?bkp$7zh2qSdmbP4=Hr{= z%kM>1+RR@s_VD1`b?07u{%j-E_nbTAo-zNf<Hogh_nvI;c>GgoYW{n^>FGZ<^*wL> zR$-_8prUN*nx?Ba)|AKeW=a=bueSNFQ}%t?)$8f@c3-cZdMtTA(YN|fINUr|pQu-} z<IDTgfBo5WGGFlc=6^=Fp6)LJJM-TT+j;AGE$01<47n(@CG!1_1t(v#T&=d*zhTMF z<DdUCUK8RgQWJs?;oRjc33)2Y{vpvlKzRp4u5MM1>+Yjb{tNY3`;M$wuuwqS_7>Y} zxeKd2*0MNyY{`o03Ji3N*}%aj%Bv-{hC4~SdqLpphHFv<+*}ed20JF!-9Pj9cy)gK zn={sX$}i9Rer{%s@#p&deerqs=2p%;=@Yba&9rY3*>5MjvzRSc|6<>_g5}}X%Yx44 zWj;Um`!Zi#RNkiARl&;--|RKl`E|tqcF*bwna}(0a^1TrF!^$`_8m^|_K@f2+#fVa zr<>%7=cU~0{gu~y^X|P$cBA~twQDw?|6{%(v2^>FD7&xA55AMWe>1kYY`M?7!_(yB zs?M(8|K`)zn{&>!-ql;~SroG~;m8B!ziLa59vA;v*zy07SUmTB5q|5$4f6e3Csb5j z54-(3{kd=2-zo3ceg6G&RYv_i-M?#|AO9zoZqHwIUw>L0|LMOu0<5=giv6<u%dC2z zTRPQV`EuTs>x<@Jw$`Z3mYY=iEi%BKzwqqq?+;f-e)+tv<n~U!#O<d`=fzy(zkOb~ z?dG@5^W=X=&-vT)+b;h7A@(PAZ9dkwo$SRo$S!%!cJus+Ez)1NzIg8RZ0-7qV6()7 z?C;NawST_x_W7qdH{KS_RK8w%_}k};hjV{Np6~ywd#=uImgw#`c01=QUOu<&!ujI{ z-xq9$yQ<wN|6WaG`h3=}pEq7PUmE#kbF}Fdp|afplT&vF-l%&Qdnfjc!1s^aAa1hh z30?3j4{nm-_m<L4^CxDSzskON-t~-i!Mrt_&o}-0Y4Pg$F`ZvKpH8<h^EXRBoHzY! zZhNeHj(xty#<%IV_TRQ!{I0an{xxf7k-hV>xw#AH*B`yZ9XI`NkI(MF`0hGC`$Xqw z8;eus){0#@e|Y8m&sOP0`zy2im&?A`RxEocZ~AMSoonQ`%@?1WbNhT%-JATvZ^ee% zCDU)hjPp-;$D0lJqW6sQYqFcp*>S&mKJm)=pOIfSS96&o`ElQ(E8FIO{d42~qc_im zBYS_}*ZXdq0*b+(D$njc7ljA;<eA&wg+YR9{mS{>n_i@sd&p&R?v7n?a?>4+9Q*kd zvvTcU|J=C$=*{!XH%Rr*(}bC0ex~+OH$0%0p1Ez~eC=ks<KG&gub*$|{JObT2+0_E zgIjRt1g<%DIe*e`Nu#$p+<$AdisOIJd6N!G;89;bXP%i|zZeqUBCnpW()qQMd-va% zb8UC^rdt-pn&;T(Tc3s-bUAY4^jLkEdw8D3?Q{BOZ1mQxR`*w>$>(Wt>aX8yUQnmE zv~JzWMe}!WLQP7ais4B~?r_)LlHNP#CuF8azj$su(|T(N%$%R!&qSMsg8lW!`qlGY zI=?E_%YN^i!@E0HulUKXyc>1%KDNJ0TD2p-{@Ul{`tHs1&!>sLDBlV*tawKCfy?kf zN}gH%aVjLzj91K;-uxoH?DPN6>4(34p7C+pUuc$_f4uIO>Qq>)A2<9y?KnKv&!^uz zb{dj|l2^>%y)(Y3_Fev=*sadfH*6RGR{DC|kMG*nn-&z>%RhYgDmnI1ovq5d>%oWL zT~Dm%DE#hxqmKXg-_*^qtZTn)ek6RU{*Xn&qMDe06Hiy~y4+Y||6+eT$G-k)@~!j! zYs~up{NlOyX(x65Rj)2k|8KXC+bDeL{%g}tALmV3TiGsAaojjx{Hg1;S>-K_+j}a# zm!0^flzrCv)B)?p%GK)L`kSupc`j9<pK@<m_Y(2Q?0eljdxU3h52&2D_1WH22dq0Q zm-|op3ew)z_+9B)?hK8+cAt;${P63_e7?f#zkmPVed2ZgqPsg6fB%@gNk#O7Xh!9S za~ow6`@e6p{3lT|)27ez*v&t6drWTa%hq&loxR#QOMTl8?K_Y9wg1hqoc%8<O6~WB z^nLtapELKA-v4q=uX^Tp#dk+;zEw@1%%vjgp*0~yVWmS*;}RxM)=9Jy`0;<OL-zZ% zT6z+Z5B9$nT<UuK_r@P5ngr`?(mMYCnt!_f^hVe0&x-lEU*1pr6#4nUwQC&v>Ry~U zc>L#+AA+ne>p25#EI!Y-<8tGZezX4jd-Lz7JrB42-rn=`zx}MZ{W}sos{S54?EQED z0?(!04~{Xmi!3?6Y<<b}`Y3}30`vLhXKJ1)|I51l$M<<ZS?8}T2zZvnrF`vh$JbvM zs&2&f-;jI1^zg4AYdF4_ZT!;o)!^H**MF-|{n=EW=JB6Dv|eocbpPwgN2(+?%H^$Z z-z6J!>{~^%%(dg+JI`M_P$f})@$JLAH9Ph`4Sz7}4A=QxvYF-OWwk!<-+$g5wqtVH z4|C_L<Lri(U;fnI<v()%f2z;t-7A@17bsYk70GM8tiJla@<!bE;w_sJ%Ds+@zFWQS z_`)YQPTwe$vixRTHpjiMxkonmL}AOu#j|9NOIeFeT72Zj#ul07($-;<lILtpHnm(P zVSR1l<5v>0|NKJl&(Bjk-XkIF`2Dr-lO5V_?g6&Db}>u*uh?w>Vt-RVe)(8mP0^l& zHx`p*@+GYOl#i<=AFn8?$$K-yt8d-A!=1YQ-072&^^=e16xE!+5$N65+$-xpDS5)i zWJ}9F^RhjzeZf7lukQ$R{VRN@lYD&NyH2L}GZNnXV6@aTFOzZUlkSmipP0OD<Ku`T z9fPttE`92~vdJelp1NV!DYIVET5WQ2^Tx$<Wadj*>#4aP&)ar9nfv6$%QqhWmv67H zxq1A?#!HfW9;+K#etG}%-{+*if7;!x>rV$CdK)~~%D3!uqNa^Qot~W0+n9%DAI>c_ zw6xuEXl_(-k5So=^J~9<-Jy`?zAE<lx!^l{BC5pmzwW=cG=A~t>x!34ttao9E>&^5 zvGj3Eytls5TifnEmwEPxp4pu-<%`ngd-o@o&ujdi^z3fgG!S?5$>{6{ds`~mV-)tD z*z#TG(>kLM|2iwxr+~Fi-}9Px&vc{r!GD*E3tqdJ_y6bee|5RtjlYc^+}ZK)TY~wu zi|;<4xSR7}-<+N7K9loOZdv#3sopXDx^hk3xwDfZ|IFm7zh+w(@@wKW$*GsReX9RE z++*h56VCAZ*z#3N#b<t-Hu3v(!|K1!`QlIR;k`fgc=(Ae<w}+AZcmo(FaNl^F=Eem ztuo*Gr>@KPPCj9M>_D~Y=dgFWue*J!^_AW~>DVJ{yzIcQe={qu*SJ?r%zU5nDNcUk z^nd#_FIZ2l{H>{P^meY(y)5SUre~tR<wnM*+=}+QcU<|?rTg`)*S2?6POtT@5=@kR zyH4SIx8P1rnHT%SEX<#2nj5{{J7dp#sfzW<_b%COI(K5rccD*pf)lUro%3$`fnRa+ zPhN`8t^$S6U*XE~;`#)MqlGMv%zH1-*uHZ*C``Ri{;p+y&wD0%$(|Eiz8ig#%X+YP zVrBYURj|X=^CvcbS9_LwC%9^2X1;2rzxfBh^m~n`x_zqGE4*)IyC-=jdTaX{eWSN~ zC+)c|QIVf^@7G;WSjD;Cv*z7nJkvbBa$@HDt50H69_($cJY9Y2m(t~X_LIL`GQVGY zCi=QFC?rBY?aO?yx3}`Q7Ra2oJ-%%BZqF=#lecN#i7nf^_E^6c`v38;&a>D#>Y!MU z`t&aQ!QSS|&r`%_ev5OzH{boq((em*L_T>`zy3e>?o}n>punrPt!#IDvh@3Dma25) z{IhzX_*_1*Jj5lgiLL5=%DrcEL9V>#aF1K*)2{7{f2dxtR-e3dzZfVU_D<Xr?)v2K zvip;t8@*riZqvSw4Si+zUEW0s?p%4Gv2-7|g}GS?IQ`7s!_B+r>Y3YMPx5?PXYk=% z^1V}YQ)(w>zCZh<_V9sf^Uv#EYVAF-rChDj-t5D@!+ZXMoPE#f-n!k#>SYS`KTj*2 z_|<jU-tCjh^O@h*Jd3>+2?~<jC$={ZRLg(<78I9qtJ=Dfn|IH5<M%K8KtWP2R_Sl} z;hI5yuKP>ZWqUWDwANEvU$^&!@ASIA7v#hB67K1N;^)1}`=@O8*k^2C(hQ29xz6{Z zl|BiboNl`J!!NrI?Yj|o<3KjWeA4SUar<-jv-%48q<hQCKmqk$>Af-Az1B0)EB2h& zvVGQ`^{!8@9zR%9UooR{`SO%nP%@n7{_a@EoqI3Eq>R$F?uh=Ydn7pjLeTv`8|y0< zNlu@b`Tp*cw==}g7P6d`f3cSJT<=e?lN9skckKD~Rf&InfqMLX*SsS^`(m$&&-`}J z``&z)Crb}qQuyBP-dLJ^Hyq@Q=aavCFu#wVxqba7rOWqzpQv8c_}%cC?C+%@|LmQ= z=eb0MeEPkk@gDj{Z`UfmS7p1WKEvD}l-`&=`I&#PJGp1+{L`TH#(u*3{(;>yDzoh- z$EDo*tx!2#qGEp1y=S=~TjZ7AXEDDQdZr5s>fICeNb~OTH-5iH4U~$08&n4Gb}jz% zAn%^O$%*6J_or_9a{ZV;DCyWa-LvK0QxDAy*(ZN9Gru=J6TLMQ<R3ky_Xj%mxNzN( zpJtT*R~>APYJQ<h+~2B+?cqBn+s}(Rwg(&}|Gn-RD}NHw+_3NT%<X@Y!Inx?E_ZpN znz5jq_4Bn_6;PTl{N&et;<xYD+G&tXQrooWv(hKIDTb`q%2hx2{SCMVD)HW_pZx3M zlE=ipE1dE9v0J*AdVQ+JCx2IEeqVg%wks%Hf}i9Xe6TyeN3|E6kT@&%n|!!tnE#a> z<ih!C`TcSq<-hMau*Y{c$cz7a_xzTwh)=oa1S-?&)!!$w-J3q6{1+r>cq-eaEB+tc z!z&F^tEc*2lI`B~ncMe+;wtsY+>8gct(DsMr-Ksr<GaG0yLZ1`1xkORs+)fpi@tl( zuN|Jg&Z|E5^E^-~bo_+%@dLZtAm!j)k9+RCd$MP4&jF|0yMFg}b?mwSrTW<FGrQL~ zf(oMfeKY@lp9>D*`uOB~ai_XDUT1ti<|p}Gob6pb)AM7O%2VfplIcB{cXIDq_iNk> zclykJ?RV*eI}v~VHb}qD_}>2HUHXH6;>G6sbnl+nvb}SUyF|tP1W3^~`=s@y1JURA zgyx?FC7*M1jsKP}Yb=-jd~Ltx-V<B4TUVau-SZk$wt?-KddJ-D$ujG%1HZe?8;g@~ zRz;?#+}dqj`M&pr_Y#Nq(Pwt&%>ANt`QF|WyN?|BZ3fBIx4ZXb^Xz$V@cu;=DAI4w z-ZPtb&wHc%x6+`v_&s5Jv-OJp-ye52R_@jSRg6>j)FypudQt!XMgw=A&d=$fJTTY! z-k%wJ{`D<7G<VN;Tl1uqb+M2vEq3Hd>-p;Y$63wI{(?&G_smahZywk^v66c#IH9DU zu+>vDzprn``25(a>nU?5X1)*l6ed++Zk+#jHOT(?s_%0JD_=5NeklCO{OoLaT*|H8 zllJgSR!Gm>Ub7Zt_g$BJqShx~&vO&#UZ?L=Z@-aM{7%GnH<0~lpRP$)*r(jv2g>uu zcjwBOZY^c6lx&>Od#Bz$DZ3{YTq(XUy<L&N-S&}XAH&7>{PK^Rq{a6uflA7K(bubg zOI6q>-m?LvyYCaf3oySAd!}0(3Cddc{O=|6?3r(rf7X79_{?wDCVbz{c2DhDZk;E{ z>Xlz?p8Yhe{449)YW=hB_OU&#kfae+b?><s^Y`=vI}3kxgKEj`6ZdT9*)!ib|1dYm zzuPB#Ph@^C4lT*upTuT9_&cdm`!%>ESAP<l|KM*|<#ii<ko&YNXY=g2J#+hfP)hed zx%>3Ik23Bbes4{Crd#a?a$=tH`#QFJ>;G4oOpV&+1#;H&Cx2TTx5u8on5K2r8<Y{l zpXeHW*p`0pqIN2%t|<F7FY&?K1ADff_tH0d>wEFN>tvoivNN}LgRJ=WWUrq4`~8fu z)^;AHeDKktIov&>;gZMO-)=hnDdyDX=#?9e<~>yu(YWpPGRQ@2_mOpME!zU6oHyT? zp|{a2c9Pb1Db~fjKT?F{7kIX=5Ug?X)w%Be=vKyxsUm^Qy;~V)nT5Elm@0OD`}a8y zf7?CNDL#Ma^A3Z_F=g*+($9UjzyEXYf1c|1IU-ZH@&>I<T&@sj8W?-`!H*1)wZH!E zKYw`Ht(6h?pC!Hu_<w%&t3??-H*Q<%buF+hY22llYrLm(cgx(SipG1peylig-~H<< ziR;nYU;kZdzE`#6`<wSG;zS=;wR`NX|F)o<<I?SOUslbyR2_B3cF(_%=tmD*u0-oU z<JzU!C7!eAZ{p2=rM}e(T<5I+-3j<1xYEX6Y%ZVpd-s0*LUuzH@q5RlRn6>bPR^a> zz0D)9AoSD2)6Z7C{y6hobLPQPnVH|hJ3qJZe#tKmTNA@)eL9czmwwLWqwgX<W=(s| zcq}1N=3~f*Ki(<-BFjE^>n!Cx7ABy-gOA6R`R>2$SF5#_UEY16`Cg*O`;+m3d$pEb zzWvbgeZi9Ly)Q!z=ib|G`$D$yOP=PXUrs#hG`-gEns-K2=islGld6v9nPe1wKm1{G z{<7=B_s&24cJIqBf!U`RSYPHYuDh;x>rhSZrqkg%YZZ#Fy6_fh|MX*iwC1ViDaj)Z z#&!2M`OCCVtPw3%yQiL8_-V-lhT}fxjpy2jv@wRn-dkw?ZTc~D|G4>!k50M%y=%jc zqrGKTk3_GCJdj_OJk5f|s_u~&`|14-&-9~C=|}cV1jWI@+CwLPWQf>);@%Zk=wrfP zKe;sY`ubKU!!xfPBd;qa$+zwH-jl$r-!%VDf7c?x2~{EU*mDDBKFEFMdw2J=+Y?__ zF8k&Cd8)PE)qACOQ?DO8pHz4}@5T26jhD{<yu`j;Z>immuq}csT$k&tt-qjPR>Y~( z!5nkk_mRX4i}xPv=lNfYe(IkQ5H<V28qMvGu5_E-48NoLM&t;0O+iSFN!PA=w_1VY zdaq0lFt1v7iv4zr+ltpBk=M7_{n)aCbApxgJa%W-)TZq-w;yb?oiQ(c)qRus4?ief z|LIe@%+mjP^IqA+9}3qW-eRw;n{RicL(Jp4+^c4bX=(Rrw+EJ<ebvmqlz-b(yBBw+ zuVazFb5XL5zesjn?S$vwUp6nE$iMEV-B(AM&u<GeKds)C_Vu9hCHDLCe<!?a&OC5= z%f-9=@1l@3ge%L(e2sn8Y_V+Ss|9>u4UaFezdv7V@ckf9%j=qg^R`HCv0(f8Aol(; zyN+bZf`YPDtFpu7ZB%PF9ACtLO)Bi@7aNnasi|gX!^4EG+$go1vg^@qEBQOy)p+Ee zSjGHSIRE}K`|(Arm;Uj-YPJX~^w23;@oLsp_Vb?de`?+}Pt1-lntPt9t#G=Pyv{n_ z!|XfxuG^jX{QJwn!V~Pbw*|@{*;rA;d=KpZ(nr#44zIQs$)Bk;V192U|K_)cgx!hK z9p4=1-Cxe%=Wci7mnta07}?X8uL6aE+skJAQ(!NBc~$daCi~$Jj_VF@<^S}@uC{>b z)4|C8VEH2(c`PifL$8{y<~Q?{|FhOr-lW#<FxX3H+cWoVnzZ29y3Y%*h~B^Y^Fh2E z7n1urGa9$#fWkzy{fJZhs&$dI1*^Usbk?j9n7V$A;LLU9mur$d!v9>`vMYq?Yt8M? zwpaRVZ0{c{-BGu%_xbmqHMdPUKIG0{R@ahzm0|O$)t{6<R8D?=JI%x1YT}`OpVvvR z+?PFHn5pNz3B?t6r?36b^7Y_WC1acVC%=B0tvmd+R`UG&&->PJ>Q2y|zr?O18S0)> z?7s8!XY1E~h(QhIH$Ov=LM^Vlrr<K$t7Z!^|GWd%U|&r9axhR>|Bo+A$g-}G10{QB zLsDwy#F*#CS7$!6naeL`*7~qHrafE!$<Nwf527zH-TYAq3V3)*E%%bo+4iDYsr6!7 zmE5dnn?B8YrmfAH^~OrxEB0gTT>iX&PDpO>bK-t7F%Knmgm)a}SaNU9Z-u{$J;k2& zlvk?$cyLX>4awHh6YRU?7ua<q&$%veADn>sJ>_Gjfl|Dr!}YuT-|BRc65#!=nhme( zKyHL5j?zo)@6W;#N5S#y$ce+~(?QekptJ{19Cbdw9yE*93QXO*=2yt+%2Zx1Q!`ol zS4uNt=kmY1C5V(4g9R#9zgue8k$mugX@fxM^)+@sR(v^lyhwh{kNbz^5`O)1<~Z(c z%4@go^Fdqx7KD!yjG5lA;}4KOvhl$}VW!a7&A%R`FXgXO{eJKcyK%$%&j)Mob0a0c z*Aw}-?eG7k(3g7P;LVlbh+F;T;9RlV3ty^>GIpI>wOZnm=>F3Ano}KY?}y#-{d?m2 z=iHd~*UxWP)XhJ?-Pg6@eXQSKj^nEs(?Y|ax<B}=c7FR;FZ-%Xi{uw>njU&9%X0l1 z$A!n1J$8;|*}QtU{_8)QUmfGmZ!eTx_x^N6-Td#V`OXjC<}HVNH2i7vvh&+(-G4oh z*ZK8;;qV3_r^`7@|L?int-av$!K?nrk;j|S_$I~&R94P=`k`+HD6h(U$lJ{Nolr43 z#<i=&uHt?$Qu%YEsixq!6DWc3{#Xf8Jl|X1X6o+*Q=jS$Ql)k~-kBpQu2BATFn2pB z91{|q4~4{T{*@5;>%oKUH-GmstK2@RH!Ds3=O>lGC7%y|twqxIqil=Awlko3yLw<N zsD!JD`t{(5h@HhMDK2G`S#^GM(kg80m%OgpW#Ref<%Wz|YvdK$47@L=&N54yrkBII z@pS2dU2L*zr<I&AJnGy$x2fW)OKIulM~jvI=j>XiP!^YSe7>|+l>5X-UmKpRQ)Vq! z{(YY3zx?bwd#e<9-tFL<f5+GT&fEE(JDVef%Gp)R<&WIS^+?Nh`SkEX->oNkcQ+>% z954OxB#-UUx>~EJd`X^nWT)LJO@3#ha<MC0inZW|TKReTC7~Zm=RUQnDKZx>EPvp3 zO7_U49o9-at94k*4>Kib^|H*qv(`?8tvsgP|J}XD9nq!CV3YPL?EGEC_0FrjEi>(2 zzVz%nw#60@H=T95BfEEA5&K4!cYWUP=6M{9ZH}K4l)nAs-A()356WJDIC=NYj@|1t zr&xEW7Jlz~l9$i*&gZY+5`$Y83Qk$wS1V8D{CH!>^Ibuoe*Av#{-WyRx4-4f4*&dq zKeBHA{rdf!jQh%kV%J+d6I^^{W%s6aufC^z_+2#l{r>;%zXIdA?4>3}&As1d_IJf+ z)?Mpr|JF}_{h?BJPV=>^v($F2Q<zpFTN7LKJ^akvj2SL|wU-Vu^xu54?)_1N9gjgl zAYNFW!vyx<-064r_Uyd8A!NCw+^xjfcXX}fAYt%K@!hWDf$tQi9f@5#LuJL2*_u0~ zWuy|MY|VDw{vIT8r%={z=WfZu@^4w-pv<0d$JA`<BZH~UCf9F9owaE%yP;OTIi9oG zdfj35^3Q_h($bpc0^X1EmONP}S-f3wrpoNkGv{1<v%+`oZof82aD^R-{p$fXO<H;9 z?Dn0Pf6RUP{^Xe#hW4k`$|w7`LR3Wylt;IL9d_5{<lRdmcNV@oyRe{OneV$Qe`vTz z3rYw}X@ISp^d!&p**QjOv)~&~)_u%@xVu{YQC_ty*#D;9@8ov$?ATmszv1WpDZ4Y1 z7(3lxFLF=)o4|F!BqXbHqN1qF`k3Q6g*+uS=O*!4F007c5G<ho!|p@Vj|`EmSAxJH z)cxe$Y|S0UfA7eh6!N;9Z&s_lm#bPgw!i;Cc78<R`DLHw$`caZ|MFFx>zaD{_ilUl zS{A!{DebtkkG)^Bf|{q|%bK3XD}6q{X?A>yX0E}uri#XT9T#TXvVS5sT>tzGl)3yO z_J!m7w;uW0m!*%JR9V}+$aStV-|P7r#C!j3LAl_i>KDAL#FPHkc<g;u{CQ`SYJ`1k z(E1y_Y`*X6B<en${Ze)4_s%=_X2*$ND9QA`6zM#FU52=B;y$yRPqn}8ZaNVvY<gnt z6YK4Y5AXh8Jjap8;_-RIvs1q7+8_C@dt$xItW~!kDMbeCTR-jG?)AS@*ByTT_mgbL z?#=5VQ6Y0OR<JldabHYX=H%Tsze01Q;iJ6AlHeH4o_fc2cJcJA%r{vakTmh$>CV;p z%kDVpbnd>bQvUzQorQHlzHSM<Ilt~cc?i|d`6%`DesDy-<2!j*@=R=k%v9~;YUO*C z4?pO8{o&-@-Gb%9e&9H`8+7vSglzA^8IK)jy^ow?{eR!B+fUXNmOx@Wx@*Vne@5UK zFZFmg%b<K;!^(iT*>`f~gdxfOmSDNAKG-X<%b(=Aor|@4b-evx?2(cmPx2%m<$X5< z`yyI%=Wg5QdZOjF!cYfP3zV<bhd97?((ZF}c8A>Adkb2OymPtp_CGt=(zO%s)LO8X zFFW6=6uI(Qn5odE9<$ls%iWr6=4^TEqPeolulBCWuDBZ8<>yLw)cMVQ9<OkU<$SQX zZtXdZoBh)nKn>}A*Dnal_bv)_e_3_Z@S@ER->adu_ws(tsha*}ar*}Or~98@IQKt0 z_{FYoUw>*|fB4yIhs@g5d+Qg!=1TIsez4!`on7<J%VB%1n9SBUzg`Z?bA_7k{_$Tq zmE@Jp%K!!yjBn@GP6!K?J-&Xz8?Q%Q#=AtsjCvKr^mx^#JYF+Re<qj9rEgA5+a^XZ zwS5#)@SmkOY3VZIpx_0`O@?f#tWQ&J%>I(JT3G3(`~~@=w@erx@!Xc*GWT%txy#?b z-+q2&@AEsK50$(>b2#qZ`#Hb=-Yeesd~^BD=XNPGZ<jgk-TiA)YSD7FIOk1?hu3Aw zoZWQJexH%T_Nvc1lTCkq-*@+Lzid_Lo730NI&XfHQke4gMOCbrzrgW+Q!Bs!5|1vH z-=FP1Ve@?Le`a2L!uIU5t1IG4kn)Ib-#@{7Ri|*Fyzmjjmyh-Q?XH-b>iNFAa>V;t zp4a1yX||hZKl8ihGKcMH-o{gRlV?~L?t5IRJUQf3>lwNEZtr&V&)7MeYv=4;-Ov24 z&3c-*^VHqRq3?=ROU_4MIwAXA(761vLb<-3f3bS@*`n~^oz>e;#jd;KYpvgVr%>Lo z_<P-nyKCZRSx071+ie~4?pxT-(^GfP6^3b5EdO2a4%WKe?diLKsk>u#?yg9Z+WFWn z>DfEx3A<VMFWfnKYue7;?oabx%>24brpCf|-A<3S6VK1r-nL&EVn?|y%d->4Cgq#1 z>6G)YxHC8IsowQZGYu>uvgXW1+h0wG$i5DFckJnjSnqW+CGT+L@ap}Wu6$_L)n}8- z!`hTeb`|@@e)*G~9;5r+Y;X6v>6(vjynM9vV^5Xd6PcUmCcntKbt2@7i}t(4&N7qj z=5ah}ejUH!OL@hm@Z?((Q9FZ$^?$yfC!Zs8cGJD(FRPBu%9!_O+40c-aSxOpMx1-C zXS!}}QheMywU3i#r%e2Fs(${536Cy5k+GTdx4*qtr)d(e|GOoxt**Tn-qAnfKKt&3 zSl!C5b=r~H-)cVuPTl=Ibm!@*7uHT+GST{-a@spPmYvcSlOPeY`P5yXGjo%Y*L8Yq znCO3RZgD0w_zq9lJx~7Pos&_U@8pK=oE`sE?>g75f=Z~Y@`T;nav`B&AFy+E;=7`= z4!e45KIL7Rn)#&fcgM`#{Z8+$)y)T6^6t1+dHl+v>Dh{Rt3x3M<f%Q$JM%r`UCP?X zcXg|ZzI%Y;E_?1INQ(I_@g(oD7$n-`SKRr#0hB1NE?Ww5{<`Knr8a)xX#bu)b@$xR zcSTRv)mK3c7JHI+dwV9t;Ppk{g`WADoH6C>H2d_k_~;=?sN-%<*nQ3y;{5u6oxiQ0 zotv;cvF-6bzQa#NCViN>+sVzwblYFsZENRV_*}PWZQ$v;^&8)$-}cqnxgh$**M!}9 zwS1>`Up=Y5>bvll(u?oq^F_a2K9apBB4*O$Pf|89?#Ws^{yp{j&$R!S)6#t>vsZUc z|6}{_Yr#TY{>$&Bccp!*&Scpy&%QsFKXCu9v`^NyP51LY>@H;fx;k5J|7PVMe_4Lm zZkqS&s_EqWKL!7sW8EKa08+PGsp-Cd)BUaYmple3%vSobm+{ZL|7>7w{B9qt`TzJO z)uq0@QM=*M{<{6=e>SyF`rog$Kk533{};YHU-I61RKD?;`TM3Yg+A8mfA62MuV4P% zFuY68==lCmyWdGU2MR|yZ=ReW%zi}ez4o&Mt@)AeI~B9f*2&d+{<WzRsh1PweVuM= z_dkbc8vAeW=a+u=fBk3qPWjpX<~tlaXK$GXj_thlr($nQzbds1EtnOQw(jgFoo#<} z{@#8S85buOb^coVygKW$oEu8Fzka*6KW;(YJ(~*V*yVQ@^;TpTe(PHPJ=dE*N%_Uf zPUbtuZyz;$nXE8@f1UpQbltD+TdrT9duB~;p6hkT*;e6?r+^B(ProlOmvY~{=55_6 z&NaMNnN93pcD(w1)9+zm-|Gm|b(Qz`|J(HF!HurwgFC<cKXCBh>`M<6z6;K3j<Hu` zx963~`Dyf2;hNBqdkWrFF|n2v5rqXwM-O&2HwR7o-|(`|AbJWL`_BFgGEaUPT2=@b z6eO5FRk-%)eBF_0TJh^-Y*txRM1qv_6`B=pD9x0Td1B{OJmK~6Cl6{KJeYV}lYQee zf%b&huRC@XZE)S==~CyHGu@qUUXrEOw!aCpxHWIT5*2DZw(zUNt}n5|?EbMc5w`7M z5IfNrt;xPItjfb?PC)-^ZFYApzH_TBX0$#F%CO}(%$alP$icwvOAk7}Q#N7WS?9y2 z$HMn6-p#UO>#-+ldlnzRI<<MRDEstqnKc$KCX@@apMT}q+<eD9gRkiC44FNW7CXL+ zopM}bc|<WH+;-hM8Jp<B58k5e+I&_^t{*vA{LT-d_zzFPhoh`hnj?xkoHwik1=Nj& z7CYoDcdWT{dV#j$|DCzN51Kp|7uL=F%GK%c_(GLJ?5}m5&BE^%hu_ql^7Qv^r~UO4 zesi34Tqi%dxjUip%RLXvj;)uRA3baV8TP~P=)w2T9wfYYUUPDGB6rWiAEG<1vVGj} zYJSl2({k%}Uz~s3_UGy;?!hjW$DS7!%gdf}Uvd8NvtNex{lCRHVxGsGQeLxkiuPo2 z+jX<tCw(@Xf4sKy(Z%x<+|>e4KQ2*yV&9o-KjYb-q(w{D#wiMB$VBlk@xE_*clz5u zQhQHDd{4dO{^GaMI{DB0-fZ6Bpmuhl%#o;<iXRJ{Y}d_d-gdq;W~s%F)sGU6I=0W5 zW%1+AFB6LswJpySuJ@ljc=W)*zM073V&Po)VLdz$ZTpuX#SSB1-|Iy(M`k(8G?iX` z{OZ)f$gbwUa+VtR^>a7h{MMYQr;@!o+=E4`{TlPjOXa>YcfLm;C8(eXh2&+yd`WA+ zOsKF}C>I{icP>~)=AT>r{NG8t?|~vfT&gm9uanXRi!E{<)AwaRO1NK+l-Q>qWXjy% zRwR(UwEf6oPEd+0nE2?y%o7JE-QSPHfCmTFkqoeB=U(!5@08{UZCe#NzZIb5r7g;S z-kEROo9ktJZ-YEgqPnfB)n!3phV2Bs+UrLS{(GBQ0FU?6iH%$Kv?DuUgL~nJq<)#2 zwNJl-wJ*s*@&B25UWg<Z!^oZTK<Sj@nyGR^^6DW!i=IBH?P|WP&6l=tr%2R!cb>17 zzA`y~E0IFX>%oJGC#N(=TrQsd37o?J?0S??4$iKP=7k@w%tdnCb|!XypC!nVlds9A zckZC$MUxk7mqR_SfBR{6`}s?*ncP=?%yTYP{9AK-MY?8xlI{ItwJmk~dS4%}*_SKI zQWM7hRPY*;>oHHa{?*SWKG}2jb8f%3+}9@$Caz7qJ^ygd?}H}dn^3&@J$3fH<uY%? zP8r&jH~i+PjX(A^`QG%a35_Lly%Cwjls$KT{_SNJJI*Pyw@1hA2PJ5yQ>dZ6cK2nZ zvW=fR<<(7;*z(ur%Ug$9e7vnk3gSJy78OS$r!+@o`{W(624~FZqX*}Qz{-#EH{OW& z*duFk!*W)UKsH|?$F)#U=@cIz^Jc9@MBxR+y=r{#{&*wBgm^>q<Z}y<Lw9dibN#my z2Pf;eAKfPJQ>>VZl5!su*CSiSEaq|C0}}Q8>Q_KHXSygmf4IyUr((@5^V%iM{4yUs zn8%D1o#jmI$LIPWmxS%2?EW&3-xtT{T;B~2JmU<M#CGR<7Q(>^{5lg1bx{J(U6lQ{ zHecBHW<E>P!VT}|BU!e(vH7jD21-%?C*9@KXZK^u?Cfl8r}j(;J3Sek!O;@9&5!j+ zWy$V@#x1h8Dq+9tuUTL7;_qx1epOid^nu6GgWMCF+y1XO`)#M;?bF+9@141(e&q1A zBBQ@%`vbNwnxFq#zKZLk*z2EW@07oM&W*8u_Bl6Op<wkd&HfE;YI+y<zbL$FGilED z%6)H3yWGQ-`@R0f1l|74f4k1$Y-`&2u=Nu-O!(HaUt0Qp*1OYT+k^PZxSvX#-(D!c z@cro>b!UEW(m%u$Su_KYakKc!R@L<8uK$0}ah+l1&e>D8?PGs?JGOq7!}sdRj~<ks zaJ;&FjsCXRcR?|`(0jvGZ55_o=huxTFL$f)-LqEW<tvh3XnEpma?yvp2nFtcj!4P; ze2(Q0v!e%FMcFrBh~ZlMT(PY;c$USF2br+&ju&J9&%11|f-t`TxW@&)&Vsi<^s4Cb z=`4q)OFYrm2oow0X)jywN$@zABC~1845g5^B>RlDb9u_5X58Yt@#@2snNDxFbjDAZ z78IbvxV24FDRZIF(k3U-D8Yc7gPLM%)+z*TY~|al{(jzD%l7SwpFe$@clJ|R+T`!w z_UFHUU-#yJ{rz`p^QKq6oNzCvzEW<F$IPt<w6%V1+<*1+s{57yd30Xhwe8Q^p?hxs z>#EorJ>`e4Fka*Oyl#HvX)hc8S67ejX?wNKOj~CIZ=L+j%e-}!KX!idn^)dgS%3b( z|M!<4_C1R&`nI@un)|75#Y<*KhZb#nx^<Pnrp>QEd0e!tzj<ZLB1XPl#p%DkznHzu zzwNuW*QLiIafgdmM<&jZGq+Fhaf(m99sg{xqc@{y(6inrKbG8NlS!8;Jn`xHjZf7# zKAT>Dn{fL|epJOoBkdm#{Qs<-XZ~8?yW^^vca!%g>|QiK^>y>qYwYri>}EW^m0rCs z{kP?%vujs*qBuhGN%Ly`-gbU3zUy`xr>}oGC{@&S#^ONjge*&W6+sz++gsP#S)Ba! zK<icW&z}h_wq@TG&$G+NM2qpuPmx(y8!&DC%jT^|*q84)SUVvrz5m9K+=FGgtNH!5 z+ufM)-SPZZ{hfOHrvhb6<a72Y$=PXG#{6EO6Mvcgy}Dh*SE=ugt6VMPib`{Gu5bJG zK<9Py?Unq`xGu>p*Esz+73^9q%iEu#LOE{UEUjGfV$Ob^PY0JR{P@A~<ilI+sXu4g z{a~<k)G8~KUlMhW-IIm=2*~Pl+w6X%-D9`CzVFGdJsfQd>rW`i*A!OR)C3gm_~sb7 zZyCRxN6m&B_V13Xe$JJCEPi8M?$?92*YfY1{{7${{%whS*nd7)7l>?$z{i7$(L4Er z*76=cc+-7j?Cn?0<&pAVyiOJypUWz&6AyW@<JX%+A@<bCm(Mz8KI}SR`Qz%ho%3q1 z7tNQ;;=6o&O|y)xzw+t%38&@7a(~t(JIXIT!*%|$j+$)PADQp&SDGr0YkbgC+_QO> zg?^Ufp2;E=uQ~D$r=9DL5_s>!dT+kaJ>&C5aTgx!G=FkbMk?``&>Z`#)2{F7d9>5Q zBB$=;BG$H$qW!CljyL>^l6d>$(_;11Q(7N(-BNvP%|E$tTZ*uJ`htTNlh6OYm;GFq z+3VyU)#K;OC9eFLl+U<aH^6${{Q1JZFU4n<mSk4VIlR)zPyDl<dUt)@p^HIjQ)S}K zZ#N{@tkgShaN6*yV0#Yx3G<Bh$cxk7mlrl(UwQfbpYnO;`OM$luc)NAKK-*y+0Vge zrs%VY-kk5ZrCaD{P5NH-IWpt=Zf|AT_?9yw*Y=2r{+*NawrIxZMQW9WGBz=<+NN)N zZ*(Yn@4U}V(=_$#Q$82<K5bn!`S5}0de8r<z5mS$^(V#cKT;aOEt<7UQLa+ca?7Wn zq8;bFBkg`IIlbo(tKqg)TkpsRPM$NXa_5{6dYKRQtniFGyC=r6qFv?*h%Lh|Rr!<S zp>BN_*b4bAl|P*_qu9B*epO$3r~4yVXvvc6`kb{Q+xU(zJEp9!+8!3?#dp0@^R)Z? zl9|){h3a)85C0W3J-Kq`bZyT3uUY51XFa)k=Jaun_eZW<>Ss+7Ki=pT_ixgNvUSh* zEJ!_dda0TIeJ#~W$!k-b-m(AZRyyf@>Gkv_`=l+4Z<(y{fBK_jtylV|7};F2_@rxm zsV_e>`e_zLEw#V$ZT>&ShgJ`@{?C2x8+r14^c9uo5r-M(98Jx$*m$a7?uL0E^y;U8 z!cDQfp?m|=`>aFNUj#vpkxj2Wt^DY1Mqj?ze4e-^XSm+(K6d|ArD&75>B;C!JMOpE zD|Kdlydd=cam)5J*8KP@D*8{XKAh|7xGp0F)A74y|JBcYI?q7?w&On6p6%Kn>^v*l zIpeOV=-;Vly4TuQ$?g05U)P7al82cy%H|kqoaN4%Bwky-GC59yf4|xu>**gqGDIz_ zyzFJ2pS596z|IA)c|QDKJ86%~-a5~B){)!K-#_%+`GK<3_l>IU*Gkz=KKy0XtRufa ze%GFJ8~T1EJIjI+(eh`dZOb{|&s3cwZhCTc<=jNuhqC{Kp1b;fdSr27$GHzY4`cVu z07v8e4V60=>#S{l&+;^tKhuk|dj6MRs%v&ftvR>o=EoD>Cl^kgKIw32+^J6&)nlJM zWqZ`m{qFg{j#*ExoH^}wX!qu6pnx>}{K>rK`?2SUSe*9Z+{%aGSS&Tu=bt`t4^M&8 zI|oMVt&h)ou8+5VH!Ezh`MIZiSAP8)RFv^_>63!F(>K+8@tj_{bK;N3Q-1HTU!-Pf z`ngE>VeCI=P}E#KbNasEy`9o<8|=I*w8aYJE*L$1>Nb0NYxB9e(~qt#Tl?*6<C5#^ zYrneP&gehW?H=dFw|37InRTCoruEysG}1R0u9vCM2M17EoSEP~%kKqoS5(%g#o4)6 zWY2#FiZpO4w|S_$Z#Fo1w%^zzBcY_fxpc#l`{F)Te>c7TZc*oF_x)-;<N45<e+!%= zf7LVwcg+dgxoT3qN5#AB?*HdLr`<UUNp{Xm=SwqezJIMg6kV?l3Vo+{4C{{-$LkB+ zn|vRXM(!0(3xB+G{o0o8ULO{zRch;kWN&l4pY;CYH&@?JPU{X=T&Q^W+VxQN4?b`> z)VzDGdMH}2B5?AasPnZ4i$iX6zCZMHPi^4jJuh}kov(eXu&4StC|u6YF1t|~?AlQ& zY`I7B<H_hrar>WqjVS2Ni#hV#IqLdTjKuSSy{IYwhZ#6<o|U#u=gdz(2+E;*&VAr| zIQPE<IKB5V_rB(_=FI;abB;S|*`AuK&z_!D{Ip5o#yp{gDtqfXUo4q0)#K_BjNHGw z!(Q(X8`$i$xPKEryxZFgO*sB7+x`B`<%4GbeO?vM&pvw#GW$;c=TEy8KVACze<5FH zujOssmtRjuI&W=eUK=aZzh{a}RHde6%=4u>^0k*z<KC(Lvv?f#u78E6-`PDiy&taC zL(MPZf0!#$zr1<YlcR+TCQh&B%)hF;r`GZGl<D<bD<h?q^lvx%J`?<`dm)MY#P1vI z(?7->{jI6@KKZ@!^W3x3e^z$SdU9n?|6i+zwjWf%5wNHKFWW;|HBbaRKBLj}dyUlh zjo*duvBp1n+db>a+AVvezHhv*vd4P=fy%(iduDy#_}{03oePx8p4GnDFL2M&{4*$K zv+f>m`yXG_@Zs9NBCch!>1RIV#07xk@cTx7!F!Kpf*fg*Uv>T5Y$>NRv)}yxcTmma z&if_T^$TUMIko<}{`u#GYqdEi7naU-EZVW}oWkkj@2BgnH{Rp>=i`g#ko>dW_)qVL zFb=TYrEP~>%8&9_{07$-88PV=P8Iw<Pr+G~KPN7af8zAC>n{49>*E($`-kK`3dYR) z)<@*c{hvYe{(j+mN#Nvh=5#q{{$-|n`&M|yf%6Xkq1y$_&slYY<K}Iu{8`qqM@MMh zlr7sm*T-M_wR-8VGx72mvG;HCkL0t<cS17#C)-2S2~42OlJ)Fq;Gyb(Ke2+))FG$5 zhx^#)Zy>Y9W=+WWSN-T<rmRheOj~47`ZvAGd{`O}%kRR-`uEk3nPuxhQ=pvs9^Pb7 zwHj`$Z?CXt-VbYyD=PYZ%)NVT94nT`9KS8T#i#P--Dgi51S%!p_~~kU?$@93<=56b zyWe_GJzx9w_Mu|Y`St}r?_A%1qH^~Wsk(cM)GUwBO1u8+zpA{@y~9sI#V+^G#b5t> z`w87E0tJ+8dZp>S-@iIO=*gVD4X#kYeDjBQt-C;3LGk+5fAuHpIo?kNRX3}D-np)M z$U0vIl<U?%D~?~zng4e3pPl+wRP=wXJ60So%XKDUi}y*6d;1oo&NBbH?ens1=QFc+ zJvb=*%lhS4?~LuKYr@V?|5@YldZ#`{A`ua&xB1Bl$t|yWTA_uH>3ZW5l|AnJPJx`) z{n+8Lm9zSx>Iw~TT=H$Gw6t}JD?9tb=j6>9Uw)}BUw$rja^ddB6Q@sd*49^Tf4yhQ z)5ZR~&YV_y)PH;3186ci*RtIORIr(T-o*Xzt<t|dZqt+9j~$ftcMIL)o&FhA)9sPT z`COFOvBze+_tWngzT6_)(w@t_@osbH>nnTbJmIwQ^LsZh%AF|r>U&w;d1CSR&oQ!D z+rApUUQqY)GwZkG=Vwgc8TDt~gs1)nst!L7FVelgp8bk$YS@qZ19xk+&d&}%^_O|8 z!aYN-J>mlQa+xjlAuYpdfqOy+p$$WB&it>bdukn<Z5;0jKQmo3q28cwweI>#$)i7+ z+t2DpZgIFAedqmyI_59e4`m#mZa*n#*&3$xt79+iyL>kM><p;`$0aii&h@={nP&I0 z(&F5@O`oT^3Z9Er`tbLe)tvSAG4|>iZ5@}FPQHA}=KR;s3O|3Yddz2b^r3`Y;`7zc ze1-r2?YOyodgOW|b@3l5S3ZYE{`<P^&&7+=^1VFuOZMHG{N&iSeKzI++>hoiI8ZJ4 zP*-rz3f7N)!4KZLR;>R0;hU>&$9HY6J$pIdx80i~zE$B~zUGH-ihJg=f_z%8_2HZ9 z9^<NyU}bi!_v%`<|5F0Bi1X(%<#Rr?y*c@F6WhPOJr?|OnK^=g>Zd+soqpzg^g_{3 z-C^7<lJ$rCKUJPd=h5kTy!PU}@_&-kj8a8^-FJTYdd1BIu^oJ2Z{9zxEUx<SS-`}C z|LOOO55N6>(Z#X9Uuca<&WZVnzm%UWJh%I9-eyy|%;)!ekN@29cg@A$v$o#5_*M9T z=giQe1AqM=L_F_sp7viex-Qt|>21R|{HdUR*qrr$Pj+tHscj#>GOeutZ`0eZpLWLt zocF)m_Ll3sgVWvc*`i_}lz!;`eIfPQy;ty;;FQ_%=kgl=lup`wTjiDRi&GWx`HwvB zOwzmgwbnNBA4~JX()jm6kNQtr7uCDyc7#9PcaPyv^_B^r7l|ENT^Rp9=uos`MIiU1 zt2@?zH#`&_eBidY$qA7sM`cdkzutZ8;H|PhyZ#sLU%jbrab^7bB%^cdzWlIY<~=rZ z&i0<IpQptNo{QdeHa%$Ww$iW@{=X;Ro$y<|aQpGM;u`|L{ht0@baPhS@jcQB*Obfl zILvmvkhkV_@G_p0hIeup`}pS;ekj{<Zrc5ipT1O1eO<O8_m#Qs9*>!#`|4xeqLx4U zVra2;_WsEdHy2Co>Hj||FZ_({kKgGpt}l2W|8YIP(5EWjjbF92J^QC`xUao>js5rS zk9qpu@pI{DweA1UzGl;t#aTS?VS%}|IaAXmkM&zbl_Z~GS(|oIR!~FQhwH_zhwMjv zZ>?PHb9_}_uhp@x6EYl8$3jjmlY3^?Ccm{VC%}HUPw#1ymEE&KeRYg4Zafm;yoqP> z(MdlTUu~(EwBfH^|8C#<J<IoHKiGHw^OHGCyl=n$a%cbZ=GW6xwU-C1u+6d#sAT`O z{CrSi^v~7Tr`YRhi*YSK)jxfTJ->YV4@2L5asEHKpWTS~7x!StrWdC-T0Gowt)uyS zVx&?1-<xyo3y)mpy1YDI#c%oR`|5{HEkci3{B<}sNBdN!#roS_>0kG#SsYfkecGSd zx@*^>2eLJBpOupDg+86nyQjL#Y|qoclZ)5A``T2Vao_&RKc(cjJI(hN@vgsf-?C}@ z<M^t2XECvYKPOK6uYdpZ)ANUm_pS@DSpR44vYn4BIn?>ye=&~#;lA|!{trF3(znW< zIL;?yr!T)Rg-<`;sC}z`rCrsfOVjfO{@(agez*U`jLVm&7qjNS%%3GL`MK&>(Yyz? zVVCx~9NV*lU2fkT{R-*-tG=73WbS+8QFC+J!Re2leh%z1?YhMma;x#?&kw1M7JF(= z%3Ry`<mU@d?|8E=rq%LS|5{AESXue2sPVzuADf@eJ<)Of@^o?TdxzxSp6=|aDCD=P zsqB0pTkZEg|HP%5opv8n)7j$pZ3!~Jx-b5A<D9n+ms@{+P%W<bImvQO?a9v<Cr{fK zqw%ZoNhH*@-7NXaAC;2h{Nv-aD!O-X(Vu9j|E{rJ|Gq{=`}~XF6+w>ms@ZAWtX~|~ zX*%Z?U&x)tbCwuJ>ijBv(7#K5qT%x8`m<Ty-{^SedTh_UeKATEx688PL1E6!AFn66 zr`G+;zc!t-)3>Yddvo@|>5u<EMQ&WQ%C4ifHniSBKZ)_T{<(JjnTJnLKa=>@dtU#% zEnl7*UF^T>|GLiQ*qnL$Y9>FBwOdm;(Qy58{rfr<=DC+)fxE}&Lz(STNZ|U_{Cv!_ z&*r=9mrzqX({EoxAJ6oeTDd|KJ=)GLl8>%m{aaA7xa!xZqJy`;FM+svkJE>Dch}T* z_Eds`cW2B8Gx<xur8k+xzw@j4S;7|I_P^iknfsg9-9O({<f_m9{LuT^hfk`-5x*xr zU#zZM`%~;<Kkxo)e>-|CZEJsq9lZTj`)qelrETp`vxC1su)Xz8In!PJ;Nj`*-1mOP zzxvA?IZNNWQ{O-3!&4u<r6>0F)z(gwy}snM=DHK;LBVygf3f{#h`~3NDrR>=QrXf6 zwp;vu3rT){Bv<j{lWbG@lfqhgr)kelvkS$an`1t&U1-&p>S@pYZv|YHKelh*EBl3S zv?Dfap$A8JSDnnC&Ufx9XI{QMy_zN87LqRZ1%Hr>UkwipuMd1S%icSmxKwj<`j4VS zw)j_Ur|!jG{dDHW^>E$i1!@-6>n<*T`Sts6gZAnAGY{XIZX<7-`SNtw#r~!8uOP9Q zBfLl1{=%LUGp=8r9?pGF^6jnZCuWF$KX7>Zd!apd?_URpwVY2)Whq;H*st&Bj4$R_ zR`lMuKE2&`TT;cBT{S-@St4hYebHZ%kAE+*S4#HtkKgD1fv+a~GbBkXSBP)PgeAXq zVtdx!zX&#*Pi5a8(`Nmnr=zdVdpYOE_4Hjc&mHc&JApsm2*uT9AKCYOTmTIc)28je z8=xs9?8COTS72$cX8nWQ3J-7w{JHqZ^z!r%sc-7{`@eZz95&<S4{oz3ho_%;_|*H^ zhHt9p-Tk9}eX3m~fBYBJy>aRl(a?BY`{3@D7<fEZ9kjl+8XVA;Gk$EcZ`MC=m}~Pp zapU^)b?$%Fw&kE_l+^YY#~=QM=E3{=71ABx)bWYAsr<bWJkjxU-8)$O%dSP|>~w#X zeScip;{Cp_wx4`*<NEU{!o@}@ueYIx?mdNH8{f;_g%obDS>C^hgQVul#SdyLCVo>j zdUn+I!-uE7P1{2txr9%BpUtd;)1NMP``b}l8z?WQyRJUnuI8jn+`bgP?D$VLUzRM@ zzusN<W|#MOWus?bzN9XHAbV#qBv;$3RPdig<m$)|WnW%^v&ej}8p~-1r+>ZTxv^IA z_pc=D^(U_tSCpfhYu-_pvo9E8?o-{S?OVJdNg+>U&++)>uvA>R`a$j<XK;*JPWn;w z?axP>l7OZ6SI;nvbab6?bl*OXK8@c(UO&-`<g(7XHTM_(E>v3l`O}xDY6ov`jQ#AF za^&^P)BN1`B-dZtH|InLI3dqweSgDj?|wz^&rdgZ>Q7Jk@bvVi?V+J|#^1h%K3(V| zxGOUr-R1U5zY0^jp=nLGY5T+qa50xJyoWn(A<W@F0}op7iM-!FVd>8zlaEhd@$8$E zRC={;%{Jy|))#KhMo+)DUG4dDu0RTmouME4?k<9a@^;R9hjzkqoxJd#T4_kRtS%Iv zck;pM8~5dnzI~nPeY)_$KX&x;ZD;J4<nO-FgjUXR@1eA*zR|O<U!ERk&HpF^Pig)f z_tuqG)jLmnc6#HH>EF{nq`rC2FZuhI%-2-Asu#D>tB^Nhe{bAc2q}z<mOpsA8&rwM z`N!W=tcd=%x)NF~m2=*EwsjV$3bmQ~<I_Z*eLa8wDkjIz-?>GGeO`?UdXZM%RhJX7 z7-HzB$xYi=$3jv=o!5u5f9@dm9cKRcx~LD=)?eGB=>0jh_UCksCiQt|U_oH{>U2%X z$BpQD;+@!Ei(AVe=5BKTU?vO+0J(#=i=E!<LvuuIQ+dkNXQ1LeU3H($yo1v>?zc~Q z^V)d&w8sZb`=6s13V9d%o3%?Jg;<^2higzX(^>QX7w+8;Eg<I$?_t(90af)kC;Zs7 zuh52HH2mdv{ZeMjX%8c%WPkrUcWK+xPpQ>yUov;?{jXYB@pIC5co{tD#qmo;(2QKo z`u>C{q?PhX?x6J-bx@`TRd+ut58kfb33j%=SB<58v%Yqv$@ErB))hCdo44w&yd_^* zVu2pc-@EGGL_ovilifk<4I!Yg^^d=&U(qjnbr00>zghBM3!Md3q-JXS_Gp31-|*ai zKQ_7Fztp0ub8c?Dj}a)0AKCX5PK0KPzfIe#7eWf)KTQvG|2Tpy0Y_lq2eX((m7t_{ zv{OI-94z*ZK09*qTJgb&=+Rf_`Q`DA7-&eui|tu^1YAGg)UN2>fBAPeq~8B2deGX+ z{WGYwa<)^y+USSTx9{yewY8Ifs%~5JbSAo)-#Y7L>efPCx}NJE+aqub=+CSNxj%dF z#Y5}-_1yOka+`wUZ>Q47r;R-O=Ey~_yi}DGFRyF<N`JfEbo3mY-%<CbdMeadcGmYV zw4s^JxoP`nPFSQ>I((RxmVIx+(x015KR!LbR9`>#)|9YirJ478UQ};fufJ&Vul?RH z|9hjCIs9F9Z)PoprsMbzeDl^r%7^`$71edCV3}^eYK3)L7T9##k52<%o|b*ReYt4R z&y|7pN%8Sl+TFXY|C|Sfht|(e_4g;UKHx)I`^h`uYN+I~_lw@Jx|C}t3mW~;xyYK` za<XV<&z6%h(z!}m&n}q=UtqXxC8#af{dmd~i(J3pcRm-5YI{_UN~JmkcBgK7dVHGX zx`}#AH8i(sNoq%Or(Lpr^MCK>J^blA4PI6LuYPoH&0*W~t)H#szyH+S{O;`2xNFBX z{#*{5KJSa%ih~R9^jzA!*(+Y&r)cNlrS73GufKbpS~tbA;@@X);hjI9tFM3Y=c#J% zsb0Cg`+O#!HtmrtIBlo%dTNiQzL({6wnrrgR(r=UF1mX4KI^@0d!9}0snpl~8@GM^ zeE&mlvNKnG35@+~`yh6^>u0ZHdy4q4sU%hu)|)frFO2%U>&)GLb$O}sl-l!C>)!6` z`Wcf~lldeeQKLLD^z^4x_c)jT63*w7tEGN}s<Y&E&nw@ypZ3x(vn_J`)U{9lp{&J~ zYRg9vbBgvHpP{@(zfyjQy7RA1!hY)UOMh>ibFnh=*C(+Dy3b7VEtTWE<Ll%rcAID2 zD{|XY#IIAcQ?P<P{?7X^5&yocPv80c&FP;j_0@ft<Mm>9Ec&v|?v>%U6%%)`CB?_b zEH8a?^~{=8q52y@ZP=faES-K&dOm#~&&$*D4))(<Fa6b!EROp1sr^8-Lfpw2*Dp=q z&h&oX*IUyk8Lq#>%pL!aqoSJaYi+^I^V5A->fdkM7jyB=-}m034(jvg+-c-_f1t_m z<_Fc{2xNnOFZ9o4FR}MJHfP$tJ3M=u&u2kQj&3Nwy?v{`YI598cCmeb3_q0dy!cx> z^ZfMFLGkBY<Lj(G{wjKrUt}CJNncR++t<BE-bx1U-@8{$dS42kcD#{$M*OEgmnJLL z{uF+3{95fUdDUb;@Ay2{d-Il?u1`6W{qppDruXaCXUBtMN~h+h@q^qdulMUu*X+yj zt*sRORa6%AUi<jvnr6Y?qx<%C^sRanv`anZ@boi@PraXUy!D<JUuCpJfA<1;>F=-V zru10q*6!r3u%35e&m_b3OZD@a?=_oe!3{5dkXsQ0HhhoS*Hr6YMX8&sqvgLA+!q)5 zto-fk(VDV7+Zs1-e(3!S6!0zIRL|?%N?w}2zoBl;?X|xHjh=1!^7QS2+h00BNlv%+ zr}u-o70ut4rkv6Ke&Epba)$hWiF^0!eg3&g_v=%&FHa5r*H&6h4@x<o93P)@y!6ad zm0%3BZ!eVpEq?_Pp_`;Dq&vcmo^Ac|bbUklwNgZcN>%(Wd-eN)(R}@UpW2<wwKG*Z z*Y}+J!0Tor_WPHT)v7M<nV+ZS{Fr39rskx~!rGIcz5Uz1Je_-?Kh_!=r+c_7J|EGR z{2cY`Q{IEQ<-KrMe`m;lUi-^#$C>A+`>sqsFVn7{t+nmSi8{wOucdD;FHZWh`uzDe z{h5bPO+O=eYr4(;FDV}J-yH0>eSHB5?w`^R-tKk><+N%0{^)*K7qzffQ}XjE+YKL{ z?mck3YWuzT=|5{KgI}Hgc)7jkx>u;@rX$z=p2|;q$gM2VU0W+CbFolA@w4?Nj6iR$ z(<yrmv7=J{Ls{N+NR-y|@5!DHkJ6pY71Hl7{C;gTU*9@7eqQ&!Jq0n3wAFq*uKm~{ z-(6d){I9_;fwB7DT;6!2_Nn?aL3y_B<;e;A_Q>CR(0UshIB!_*UCW&X33=uNyK_6? zp;OOrZ<ii8PK$zHoj!eG`pM<fey(~cazDW(8C;03+A-zU_f+)sSng!cmv<2otDpK0 z-1dODU7qD$>}Pmps^O^kZT=D*tGk2Z^#b>;$^RyLY306y9mkU5<6YcC3pK^hpTr1z zNBeI%&;(N?{^6P+BpcZ?zCT!rNHCHWyYnu7KbUG4pXXm&Y5S{a#{PcU*o{lwzHukT z-#=kDS9o#0W*WM)<=g7sM68D<bmarL>o-FZ`cCNz^>bJEP3ft8Di`tNQ{aQ#-+kbC zy*c^Ur?f9mKRpwRw+{WyrYr@DQQ6R=OV|94FsnH!6Sgm<@4~((KbNXI|N3P3;`p-s zmyj6U$zHL0-^xnW<T&s6f2<YhvFqTe=JA2(KW$*sE9+mK&inGzAUC8c^Gg4X>*8M@ zUVKy~o{sM9`3>MEB_w~wJ;?n%3*zjb;Sc7PBgG~2y+h$&|Lr>S{Peyn)BEMx^^XUr zAN^+X?d#F9xZ^SBFXj9&az}CUCi!26|3&ZiKkYep`qFfNru>hmXKkM&xPGbre5QM$ zo44wpmi!1#>6Z^!|4;=dlTRD3OxIta|N6E5m(q(7$jM};Hbxr%y+Ho=z6H?mzTddr z0g_DSGv3=Oo&hhl_#eF88F!z3`p=r1^M4gx+UdMgd(o=IefO6qdCsjlcvAMn2|k@2 z7zOT5`Cl79N8Rn8WVn2(K6^v?hhk^~-+bV<QSk2|qi0jA6CR#sK5+YEB-rIe^{-Ax zzBoO#d;0Gz{cq<%?W%poy`^jZ?!qWo>=(*MheKoXr_6_MTcDN2f2MoiULq2qbj9qj zSK!K`d8K~$i_=RgC$4u-{$F$A#`Wjcmm`kv%0Gdgy7`>!&El3qL&WjH+l-5lAmL|x z|E%h*_sJRI?;2b6=d<5yORcJpefIh3!z<IZFHS!#9{2Y3#T)jZF4E6)Cf7DLe#3Bd z{Q~*d>!2Cu6YGQAtZNXX|8wuzI~kEF1uH(!hZHEEm*#Vo&WmW?7jtFLk?V^$*4w4L zdHs2A=9=V_w=hgkd~y6=KeV`-*(`tICNwTrH<Ul{fjiutG5<bOt$fV0&#AtzPOp7& zdh+~w$<=QE@~@dbUxs0(=7s)P`K!=UB;>(aVMtcLcp$pE4PHWBJ#hP{AjHVnSEuK` zIK47|?j!AMQpic~sx(H@t9)^M8$Z;&mTVQj?Uq0?V;}3inU!$&9%syd9Rp4spXOYd z&VFHf_vD-VoVxyg26gb>`y|WWuF1y8=O!<XKl)t?X-dfO@0lB(0clDsXMR6t4&2@8 z4Ec|rg5xk%@oVba+3iKw=Z7Btvxn)&>;D?rX5YTLR%RU5$vS@xJy=qCza&2lf+p<j z#_eDCLKF7thV4%$fO0;#kh*#xy1erlsHI{Y6hE(hU(A#L|MegC%P(8%_N@R`w{6{y zQJBOt=YKd@4o%#ZY9GvmAfbMpDgQU)-u=)fmLJ2tf8|+mpgcL@*C(zoPp|5}%dNel z49Pd{vKdF1#qZBVuXNTl*S*QS0Ey5dC3xArxuN{C9l~g)d+WAmgN&{We05s;;`GBc z^>1Eu{JyHI`|Yc1T}@V{?strmTiw~d><lb%mmaun?+mGtZKNxzzk_loIN!wc@5z0h z2{QWTj9;I+U!3-@OKa7d|HX4_zQLl6H?E6c3=EAqwTm4iwLEvQH}ky?akvrVy>*$; zAQyQcdt)sq;eZ;4YuN84IerEeul!5)-D%k8Gfn5SXwXY{MpyWtQ+YT>YT>=m&-)eH zF5D+raeEmwwFEbA-{ueU1gPo!Q|*DS%B<_DcJX4t@%LKy&Do!!c=i0m<x8i-2DJ3^ z{LN}+Dx<VHBOB_@_+R@Qaqh()omxxYitfqKcDWz>z5k5g{fl?}Lz;)l1<k`8_ix-5 zaf88B^S9CbD>M8W0}~c=Idh%adOM`6`sJJ*+j@#tFs;?SebF%TdsC|Pt~?9t0Qb4) z4lhftDKI-`*(r5;k=vCce#x6&wNKSQWOrhgM2X#Yo9ib_|5leTcPWqeUtch(Z{F|v zLw|S2pZh<3?S|muM_*>Yo9HR`e*U}~W25P9|9-#T^ke??Bk}$2GkdCQJ^$?e{mb5- zYyZ;U%hdnPnx?7!o5Aqt`Qy*L<EC5An_R!9<Fd~)`H$b<t*%T{Gq$e#x1IHQ(e$ZX z-sKeUfAm<^BH_)>uU{pt*KLpSJG-JL-t5TwviGN-UcCKl-<)F$s~)MJcz7?OFFAGz zXnyC0KnL^p#;Q#}-_G5CVe)08rAxUZ?D_V4e^X99vD512^0$o^mGkve_FO&SE%_;K z@`roU9p>}&DyK}<P1@6|fBNrIp30YU89zQ19kVv^{{%`0Igd`Cae8)IqboyP?@v`C z`?5!TTjqGR>rZq(J^h5>t?3r_UsF8d_0s;%n6LYLqS2EpUs8D=%g$D<)O3#Xjn_-u zGyQMOr=YeyCHy8eJEwg77VZH~yAywW3VL^X?%KEe`fR@EFWYzI*o?1VZ(1xpxpvWe zAFG;9nW%j(eHZo}`MFZv`B#zT>*Fyi-?*Qe5PW%hxWT=Jl9Q$zJ-Pbj>C~R>4_!}s zOMbd!d*Q>=qGPk?>Q%-*`<$xx@u}9k(;BZnZM~#(f5Ae-*RPFBLR_a8y~#m0degM8 z$$$6z@3hkSQ_~A_{Zn?y{Qvt^^ixl)etDYT@ZMT|kN*Ks=Qn-4Hg77pq59slX6MA; zMbWqY;uX(+<CT)yywA?rJ+wq~z5jgmxXS|emw)_JEz0;QWa;!<==pL`w{PkidF|sV z_CalPX6@V4^)c=KggsLf*Duo#H@vskeTlxNbKEX=seOM89_!jGe+OlgZ)*GQ9Id@6 zx>hK4vdzvD<{24he*NN+4ZXP3W%H$n-cK%kQY~uvrh3lbR`SyH)sgmn^QQgvkSxmj zwW;spH71A$Onbf;#QmCN^kixEf`_NK_LTp4{|Vera2JY~d$O-b>HT?qeZ5;j!M|Cr zT{qwA|NPGj>H9PJ;+5K`=}%PNqF-3|>g0rdF~@%uepmtvf8%>a50>&&n%4dlJXT%f z05?5bBLAOhCAea&e{@>jGrp?6&hq&mt?W~KZ~gkU>`vn!{sXqtmmHpcV&PNoCme6R z=gbH7`(8)dfBP2-N}wxWo@P5{ZKDK9I&r5f{N*O>TLMjyR>x*nhrR=KDs86x_$2!9 z^eWYTtF->4s>Np{fWk%Q;*#kFCKw4b?)<NfFVAn1*L3#tjjx;X@!5YBi1BqjAJ5&< zul0nco#T@Ef3=H1&5=~Qk58o!O~0rYZ`irN=WX>t5&6yg_G#AHJa4rRnu`%M=YMVd z#Sb;#%;?^o_o|SP=k58vsrJ(JDT?}cnR(;unm&g8^8-7-#_r?O-Qsgk$7)V>k+qDs z+<5<<xc^VX*RN-PVlR>7p0V3PcApEMZoHCvM*O2cS0*dgRx(#N_y6^R29dO6zU_yg zwmq}<?dkkz_GThHgwl>#|Jt;NU+?qJB7=`lgWjE9rE0n_Yt}<)6O**s+P1z`FM?i8 zTaF(5vm@;J{yIU;j+V$*g_!+E<?-EJ(eSv9mVE!<{1fnSguQRg%@ehfxkdS}3;Qn> zDsN+3v;O?G%)MW;tiqR~n{I#f*T(<q(BR2S*|S*REAG^U@XOQZr|qdOh6m5RV-?l) zI^a~#r@HUX!P=YKcHX@1mb%Z;Ir-Z4^cO3-^im(ZD)}K~xuvF4W?^mT=V1S~FR8|_ zkH6thg=DFm#68XW9uULV_Ixi`{zWzQg!gxc!_#L=<X`500`4>1SKD{zc&+5tyw9RR zJM%AGnDO<iq}8fL-j;>6=mo>4vTOZY&!4Va!eeP#yL0BpZ*mhM`SrHM`;TQWy-!V; z{;si2f4jlGSI;4aKRh!1d{MmK%Fg+{^+)tg|8Cf4ce%a2d$*$OzB3Fc`RUB2IvsnR z-y0_!tjzpXr2RPel=nR+wmq}<<)rUXH}{MeGkO5dujdW!ZCw5o?DBBoc)92M)_ka+ zH~s7R_AUGDLb{$lH{HGrBfwApDy(pTMrUr%cBu+*Ih>ci$JpE}9##%Ff8?{(eBXS! zW}l32&CgpZHJLl(_1B!e#<yh)hKbe@_RsiFK*HhX$%^CipyihR@e236NwCznsr%!% z@29~Xh2_HW=XU&wc^9==<rOHA@V}nVpIKY`nD5F5hx^wsBGLTy@jJ=T6!I=*k8?jX zg_IlIJ5vP@=l3alZrbex4a^kje0*BHQ-8Php89*oe?51Oil3io+ZTOnV;_dmeP5IR z9)=oSH}B&&dsyCY{OHDuNPI^tvgN0Mi}m|HH9M#MHd?hxD%|1YfgGf&<I}IXFF&A_ z=&@_$wdE&3%G^(R$7b)12UT>l_We<Myf#`HUbN&Li>{l02Q-8dDfeGd{CQ{CyVFxe zC$68M_X(-uxcCm;`A==v$h*IXmFey9GQC<d|1=jOV3PM7E<Xt#fq1U6@6E$~JyzB= zttA_gQg`N^$>_z_p7~!NKahn6jopEY(~Xb{RqojB%|7s4uWxv-W;?h<*<|$bsp7lS zoVPO0u{;iITf6@JDNElpS=-+j717=`^3~<g<g#=6N51^&kZdELvWJ;_DXf~S>G=3; z+evV8dGA^C^O8zU=HJhXYuD!+&D;2C*5con(d#$<&2>4aPC-)P&i;?jwnMXeeA=Gl z_tfEG9WIeyI~x+#bANo&zcc;r-FLaR?-C(~-)WSRldznD9vC$(Uz1C_q19V$kNI^S zNEKmss={4wDlCP6GCUSt2{C)8#mA@iJM~wGRsGje`u*0|Z0*;tGi|nTikW_2i4lu+ z-`M1LyFzp1dZT+)(8|-|@!2!e;f81Tlz&NsRGztyPXGKUed6i5IxnUF|M|~@)A)Us zEJMqf?-)&^P4mA#zQA^~e~RMrW%~0I_Dlse?6Q7+VtCy9%oCR1D<^#9TQ?m%l(f#T zX6KyWn{;o#)4mvll)f)jnxI!ZKTEIm|Gj<^66Qa7k6Bl(eFCZXeUC+lE5gHka?ked zj^L_ojekw0^7p6DzUl6B()x^)xS5+VGLQ2b`OUAP%^{hDJ<ZwBdNbef-n5tSylHo| zV)nHY;B@j@IKHef-tWWx<hAv7OTK;;o!YZdd`^WXhO=|8^=}P_Ia~JF?cG6;Y*{nw z<F`3e_rPl8=^w+^PuK$*>DBz0dS9hR^8fnJKK5(Ypa1gk;iI~leDP@wC{5gFk@nN# zHKB#}CxgeiyPQ6W89liA<*9K``GcM(x+w?1)y;XMdmEQd0yW^w)c4sKamF8m)dlR` ztAF}p)HBs<<lDtz&aFKb%?rsd^ON@+_d5x1kaIkijZy%&ZOuMDUB6TR_M+Ph>-20) zw=w@`tUTft`yZ(nRGTyPs_b$7tJ@TqjxIdfS+rK))!hB!(Or`4C*$4;iKm>7@q89! zrXj1?#x_gznfx@J=%4A0_A+1j=c**lvJkE;)J`tmle2l3@5^ODZGM5zyYw?cw=K#m zJ1=>D{m*~<zE9aUbKd=8|B6X%_df6aQgg2O+~2yO1$Scf-esQ*zI>Hw{~Q}D`=2|^ z=AY(Oz25Sq`1?o2_|zX?Z%t-Db8$+KW#9Sdxt7nC$;9&>Ir#nItdf7n`pf=3)YUsZ zb-I0pn^9&}Y3#o?Yc}Uk5k}AE%(prC_WIhN{U!U`EbpqnJ?S2~Zc&o-2fnyvm8!}2 z^i}s?|G4ga`$OMlUhBKM-X5ra9(Yz;^7ARnAD(tR`uFb39=Kh(@>%IjYk7D6d0+Q` zmG!qPmtU>+v?yI&dA*vx!t~t*PQkOQBgJFY>(eh5m@sc#uRp6->adfU?PT<x{~gZ1 zH_W2HFHSj=`SNso!}jM&AgiK&eR_Ui_iI)V`;_H|4^Q<Qw*PcG``YNa^S9LKSEoHo zADm|WJjwm&^(T)Ou5e3=j}Mt`dMfGLz8UD|ziF$}f%X53#6OgUWkbeX_Osk`ZU@b@ zXg@!2X!`EP?f-1ff(Fa;{cA1RYiGtk`}^-wt-RD{(ciz4?pep(KA?>;GZgP=ALbA3 z`+vHA;C9SXP>0_;-i~LF`n)TkF|;|LIVZLX?d6c6g^9m5HSAln`;_kD7qfy)gswZh zdEK48-EZ%#KhYS5+g|AZI~h6(e}{X|SI87ak^F~qH8J<&PtFKG*VwB6ojE^#DR?MH zIyhd>J$~KFo%gQ01=m97gtlc}S*l|-5j{x$=zd8qpZU)H<c!OgrtfFI=k;i6Po-|{ z&*}$z-wD>%t0u=;vWxAjVX4?%1Rhx^Tek0y;xD85=YMl$&Vr4Hg!S&4@@*l;5ETEH z<m11O$H?-Xbesg5bNZ?A;oIKj&~Rbf)BQc;zsIpXMSMCnKiMDTR)^mQ)!>!>;32<k zyIB3_9QCsQ+|OG`Tzn3iMOZ6Us(D-MT;TbQzbb`L=bq|Y>T)dBK?g+VH*9|`3rZ|e zzdjW{h~4cC9>y(Bcz9a9Vf%+|`#?>cP1;|d)_r-(aX)^Qyk2mw$noYI*Ts+P_2!DY zFT<EO<9D#XCU+S!uCqzJ;&vQ#lI1_op7Olw-~oS`h##Ny4@Cc+1vdTD!7J0<k3I1I z@hMq*tx$E?o7dVK-~QNNu=?EDHnd41J5K!%2d6{F0c*G_xFK=-Df7YI(*59JKv0ro zZ`}T0wN?%^B3l0HH2;O^-qGPdPQCJh4PSzShC2;og5~!D`RaL4hkp|I5C$C@-Ou!X zVKsOx7ZkTPJbUh*fA#r+(R}^yOZLq%eV_8KE8`GHy<D_imiN?e!b$P*neWg2coD6K zG0S4d{P)Jq<<MZ?eBgF{0B9&`+P**1ALi{q1pD)b?T<5S!973!SEtWw#jh*Wf4yk+ zq70RFjW@1~U(cIcWg5Ni3`WrK|GjZ%HPm#$2XE~bK$6ZrhCRmSkhxG$(oy<gX0s4H zU1>AnSCQebq86=HGKn_R9^SYve%h`#Rd(-ZjFCEhNBe8`%b-TzZ`}SET&6|+`jq-$ zZ{A{fu>WVccS{c9@c37!-!IhP|Mvgy)1AqhtAy(O-n`a+xK`5sSNf)hr)3IJritrb z96$AUmwdbNEq(8JIo5k^wX@1CKvUiOnD%s=XT<ZR9|4y%>zUp^fSCXE^Gf~q4)M>X zulpn#^6AQo^`Lp2XBQv662nN1@y&H_;Pb4n4@7%|3YVq&{fzH#K_<6B1<gLjJ+`~Q zR2IxUKmG4Y{qhC+;bv<W|FZo1;ft)sO6v_vCZLxCHNw9(W-o`91I!1kcR+_mYq%@K z?=AyRJAsPc+Xrs%j0NY@pJ}g7Gru^!?Ao67GRgJzpxKJsmm`jw>0-p=KIXqSzBNFN zKHj+fc{+4l)V^{1(olHjtY^&s{P!2QD|Yb8^y3$%ua3HVde@6C@H(mZi*0T;8@@k7 zFJqo6d`W(22OZ}2XUKmZ2F>`f5AK$%hDYRk#{9o~A!aYUGJW!TyP3PWWM4klhd5k( zqg?kivjT67QP<iR$6w8dPMH79e~`N`8k)ZOKjcM2=Hfts!hRsSaymHUZ!-Gn?D4!) z`@(e7f8Retojx%{N$!OHY4qgH=V<>e{~DxJF=GG!Fv9ku*Reg*_RV3~v$q#KJp_u# zX^i>zWq;X#CZ01_>T|z1{r*{WO{moG-_FPO+1;Oa%xYUkDu%mxUL1ed3mq+<$GK-J zq)gc)Q^76<&o_~bdt&XcJwKRg7az8KUyf7!HdDRNUtgFY7b$O7W6Z=)z0fZ!4Xuji zaO|m-g~p}IgSEeA!NWV9G5>b|7jUC;b8!4WhT56?btQH8C4tjP_@2cd`*NbEqbI>R zJb!O&h=bPtn;SuE-XH<Ow5JrhJb_QA#!|F`d;4o}Uoty5KF=}!TFm>e&=q=*wkCf( z)wd-bed=?$qy4qIc&N!*4|1cSm5?9%J=gdP@B&=s!P>ah;F4s{>|dV}zdU{QhxaJ& zl+y>}r~iI52{cizy5I3}gu~S~jAVFxfjoQoYsjpvB{RGfk9m;0(-EG+KR0aO?>Zke zMHxB&*C&Htn+ktw#hV_S{{5(`z1Z(xUUkjKHa4(IV}$wD4@~bPS3*lGp9gEzAgz|? z%<q?F!;A4h79YyiUjWDFIsaPAj(s^%@8YhxhF4znhyk^^*4?%GniXY+5jOe_b#G!| zMWN1vxAChWrEDC>p4-nLD+u^>YAOXkl-0O{Gk&B;t)((!`OfTxoUfzy>|0||>N>SX z3g&#>ats^No$bx)y`iCR^P%kiBB%{Kd-fJ@0WF#VRYPkS@3rN>0>^8<SFI&~t>vNp z-#fvX|Gq^@@F)MbcW0rem_01NHvW@_CiFP2J+kJ|2HkYV_Xm!`^H3b$o~`lM!DC-$ zOZLTd?90iGd8~Z~vR3rxH<Mc%*)hxTs29gi%`UO$OWzVdZQmT`J-pne?+e`aOxw4I zsbaO>g?%#TI>61Y^(^-eu73e`w{&p)I+yro*;zHVv;Kr{4vgQpUjNnE#YeBc#V8Z& zn0{?s51Z3gZ`?ku0zAnd$GWG~7#<{lL_U102?QsYn{$2@G5;#M+4VHUe!2X-eaxz* z?9<+yLoZX#wbs3ff*PAD`+@Hqw59T#@%@pNi16UuGk5-FaCofqs;!j&Wwig+-ZkCp zo~(pBIrkP?_T)c3{XeTnR%(wn<`TJ}H=)4`*W6sW@}qq1np%qlh45P)p{G?<SkH=` zi7AMj#(P&aV#hR&>=y49&jo8Up00YDq#88S+w|$TohDCDm|XP>7W-!Co#uISORbOd z_XGcTe%{BQzSr<6c!k`7qVvT!KU>TH{*%_;Kl^m0e5i!%bZPs#SH&N7rfZ-3aai&D z0gg>;?^iIk@zu`>{q^(cQu*sDJLgpI{oV4^KkC=l|1SdnO?f9CyHx&h(bcP$S>8Xe z1T7zp3;4CS?|YjHPd<1lT<x|8w#xtAx5qyH>w0WYu=oDkAMed-c{ugAb?k?2VqgC4 zI`dKf$4Srj>))qeT7S1c>E+9lODE<}JrMiu(5k*~M{1uO|6HN43Di#A^tkHSQ=T_h z_nPnilJ&jR(zY^ezus}r73(euKg-bUwe0&W9Nl+P^Y|YJnLYe3PA*F?|F@}qjz0Gy zdFgu#ehY%vtjQd_T|5o6V07BPIf@nO&!M|pT0y&8xPw8i`8lC>r`^}5k1tKveS3DP z{_@3g=T;knRyCeUKb~#<BLlfkxHws{Hd6i9#%HDAwa-iS@2gZq$Agw8uV1R4&UG)f z{`wy9O4mcv^Tqc3yq*D`KbrgN(}XWim+Vr$-x9<**9pGdFFnfNXbN&p1g&*CC-m3i z4;Of0>ZR#lo3=abIRRR6_nhmVZ~ZmM!c?7_%6SiDt9CvE%{H4a-&b>Ui}KFb3sy~= z+PS{l?<?{ut?%8)1?*zbvY48S{eShZf)<2>_Ng44tsVzn7q^}3-rW7y;TAMLcv}sb z&bD2t|9XkOc)8j>r&$lAdopyseRVAf5uJYN>uq$iH#vSu{@xAV)!-d}PHc~}{uPMp z^M&_R*MpY#>w}h4Jm<LgC==WVx;OJz(ZVlJmw1-$bJF_%aHoDx0?7CRm;WDy%Is0* zvq51Kr}1m!#}H`P6tm{v*94`%s9&GnHhn*k3}Qb5trt={c)MT|IB;rL>R<PW-?nx8 zuP)EOPwnq>ANvvU=C$<W<HbHde$PkF^!A|X9euIC5wovDg2vMSgV<`&Lffrhp4J?! zJ~RQ8h<31x?c3w>;abmi&|u7`#w*irFV&wsvvd8Nd5@8T#^~dG<esNHXmQ-qMe^=^ zwl80O5YQ6>FYL2s$!7*_ukntLQ>!?CWBK1^(4u3VnxB3Lt^eplR#?=(I(_%j^u;yx zns&D9B17bp<KsixLlX_ZKK2V<zv0(+#Ii(r(8OBrBkr0H`Ou^(&T&r)v;Y~j+p8kI z-XF57B;p5Xo74Yru=!69uhf^HxGyIwFFbX!o#cBYFKl{r1U+^?#V(Ss{<j30vA7Oe z*93r8Uwg;zi~gYZdl_UK$c7J3r#5Z>tqESlQDpG-sqm%gvfg38xmMmXm$(@5=5_ar z6<T^r%ahQv)lHRO8^3Zw<F=b6|Nnaveelx6q=UO(u7++@I5a(+^WHIL@G_34i&yG@ zPM1F!D;V1Q>A&a`?QK_XfL9UTUS6E@<#!fxSEU~`shM`Mzcn7bi)<QbSK99SrJ$A0 zOZB5!-k)RN3fcA6sz06U-mT-1Ra*<MOkY1`U(Dra`_}YV%_+Fz5d*INFR$7#>+)vg zt_moEQ&+z@{^xwD{WN1MeeZZZ;XS?fm-jsFsnD&xsaEm*-ul|ebD(`8ho-+5+@oxN z6<p2L&;0c%=H=<l%jUeyUMvh7UjVH+UAD&xc}43B(8Q+RufiAZ(8ygcwr4A7#ky{7 zW$y#qg7vV#Pu=}M_Rqq7;E6ShuTQ65n!fl?J*%kqs~_Bb?;YIA5-!d}Pnn=iL;KvJ znRcB3Y+u%;>F-7NFz<y2yf$n8`R|Z$SsoN0r(U~r)6w7GlfCtOe+mBnwaCsU>dv)H zbhDpoUF<*l9=zvk+P*g;dz$ZGgcJy?S>OMYg`1ttlK*-;#O#Szrdu!3f9>bBqK?nL z7u;x>4_f#my#l$}56-RKi{z!{z<ca;Yb)12c>8k~D2q<pS2O>?+1%^<TtHhc#rD<g zf1q3A3vP?rO!>7b{@13JKeOWdkNx{MVdMJq<;ynq$=c|nhxH!6FUe;i8=fvr&t}cf z-VZT)PuvH!Xm}NJo%7xwXK-3f-S@?J>gOZ<OZDA<eui$HIr_@&{;o-u7(r5XvH$RH z@FoxM_%hCWOpr3*o<hZIbLcin?)W-~4}5Pgfd|3;JZgV#`I1^~bosg?WcLVoN!aIS z$gM+g?w5A4|8{>lG<!BTnfpV6TAKC!qEdKJn{(aUX9v#wpcP@y{p~01{<kJ8JMz)% zi=4<SL1Vcw@=xBy{=4PS{BuX8qW#?^hz0UOdtzPRg10m#JUo52Y5R@U;1<!mdB2J_ zeR=vQW#{?{N#OnpcoklmIr8WV*yZwKe{WQ@gLXJ%zC5klwEcY<Xjg-7ZRO+#Z)2ew zpLA+|3Ld<@!5JKrYkX@h!+&ksx%A}q#Ylnjdphz$C9u)v-F0jBx`H-?ZTa#v>|per zb&yPNuT{Z*3m%i(Iq%KN1;^ymCs(HDUYdUV{(qye-aq%(WG7XlmsC4rzdSx61}&+! zb3nFvOxw37^uxRTUhq;jxv9KjGdLq|n(*tB+tbtM_~JKq`M>Wz{s&YAeP%D=;a(As zvQ8LO#eM70|8TGun#=Qr_n1O*`A+8#&(>XlrRhDfAHL;Z04I-gUbU6|U!JZycXVHt z6iNY}l#A~0%Jvt>=fPSo_IefV>p`uR>zAg_7ua($6`n-qi|o0Z4atpdSEe&xn(jI2 z2YT`CjA8cj7sszHf<|H7!Q1=YAa%n%)r!~p(EZP1`}RbCFk5#GT=wbv)&89EC3X4# z`|*&xxPDQJQq{qIXE8F2?IL+@ZD{!f+O<`l0co-LbKi62g}eGY*S%Y1;96&s;n%13 zOZ1~}S=U~bgm&*|x>;}2mELzo5WXlI9N3+8XSTnr(@8Iho3`(cW`*?hOwi_uY5Vs0 ze<-_}9Y4+Z(NWOC@^qH>CxRemYkp1jedzJ-*XFD#|M~SH+kr0by103RCd!g>aD{We zt4=0r4Kza*H*KE=+RnFB|GoI0y~gmQSI&9wpc*7YX1_X}d1?CD)7|s9tn5l^*pHpz z(|LtF&IvB|<OTlTDBlSU>AOwkDd7E3)As$@^I&h;Dp*DERGcOMJQKvt%3q(>ygWVi zq*lBkB&fl~UhZ3r5_P}EuZ<Nep+>W_zJH(!YEwo1`sCg8ecSbH$Szv$csrGf=;yD& zV-iQNOqabhJy-YMdwt}Z;J`Zc#>1xgFUf}?`>ifbuV%?V-wHMQbW{2H)$q{RuT;TZ z3~H4>KmB#3e*UC=GEeVM{$u{0|7ZS-Z}odjkh@Gdb3-MM=WpLy{+dmjXOFZ-b@)_k z`vlQv@7!dSB{!>N%{aEi`;P{P{@F)tzSnOoG%T4FVPLqrbDc_-ThqeM1?Lnr1)sG! zpPC-jc8Pb2sOY;XwIPYGr=0yI_si~S<v-i(mkXX-e%~?M<aO=;a_jxi_bmS}Z{nM; z_V|DDwErE;IqyH><GEw*zUR}bCpY&zN%ov_l1H_F)05)QANlN;eW+irTiGO2Sah~v zO;3rX*ixDKWk(u+7i8|LJN#sweNp%FlvAhWXH3(XWmmMCZ$`3#%Xv-bbD}4?&-{&& z_kHzO>Y>T}EvoXtMxvtpM)!_sgC-n*e|+*@>YndJ>3b<FzXS$uocr<J&Ib!_#Gjfl z{oKLt%I14&>g&%|Y}S7IZ`K)}4gYVRGJjUB`}0oCmh)#)deq<P@jO@4>0Wf=PiMdJ z2d9Md&hzy*+%|n?ak0!EvV@KA`o1GySE|SUDq??q{815fp0?cR9us7lO-;u~xzmt& zT0WDSpVG%}hijj2KAE%c&YWML3}2oWi<X?5xm)7G)(g+MUxf8qpL*5)7rG1~=C{!G z<?~8jo{n83zk51##{H+k<FnAEU-ymf?Mz1)&GGncln&VFH$JtIPxh@@+mq93a=XlM zLH5G);uqFRl~38G{s-M`xoiDzyHg-5Y-*-_{1ywF8b4MsJq)s*1mtdu$GLkw-mM3@ zd)BW_H7|eM@0b0l_IpQe=q!DX+I^SyXfJo$o4Z{evaqQ=JO0tHE0gtVf3mzj{;L(X z3gKkM?oZHleeWgS&sqtd1fI*x8~<<K$7`_~->;{b#g{GJx2BGJpNRdLf-KW#U+;6( z+O0SLFWdI|{%h!x#D}-0@38y2#5>;Z=r6<lu$3@z$8Jk`LKX-48{g|a4vKs4=MIOb z@0QHZ+xNtD=Gp1?{<S-seiu3a5sj|(-5SgDBI?Cw){@o7WS72jMUTx-lGpm1KSRUi z5A)--%n-BdQ}%3^pZFWJAkp%|ho_r+w$GTnM{V=ZP1awZKHsUo`02#86K>e&cYFVf zdhvPcAKqC97{cFthpyo%c<UWAzv|Lb{ogmlE6(492Fts|J(iFa13RaGyjSn>PCxYo z*yZO9@4eGHnQb&%zkJEQJu`oQI`;a^^sg)2f3DC@jOD1^x8dEj8<$OFzoDBxKmG3w z%V6kw1bf4KNlT%#`sv4ZFV_IAe6V7d+E>%~aohO`V7IG(eJb|u^p2aaPIqn8UiIYi zh3DEorA-<c-&wpu5A%1)e{Wd$Ld~u_cH1@-I`uyBquguILJ)m$e71hP=06c+_NSFs zrhhMrpZDbV#+#g9LN)i9eEI41ao!xQ;`f{wVZMHiJhytBVX1}7^KS4;&HEDhzY9UD zKeK*)Qaxt<-SVY8DBm`=>Bpz-`FS0bXP<$#iR?Wz{i1J4-;ep1e@<qw=dazzkbm=} zyOzCsO4NRSeP+;7Q+PhzbmEs`b;!5J9&F#eFHiq1ng3Vtr1d1m^~?1CpR1T|r(A0} zi4o$7=8t^)w7`k-op){Jgx{Mgb0=%e{&JG%_R)rQt#<Ja+q3QjW~Kdvu4LKqO?92W z?aNEk*KeqMW32*ND*Kf2*lc!4(qWf;KT93FJ_Z#0Hb*L|`A&RJFq*C3y;5I$I`8vk z9j6{myH?2k@(Z`$`-bDz1!rY2Ldf9t@pX%!>sXk3%0Hw+1808E_Gg0N6+`D5+w}h* zt+0-t^c$3N_?PcHQyf3<$@ho6|Cg2Zdw<GZ)M|JC_MLf?e!Z4`j_LGGbvbXRLl-=m z9=pBS9}>MbhbqF~LzZgFWc>J~@i@1}5nLL`_|)#~`n{?0@78TaK5<fCl)wCJ+E;0C z=NxMtbS>Yy+RoR({=2?BRd{`T*IsCvmrLF=w;H+xx8C^PvfuD<`NRKM_shw@p#18* zQh)v9eLaiTJOADD(d5hbcAi?h+qS%4Zyj*L2$<4q{X6f%3{T(F>+cN-bajdR<NDyW zx1d1(qyPBqw^LxlZ@LH_6Q13o|91a<b+FO<0`6wa)?W1yJvX&S*o(<SgTyHK-?Ni? z43PDoM#px)RfiX0eu;Z(`%i)6Gky8KJ6biF|7%$n?zc~Q@p<phtK$FCS960x<Wb7& z=c@ABiyy9c|2)rP@`rsEkJd8Znq)fR@K*i8+E<>__su!=Yh(3vXdGXb$QOgG@0(_P z@18Y0l-4BdiRC{Dwyk)j{`TVdu<KFvUsB6Hm5P5-{_@jlU%5r}?WbT1Swap^KLIZ@ z(<1G+*=s=4X34SJ-y0xhgJttazCQurbz#K|9-j6(cKet1&i!hee-_ofI{kd7{^JbG zZ~c{aod0EWqTSv`z4*K`cg>+gx5GdwsAKZp3m;UA;OXBu(*D|gb*LRW$8LZ1hNe=U zW6@h(;dU5H=HK00RPUSiIo0m#Q^R+sdwtJt>k_{IWaHiiN7lF6#dqGH$NBJk{U!c* zCHFZ#3m<wvffu0Zo9oW}_kk8eTE}kx3Wi#tb1ZsuB;1P4lKJoCAl?Xkb-Gwz`kb%k zRM)FlpZV9c{QEay;raIO#!sGy&i{IN`Uyex+3S$4c)p=dW)FB-{mPf8izV`9A@#Y< z^pETIg~DwpH@x?#|7oSc%(K&5uS}oL5$`r}t@q9T={Fxu+AsF2Mzy|K&ESCO{Lf$u zj5B@+S;A{|nb*hXt%WApbE&X8z_S0NSuSMJB`Bxa9J~Ejxl+z2?eo*FE7QY^<IVCq za;_fvR~a4i>AKVW^-uCIu&`zPnk2u^rO#rfBXU&FJHPLMbv`uZe!APU{f1^Gc&X^V z_K#&X2rqC;<o^}}2ht|JuTQPsolZ4P>j~`d3JgEAOW;>c;@!0ym+wqo3%23lHROo? z9BFS>7Y>buj*n&K9*|7OE%AOEWc4MeG6*xi_v<V;o}XS_sh|IN-<mD;_GXYgw~<#k z?AGlk?fMgy!#*J;q4%kOBYtdyW`g(0d){t`*6r`p_w0QQ&(C`%e0-Ka6<pB04vxQf zw6@auPEz*y3-{%XzWmJjZgL}A`tOHSHj5<HcqMq7WM=x`8Go0(IX;o+-SlPp;zsv= z<$K(l$Wvij`;+mQwSaegU&;Y+{VSicr#Tnw@Konlr(^F-|M-=6n;h@ozlzTD&zJc- z?+q*N28Bw*Nu&%`*YP#^{&iTx!Qk;(W=Lt#Es-DE3|_GeYLti@-<vlZl5Bcc>i^!U zf8A_r%dN%Bpa1%QqcMBmQ;Y!Vj<7G=3T*;L9<E?FhX%EL;-25vJ;2KV`AljmIUm2x znFbDze6QNdrr$<!<!jf5uHCP4{@Wp;y`ThH7465l`>{2+Xh@Ojo&VhtUNe3Qy4Jt; zbt<GS7;~ng`WiIQ<x}@uwudZt1vPqvj@|x|3P~`gU!VTmdU&Vi@=gA(=C{1Gz_AJ` zq|d#FlnuPsk&_JTwf<6bs6Td2`B-)g+8#7Iw)=xHyfBSBR>6J!G`O7JzGPp_vD(PJ zH+l?TP26Mh!u`c(*4obrI~wJGJoJ9jA?(MC6t$73e;Hm^fR;SRCGsDOt3pEmY0vjn z+VIkI&zz5G`xU@7`?lr#);!;rv+4i;)EDphC4bc{n6hBLTe;sl{r~LQpM9>zBlVqX zCrk^KJa+%+4OOm({H58as&wX-YO$X>DQlW^u*lH+!lbSG;*IU8qESx{vl>Qt#rlX$ z$~bCbt|)FGd?x11q^q;0{o|Oac{lA;(y27rLbZ))VXyn&T7HPJ{$5*{(b!pRU;RM# zV(s~_kABYW|Ne{j^qGB2Cw({c>D!j#KdE>5$%R3)Y^%$^y`Hor<kfk()SK7eUH|{n zP~-TG9H--jsX}ed-yi;|`S<Iw_5TCc__TTD?Gh`@GXK3;d;9se{qpB08a{7%!<sk$ znd<S<`D_+<#dUA4Ph9%xlhnaz-#sU0e82SOyVbp^o)Y(RR{aT#vkUxiPV)b(Tj1k2 zHrR0<*8W~T>A~BbQTN%W?_}Nc>Cp-1*T2KRZT-FV+1#Z;-~2N_9%U~UpLTZD{MrYu zU)Be|tjzIGj*riI{&oK~<I>gNt~_~jbe+4NN8jt;p9K63-DefId{YJC^WZ+ew~Kw5 zA8d9-^I)_iWQ=US*dEYSCv;45PsoR|n1$dq?K!7wcl!M*N}06v)WZIY?R>7LLcf14 zvRdOPc6rlYiG3-3CXdVR@x6tdJpdlj+PX+SS|5A@m~O3Q<cDuQ*C19*=e&0|89t0{ zuUs)3I*7ww9G^FRpU*M%eO6LGmNmlXSlsut<XU$<^nT{CSv2CuB=DgRppopSPZ!C* zR(lCLsB7B3KWiSmov{KsW~N?IJ{Ninm`+V)>w~pxFM`K%tc&8`h3$(e`!IXk6~9-r zeWx7#0NUkT;O4%oZLf7V*a}t@D?~2#hl)eT66a`D%+`mFC5Cg|3qOY#F7o;i7PkUC zm}occchUS$OaK4gxLhVPlK0~Eqx<$LR_PpXv0b(s98A%@t<dfEppl)rxG%}~e?o`R z_A69mgU;8A`t>R6p!FkL_?XdUmi+hbkb^7c?$md$d6e+()XFuQGhb`3-2M}`yZq_` z@0B-WdE$-SXHASo3Z~S#FOI+Ke*p=mJ#HVug!IAl72>S#cP@vXIK~}c=lP+m&I7!g zdZ+RCr<Rwdzg%-A+~ZOG`|ft|K;S3#5)tkh|D6nLPRhLNO?`+I)A3^YAGQ_4M!sA> ze4Dox5)u2gD%ATCp%nIETU`KnT=dPkT1!vR85U1hFDrtrKUpkQqWQL7t4)8V^4Sx* z&;uJlQ-Pb}z9b+14K?3Se9zqUEXc^(YnJzOir^!<l1<y+?S%}Ee%`77da1s+$+-`r zL9MVgi-Ekmrr6eNW13&I?8Wg{UeJNk%`Ew^B_U%zMxuLG{{l^|fd|)e4n~*m0?*dj z?$pnpxKF11Uh6(5sfX~%sAm1tc*B}Vr9Kno?H`b`8r#Kw(c}_)z7){t-5lkL*KXPO z8o?7T8WraIS5~qcK}PSsHf_(J0=A(3WbIDJUqzWl_rg8$zr*KA9j6<;>rMJG$?{Uq zokNggC+tDffuJ)Tjh&!l$1w+^T_G9mGt2vRf2-=j$5FNF?^mnXecBYX*XzyM+Mi3l zJZ&oZwxG4-6RUdpf&<nDf7gNy&N;7x9PplBlHF%Q$Ju^1ZC}3x60i9pd*1#AO?!a@ zhUwt#YyVeWPPW%Nn`#&TPx<@P_e=EC)r&v;ep0{J{)ofAgpPXI-@n>^e3TLWck9y2 zS6{>I?Wb8@>N$n%3GuGFoPXP)cDXif|E&udTi+S+p=>YoghQR0%E=FMD}o_u=ydJQ z_+LdepBO{Ueoij`fs_wkuF}Aa<xP=alHb}xCp7c~_vGe7$7h!|mB06f=QVTgd$0b2 zQ&DRDyVDnM-jCRIM>OzeVqIO%w@WMJK?^(%FFv}rE(??qGLK~<XN1!(_F?<LXG=x> z`XqMn_6C2*;BsB;hiO&tvBT-y_qw3xEp!F4+n;}J^YZjj<7dHtWh!RvHF*U(t?}1~ zjKY(WM?a_*FOgi1Twbgb_<Q5`CTJ*$K`N5#m!@m8=5KO`59v!cZGXNVa&*bXo%;II z_xb#>w>SF+KDuDZloj*+Y&V07qAMqm($Id*UmHKGLi50Ou6taNDcL=~AFlmzgPUA- z@b*V{$RKd)yVGuOPp9rPl6{NF0eVZHz5%DBo;#1uBbgrUYQJsY3djg^(bNZTE5YYs zd4o<&TW$|M>Q1NTr|!Yqf2zS_!Jq!_)Q_I3zkDg`uKI5eL8jl4K8>7m-i!Q=_`U}k zCRdxbCvS&Nf_Z<~X0sM@9Gy;0<@N__|E>j}pAk9rchTfePuIMzv6cG$9K5V<<}s^n z-;==s?gl-Z1GI)>r`wn0t9sB-$!5(jh8q26+k@PCCwQ>P9gO~&4R&`?&b!m;OZDA< z{r_hK3Gj`(E^c3EC@y+<`k9GR(36G0wU^b!{@Per$*&A6`HS{Gcv}?$H+gr{_V1FA z055%a+TJ@}&3wr|KBR*NR?m#!i8pGmPL8axp9ZfQQ)j<8{%$$6#Js0np$(~4ikctX z{k;J`^j_PveRD9l$hTDd{<MCH{^i!r_3lUi-xKHmx$(wz^C$c-G{g+*i@+&;8yh$D z*dov*@1J=U&D(9)L1Oe%-ND<tV<Ac1PNBjZc1Y%->G#F=ti25xCckxO`ukr`4&Dh3 zx|vwF&;MuOm#YCanQvZ8ccmAtIrBCcQo*xEBGp_^n?W<D7a{8$Zt7K7Z-<uq@*MY4 zn&IAXZrYyL4USk#)$dP_FVz<}HTzkm1qpu8Q5`www}6sOkTQ}7?r8klSTz}%XndQt zmoA1Rnm-F4tlf#oN{I)fzfJ&~9Xb8?C#{#KXG;ZxCS`v@CS@=7#YXeQPwP0mccacf z<bu=rOY%|XUGnTkph@;Tu|1{Vp=JI~*AIUCgMSw(D97Dl=8n%3-BbJhC3uSB>rVaI zOZ3H;a@QiKN;5rOP*G<338_MHcd`Gr2D<#?r!u^}@8`NVEgIgis@JL5eI0U&j&xD{ zx@r4zqNW_XwOIXnY0{emUv`3$`VRAHN9Q9oM(osnZG4;uP3^Z?^6$q&>cOJj5B8?* zgopRzrtP^~!C`W9%I~7?FHb>b<D2=Q0~7stg5_eK-f9Jzz63cV{S>~~e|9Z2wa*vW zb9Z|-qza$Ubx-vyyzuqsy4MCxFVF5wzw7?sH011(xgPu8?AnP@Xj!}3U)u(4+1ydA zxE%&9(U-Hl-}@O65^5Ezr@sb=v361Xzt~?zfq$Liw;%fljl_+8d-rX^Fnhk(--w+d z&`R|@YyM#&NPYB^`{3@yf$$6}c`*9o8gR>R+p~Q(s=Foh%Kt`Q+mbMEz58bPAq={D zI~Rj2FnPS?$8V&DleMe;w|mfHag+ClFwi-QTfaQr-1MCvG4VEEc#o|wq%=3(segXb zzBx0`Ecs>m!2~IRzq$oV;Fod^BR88qO<yE$y&jfMv>|Q$?-8{><ApBd*IYsBX5|)y zgi9Wu?qK$Gtphi&h;H_pWxBVPBye86l%sifK}dI$+S(Tvrr-3uJ@HV;+!Z%6Uu{`- zIq-DP<z@0)J}edfz0ldt;MmNp*BOS}TsarDJmL=6+IWpgOCp5jyyExH^PivJd*^$@ z_WWJr>U5z=zwh7qZ2f%R??3Yv^3L|^eI-%;ZT01sxmW+&S0{aqUjEiGT_bvDq1arj z&(EDcSN8p^P@3#@^ZIS>_Lw_!Y?miLne?rj?{nZ(ujTdUDt5oGyUb^QDBJk=--CB2 zpW~@HQ<H1IYuU1(;4?FyJ$rbk?eV+F^7}Kz4=&IAus8qyFFX7D@2*>)UB1}cZu;r7 z-EWI`Y6M?;zNyQ&bVmLJ<@|GzebPp|WOx~mtIHNXunL$P6MXBV`>T}DRl9ceUp;<q zcS`LN9!uXyo8tY~tR6l2`bWH{{DB{680;C-y>^+8vmQ1n&#jyJ@m!oLcq5<Pg%3}) zd$#}O+qut-`}5Of2d2+|yzk6?`B$eWo^|(ra&!K^^XE6;{@Qo>Wu=wmK9{~d3!!5@ zeg37Fmg>i+e%<(W^Cmm+=>~VsRqPIjj<D`e+0$(g*((ULV!cHE>GUVRZt0wvez#G7 z|Eb!Uk&`&J-4<2yRXfg{|GBm<wXWK&b^rgFDL;fPKc$ID?Q;PQKzv;3x9iJOzA*XM z;U|B2fX_IX|8d)WCCG@?yOcfKw}D4Fw(y(O{LDTU{W}xljcEs_$KIJ<`u*wQuFF3* zOqQGbxwcO3?y9EXng3#pYC2`U9lQh?et`I*eB&>RFNKki!vg-becT2e%e`-OPd^<z zK5&a&YTuo+73|?B{w8cTi2ug6@6M^(nJZUwYkLSE@~bL2_x)#O$!ab?)!nAxP*Q{r zZ$QjvkEnff8tQ=}{>N)sAp>IT4eu>q2j0h@DWCD9NdNKMSherXXAjl<OnPv7?w#rJ zd(vYg9FwN=cc1<@=ly5x-_j;soNMasz&6ZmZquI#8Xm4$1)5Q_{?<@#dKwZ&pT75$ zf3O0@dDgE_{5{{>xxqtYAV2(3e*88@>H77w#C>nLYbs~_Hd>^&RQcTRqFdj8{w$jR z=uGlw|H%)%pPZ1cfR3R22m#Gv%CGLv?M?+HEz{ba^FMwwgN^~QOT3@=6FflkmYFwx z-?@rt@snS-M$XVLXW2Jrj_kQy-L7B%U9xjte0=!(kIlZ)bl<iApMvAKEd(+uX<>KO zbNaqN!mImzVR8KFe9!jHfsiSNKirRP3s-_i$bLH<o}O=T?-v^+jvXGHKE0{6w(?$N z=*jiM`_7+VzdJO+%zE;}(;@{=c();kj^&A0$!A43+4ZFq>^G^cobYj5oL;3ncn_!I zG3(z#FHcY8sQ|^nC*Nb%A^PCpxg-AL)3Kbyct4$hMW<}P)z&?&t9Ltme}_6G^jnb4 z|0%Y*pScv83;t+7UJISu$v3`tbSpe`<kI%kO74`;5qoy};DPDoY23eyOunxAbn6sX z#<~M<e@_Ah`)t>X$O*H5!>=2*;nyGsptwuEzi<>fFm_+!{TE5N$^YhlEIT{#b@pb1 zcsb6Rp9$MG=(qn@wLPm9acg7k{P@gp{mAh9KiohmzjCt=O5U=MsLh$L`C2*k#LAba zlY6$mcY>xHi^sWhRNzH~y=4Av)}q>6v1g|j9+-arwAi<&Pg15HS#<e_-Tp=T5l#9( zCT|C)o7tw9kv-5JQJXVU3u?S@&-Uyt$i%`={bRd}_Q0d{f7%}3$xp$>!*b?$yZO3r zynnyn^t<MVo&Egxvgh8u*a%KDY^Z65e|7)XOlYp1m$E1KDl~BFCEx#=3}P>>UGVU9 zaL@L%rYE2@nSD;au71+>O|7+=S6+R6`1{YEeU%0~&zuCC-4=|T9&OIPdi-Fe^ER1z z%W|DT<&M!*wOt{rI3cqxeaU;I`@R1MwCw>EJdsB$*!@m{%LPB~8p|oVZ@fPTt>?f0 z{Q1|j%_rB&)K9cn{IxC)eELbjTW^{9ua<bn_oaW`SndIhSz(EMUeG*(<>uG9_TK{1 zcFende`)`(+A87RuN*s5tYXhrRP%X*hI2vo%}m>K_xRJg4UseSvzg=1?b^3Ne|c|d z>FFoWYv#w#ywkQQq0Gmt4RTP=!&}oK8Ej4B*NvZ7tbyzoKcBpZ*&Q^`Hrv?0f119! z(Y=3;;Az5djcxkshWEZ5hD3E`qrUjD52+r(fm3cxo6`NmZvT=so4NbuNuETk7dXD$ z>gA>BlOt<$Y*nD~tl6{u_X1En7e9M=>C@AYW7Q@5;ZgnE_}(-}a1KtbeQ<iJVa?0m zzny>C*^AHfIwkQtT^%ve1_>y?<gXk5l|p0LFMZEjcCf>PzdYqRW?j1;Zmzh&y<2}F z&Tcy}Jv;fwrSIR{ztq-w7S81EdkL;rKxc@otL=OoJh$ZKY3|5cnOoXW7tie3&RU`A zd~ePo{dB{7$710*EAB`I`*%p0Z^pW>MyE}`w!Yru{`2RDf;}abqv!KLPRxOq!;z<7 zCEwSBy7pY!o~;MGB|kkAskLnXxbBV~JUboj*<QZ<4!;@q=hWf{r{78JTjS?ts3X|^ z{<HSQO{$+Zy<YEw9)WXCze>Jd1~qwKH)s;N66E4asjdHhKiw_){#P!-)rTsq<00k2 zHkN%gnr-?wp9)7RpBFv#{pZ}*zouM1pT7@On}bf90Zo8F%6Pfz1Gl$9Ybm3{71i;R z_DoUCKWDQfYF`ci<GVka;9*_bv;Av2B&=sM$IEp*^uB*z{?hlKQzySaH+Ad(Tc8Yu z64>82{krj`37Y!!Q}@iZp9V79@8b0L$$M<~!X3VE{>N+oJ=U#1d#L87)Q?XgJn_H& z{hP4w{QDT$kTCoGI+FW*7(pkhAj13nt7PBxYx<QX@96u+#~rJPu2-mZH+pbZ^Vg@M zW4G@^=IudsQ{2Id_HC!Yb<=g0eRFn~SZp^fjB!c)^7pS|?fm#7xnDn(Jnq79`Oh_W zd^r=pf)BWQ$}W))K7z;cvq|>f+BfWy?=K>n2YV)c{AQ~TuD@@J{U|EriLZ)`5LJKr z>w9?2|GLbO`%8B`(Tr+l-M(hm$)Y>|G+$o*`RtC*=fHHo+s}`lTgdWRp=a~4c(I=Q z0k=M$)i~TfH@f}j3a_P?d)L&J*lE9JPu&rBWVcJ>cdcXAA8Jo}M~bY^UG7y;-!6W= z=Gp7r4Ii&D>!$|p*{=CPFJ;f$yG6CRY1jKJ`e&|x+x~TaZQU)VxZMJ(6?Jb?wU0}L zEqESQoP6VA(!OoqS8v|(JA28!(it091qnAN?|5gjq1NoJ<j(UsY2R-iynFclHqYNV zxfizU#9PgoecJ8a=2O2*Ze7*97x*%|Yx}gq^)CDZUv)Q!pMHL$^nTOhVEF|Xx5u1) zb-eHFrn&&JqpL4&*Gby*xncSyjkw-@OFKWVyRC8^!bvrJT>CNeWVZ2Z;YX_TdzR&| z{GpO}RqNjCcl(^L?N<4sE1&dx&DY&U^Ka^`$^YqeVf!7i#rZ4u#Aki{*1tDsX};#W zs$)B)zUlrgKMAor`<t$O+SkS3G$ZXbx*uJA5p8#<;`S~T{nWrcQnjbI_H6&|2@W>5 z`<q%mUSn8)c8z~WxlXP3QT0Ntu)_GsJHx@&Uf)o=?WOgnof{$}?thxPAb+R1+x?)* z`?nswTj+H^=+4)kV$(O#a)0;K^u>PHwb++-|I(RP$7dZ+{kuS?D|~VONuztZ_owZd z;`%Y`i|%#fdzbG|f^xhi-=94I_O7h={h*JYbGkzBTz|7O9%AdpTC*QBYV>l%u5GuG z_@XPF^hI~-cYeKha;v`R9*sS35q@}|>TlJbGP~bJ7u1~Hmnykh{_gz=Uz@=J`_%22 z^_Pw(OCc^WyeIYm!puzE6U%@4>(-fHIY0I-1%<HRQLT5`W_c67>T%Yd=FORJS`+)u zcy{Yo-F}wZ)8@%vbe}Dqy0}9-;AM2zjv0pft+l5+*`E}@*_D6rqv`Ky{hNLjK4FB1 z@3D&6jnK$seO&t|yQo$Z9PoKbdw!dF#LbSJFYrF%*R(GGB(b$h`*MCB-gIy3uKb_! z29Y5T=kg)0QQ|HLJ1TlS-+^~QZorZSD{5SF-yCi`b;H0}vnR@V`K<@c%(tYJTG~CF zCPyq-zeNA>VyO*R7l<@pGFxyZU}_U9?+>m}!w|RR<!cujZ+)<3?t;r=xBX_<vA;Vx zXY-qTao1|9^Y*<lZ*KYf{NCTx_cu4&PZnr;@FDxc#QRMP?EW5GkdX1CX}iBg;w{b@ zYzHqr&HsLY+g+jAUcRFC#>0jCm)>uSFRwA+@bl_lv-5=qU*frk-z|RGSGx26ocMhs zQ}g}fTg|3_6YD>FRP6eUS0^5Y)s}ItJIES-eg{w9u9wsJH&)l{{9PV=dH<)&hd0DO zNIr4kc%y{-*?Lcl`oy&7{^yIHu*yf*{u2MvuRq~mV2Sa2`57x;x9*wDTH(!+@2va$ z=$RwGD;e*-Y}vlK?Q>Gvqq(XFs#zcE22?0E|83k;G0(^2y3m{XKNa(T`9A*l@j2(3 zzQ@<+zGQcfJNk0_!%EYXZTqTz$JSQqU5(aLFTJ*{<vsU_1?NKYBPFX&FBbn>pD#IY z-sNXg8~5cmPu!8cXZwzNl^u;2qQy);)xNnEedXsxW|cipwJzDe%6s&e*;sge%k~)G z3Tv^#xHCR`gzgzNf9MOXFf{(?RdL$1W&10)efuUHtZdq2b}y-V-j4TDf0K_{Jb!bo zx?;a~)Akt8S32d4>y1y8+`A-F<sHqFZ+Y|6$A^)Lm6g}xp6xyQck$6EmweB)kAC0S zx_!lG@2b;2m+Wsn|M0JIW@q@J=rqB5fn54&YH^(TJEwg(x4&^cl+*ViPxJX6G<)6N z<OXi>mV5A5kMmxT*Q+&4dag^RSmj3wSDl_Gaj(ehN|Q^<y7SLDrntDSyRPaN`~4}? z(U1T4&Cx%%w}AIT^u37F-#2FG2R@(vWt#rPe-q1P-^<UKS)sb;Y1N_VGH|SDKh#Za z*<LCJiXrWXx|=!k&-<L?mYk!0BKxuKw&$L6YV8E>tDmbZisOD??)hPw{v@mHU2PCo zh*zDKPpUZ0aXQK3+^1bR`*r;0etjzSVCLQF-+Ok2*MFEMD*eN*?5*yrKa=hiF~5{w z8vY3y3eN=YP1Cl3_<W{!1;6j}Jz#e&ZTcXljT8tjU*|N=Ick~yee0*X=`8u0>ZR98 zE$@p@c)2Il`GxNLpnT2sYp&S-SDEK*b?%=LDD)>gl^;I8-KV<eee(5ti_Yo4)cx%F zKjWVa)Z?j)58uu^Rtbq~r$g4R509QXqWw_UT<G4hBxtlxytk<!&ujbTzm<!kr=ICs zd+XHiSfM?saw|7Co&RLj?DJfA?ZWLmyMB1^t)4b{zP;!6st>it&DKB8)stelUXfq; ze?n+J=eCcKl&8A)soEv`Z~jmJE;bLo#$BnpC)MzwZcs(FG4uMC?IA+<+B9owp&Y?` z=gt?zop@k#@K^7bX(4s{@|NG8r@pKD=SGE&;&XoYPlDYcxN`F<|Ic7|%y!O~blkgi z)2gs=cIDD$!($v_J>TUl-ap!V^zY`dy{WG^*U0bwCRSSag`so5|CiKFf!yk_C|Jdr zA1errb<>vZkAvrkgEPhzp?e#-^ivAvDdcb2?&J5eY}eb$MZ2fVb2EL~(R14HiR-=h zlOE`LbNrabSrhND?DMqTh1=&i?^T^@ANsxVbAQBlxnCP&{(fkX|9bDyK9-RD$WKP= zv!GECulP4PQxO&wnh$gTvp`*Nn&Z7jE5rdh>U%z)fBqVr2Blx>rkdXR&;QK&e69Y8 zZIX}YY_^Vjx_TZ<{?3_KBG0>5&UIY&dD`5C+haWTF5MOOyK-;fH2$iNr=>URW_}m@ z?jP}W+UK~(@SJ$v<A26)1E~E^U$>b1L!<w`!k*OUpT0uU!hMxJYoCMT!SS9){>pVL zuDmU|{n@2l-(HNR@Z8PR{ZB#J@l$9}`q7_z&M923^sauPJ3T0W<(1zbGfqE}$f}Ip z77t2`!eM(;@4x>E%K}0EzuezN?x{~mdo=aKw0yyPmy9hTS>UJH!(7W|P`Nnu!?gDT z_neb0ATh9H`xAewPtLcdzRjzir?LHGJx{p8IZNw*{d?}K9*lnFG1qk7vDZ9CbGN_Y z+q-m1)#*neJJ(70UFJO|aak<4=k=z)v7oZ>(5mfgehJ?{zHYDU-1tk;``mxIpY*tA zZvZavbX&In3^~^gE}Wz|^1pLH*?Fpaf<-`y5fliT>*uV#{c_v$&UbNjIvkJYY-ay= z2$UF~?p|`vs{L~<<IHo>-`ehNYN$GWh%0yQ+`LE`^{BY%Z>Lx07yfSv&7XPiEW9AP z?fE~$s`EQPxacine|WcE37QD*tM74zm5q}>nDIP@CxXw<LSMiWVw1)5H@EhG1{DZ9 zd#~KQp$LwI)A>&Mk$!uZ=EO~1$9L=nTXN6A{kLDlyRUEfK5g-JmCMSjw)0f>!%KzU zCG{n|&!CmT8ihTrP>UlyD_*mGgr<aY;d^~DkkU~4rEc5&)rr;fQg*q2x&HgOWqSI* z>ECy&RGf}oa_-r8nTAcT{(fJe*lfT0|GK2`7rNVn^9^fkKk=Mq|HXLv^`^f@?{(kJ z-|$M;n(5!&MrcITdHixexWDrM0dNuYUEto*|BoQ$;M2H6xBvM=V`3IKZx~tlPcXj} zot3q^)cWo>n~Qf(_p>_ADK4wf1DDJ46RfiTvV)7pr)w`nhaLYg%~-cv*|xPlGweWh zcUqv4&629qn-)R~1ke1P{a^Z5mBTF;zPGI$S|CUIR(uwL)Qq2$4@H+vSPx5#bD}3- z%FRZ~3$c~pgcvr_%KA%qT>6BUd!C+OxIJgdy`Y8vt5m+##4FcsX1W*sD^0flsb0k4 zAI1NU&p!lD3G5#KZ+tR?X2+#7KRnZd_&!zlp|0(L>yYBW#-k$oTQMvlu3Qzj=Jw(p z;-xd?PoLqs(*F#U6*skAxjAKf1-L9Icgnx%w|D8LnCSHMNWIi~@S;GXTD9tQ<7Iem z+|>7_e_kytH_8j&6M~jMe_B46mBUhiz3QI3`9*Oj_~xrW{MY$qT4m_1^};vjslVIp z_J4-qIZNw88F28od(1Wc(+92=Ek&wM=e^Q>y0y5(KWk0ih5pF(4d2CHFWtN^;On%l z>7QZsLaOac`J?%;c7d6|y=8vT2$xga^E)0|BR&;86usnw6WepnPi|G-T2n9OM%2%H z5vIC1ncW_o1(&v7i98;+Pha73<>`8-{L0By-e+aj%4c`$Z$3TeYWeqjkN$mJb<gPY zV|dK}lzb`QD+}|vJ7@lN?KzOJ-Xe6*2^tDHN_$Fo|NI5D__V>^?LR&rsr?^a&j|K! z?~-$FdyjyN9v;8?BF=yRLd?I49ljqe{k(4G<|?=My6@!IztTOd{{&w6CNHTk*>~VK zJGkvrIp>3z1|+thavs|KrE=dsa04LK_+f5+-)E?`-d_KUP5)Fr+V}tLyd&W9=J}Fy zr{+B@Wt({}x~=V=&=P62CmSYN2HRW4ZC~_rm!o~!UZ#7|Yo?$3<#aW=%=a1Ga<NPH z$L!8REx+kiv3edXr+FT_y=^YE=#3Y;XVWTfWT6krX*|2H&R1`1J^A3n3vY1C?@!Z} z$kUT+;vLvZm;L)QZO?^hzh(E5epIEfO)qx1cdNSl_wjlEHobT#UKgMDcPTu$Z~FZ@ z{^u+#xR-OhpQaAYXqB_zNq%YXhiP`_u8Tu+xz@DhhjO3S3&%CuPX7oh=x(~bT2n0y zZgm<NeVJDCO1JI(f4k_4vzv3PKqbqHUq7dHsv_+4{N?`I4OYY(w`_kd2u)k_RQISt zi@M6b4`%jf;C8C<dcQ2&W$$0IdeY5}(di$+);hjglVJ<7R_)6)&MVPbLB*x3pZ}V3 z)iCAqjMra}7~j4s^=!wi7ZT47njSd6T}J0AJj}V5)XPL2hlY8k`ktdudvCf{%$9rf z8dBxdxmJ9)KT)aJ{F$Xvd2ec9>$mrnJKohFzo541TkP_<^Wa#o3`#FO3n}GyT!_|N zb}uM;;w9T1k4u{C&Q!6+ypOioytc9b<Dq{KbYCMf*i+R@_RH45%J@A#71HmanJU%% z;oDvP&`1yG$X|E}*4hZ2su}zG!}HF(P$TPa_iVsz9Z-{Z|Md6VCra)G2~?d9ec8ro zxhAUb;peL3>1Cemckb@pzN7xhi@YB{r`_a6lrQaH`rCHH%GdpBd**6GYx~Nn@U)+* z|1fq}6R3QRQ^;SkeaiK$|F#+R^LD(ipS=p4=1L}5o!;GjT{0yg{nhuU^W>{e%e>Ov z%Dtu3E$@BZ!OaEWjJE2P?&8&Oi$6)-d?361<G;q4r+(Y-PoDan1*wx-pELEU<njE| z8Qe@?+3mZSYIO}H?Zdcche(Ktc+Jcx?Fuo=<tg)$xzkd%E;6N6LRrmN=k}QskAlQE zy@=V?r+ZBAX4vMlJN_;-ota>MFprDLIh@^yYxeGH{@VHPD)(7m&(`1hyz>3Yy(gFM zeO~?EcK+wbwRY;?ZmsiD5fVQ9`_suz7p*;_t@meFugiP3x4h;1BNxw;jg$U=4f^@Q z@N#Wel*Z~CX05Z%)yInd%dW@|cu?E>QLWrF?$o7^_ZA+weY~PtZsHy_<Ilc(-nZYM z{rgcy-TU5(vrEKT9TWCF>fLMW)_UA>R^#tmPrq(ZdviR7zyC>Dz3AnFvX=WI>c?ER zFMRzYbeo`=jn4O(C(qbfmnFRUxn1I3`qR!MJ2ose-Lt?q@6e)z-!-2_^OxQTsHkx? zHh9$(XZuN<KY!lD{U)o!pInNMWNg)6WEoL%`&a2R+qj>r_Vhh(&3$IIuBb#d`Tvuv zukL)yZ>#%v>^x(A+SlY$ev$SX-p5xL=2g!9cyG7!r=V$l;g9bWP55YcU9nOVEMs}3 z!n^T$$}#aH)^8>kgwK5KJ|{YA)imC>W<T=}su$VC*l?AdsuFK6K0W`2-RqiwIB_-O z-#q7aue&^-*8XE>YGpv`?88RU$qRK~OKQxC-ZXF5n)r_A(_;BgzP|BwC+qL)Hru~! z{&n$=-fQ;MmldYxbn6rM#KuqDGiB-Hs}|e;O#Qe_ZUU6E=VXO6$SLYaelL7JZR5B9 z_kuonUQ5+^E&k0`>vgQmIo<pXwPjmE<HXfA&y9YysQC2uA3If7tlH>%MgqeDqVdzO zZ+u<M{cU|^p5>WW$Adj#VPScw;(X3jn4M=Ut~2OET;?wMzI@w>pQbZEa(=9Pu`@Ne zX!YAB-5*EHw0GwIK4zY}xBKwUr@GtDuX;cI_nDM!#iw7rxU*^c{OBw(y%TTWh~C?4 zz`S#A<H7vXuQw~c$;+zPZzZvd@637kq7TJ7R${I1?Y>y@Jjvj^&3pW7qn>-|k-~0e zzB%llEjf(&&+dF%U(wkuyx3hn`Rm3%-Qan!Qo-k9?sM2G+$+rI-?uzhF*_PGY#%MZ z@7kHy=M<Lz&aQ|%ylzpn=gIpL`ETzY@6Bto&v%jhbjhy5rr_}D=igZ#`{qvi4BD%d z-&6Cm_}yuf`S&G%xv-t>uaB>lpI@&%t<I%*UI$_$+6{ZqJYr4z)#LvlbKs`6KlL83 zWs5sCVY}TPl`S<t%a7gO5(wU+0h$(KJa+px>rQ#l7K&ddrtg2e&*$^!Ki!w6tLqEh zKmPovQtc5(lefJX=J#JczK$2{!&&?Ous`;_s|Ol||MlV5g-=gCkKL|Y4Id<5E|LGd z$`Z6$=F_<o(|_;OZ>~O*`nTTv^Uu2Z`TP3MKi_(I<J&4VIr!elr2n9))=#xz^459N zpd-$i$8O&S?ajOQ>x0vUPfzV7-_I+BkCx9%+;ejFlRvZ0JUcz@#Ps~)_-(m<Nq-Id zkKdNE&H7whBc~gBY+=d!Zgfw`q<r02xE4AZf8OZcIX2Lo-?jGewrTpH-Gx`-HvH@U zcx~-Oa5QXp*=KXOcIT3`g=-ajH<(skdG`B<P1LF$XUl7{{P0<jjrHK!==?Bw?e&wP zeqir0-w8g7_v^!{3!k3;Z*Xs4Hhfau$MD`K(V{xvw9ikcE5}b;D|gCQQ7dXg%EYw_ zt^QwsJ^TG*Pn?ZJ%+b#u5mQdTmxHF9IKOpUvrRh%neyJ$@$niXWKn<8G3y;J@MsR~ z*}gyQ9sA^)H9KuTJq>wx`pGqhQ|EuSizA1T$sP#|FBpc&SBpU>)Qe0W>q4h=ReQEC za)X;5bnJGh@^{d_-5QHePh;Ml&egs4|NQq!J)v6r=Rf%U<5P91o7??=MK*|C!T&%@ z8d4=!_wRlVGo9!0+xhB{B@KP4d$tzC17}ai$Feh1!ND)zU9+?2_a@yNIjjAq-$IIC zo4xkvNvKF>b^qDT(Aj#+c^}Kpf@X5oFVj~yxVLO3JaVTQ-#a!F67-KJ>R&I6XA2G8 z)>JZc?Zw4r_m4ln7?djGb^l-mV#D5F@WfenWbK-HiqMHOZ;AZlC7{%k_3Km6vD<dO z@Sr&@k^j^LlKiVD>c4-oFDEZ|v#82xeaB6<y7{+j<m2z@`G`G8ZGVi|DESS%rbK<y zuNkv+pcZ)cY!`$~y85N<saErZO@dmse&q9+^7~+lS^PhRPoRyeCj0sGlf+83=f^$z z{o_-nuHKZb-&`;Po^^Hq-lx#~JLgn|b|d8c^QS%AtDE3iH{9UfypKipGtN9aE$_bX z&#VtmpH=64&6<Q1GN)?QF&w^p<F6Z$YEXy2>?vOm0$IZ_=U~NeFL<P`N!hd88<K0& zmE+$%+n2L@_t~%shwRtB{d)HM$I87G20LuiF$`x8lb`GgbvS6MNd;7%u6}u1>zMTn z@D@za`h_C3$GLv8rd2+Hl7=(SPT${E^Hb$}>S^X{=Z~M_a(VyeeE9w2&(C|M%AI^K zEc(bZs{TAT_-2K5wVja2ja*}QZ7wY1<{aDodkttF*R=L$;j!pKzh85Wo`C1nS4-r7 zT`(tp^39r`PEStP-kF~H&u-5ehea}#9}j&0Sh>+dzEGffX_4txv~>SeCQSacKXi`q zlW@=WpGzR-TTcIYZ60`k8`v9ikA3&5KL>66-Y4?&llh(LC$}94n|9{C>X)C-e*dV6 zvzEC%=MzTC{JF;N+x!X8QilnCqPG3XirM$z%WO8y`uI(5Iym9H>#zCg{_gawR_+b| zW*nc6QX=^Fp_iI5Ctf9APlQguUNg9NB^tEE;OdvBO2=;3Aqt$MJ=;I~LehP}lhcRq zOxImIJBRfpSM*}{+<pD$7cV_!F(vjgM)cYreU*G%5o&rJ$c<AV=Yg+J+f#iH5y6~~ zefQ~sLq@K%rgGNrO{PCpqaA0ZWq(-#N<3RaB-=09YNMA3o2FeoevBEGdfGmgy#sB^ zUcXF#y3xIN*Ws&!Vvbe3j)Rmp_j_w1h5WXh^i|aI`dm5xrR3_6I{Sm)KR*5bs;B4Q zjXfBFe}2QSh?}ta^rt=MKQ}>Qwo>=;+iJ-6PEfULbu9YdByh^9wEFZ^<lSkL{r8tw zq^N4YpZE6nk574ydJH>~3emIeQ?4+1_P8m~sP#G)%?=Hm<UP&x@WA<0b?o;4raSy< zn}2?4J~2JKFh1|@oGnvVNp5rNzf~hY-`#s^p$4xvM%agM{B`5=9%y|SZVbETCh~lR zcAYkS&R*)+?XTI82E&UF{VM(3tNnGqr*5#>AiBg0IdZ>E#i*)y*Vw)L*9x`Zy3xIV zdC($rbI<l~)8Pg3y#p22^Cp9f`{VBWVoul2eD-|0<1wwN_x(TA*8JRSrM$R)mm+%H z7Rj#eKWh)mv%Njrv*$xYUifitRT#WLb~|?aM=&^H76m;y-Fs*H?dSblLzipk_R4Mj zX=m3zP3v*MFDZ<`$xr*b@s~R+>9l?<vr&drRdszIxBW+?`)JAhr~FUBjgglV_1{0= z7h`6&K~&|n{KRdxhW?=Pex9Cp*o{=_N9g5!e)3ntt*}K-uO;)9K}%s~?b|c=<GV^P zxbt~?${+lOl*u<I>dQabmy>%tO*_aK+4))TyU=rOPSV$n-#MZA>fS+kf$w(g_TMG& z0)Kw`9^3m<!G(feN6k-(?@ynFy}qw5Id!Ag(f^$PSrzt`P2YmF7`1-Q>`=+$@$a56 z1uwYF;LNtqs7^y=@!s8k3wc`>od{71QDWiQJonA1V{F?Co*(OQaG$Zr?>dLY5ssrR z_67p8IvO*Mw7Dp&ahp1-3OP3m1{&*f8g?(b{`t#qyStX@?=!3Gx4hqb_p@5N|M|*u z)#r-$y}$f<&-d79oAb3g#j}rWIwEqzWap(1KZIA6t<uuG|2geS;gl-h)W&|n+C7t2 z-Kg_fW%lWDa^04?7=a%jXEOdwe7(VJhW-8fKW|U3IAZ<l#q0jS$!y0L^Z#o-l+gA% zXY=1Z5v2=Wmu|j){q@yvljEj@NPcv4zn=Z?-)F|l@!M|xi(7V1wZ8mk^T%*sQJKYy z=U@L*`*e4{)UQovzfFJqz_H%o->YBGOzXej%YWr<7Ik3u{^)yA_iw*4otkpy^S#AU z`_{LpSxffcGJ7ff_Hk_4;R#pUYipg$c3SB@U%0kgIsR6i%T)bbd$zgyZ+}+Kmr2>D zlkxlUmF;K#PR!jlKk(UU-dX$Y|1W!~e><ms@tNuI7yj7($Tf}!TdvSmQ`!71zpj6! z{!I<}TR%T7Qi!)peRBG2aN_KX7ZZD(ub91gExpM5YN_V_S#dHyFMU&9Rg?MK@I_7L z`SZV@D13hEmRrB)$LeSQPMF<3ukrcml4tMlt)KC4)7fw4r)qw>p1nV{`b^D9v)l1~ z%^IJc_RiWb{>JR9YIMZDKNS=7>(ic`KG~ZneJLa2vA|Z|-@n>k1eL~~I%qBCzHiIl z4z2iGbv#z_w?2Qivpi9=)AP2xzs}6x%C~du7oV9v+qC|3`D~~~m6M<4r){69e>3g( z=|Tb5eRmf9v@Tx0Qvc?mPfwRO*X)cxKYjM{JkHw_wmdw1#(Q7xjqBT==wHwg`>?PY zt5u=Z&HKxC@3h}^_M7pkn##@3-m^~IpR@UIuTlKHML(<G#-{B{nf+G(xTDC=BCpTi zm%dH2nV_o^A1C|dwEe4}MW3cgAKJDo`LI;`irgF5&0VIidL6Xx@2y8Crf-uEeCmC> z-|@M3b=^I6_L=(KxBmV3vKAhEYiI2@b_J&yx0(9+m;daoZAbWO&b2?aC8w@T&q@4Q zR69YR|HaQs-~VpleYs=9F~uzDZ(n&YE`7CY%I{OylEj^5Z~M#dr@ZUW+5ET1D8A0~ z^Y^7^mqGoMcl}T8ii~I8+iw0Vl5v@+U!L*LFV_ehO>=lYJ*}@kF+J8?^XkK$wa@HI z`?8{!o(g<zU|&0L`l%TH-**<|nQyo2uid@O{hwPf|E7s@;wz2y@4l?O>8-^tq&<6` zb#G1bwCnq}hpw+@$*B>^spDExWA@-{LZWiS9j<BH8lUPnPpv-qN^Zjj{+|6MUfJ`G z+26aL^iXy~(V5J(RX-;u{K?v|LGgjuhF!`l-m^;cv(_+una{Ynbi<GK1^?0wuCYk} zXM3%_KI`o4&yN3V49fT;{<1~XGpw=U607G(tLHhEmGJm_!q@EyD;b~t<2&}Bz3TYf zd$YFxv)fR_7V*d6#apNSk4jghn>TIL+^|u2%{}gE-<o!|JN_@+@Y5vXH)q5@)`%6& zAHyBr^KQ7uqWzz-dvW@fKWEl4B(FYj%re20ahe`W@oR_wS{o{DBX%2R{1?9#dZV{$ z4^P#7=GXnrOHVV|$GPtP!8W6oyJs)6nVbEEPwRIv>8xcce%|y?;>I3<HEWqqhc~u< zO{fum;oJPx`rxVBgpYLwzd0nTnR5Pctg+*t7ROSo-~2B-;q&^0x49c^n8l|3*8Trj zy>TA@we!r{o*QPcZl2z}G5dfU<C;81@7o9O=v}zaY_^~InjG_K|EAXTgFm<zY?oj2 zUvAAFJ~94=o6QM1H`$}>%=_3^YahInWw4#?i~50Q#}9nU-I3R7&79|4{U`6jZ>xw} zy&e0ESC~(m-}$;mDB{z>J3kl9XPO<kq0BO3ADd1N!{cbjeD;X@tYP08j@Bl8V^4Ua zx#6QuL@lGvTlV7h4gcmJc*eM)Oh89J`tGOQ1xyn5qF>H2z0N*xiX~!?$eB3KV|MIj zW=&T$H<Yl?xXt@3b;D1Ch-w*~@Pmi^5B|`1d~crcH*~`%wul<;Gy9m2^;vRXuVjsg zWu118wK#b}z2J=)_G{~yPQN~QNX@{Gx2nAH>+b`n{w91BH2BRTQO%ZP!ygvU;;rAj z^SZ<T{DjZB8_bxeO`p&E*PrRJ-HW;W*Cr>Za*OG+Z@%8JkvAckJK`?iw6eyXwhQ+2 z=h(4bo5yncd{e9Vfgg1js#(|U=L_R&INF?0cGFUKf3kW*@6?1+(}*bMXKD`rgEmyM zM(pO($!96|TduD5>EuVzgNL6+h<#@~lO_0_`Hc6rcQ-Z^TTSkKK3P(Kg-_4($-<7Q zNx4SzyNXk7Ci|=InB?^&I6201^{J?JDaSsoEL`Wa!pmrd%A-Qlm{qafE24JAMz5~g zB-~lyb@fKKOHA+lG;^VkoT16~LY*78{xq?k<k8dr^zs`|rHNk8F2C_ont1NX<=l9o znIU#}zoqKTJGcDyz8=+MpI>g%PflKS?OUqO%oFLi_NhF6{L|<5^pq^6`I)~bg?vuE zwNJ%(Rr>AePh5;ZB3?&6&)lZJ(l9mq_av$CZQJx$E}6CPt#?-IuI<r2->cXCPI%nC z^3}d8r4s+uE-jq@`cG@td6x1AXIiDow>>^lyXB61@EI_*{gK1F#nIori{yKM$L;K& z_WOlP%)7-OmwO+olwVs{e|X2&N4GlC|8*VcNWar4^*rF{yZmws>x>P*J?~zNd{y<P zq?`X;_Ho1iwU^%3Z1mn!$RoJ>XtT}x<M*XM?U6tK^l9aOoA=9Wo#%aj{GPY+p8omY z<$mg7Zz}EgZhv9%rdd8CZ|mf<smq_g4v8uIl^dv~XMI;Tu<+)~_o`ZYzgKJmF&Art z<W_fgo%Wmmcgdqom)AcDEWCMtZ4OA-*H%mK_s3IiM^o(`Z?4$!MqNu<bb4%UPG+FY zxBKEj&(&FX*ld%lxw!6u_#tJl#);R~6}#w0itTO@-SB<=#IUfpwT@HvuZWSHqxA9q z?lSL<%VqWNUNg8|@ycx84cEpxErZ*CyZ@hy61GVFUwtv>zW(2s**&&3TsJ;mdoTK_ zxcPE(=bOKG|CP7Y{WgB{m_N$@bNta=t6x{u9sJ&^XZ?P*p7rsWr}fS+fAVvR+}w2* z3(NGX>g#k1HO_lliitG|wLjE4_4!vp?60d@g%a!597)`v_cv>*1y6X`BZHXfbz8k; z+O)L`CDwWGk5c73JawuC&-JD8YlYe$p7N4udwpqrSm)t_R8_vir7y!*A4%M?NvQqd zt}m-Y9~s0%b{;0zSfh?>e)rRt{<N-@pO%x@e%CIkHo16->8pjt?oszwU48vbUF+@n z=Kd}FR9An$UUwzd^!8`@SDE`3Z9V_=MQM%H?7E-#zV1_(7QM?K9)I=ihQ*WRzsz2? zZsQ5J)P>LAKIwmJ^LM{x%)g0iC*L*gUp@EPwdaf88(co<lKb%g>0f2D+`o6*ym<Qi z`rK<P*Ej9!uHB^dP3F#Xk*`f&>mLWMnYXeld(YKVZcDF!JQq}J9h?3+cCFR<hcCX> zmPYN*w%rmJ)qncl+4^Gf*YEaZ%Vj+mGUIybd$iQ<sG{if+HG~K7FPX|ZHRlkAb5Y? z_5P$imw!IdmY@4ecUfi3^_92Zt6wmESF+<;{`T{B@v}Gc{i`u<dS1QXApU<O?{BMH zR_C|N&-G8f_4&gNpX+;a($8(5|F%7QPu=(E6<<GCoYA=MTzq@i#(1CU^+<Ayzw#{B z`+T=Pt^VJ{o%L>_+l}<g7S+AyU$ptf8A~Rw8ULsLF#h^r){8@4Gely2Y<H;b+90In zDjv+gu2XMKi|56oSDLdIacd_Al^70?vMBS|wEsW7<Rqtj_HEr=FCXybvgm{#6Mq;! zKKN(J>xcK3U#-5J{>;@rR`6!MO5K?XgZ-ZAtCZi*|M^aS&DNdV4|m(-T-N+sV#>Ey zES4{G;eMf51l#IT>BX%Ju5XjeSze}hXW8UWDx%ka?)}5ZSSx(7)b4Nmla)K(ECyxn z<@YBqc(h4=bq;swh5xTF?#q8+V)pl1%jxxbk9V9~T&9;;%>SsW_3Y~`<M+E__VVS& zciiW+thsV?>zw7gcCTZ;X>~L9dilDl3D3Xm)_S(RYGrAjyZQg!f8+f1UoZHctN%Af zdqJ^y)?O3$?caINKHqik$?=+N&eeBf_-y-P_T7B^$;#^M@<QvIl|HYWmtOyOrT^Lc zEz7k(&*7JvU(5M<{<C*m>UOn#w#<0fYEvb<KH9$a?&go{Z||@PTmSxW-0zP&&TPo` zH0!gUKKIY^N1Mtor>^@qDZhxPpK0BX$W<T3pH{uFeLAaN^X_|o|B};QZLy5kf9I}z z7hkmZkZ8xNI`vaOtb{&!PWjd5K5I)C)1n3q1+EUJzu^!5uY9_kVYL8!v1!cNNw<#) zwuMcLt!%h6m3>0TLHoY0l$%~TCzo!GDZ6=2Wb2Z!o}H(4C+&`WI>}j1arzU{PfV6i z1t(?SQ@9>eeC9ecU&qVD?Ln_6X@xR+2PFP_Em`x|xLK~CQ$^B#F}oXokDP?9K~=(+ z11}mcGXM9N+o3AqT~sd<WZ5)<Z{`2ogc6S*><98bO+5BFMz!Y2@B5X}pYFf7f1y74 zU*GG)2Fk~3Bt9peY2V9!?Dx@o;w5J5_#gi=IMZP$50-e}__1!|ch<SRuMh9A<1tP; z(+-ljQJt_S{!Oi8`r}^)>-Z1%NPK=+Z!F(tD0SYQf6rNF`@brlb^VXyE%yC1@%-2J z_~T@ipWJ=b`#mPvKl<@vy5}dU<MstLpCc#zVLX1n@XwRaDwQpd%PszOJ-%=8X}M=n z-{bQZ|2iM1pP%$3+~Qx$<EqUTyX~XbsNbIc?P}8BM>QL_>OWt<JY2Xv=YGtY$!E)| z>}Ib^KUr3{H+Id1c{iWFDk)6rUD@^I&!?PyZp@FKWM!^At+w&@Ro9|jH9LLgC7d`~ z5-B<R+w--i|MuwRK0Dui>e<Q1SAVttJhNq+$Gmgf&p%&&`D|KYRb1FM9@edEog9;2 z2VA(NCXli+ck<qaCyNU0wr^YW$8)ds%Aop5>i0C&EF<UUUthhnc;ZK{v+s^9Nv(f& z+<2w@g(cN{uf3M67ftrrv}?xt<+qPstug;|;fvDgoV-tF8;**UGG|Uyn{QJqqEMOX z8z!!zZhm|6(^pT}e?NJyFBdH{|HjduY0@PcQm+D9SNUa&?>5@1ARVx3rFXAh_vgu9 zn{VdW-p|>UT_UR(pQHNo<I|fC->s#dJSwWTvE3bUPWD6Yuf2;a%`I7^WKFZ~`?wa1 z>V|9y3FHm2TsbAgO>3c-a!7W<;>NY?^AkE}oSPS$CwS9wgZ0OcuaB-~zNDZ#FXG9S zniXH~8tVj}ep_QZ|83DzNujFw+Piafvu2C$PF-?h?aAQB(K93~XSL3JWAe#GqGm#N z`}(|ZFV!^OEY{X|qpYLxX0eXO8)aRMA1gS*_VqrxEwQin!R;S!Cx0~fUu!3K?7L>A z#QV*kX6}tx@3$dL_ng1{+6}*g*>)~fo2a<^#{G3&ho;%h&C=<veEB{i_OyE3b*=Kw z>swbX2xVWZma+A)*gLoDWtBV6zP<UhG&z2b{oHwH)5{b--<onNb()O*mdiKa{H!V7 zc}I<Z+WwjQZi=KPH~#o~Cq-<J^@_acXtCXHlNSa4a%-#3dnz58d-|67tSi%c^S6BP z+?~B;$M1#$^OA0B@6;M*QHQBN-Fn;7FPP1AmEHLI@}h^5Gfa*a%xm)Gjhff&S)5TN zq_+0LC!K=lrrW-m_}p6bv{GX7({0~edNhrqtJPMddv!;ryC0Rflk|FCccxbN?k9Yw zFWq{?_9A-OhSKw@r!TzPnX+`F@A;)Z+_%5PoZ>R|I=}SD*IDztZ#ry#9<*&~Zk66t z&)mz!D~%Ftr>|nTR=m<HX5sT7MULF_OIH=${uZNk<KDNJtl*p5o(Cl>=5o)skL;Ru zJ~uhQ?g_`|4R$AjoDUVOyvzBw<)rp3dybm$kBJ;vZLV@{3Jd33T5>FwoK`8()RMTe zz0u=^x=@>gu;jz_t-n;=y?88>IfUBg7_xAF4!C?a{fXxV*{M1vlJzbkhc*P9%{Eut z%oNNu>r7W$O;ZS$Udzj*qLdH~mGcT4ofIqCeu{E+s$bF(nN&X^cj1IRElaptQv{3j zMJBm=9CWxb$@RpM01q)mMQ6pxmOVVJDT0@bMJBnPxC9c61PRXJZA}pjG8dWTs=JwE zwNlGMS645wtqWaSy}z1mS>cf=c%_+JbcUHgo0w<>zXFf0)`mBZ5>X*bHgLSk@rht5 zRcmn#NOy_|N$@t>viE=$lj7zR3U02abPm}bc9>hL-o2rg^SDYk&t?v9^OiG8QC3`s zbq-zQcS;l7mM37;ZS*K}hU*O-#}hh-Ch;q7=2&eKR-Yy~Er?^L>kdJu6FR3(e3sm- zq1<hh7U(9HdS=laol`ShEhDEGb{EWVo)9@@va94~4fXD#G{Lv*-E%;EO9(&EPi)}= zKBqa8T`f0re3#{z>B<^8#kjlReCvcrm#tL?%XYH9(hW|SEFH5~p_?mBP)^R_gwCNj z2B$Q^x8;Tg(vx+xX1H$osLPcmnD<=3sM~APiUhH#DS-!*LMFMYZeF3%9T35HHYXt8 zK_co(*{p@G8k1jmt$5V-*Z0*jrc*luq9!a|@tP^~<ui3I8?{mqr_DYupUHad3%Guk z{Yu@!E2;9U`FvgqHB4on5VR#gtW9}`!UCU!pidI3#e6!A#Dnsry%aol=7!XXtjRG@ z4OL`))%GB3>g-$%d8SO??afW0g3Ffuc072BBUrjiV70Q(-QLqVtM8hmO=T%LX%^<? z;I(a`0PoDJQ~K7-FerU%VQ~A7)R|SB7hLPZd?m~^R;xKQm_(k*I#n3vE3vAdE6jI+ zM0t3Upmx+L>oETrm+neNI5y@i(b&+%6!eKfTT?+bY0a{RDKj=MVhVb6U=^2#CfAxZ z21}#QM8+~|{%jK!n_$JKle9E?LS!amY`Lk}#z{$Wn;tQ3X0OwTEM?SO7q)26jI+C+ zulV-sY<{Nl%rj!MyPtmHHQOJ4`Ds#GxOn>Nn>IIW*rem9{MpB|+)48Hj9A0FTmO_T z$Znlgy1nu2i(Rfe8sDbWF?Yvk1b*c)-*Dt$enf!JVx`;m&l2A)%8a`-In1W8D7;Fv z|4I9yNtuD0e{Q@m`RC+ojY6gu@-`fklQ?taar^Fk_kRtxu6H)}xQ6PiN)8n6zU3ie z+#0-dcHnW>MK|QG6if`u;eWN=Lwg(h*61aox0`qMW*^-WaNN~vqwR`^N^5V(UCEC* z{k~<T$HB#uA7*)f5B9mg!rR8<&Ey^7ZzkSQoM^AKIw^m_l2o&!`y32Umnta-94nf8 zf<t6OjJgri((LbVTD%y`_?I%RZJB;kVWUEq<*Ar^nxA;NV%7;qc3ztH+9hn!il<f+ zr)s3n^efR6*&d^6#FVOgy|c%`5p3EiuxT4()Qyy+B);mrZb_Qvt)%=&U~$XSy^pe8 z-4^6L-K(S=u+C_1hQyV1Al;_g*E^LOqo%%gaa-W_)M}#O3g4Z6DK1wyUA11foII*J zQBcEYr(X&SSI|0P$wsFsuU*_86pCH$3<>BfntFmmWD&^asv6fjdk!qt0V$a^`L&DN zk){(IBJ*-2MCQf_Nj4^dgcg`Q2AMu>hu`d)@;`HGSANs2y_sxW^Hs|6hub@m`0aP^ zuDx@EKSg1CHA`Qve;e10@DS6eeOyaqi;tQe|Dv)zsXDo8P1MG<8(()HbxCM`|J-HS zk1W5#D=c>JUTu>Z9Jg_=wr$n1&z~N|y^q*jt8H6%Z1R@?e(SETw~=#8bB=rcUeon9 zJacyF#=BX^*9-2QpgHXsSC~e`%A~p9m#jDuE4qv;aQftqX$7`Nd5(5@rA1~>$f{EQ zo>P9I%5Lu4e<p`&D}6IP+IXLSS^G)RvNpKd!>;5)fsFmk^2s9cubxztJXP2H*VN+g z?Q>AdT<+NN`9IsA)Xm~u_xeliik)|kS31|THrRiN%=s|)-#f0n%7xuBEWdBoNqlwT zTRiVvVu)&LO_S`q*TruPc6I-940yUEC`fW;OU*5Ll_$dg6<!qoR(P@5noZDDbxn&_ zVd(~$2=>!)B^%zjv!9+<vf+(4d-p}v5cOrBFJ-=5^F%;c+wxe;DMz)C&P{=fc|`v1 zZhhy_C9uY2X2Q{#EZX-}A_~<HcG+`=b-q4Q5@)45cdAfoLD(XmkWQlj)l)O2QWr!` z;S8(s-0;Y!Ni<(*&5p?lN9VJg4rE&;e7W-FmXa{9DF?m4Y8|F(JkOfam=&lN@lo|) zmoaD99?uPr{F+4Tg>>8{SNQonUwZjcq-G$Sw(2Q|sT$0oi(*y;vaNERnQ(MEi}pE{ zh>vOqyR13G{&;SXNnK!NICr|AcluHn$u(0zYI#CBi&nZxt`JLY5{(yHV=+15=xi44 zcPbGd)eja;X?z8D{DvTy<Fh6_)Li<FX_bZ0iboy`x|AEW`dC05nOM^u_a6&Ri^!<^ zzxI@e>JpZ)-qliJx~q10o-Slw;W9PgW@F2#K-G{k#f6*rIj7F`SRo<Zprt-_(kTyB z6?f5=CpWnQ=B;6Py0dHcCYjI%uMp0uvK}kmI69?@3u*nH5OA}zr7MtiMNyFE%AOFX zq7X-qJ}n2!RSZv8JW|tf7hNC}>XgbZq*Xm3;AU&fDLa*rGNpw{A&jf$gm`HwPn{qW zDllm=R{&Vgj+dtbSz%6+Q$co8D2kI-FiipJ$y(}4lKET3NOHefR0yLMC}b2CZer)0 z8tbv*jk8m#x{#Ke=z>$8OP3f0ah?ohRRsH$Y0BbTStK}qL;tI%l3k1ce!nQaMbKPL zqHgkAleYLo>w_P5XR9xmFZ^47=iG)mdl`-w?1vj0>J()-UZ@{zY^Y<F;fSz)e8%{k z4Hja~<r9{l=NO+~tT(6f+|1{5D%EfNjTLZh<v-~DfVtrG&WCsM(#k%lbL`lc{eC*9 z{tNYm;$MW%bN@Q|jP)<e=cc-#N=N&Ndlt;MiM!x0p)cVxsc&VxOc|HQ_PfSf`VY=b z%=2kBcHd>ldrs!%F%yg8CDNB4oU!Cx5`BaD<uR5(aggw?f@gc!-yit8bKxDoJhjN5 zR}Z%zjL&^|U994~*JPi#_2-_=K53@CZ2IlfPoG?T#qxfK<^4Rh<NWjP?=Am+`fJI? zxs#u~`%<(m!grha_ZMeHUjO{}=3WhVM%BK(*ZDU`&i9EsKdm|Z?VbHqw%%$Lst4Dr zU)R?cKYcUp(xYPgt^V`sGJ}6fX512a*>Z2CQLLW1@SpULt9#$BzWOW2_-9t3>D;wt zv1*%NmRTKaIL#T`5qD|w(#+<`@7~(Je;jp}yXoG;sbZgh-kEJ$!zmbB^s~=KGxqbn z(^ub|{k`+U15xkyf18|*@4wx1V{g_<@A>7`D-Z6Tv+Hze@rRVJRc${%y_z~RXSq1X z`=?K`_b9x6J^8Ak+QrjXx6Y2L;GR}(eN8m3`fFC<qi1vW&Y1Vd!1m~>!fiW_-L{-| z`Ezjf)+N&u_wrXaU3b5`zbagN#^+1SQJ?l5$PRgT*i=~Nn$NfOJhLx&@9${59D82u z?yfH%HlJPMll|wN$z9!$0=YP)XEIfl`wke)=DZhCdU)QKq}9@8OU0A#ZqVLq^Q<k_ zzWQTo-Qjipefu?L^`GUfethWW+1+C1@1yQ+c>P>|U)`NQ9s9oW{<f?8^5L;`S?!Mp zKR@T!wX8lR9Vh;kr;5*Rd)fRa*DkJAuE|r~o_BW{zh7ToeZlj?{dZ2v*4#PSUT*is z&u&+7`+|MH-zt|JX^eY!BR+Zk=f!%CZ<_iS@BFWSpy}A##mawP+i%}pcK>qY_WoaH fb1&^bnBKw0@bZmyS-Q%B85M7nKFPObOFIAnF9JgF diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc index fc47b423b3ee70d11db834f8c2a490b5d1c6d05a..5dc336539fe2928a31a5f96ae8099929ec4148ca 100644 GIT binary patch literal 1156 zcmb<nVE}^)#=X-uFDwZYIDT@cO7+CqcV(;%Pd-t*DWfvg>qMxj(9e?-PfS#vcw(Z5 z3yV;vOs9(yi2wQ5>-fnIIa(oa_x#)2)_?u(|Lgs0&F;OuYRK1f@3+<a+7-#)7WLV^ zxgXf~P{{AWjqv}B>mDf2*|X%IP~HPBzxc3v{_P(a?%xUhFS;%<fA#0x)mHBwK4eb) zuPoa;Tl9X-o-ft&HO_xLT>R&QjJ?fqeoW^7<-6j#?VJ3cD7P*CeS}s1A+wBKKt1dG zhf?3<R@FC@f8g*h_%QRHIIC4#0ss34A4I<{FW`Q@;rpsz+&h}wZ@e--7&iYyaqYQR zn;X~KY3BUg->CbaHRq@G!D;)AbAG-~IDKDq+n>t#7gaj-f;m6C6HmvpMgQa5@N|8X zZoO&FPw9ly_c@~fHF;c5{>7Pi`8?me6Xjgu>t7aI-Z{)#QxW@D_)gID%hRp$W$L#+ zDLi`g@>2U>RVNR3ea@fu@9lQ)cL|rh`De%NdH<#EayS3%xTG83ikWWL-8;OjoyW{} z%7&K<n|%3gbE+f~E;q}+{^i5Zm{aAEaQQHwnXOFXWoN$Ga#A<G+&i@FJLk5#lE$_2 ztoJA9zmh*>p8w(xpWgitzlT3`pUUXTX^MaNwy}s;{vjLR{jhre^bZWb->>+eRd?gJ z<MY4erFRanS8%hxUs=yC|4@W){)+z$=^q5YnZMe9Ky;V&-xZ&aUG(>s3!C$Q&lmTT zla7mq@7MWzbG>(8UG%3NkD7|_?_=iM|N5`tZ;N*KM-~Tnu9eQK%ulYnclqwc{oA+y zelVl>@19?MFLs>YTr4FQdHzJX%jp{a+vUeL{95<o-K*bktsm9+-~1QHeA_bWUU>a6 z%jxz3+lq~<SL8fTlG3<sDN(%c=FaU4*Wa=%k39PL@51xqUyd^Lz37&%Xi&CTuCMlC z+P)cwZ1=SHzc5_V-@oQ?`&*eE2d;fr|5iCqz&xjRLGt|XFSfO=<=@i(WhcknYa6~c z{`z<+{?IjcuWvtFpLd7KYlQvYmlS{d@A9kr*5AA?_;LD&J@0kr9|?}IdnmN6W{Q8w zU&+s`IkirE|NqOqS-!P6^v2rF|Bu*N?>_c!uI<MBC;zysmlbYnzn6N?{k2j3(P=*g zd%N#0%-<-zMYpVT-O+Owx7?7d60>$aopk(#%NyQV0=J*bte97r?Z%zNe<k+XwZP<! z(XT4SW=EBsvkNxgXq{D3ZU1v>`QQ8D+y4IUW&FRsU}2^1x6SA7UEV&g_?zmb-}B3z zJ^xo7yvbP|d&)Y|yVBqPZvXC@@A_%=-qq3@mtJB09d$~2<MO3@!q3TS=6kN6_UYJ{ zOwIQlvKG4?&IjGID71e!>62e)#60z<Yi||@-DCb6drJE9?WKE!x5>O$t>T?~*IVG- zq)q-AA#WICyJ8o+ZuHLxe4`vCQmx-zI{k+A7LBqNv7_HE%)g;3wQH{U{rVcyBd-mQ zJ$dS|ZdQx9(XuBy)xOI;o+mYT<?X4vmi3sLewWC<;MN>hbIf*E*2P<5f1hR)Ic&aY OzeQX74|l*_v#kJE0dlVZ literal 142624 zcmaE4#Q+8sjInpicWj9%{a!d}Mu+afK3VIm5T8X!-W!sS_sLq9y}7Xw%y_jp>G-rB zS<}FtV+%C<+=LftE}7|BzyA8y*zctq=hvV6YPo;!x3~jysz0BZGjnpx>p9o{|6jlB ziT=KblUt9TJ$h7APfzLGhW28c-IK(398%>gXzdrD_R+L2V*SC}M^*9*+pXt3kc+N3 zaM*Qe-4RK<1a|))2R=?|m8t(@v~qpHm!MxqA9^3*<%`pqCx85B_121mUsoS-kJ%fv z=g}w8M=PiNdRY2M^84C~gLku!y5HI77E>4T%6cDPP3(`Tx}Z;wuBX(r&fVP2F7rU} ze8o}idj+}Pd%tVW+<)Az@4RAVSMi+0=u>Ohe(yLcJnutSddz(9XWQ*`9outd=0<-K zSZ;AhJtpC~jnTd%%<n$7u+RCwtH=Hk*ZLd3c~9Ow?@|AH@%eAv`4v0rdMpmg`W1eO zIGH`?5!?I^jMn{=KMKoz;B5brTpRW)vY^3S=Fzc<|BCNCW;*_S>Yl@r?>3g&ofLob zNLg;<=iiskyX@CHC$E28_%WCIjq0W+qI`wD;x><X<qJN$th`^)?{A@}`u)GduhRt$ zYt=pHC5k_<IHEhRu)SN|`)^P7o`nCJlWwy|+8^Sxd&Dunpy&2-ui8%bn1@d?C-GYx zSKc;Xukwdwr2WI^btW=(_fGJ($KQDFe)z2T_sTCz_nIHRJN<j*AJLCz9%~*v`t#|> z@Wj_lkEF^gj(lTPwSOQdzp+~JWVlVz^!gPx-^}^t3tIZ$lpC(oQ~dlj>inLQ;ZG}U zYK-pG=FUGJZ{8<A-?Fae*^iGiA0JOg;{131brB}A=6L+0&d-4l=lq_`c)sGu(WN`& zDvn6|*`C~MKk1*<o5wFMO<KO=nB+Ho<;rI6J4w$sP4c%mYHXMIU;6iJ;e@?*lGk2O zPTp&$d+qc6MBD$y*FJAgu&w`Q9y$N>^90*^!E2wzlWpr&uYC?rw5?~p_SrniwqE<% z=k1BM_4joCzWn)l<GlSMuOF)){`DiXpu}>g+}gh5!r%1ufA*-$)OcUpZjs;p@Kyi! zo&VqNO+Wm!{M*j&>ec>j-S6KOKleX+PIO7UIKRa)_c;$<bs6nDq`R*0g}2?SAFEGU zvA>D&-Se>Jqxzo2`iX_#rl#y;_-=7{dG?7NGS~O3=6!7ZD0^<5&gZi?mew7#-L_x- z^OT<Q4b`G6`#&72GCWs5+e*e@-yvhUf~rzU`$wYdvySEO_~#pW|M$KtTlXu+*S?7l zp7W^hqh#MYt*^X%%+C%A&nr0fLvsFxujxVNMSINpUaB8Cchw@k;sEQp3Y#evhi&Ic z*gyF5%ILmec*W5;g`K~jUy48ZzrE_lzHK%32NfR&?|BgQ+H!vHHRJn?`PZj>Rl5`8 zU318G+drpkz3X)=8;oU=w5Qk{*VFsZVQuqRPW<}BU#!=Ebo_no_3qEoFT#o9@`XMA z76;#cU9#^G^SZ*K+LP{c9y4#tSNPdxTXTs0&3}hq(FF~s?@#^3V{UQ$;Cs(|k0i<~ zjv8-MlHC7*@3wnn{SiLDe2vG-F%KlH%_r?SVz=%?3wzBG<#l;FU$yf-wjG}B@$PTH zFYgWg=5vyMFEg?`Y@7Fial6ItnLYChI@90Wiz#~TzNxMu*XFUndW%D)I$v4e6?86+ z_PF<0g#SlN|BanXd*VMf+}%IvpW2&;f5MFH4vPA1EYCifE%S&?|3`zl&BJGALG_1h zx7F$VJ+ghO%>hZijiuHni{CtA>fdqL_+8;dkH1aXHAnuvRV}Ym`s;GzsJqN#VZVZE z_Lb{DG-S`Y6ZlE+`HzOT)}H$k`R9LN{9Uj6SJ&de)45CS4)dNXED%2#E%TUfeZ{eB zv8jKWtaJYNuCY&IU%s-Zzo0Ss%^lxQLcez$5|;ZQ*uP`f?knqe9F^vKuefu&S3T#q zk8Qy@_k)k6?>NqD_dqQD`=pP;dLMi4R(t3DUAsm;LHfMK5$(Q$TKSdw1?}E4sgu52 z9A&n9s9g3=`*FBU!uREu?zh;^x$l2Wea9iqZ}0Rgd!6q*V3q&Srhdo9;g$c6<JZnF zng64461&A=#cgsbm3^@>4+P6Aj@jzT>3rp0_fhco&L`*Yd)f<@eH1+Yqeb|Roy#k2 zn+H<r{8MX=SlT80PMnl~<FI<ofq(bC>$&*~eip1eU(j4EW8?Hm?D&qu+VeJk^#I56 ze}&imHx9mBoKn-mEt9-_0#Yo?=|W=pxW~J{i@!`ykk7X``Yk!NrmgzU1LnNKZx)jF z4~5R(sNM7An%Zmj8^?_KK6WX`*gL-BzVlGX-G9oS<C=0GIOR76pZX!nf8($E%lt!f z=jN+C7LIu+Qtdx&&oRZk4^8|PhlTUzDScJHll*%A()mADLcBar4av*%^dMe7KjF?# z@t5j{^z0r<%r9uV?FI4j`6&=DN5Z_k-wW*J9H^Jw;a-k`cv%hX<<Af=KmR#t`i<kF z^FB26R~!k2dRg4#-QO5k!fu5p?COb-guO4K==J^7zg)L(RChj6Tvyogce+>H1GecF z6*HgM=6!59?Dk37??adQn|Vv@e?K+4ci7hN1LJaw>ein1A6um7><{|HJnv&mcerQW zA?|JeoPTM?>sB`OTO7HXt#bZj7kAA5uupvXJC6Hm|7`OA(Q*5_=es}4za;OCd-I6z z_>V7aCx!3q3ygWlc-^9M@)PBA9~p1wPpdgBXZMi#+kbCxp5E?J+a^8d@q)>FjvBuE z(3PIE*ZY|Mj>F&TPyc%STcy09YMRBNqwPlw%j|UYHvZ=<Y*n{;@HkyXzVK`0$FAGw zyUk_l9N+xy|1#Yt2^{O@D(4IOrE7j{d!l=8pYptdpSB-+?!NC{`+w@!X88_q{<fcH zbLgx85!QEgT6%?Fj0^iO``SDZwo7{ceA4=g1MmKO)?cpoeE0Cr{-d4G(*G}wuTj2w zN9_8JL%iS4DgI<^uQ<eQ_fUA-n&Q7nbHB|Al7GP1Zecn7iEi9}?c)>Y`@Xt*bA8v> zpTA{ZfBr7a_cQ8`)a&@bzWqmj)%<Nr-WQfW{o}7c)xBSTeiwZG`8#{fv!B(`uY&j4 zC(G_nkj<a(d@MYC>f_Q4`{E29%-i)~j#1p+gxY=oJ2q>d{%G}l(#Ky_jon|rHVN`y zX?;HJ<11yonfXV5RW(%0Nv>b7UJ()RZ}DK_t{2x+_f#GIRdulK>sO}t<|%vj-FuK+ zyEpL=`>Q1PW2?>g#JQe3&iVQ^=fBF-J^SPY6KZXfjtQ5Z{F$=nS4(N#>pzoT|6#oL z(|OHj{fe}CUzmc{Z`0Gb_A__Q=k|!_{Uv{{KK)p7g!^-v_@tj#zO{b;Y#s5uf5SQX z*A>s=KTfPH4u2MGEq?R-`=q({$E@lXJ)T(~Y@A;h`0Vhzqu)MPM?Cl6aBlvqif8r@ zdn-?OpRUPzFZBCo?V8WaBc7ixvH2W5eabK4&tYwUz283P-*9ez;#~XdAJ61h2jyqZ zefZ$gZ;-Q|M?BXrvH5I0ePY$@_vUSfPrm~>AU<L4{wp8PypQvHCA;swRG;4d`5*^$ zmj3fPZoI#ob!GX_x^#<ukLv!hy#6D4?Ps>^`9J&gzslWz&#NAO>-_wrx%-b<)h~WL z(|*UME5B>%4d%q9+Sj+0{$qRnXKi2lzYpe1?H>GI{P4}H-#?4jeBK`M{C$bdXZP^Q zzb3qw=XoA}|2)VAhpp<@Kb~pNx5Vzz@5v7zNlkkF`k?By>&?|`)~oYJ#QXczdA~~D zXD_W+A6-<tcgLY$RUaF^etjnR`t{kD?>%Y{eHTA`)l~KR^~u?5)~okN#P{1J)Y@9@ z7W@10@8XBQZcTdq`Yi9Y>$CYI;@8jHurF?Id(ixkf3Fr4%bxuD^@Z5$*B6DaU0<BO zX1#m#^{KxeHWk%pJbvQzi1qoErPn8a%zFK+`nko^*BMW4@_zgKEaG?W#&5S1-<BU+ z`)&DSeVxDG_G^D`uetw!@80yoi7GqKU!S!;O8!p4{rrxt_hqv8vtFySj>)XQQM~m2 zCpV-0XTSTm?UsID{9Emj@O}S{*P_kue$P&RYkuTbe#_STOwVu4zZUQEt2bZmv;G=u zNxi&%=f!P4UhV(>v*+u_-3f26?`!S3UMIWeubaiie;?ZJMtjws-?R4Ni?H8&j_bzz zyWP7Rbu4?o?X^1bHGkzcetUhV?eWePbNt2iD~_s`{>+W!x88nx_Yuo@b!GiYwfj}C z)m5+g%NOz6HtBuRrT1O@6?^uck$-Jde&ctB#lFQ)6yu}!W!07}uibBWt<HPR->`_^ zvL%0xF1;`KeaF7dXWqZsvHOj!MA>_-ooBDlSs(R&$?v+@HGhvq{Px}OE&8GF@55(R zm;C>dXY~KnuI`7g-u<pQ${l~W`pswMYscSrZH@mv&nWKq*A3rhuh?oI6BqRB>wDF& z%AdcrO|E|bS@3(!*7uvwv#<Hv7x6oG<G0<3Z?B(D+1LE7eY3ace)X8wR^O+7%z9r} zeSOE1*GW&V{!QKUEjsya`H@@i8MF6GzuRgrQ+?_E7lxVkSKr$gygys;OW|blzHc>G ze?2+)yDoUm-)j-Sb2ogeKG6HEz1iD)f3Du=-!=DZPuyca{Lfjn{Abjs(ARt0-~Rr( z@!RaAx8{d$<uCqvOTJ8B`R_CS=|BC~?3T)t+j!o;;%WAu6SeyiYi;Ii`1ab~>sa=F z#%p!Pb2961>{|0hS}e%k@O_3w^%uMOA5MgSH~jslVe5U)?EUI%{zmmrt$J&IVB@#; z@Em);W82RJOY_O?KOY_QxzzO2Gfty@dzRVAUrzcR^@#KJ``~jC_46-PExN)!ee&nb z$CCOM%k^|q_uQ(wbkEZ7r<GLYw-m|sf1gDB&fK`K?owjy-xRY?M^C(6e&SEm`-HXq z8;<`@PO3Se8duPII(^PtasLmzH+JrsvtE$D!t|B-;(OJrzL*={JN{ENWuM6FUy|3p zO2-)PJ9<xaX`Rul^u_n8X04Gox_6A5@2X6FOX**x{2u+n$ESbo4YZf|>VC(#?&!y< zOYYU~`m+4^`@~rLgOZPfb7CW3WiQ@m_=^8V>Yo<(8lzWh(>?5W)ipZHmCt^-?$Mt~ z>gVs=uDW)w`Qe+JzwcC@yT^X`XR+$_`OBGJpAT;T`teqB?H;`d`F{5`{qD~zjrQB! zi&@jZUE=j)&4a%xR&B70%UaVvUGVkehYeppZb;mFPkqhx+x7dtEWd7ipvu;G&40Nk z5&vy7diNNAx_LrenLj(y{?VS?ifhM(_0}o%`~PP(`d1NJ*sywar|}-vM>6Ku1-3`k z+ZHbWdR_c{#lD#p2aldT`i(pF@8P#c`Odvl=-aTbPAs|h@0u%r<{9m~^e65SV>!QA zzs0Ge+Ie!y_X<8lZ2YeDa(&&b8^`}$KB_ryz3%Vmw#@-|9z0-s)BdADUA8{>Wcr@O z*GD()D=t2nd*`75`}Qd|huC8tyeXSBz2dO#wd>;SYu4+__12gceOJ8{Uz|Sk{^5JE zM>wzj3|{m3y4yX8p9fE<E89!%{2KJq{W<@Jb@Eb`c~4p=mb=}P{+aZ|UAa8&*w@`n zd*q%cz127SbmWA#^7`HylTXbP^WEx<iuT|9E}rmK|M0cgr&Z5(9Mrw{PPMXqb#-_8 zn&<Tgt@i&diu_+8FZup~lCZnQ&iNMCKJGYTRo`0r@8Ah{<@nwj<D&PXzdt`to@;OV z>C6df<^0|nqfa+atPOv6efvg`Q&OI^PMq#`@A0Z>_2=2%>~*L)A{n>wtJBH5;hyz+ z-#&B*+dLK#*PrxD>iUj@|GHJ}A8`2p=<wh1u<l0H)-S>#MfEZdB-$&ET5g-C`I!68 zL%#V1{kPLk{$S1j(J?#S``sS5UoSUyKYs2Z_n1fhM%B6}qIQLQO!MNEo~Iwte9Uhp zmw%&j-kI`4%-`a*|N2@S`1v`trj6g?c%*J+xBH!kUsxxd-*JGuEKXzR-BZ8p%09Fx zzuD_??D&rc?>!H=rt44p$ej0~qj|c=zDH8|H|keDx%Ya>eu>`|M^`@6pZsy=vmFP^ zlq<WdZ8HBAolHNw@oUvO{%wW-xFqGX`jpScYgRU9$0Yp^o%G$}7<1f5!Q1Pnebj!p z@i*Jc_YJml_PQNYuQ;OV7q4B}%Y7$d`P4rRwKfkq=WqCKC7Hi>VqHOlxXhzZUybaJ z^Xe6RU^{6o^H^?u#ldURsec5^Z&XhHVw@ztJb%(hL%D*c$>!d14`r_3sOx^B{Otpy z{D(I7e|s$+K3V$def$5km-&e`4X6E{o&V5N9J43%Q`p%W*|Ipb&*yif{b~BU-E;3> zu}J%4d~t;>Yqy{NAyK~Li1NCEUwo4G519IIR4#v_{q19$`kT^A_XU6NsG5Fd`Hkll z#x@V#UKg(Z+B;`H=k|(Y4^OLZzo+$j`<nE}AMS3d>j*yP{-cHa%^s&`+8-OL*QW1t zeEm^S-S#<m{*Bt%U()xx7x90$ILJQd(VM+S`;H0!HL<8)`lb4S=)4WzwNCn59JzLT z>3ml99VvSScE6wewK(PhlXbf1y@xX2Z`AF7!hP<8V6^;%JC*HUHec-To*nM}-|$^w z)8p$NaSwT}->6y(3j^_*L#f(d|5-2HKc$Yj=8&YH-HD%vr1J_7*U0k4see9yL(U?8 z$MJ8wQ~olS6?{{alz%wmXVRWWLecA=*F5CouQ;OYcVFRgc+3M{>-;Hqs<(f6o-FTg zanyaz!&kmR_aE?Wx2W3uMEKl?p0(RO^1g1`*PUzgNZ`E1Ay@UUtm_Ip|8DoX_n3qK zM@RpUmhe0Kd|%!D?orSDt>9DHN#Qw<MQ*>Ju;-Xu9w@e&)MNGqzw+O4@LKiK`43_z zv0EHdj4SM0TYmZnQ~8d=%I^wqo&Lcp{-a~=caL{_`j7LMeQZgtIl{T_p7vMKyu#kY z-#zUfvB-aDGtZf?`q+QR@qdw*?lZDmRPXOOU(i|nrq1D$82gUH#`8XQiO19jyn6fn z^e@@60uv9pha$&+w0OU%@i-P<ae#T=hmOzur+<isR~)|QuJYYZ;cx4W<J^89+l=qj zguIfz^H}V-{N$QLlJhoxEj+oF|I{zNZ67<FZ60%o&!6y%>H3Z%|1>K5m2Dm<+dUGF zEBx)bvYh>8{t-Dpd)3M&?>R}|FHgE|ae#N;N5=IPhrXsS`PX8dQ|s}o(@wjxAz0>- z@VSkje;e&P!2Iq*OZA-pjXm)Nt^GHwJ>J#K0QtBndCx-@^ZAp1aed!$?BjD)`NB5- z8^4;KNSA%&?7#89<BR!Y2J!eQzj&@&9RD|6CBLx6y5@+n-9y&x@zbh)FW&U8L3U1! z>nD!q7RSD+RCe0NJY<&p(BvLd7y2sv&I4Zd_{n#E&-fyqEWh63=(X#qHEq^+9tdu? z`0Ffb|B&hYji1UV*Y=<OWw-5Pi*wBp!FBr-zp~~Pb{@|6y7!oczv8fa&UvG{qqb%C zihpG{v~SKo`GY0g;^;kj@3_a4e(z=5EkEt|erCRpE#fto=KNd}2pW0xw>a{4d+J}A z{v8KimaDukXsmwor}GK-w~vDUH-0YpQhY>j-9GKFhIxfehwZ2CImEf{W1D-9zR|yi z>OBvc?H<0VHoEtJ%9rp*47=}7w>ivs?qg5%o=1Gw>(#y*zx&X%*naw*e_PhfPhy{6 z&@y-b1W-ZIsUGw2kACW(25p<9_w19_TO5&&+wfiTW&UBiyuP6MkA(Y=^K6%&cs#$E z{m!E&izoS895>!(ueP&#!q??d`^wt(o`3uKUcrZ-wRTc{`!<|cKYUXB`_Ckc-<Ry- zdBx-JJy$<`HT>I7+qZWm%J%O%Z+-aR^>05DEdKnmvzO@mUoiiC+hzM(&)pCIH2?N9 zZO5NycH5ur%|3i?`?t#M8^0gd-jn9rxA(mJ;fv+pex_LboLsw4;@rO7=fe;God2#k z{pN1dH?{fm&$q39|NirX^WW^G^8VG#cdy_0{p5!a(|_CiK6vk3;rr%?kJ^9V`F-); z=7+D=f2;iPcyE8(?fGv$|5*RsPV(LVwE4?l-}`p|ovqZl`d#Pm&VOqwsrNr`{_pzz z-;;LyYp<32_xRrGhh?{)f3$yFYjo%TXFLD@srkR-zuQUZ{mY$SZGXSoEavZTJAS`v zd0zAX8_zHPf2Z2`&fn{H{{O1;U)O(=<4OO&`~2Mhx2ug}qMrXds;~Fr-{b$6?sY%> zHT`?#5AEvpZMQeS{rn>w<ed84`ODMq-`@6Jj`#WV8_(ZaexJuP{rRou|8{<l<GKF) z=JSW^-|v-tSC>3r{oK84mEYxf(x2ace(op8HF_J)@8y1HE4@x{%lT&R_q8T>cKo*4 zcjDePSCDDqx1YZ|3Nmf_jpqkdzuQUW>1{o)od5o8LHWztc`<4EuQyfmw{1TCw)p#} zYX7#=+HZ>gcU9}RW!KMd55HAydMB=O{_*gezf*SnKU+I5t~CF7R<%5jc=-M2aT~tp z?AUe8j=wJZ{o;quwtuhuVqKlzwmbXX=O<Cs(bw-)8_a3_y=O;Xgnj+WBfoxn+g`i> zU1r0-ea|nR2v;s&ul>3D&;N#Yev5<LeuX~^fBtWm`u^v?SMy`}Q~&qN^!z%x!v0~; z$7^4g$iH?El23@WKeDT?FZP&t#gVyz_ocsb&y%b_ay0(ruXA6Q%E#V6x~r}?`P}MS zX36z(ywCUVK7aTA?cWVwtNbI@fA>85bK8%@hE@BR*E}~qQe{_M^Q`8f@pJCiKVNK| z*U$R;@!O8CAD`U`=yNX?&yIUE?eT|vmB(KznAaSioj6zjxK(`ilerVmyX}+MS^Kzl zKi{>V%6C3g^cQ~Mto$?G;+SaM$A-0m$NG01{^uJpe;?c7gTB2o{Z{gR_L`s9?>Hb` zW~aKd+<4~s<972tbbS4Mj6cFYzvl3-${_VM^VOf;k9l_e{9>*Rb#kF?=VScWJl}ov zS7o^Dwe!1Y_5M1#VtaCAyuJVFgTE@H1nr9U7_PHB`}K(Kx`H2)C)wvb5}aR9z#X~& z;hvth!_iWfWp>)1<v)N%O@+_Z#~=H>ebP@YnS}TJGx%RWKkOf2FaNLQ>*w8TqEFZS z`Wef3?fiePjdlOR`@U9*MXXP6|N8l8)tdSG+a$iaFTS_4_sin>`=2HK{j*h~T_(Rt zJmw+q^&OTceoB69;J5fW=gGs|)W2cpCqH~q=GIsIN<F7O?wNHWe{|;+c}R1GJIFrq zug!nS*Ux`OZ=e42%jXL@GJk#Q>}`&`?msGM_qj4FVtsn&*Q#$3_Um&G{Hn}#UtDMU z>aMj%{jbUbxohW}y$cS1TxRiOkxYGW@|_2w@*DoDo)dppSmJ(;|9P&F%>9!mJ~sZ6 zOpl-TbFFo;&5dL4!d3VS8<cGxN6Mc18nNNuU)JAxM*n}<os_M4_L+HO-M@sMub<hy z&;GPI=2dKy@b}Md!Pn34uXX#IcBQ&}>c20azt*gozkd3osgKVV*q;2ES<oIWQ#<u_ zyRl93>+DI#|18`ScYg81CwZ6d&y?AHMZe<6x4Wr-dSqj2Vy|@<^d`Ugzwk--v+EOI zmtOg^D`tQ7i9fsQcSU?ZFY)@{%!Ir4+H36Rn_ioLUhwt5$^*OV^W6TPT=D(A=HHk9 zBopr18_N{#v9x<6Hou_#w*92f#*YQ{E&jGWIk`9GZ{z1}5`OP<pX^xhuVZfhshV@O zDSP^3Z5~R<7dEYqeAOTEf8WVfk9W??Q~Uh--^;|i_QGp^r+1b9U$ZgpJlpH9HVJp_ z)z|!fesab3ciMk5Y8y)b^K5)K-!jkg9#i}MNsr$bG*$0;$ZB1G=I0@1zxV2Yd#dj7 zq<=RrvI*S(=+AAV{l6I`;}iL-?@zQjsOa~xDSS<Rf7;<+-!t~G7yYZ#vR`j^?XU6C z*Zr#JH@utAd+qhjq`h*&*ZvkC+f^UMKk4h%d&i>WlkVCpulb$cXx_K|$l3WPe!eX1 zXP2oD|D=5GW7k{zsW#OczLY=Mv$pN?of8|~|1PuqCa3gR{LSN{iC=^6Jm6u!Ke^`c zukX!%5&!EZ{12EJ|NT6}>why7@7foy`JKMn@^|WT%Xu5$&F8-M_j28gHS4cmK3HW7 zvahG~zfR$qk6{%@nYZoJf1Z3qHtr*1zQu22$@%s%zh+zH&wltw(5=t=mH&;u#WT;> zFX`bgXh@&)Q1tnZf7~nW6aQBGUt64F_qW=g@!DVGBfILKMSMTc^!ne;#JzI1*IsW- zxNF}Z@!fy<gt{&Fjz-BRLxO(kW6OI|?)4|X9@eybAbfAbZ{~C2a)lo=Ugkfuna4A| z->}H^>$PN6{XV1mhHROn|Lv2WTO4AwOL|>sH2+_%p7iVc&$+JF)RnZ9{@2;?ZocZZ zznhO&*|M#<{<x#`f6T^r^WQyQYQOgThHL5(-_MJ@{ulXJ`1tJy-`tDp_$m$v`~B;B z-Oin3>-ej%PN%X{{M_oVE+?-}O<X<Y&hmT5g!w)Sy6^baxhDL9*mR3O*(cwspZxQy zW^VJ>pSw6-|LoZ~@BF0`+RE}hH71{~o-kMbK2PVb>X+A#d6(b#Cv7>8=lhO>>~|jJ zJpD0W>9f40esALQm7ws8e)Dhb8S{q>=PQm~yPUF5^!AQ_E3a&i_`ff<{p<VNXCvnG zM{Kxv{)T`0Kl#u9)g#`gE7d%!dSrZE`1N0()~|JG2Cv)~*B!00-<-I2{>OQWe@kW7 z_b;A*^5b%gqmp(H*>=|-|9MEcOl#);2Ya@(-A$LWoVW75$^Yt^^~X%(HvH$Gbo>0I zpGt2YD8JkA&-Req>-)+v8}6N#DXbE^{{E)X{(I+lM7&Q=I=i#p)8x45wcj(PUjIFl zaYer87w_x8XE<N~?fEbB^8JyIY&-tCTiQ#?74%K+ecjG|C-M1wmGb%8pQq26es05e z8|CA6Uv1}oXzKsbvATZR*E!Em*XWiN{?MQFJ>q}elZLPF-Q~8<+kbvTmTmp~gKJak z_Rjyf;of<bh<dwVaMu4llkN52GY419@A)Ns?YHD>)=T~O&a+rNtZ?4*Q0Df3t>=pm z*g~4SvwObBX}k`dGyUF%|4Pc?!S)I7pBvp5Y_B-VT^6tNIrqllv-`dOHmvoiyXSW2 zq3G++jMCSBOTJeHdG$xc`}CkS*NqQX*-EeZZmfIl_so+k=J!^;Ub<=Dz4JFB-lsd= zDc&=&&%W?)L7R8Z-}WcA-##?(->9FtCcZe1?XY9=lZu6PN1oQ7s?yH;(ANBa(w%C{ z<K4A;9trlx>}U4N*SKDOcvt<r4e#dv(@v?YwNp5-t3EAaeR|*5s%;V9&-1<hXDR)) zJ1~EL(6#G-FCW}h?{?!?zNNh3yMj*ln1^5LQ~oq~+a!IjSLKgWeQjPP$J@T%tVkxH z{($kl4_*E{{@F*KukSr`{UL+<we|lVHOUqn{5pHXKij*)*Zy9vT6<>s?{sJhw)5GW z>8;Op9Nty`E#mw6qbuyCzWRCF|EZM{fBjD~``kyhS&zA__p3Z#e2iJ{V-Nq1AE}b^ z`~0ruTl%vfzWBOV=K2-?8~;UTzHd>^+3WbK-{OF}%%g8Ppi1laapP<I>vQ%_th-^K zyXHK5OT_o{9IyXHCj9-Wv+-XI$U4T?|0+#hg)hEWJNe7<-^<%e|NDG6@Nt>N&(L-9 z=L(zO#!rTH;obA6eYLjDZ+pC5!qP6t{_(3fo910D+_$mUIr@`;y2T;sc^m)jeZ~6v z{_1V_wLs-}0;H$}6<r(S&U3x~Dzo9;eC2C@i%r2}aI-<S^_Twl*;vbGDKGi1u$h0y z(VLd3e_FI{lHbQnI-WoMYxTL?ITn@e6CY3b#j1a!Zh6oB4b8KQPge=PE9`mv*<)YA z-`|hiW$w9r^M4*|wEx|Fy=#AskL{{gi}((*4^(ZS)KT|!VRh7;!abJT>SFu$U;Vh_ zu=2c*P2w^C+ODYYU1MKxPweo^>K+;MEAoX8PSl*MPOa&Q-SbEy`gv;YorlVPJL<IW zZTM|BGyc0j|MK*cU;Q?`o3DB8@8)ZB{%*P`BfsI@eBo<<Kc`%YPWQC`Rl%|0-~aTE z(*I{Zp7<zc^{=ML&MM}Cob~hRH3u2(9!V~L|NKwEy}q{1{1TRNE8`1m86(gCRXE;m zD-%26b$jrfN5XL%?c%p5*6uqeol^Jr=ckSH&R;oUteoGo$Mn<56Y0v^?NnY{i%)vI z@neIt%|njo;?q8|t}E!Y4gVx6Z}INY;Z5_ZjALqCK27)~q`%|1v|K^4VC4Ib>OGHs zESfZ3e(KM+vrnD(ZmqUSsI5&tQf0q6Y47}-8}6O2ke~eXe2jn1v#O-M^G|NLcizOU zHv5Wy#QXHLHQ$Y|9Z&t+^LXpVQbWss0=q2^DeHY`@{Wm%d1XGQ;LcO^6x**S3oO0v z1;jsmAY^pEA=&1U==vMGR9-*k3!gvbC%^gB*O8Ckq&*5Oj=OJ>aqj-{I~(qupR?iK z`5*Hq{rp<R7O_6P?Q5Od@hbbvQeWo=+9&Uw9|<aR=TH0U-go`r%cW0hTy`8VEVDW3 zE%Siu{EwF4zTLli)-2y<x4XPG{8e^LeAG4R!ghX(T|G~v{XTNeFZla=Q{2g~*&C{h zcg|y9^W9kZ+V7d*f{Q=JZtr}~4RPlMUVlBa@!olni1+DMi}#to5?}M(*nQ1+<8zL` z3iY&~A3n<5_pwEIPQ2f-@EylYzv=7m{AxMV{;|!Kwzub{E&EpFe-M=a!0EqZZ_}Fc zL(JRuDSTEBpR(s5<Ms7NjpuE+cm9lpt@pa^UtMSVzfaFu^WAvivpf4;W<6$l{nw}S zYuz&QSL}=bb$qQ$J6dJ`xX$y}Y0EhN+xukdn{4MiVqW)A(0s?PNmp*ir`4VFPQLN8 zYvSW+zr@<Zr~Oo$^FT8H#;g>(-&$+>tM5FT`uh4qt7)%IlkeJ#ulc?FZzw34vcLW( znfUi-&&Gc>)4&<ypQXgt#ew^i?%JEL`MvzR>C5*AKK`=!?Q1EosaM!`ceU5O2LjtG z4xYQ7`p@b7<izj%y)yY%%6IIXwZ{ChoW8|AtLyLkQ}*##-{4Ytzv18Cw{oxV-;XOe z{?+!;3$dcTbMsI9(JcGOc|2l$dehgcup3L~OZ8VAReddXf6CV@?{u#Hvt9F7{l?Cv zUuHjIyk2pL_guc}WB!QW^EZ5}KV<wj>i(p<uIv*(&wg;bzxv^`{_lUcNBo{&;A(ID zEl>Av<o#*C1a4OxRJ`_2dCgz(9n0<u{ob$oSKlK2?i=e(^KShU-Z-zGJ^R1ly%*}& zK5n1*&->0}uH)OM)*QI?zhmovQTH#qA1eFp)BY>_vf41_@5_DvrLzAkpL_AVVeM_t zddQ$ld`jJ0`vbS?+x)-Ge*A6w(?9+esrQ~#8_oaK^7T*j{pm5k`9JvBE9wcov_EdD zx1qlJr1hG=-y?p<e{k6EKIc#07x}+4zZhSBp0eXd@yV_Kg|h$K_Pt0y;9he?eb1wB ze3$nB7B|}e?S0}~`-7H$m)+R6?2G-Qh~GcFXZr7bWc<Ir|NNi-fBC<?{vC1Mzt_h2 z)3FoL%JX|_%!*!Xysnn%@8!2R!rfO;scZR<$$ZC=gIiVOKQ=zrtJ8SB(){qzevA4m z(<_eJZgZMhe?Zpmk>GlZy?s6U|Gl1FKOWrr^`psy#^c93CR?9+oO;yq-iBKBlY8Y) z|M^w%>Eq1To!q}pZYYj@`MyE;S#Q14=auGb{?6a<?fw6)J>OrbA7G#JkoEbFJ)6JW zPkOum@U8znwZCFNv>e_)@vHhTD~WUAC%67*%Kkt1*_X@Nb>c7c4;tD%61A?^{+#_^ z@7llQnkDyH!mmI6*rNQ5w_fY>%KnJo@f*Lr|JU>N{i(-{<rW8({R(HD`VsZ|>(B7D z*Pq81dhZwJTd)83cfI=S{x7Bye*fc&-r66&Ro~40D|2J9`OEx6cJn^AtgV0W<K^{Z zRsWplT*z-Rzw^-H`cL<n{<g_)>o4w^{O^tLG5MFr4_>{i+F#I}EOXEKlhEtO%Mac9 z-|$xY#80`x{=4;)zE=Nw#dG|>&dzW16KwyB`n_0wOj>SZt^dpK4b^)R-~MmeTK_*j z<uCJJHjCe}mh;%Euk8G<_4q3Ln!o-LzwI9~|Bd*s_Vwo8CHEPoTO4_}SM~hHZ}ACl z_g}91^>*vO?@Q``Ki?F$x>kzse{9iP`$M<t7cQGGbbiNiZMlM4`Iq2Cnf?Er=QaKp z*AE-7+u%I+ue?#5ZNlIGYXx8b&tE(1^7&<zYJV&LYkppO<GA{qBuF5H|H>_tJ^9mq zW#sl>`jP98{j2Z(TBmWK%6>{>to`v_b>L2Z*00Ed5@$L2|A#*&gNDnp?c$Y={p)}F zS<P$lKBHIcGKO_W-1kU*ogMi8@A@z0NqhQl&p+`?)9<DE<&QfKGCz)<^JnW7f49Fm zSKR-rzmA@>z4_rGtA3jaC-%nQsy2)Hx9LgMUhOs4s}EG|b6@kk`e>D%`I_gKe~Zri zf9B_*^mF3ZK2J=vmFK;7Ji7bqM>ENvIZqDWO!@2b`_QkN<6U2W@_i3a-BTQ2C-<>I z*yf?g^Y4>CnqI4uUh`LOL$Pw@9{-91|JI-UrCiI~c6sw<`Sax|5%c*o3ta7WzrEA{ z>uYhuIBrAg=|8vj_ip_!;QvMX;h$qgHnMy(uG_btSw3&0UHs;R+I`3DmiOF$as1#} z<|Y3*zFQpo$gHZr@mqZ2+xi2dfBSCi?)>t8p7*u)FIOAO%#SXrwNpQMZ*A&duJkX# z$*&zJ_1`$kZu7|ZcyWE%p<Daw<s$8u+-K0=ad6(c&##T*Y7Z#>^{Y6jZgaW+t8`Gj zO~fz$f?nr0&nG>PIA7nm^*>+!m)nn@Ei3w$>wYc!<zhpbdEuXW>y+=@al58p;9{?8 z_egI3hlbnj9)AV1|7&0SclYzjU$%d%EcVU(5}(*^_4|)eob7{ob?$4<PhVTHU_P%p z|I~kbxKGqre%tuh;AHTczv&Ub^9$VeukG8v^Gp39)7N3*Q@&pL*LvhvO;p3zpIdmU zzf6A2ocFQGI>s*M*Zhs&_9wi(zi-2u_m|c;yT>GS@0$GZ+|qf^FITNO|6O;-!uiU4 za*BT~#V7uf*j;hd@Y+A&HGjh^mfe>U-#F*L==3$wF(KE2cZ&<Z{@f^c?K!h>j_su5 z%<l?%-S0g35@_^q!Ph^(jWhTDt8U5uwN+2=?~m;h{u%GN_&saK&X%6*zk9`A|2@|7 z_0QRe^YcqRS6;t!Y*xFQoMdI<lcf{CTRf^@t2yFcb4WWcU-S6;IImyQ8`>vFd)|96 z=W&s(<oZ0`-P6^U-#h$mZR$RjYKx=nF^^fzckJoCQayd*&#ym|<zIh3uBlg1lX64z z$;V4CD(*bu^p9A7z2|FH<n*aurGITKEQo%oe_Z|7J)Z5;Cs)<h?L7SJ&!;uZ=Cg+P zPy6@ww0CW{tc;!8Cywm@hS&ai%UsTH4X-)E`ubV;gs)f5i5~s+XM6Y8pYJ8qzf69> z8-Am1&6nuMa{Up%?GxVCU;a~8w9kLm<15quh5fRVTDM+hr=9%mgj&0A4g6n>AHFHP z^qzD2ja{40JhzMc#E|`8@!G#)n@jnPmtFeOk4e5>5<c<g)ob}(Uw<Y^zy5qwk?)22 zA!EG{UEVSFj=$b-{AQo<_J0TWuh@;n)i3S$t@^V4!uOmVduBcPRa4aZ_2(1D@-N1Z zUUXi%-xa*)q42kLdY`lZ+g<w?{N{3gn|jRw&d<jh&n&;U!R~(Tp<gxI>v<*LPqC5v z&|qxyQ0BS+q>sAS{#md2d;W*Ve(rVe6rS7v(T%KMw7&V_pY5vo?{r>^T0HpqjxYSn z<>dD#jp`06+C5^m-meTT(eGT!Z#r!4^`E(~WN!AUnpeu#p1%%XbN>47>yti8|E<_j z+4W_9!rwiIMP5Iby%zC1f5W%>1Dt>TDh~hKn)-L*KDNV|Cw@Ns`HScE=byaS&cDwt zt<m`F|KrT_gAa}8vzN<0tKZwRed9Ozq~Cr;`;_lI=3_teIzIea@cD@M?P6=bJAeE7 z?X`8p_5L0kvrq7jzNoy#Jl^#3X^+3I)Bij5#_@NtD*lBH#x{>7zsr<YG0*hhE8$lk z{wehN;jgi&d)dl&91Ya^*%EB?SomC>|Fi4Y>x<T`|G%Z;*~iO?fA{Q^yng-RbLrpL zpMDfR`RCW?2M>&|3tN{@u1mIgAlH6I`cA;JVEu@Ad8?$_+7mk`_FO;zxai}=e@ScR zzn_xuw`Mt4_J7lB|J-dZzGr-1KK=2>Je}A4U#?2~<tgplS2s1O_V21=zbajMubtPn zO8EO{Hs|Z-_vdW*mVY<o+U0-DF&FbY_EvlR*Zns0_-@I+k2qhyjyAq_efyf(r+*mF zf8KPyW*+yo^NTw+)XBLvLK+!-FUt>bmnHR0-xqcGqOOYl=U>uxpMObTJ0HGcL*2eZ z4PXEMQoi>6_4<h4_cwfde>dpb{TJ7dpS6AZ=lYGM=XOr*3Ga`HpKqN|Tbp+{OvS!m z{Z8WlYex4wnAf~dKeyrA{N%U$kJ|n%v)DKNOa5KAXZaD^&1CL`71jPVIP&XPV&B)l zJ6W%Ne_j@G|NYJ6+WpU)w*FVX_HXX(lfNYY?z*vS`<M8n=;xj<#J@+x$IEQkx3A*J zuYX5HU;h@rd;4_FuR06<*S{YMt@*n=;`jQE-urpwKDKFJ(|xb-dgWg0uCHJH<zK&^ zuX*izd1}P{`&ScwFZ=W(tFT{v&ENA8zqh|rd42v%_Txu(MgNLx7QH`PP@TS#zvA!a z!@qvL=;8meIiYrcYpr<S|Flc{o4<bluDs^&@rd90g}(bmzZt*$-@$$D?0c=(E9Zu+ z`R=TD?e{{7*S|QgeMKxNuvm0o*e>aR-lhAT>^t_Zmx*sHjyr#P<6rYnzv8m5l)L@y zu|1~$qv7rD_t(oetdoD(`q5A?JaWIuEA7Q~2Y4U5%luh;Wj%Ok_WOi?NymOy9M!z` zd3xg8{Rgl4TgLA=JUP%_`s+2@)V~uyn)%hMeQu4o-oIg8{DY>Cs%8KDUR5uyGkCSP z`s6R|{@3RUir&}e-A#XguwdHT;^+H7vlriQKY#c4=&#RD68~0uZLo_AmOcM?`LSOW zIUDTc_#@==g|8jI&H4KA$*P7gi~E-|ynd{D<X6SXjdpTeYx>*yUVnYJG44I<>#sIR zd*_{FUMm0h`;nu+-h1Xe>6{qu7AO6)%Y2>pcZGHR|MqcRyYC;lVc-2{9bf;NooinC z{I9g$weR^yBKp-2R@sQk{rJA>*vY?7?ym<`7Ed<KyH(q<<MH=!8=2Hi@hTDa^$JIS z{bV-1_WiYK#QpW<2X6gu+4^7j+V|Iy{8Q_`{H<cV_DdkUw(qguFWnDa)^m#Os&ekf zpF7`VTXTf{jg8MQ%Ovq|{t3U>^5=wqfB2<8`tUntmHd_83w}7v)IZ4S_pvQI$G+oh zVgFwDQ-9Ly?sLrlS*yI}{q@ru_QkJ1_^WQO*me7fpRE)3${AmKooMTI?fUoEHzV$^ z7f)K-zu}sA#QpW*Nwxdq`uSzvH*97<{nH}LHg2ok^gFss_6t;39MrWdjN9vex}r4w zMs?2<>92Nqa!Rk4?l>;lC$Cl6Y<wr_b>gJo{ZoH_{T|M=X8-<4iM9LV`YvyNQnfYW z{`%{Qwfpa_u{__dJ1hBj;hO)&GtYxu#PRxT&&Ig(La%>+)mroZy7Y$AANwkfXwUoD z*4=*k=SMmHzos{iA5>O(zu{=%PyY!YU)|gA-{oZTE9O0qc%u8K{4BonP^^E)VbF5t zfKz{d{dQBlcHjKe#(nYY5B#dz%lG>C*Qzz|uSai)>sP+^`k{>{$jduHUQS-?zu{Uq z$jiyK`|qu=JYIca&xFVKH}v~g9QrqT>3&i69d%o-e82H*N7M2X6<-r9Dpoy_{i@g} zclhgJO}!7C<u@vIUO#4R_n-Xp>-Wt{Yxd6%O}K0Cz2<j%f9ZeFD3AM^>&Zu}Z0{^T z{cBf!RmAu6EZMbPTlXC^{GHkyUUNYBxb?$7g++FLI}TklULybg<0N*ALyC5f7_DW( zBRuz3naJ1$e-i#Gm^WYHwP?iwRlj*Bz8<!e+Ysv|XY2j!`u*kI8~@qGc9#BMv*F!* z=4*e8kL;>n7qR|$+t;d!^V7bT{{ONOG$`|nC%d+}^ncFAck_k6S=^J9-|$r?vi_i% z-h7qk>PK|@3Vx)VbbnJ6bFnzp?(4yVFE%HIUnTE(D0JI@;!o{6j~V4ZbZlOJ>c@|t zM*IK$OKkf3onL#+@ATf%|1ulj&1YZp`}s1<-%mY@-(26cZ{K}e%)!IQlOD%z_-$fY z_nUQ7U4yL6V~%`_V`ncf{nudIr(L)3%<_3W$Mq-eS#Edmqw$V9i^Hbdv`)IedBiMN z_$lex^Y5;~GWG%Q{Ik~lPH!3ahpeEoGpoYL!;UpY3so3D87ukrDyc!{sFprQ3$ z^?DW0D*CPd%`B>$Gu_MHv~1&los-Ejk6G4NT>2=J{>f<O`Qw`(E}3|zYTKFlkNB=v z9O3nwul$&Q#{uE8d8cdir~ll{e(LM#4gczLLE%>Vr#$6POX>eP8{f^pru`!Refmbw zNXb9R#Jl#~i}#tls+QRt&sTBO{Y~*6?Rgu1d%b+$VH;!Xf6l+jdd{O4KPR2Hc(CJg z_{o}AM+<&soeX|uTyu!GENkZeqs;R@w4~43?{F-AJ*WY<Qs(vNVBKr;`}tmfozYuk zSoC|V(f(`J5wDe%`+N5s5&au>qk7>N`v(I36$iENeQ294UifG27x7Eqvn=Z8PJBG? z7w>WXX+QT|KmCK}_l>H~Cz@r2ZSH$A&%LjoZ*u&fbV?m)kmug{l!*7~Mc|R-*B8O% z!0(x?umAS^b&HIDExYEc;I-c~B|rl*>3!P|9rfNhZ^tp^Z}DnByOQ@j6n&mQ>7UxU z+i5#0Hcw1mJ@Kdij>G?=Q~q=?&)FAqEPclT%d)tGH9yY0|6Fc#f9Cz?$E)mxK|^P- zF{QIVzi+xOqaX1;y)WW@`kd`v_EomAYpxp~tFoV*uy_8-4d*{zt2m@=_lS92oaXcN z!?Jb{UxZE)&!7A+?cC#&1wYG_k86ItX02lXkimNWw4cs44`lpz9Qc`?vgfPcO#l1! ziOIG9T|2(ksU51a4^G%SUvuNV^Isy?A8+_tCA0C~`85&m)9;jf)<?ZhT<gE#-uWdF z@6)ql3it4Si_<<{e?W2GhbI3YEo;lY?Q^xis>WHY57Xbd%P42B`=`b#Y4!Dwe?63O zzfrgM3G26lPW~Hp>(8uzA6~d&U%cv}DtqDNTDt{Ds_cUk_s*Bx5Z5pG`fJ3-d*^c^ z-ly;R4ze<Nt$*Q}zus-(cOJAp&Mo}OW@#^+_o1iR+$(Rc>TC5I$E4SN2t5D$cj}&9 zW;yi^MZbNG_BU6{JY?=G{FWve|41nOMlJW}+JI-*zl-mTxF0V9ot<h0O$>rYlwTJf zsIoO%^ZPmH>who#FU`Nk9`V|E&F}QS(*HSy2R@cn95asl*!0@(T>oFi$o<Fp`tEC0 z_J*HZ{W(!`eIAds{6w3@_l{n>ow842x5Yu_bsu`NWA?<py4!#1&#yWi{@1@Z3c;o! zLDPbNKQFNS{d9??UE*E)wfz_Q&#z~XSfAeUwF)++_HfqYw;TWSS^i^n|IyKZ<L8bq z$$KY%ZneJiz<2#&^^`rkOyBfP>c3KLQ9J$2{0B_SZ&Yr7V*0JHS^Z6I&@=nb|14{s z{Vk68exB#`znckn?W5QHe%|u6>P*D?_OF_e@!$QWU;mSYR$AZB3%&k#^Re=A>j$f* ze=a_v8&~*4Zr%OmOZSUZ|7eLeeSY<KPmle>a~r-#o}Byr)E_&!f-dzr5C7B}?K>!% zx3OI8^WS}E*1tFB*|6`vL2&);Uq|aLul?P8bXR>@#P{=(ufIlYh~xjwpHh3*UUtpz z^p>r5$D-mN&G@_NkhWaGiI08I?D+lk&yReU?l;uVsd4zk7cDdWzoq2*cf7ynPq$fa zci<a)>OP)oi{tEf9<i41kXd5)qv_20_x!vY_t~2q-&HRLnQFQD_?LRoU-329A9sAM zvWTDZwe)|<#&`1#ul-`lu7yQ)p2a<8K0B4;`yWco|IqbU-?RQ(yJh^2<9naUgnvjq z_V{4I2ho%KSK2Lpcbu93SV;WF-xW_}`3m~eW&Dix@0)LS=%DhGDv=0CuqEHM2NmLh z#otbc7Qd+r1x@lC{`G%8=e5@}llIOtz4mwW!Cm!oA5MMr+wpJ4ocY4<HheXGss792 zI)A#wk!$KI@*55pw#GlN_>l4AKjWdrAEqi=f;YM{fOoonIT985?&F1axvCcn+spi3 zIP=%OSlGUZO;m<k;1>gvV}QE@r-H?o3w?_hvFY$|NI8~-ctI5L|6O<bcWq4e``_nd z=YM_kW^U#Dllq4jTGW4MZT|oN|047Guc!a7Z!6z%h&k?IR{HDh6}{GT_O$-Jm;C$u zyuYX4HnZM)B+3_;RoNSTr}F2y)BSOFm6d<utmA*HNBkDIcwFag1KKpomVYm6ety5T z&4WMjKmNQ=-goEvz0XM>iuOGD#d!W++HcnP+rJvldnkAQBV&5S*RtJp2fE#F?BV~> zkuJaCulf58Hb*{}&oZ{zcXrQ#ueZ-?uc^Eq@%dfBr?lw(hpTJ8ted~*^<(~9e?#-P zycU+(cQWQd+xh56U*g|>Hm_(j|IzWi;#>Y1?);CBoXh@A+sQlcGk>+^yPf|UPT%`B zzxK$r^#vV^>$lq+){J|=C|~fw<M$usyuu%WxA*^F@KjLGJ|*tK&FZt3_Z~^DK5rT} z-+Ipbx4-24D?Y8Wue`3#zI(rG_VwjII=p9|-+knD`HdR09Y=M~-Se#9afDswPy5rK z$KU+v+bL@!F8{qqrtoh^xy7HBwekfmtIKaza_>22>G$vQC&BkG-gk7n->g~Wf9v1d z@Uw>J_NATs_>ub=)B61xaminACVaj5_>1wjx_<VWPwV*Yj{g1d-RkJ-{+nNmWoqy2 zIU+fK`yu7LkDU1*pY6}FW8ZUFS?}94@%h_+-!$BQvG}K?{&CUk@`XR{Z;Lm%^^4_r zMSro)Bc|*BldsDcy4DNxY0q~56Z!6W{uxfadkK041=DsO(aoD;{J*zo&+&)+Z+<bB zTl|<acm4arJg<Kn96y?JJ^e?E_MV5I*M0a?|Mqi!VV||lL&5g<X>mIaKYjl8U)8g+ zFMIwpO@C~A-M`|e+->`Ri`K3$Y<m1XD(-=$-bY4%i-r4z?>&Cvy>0)!`I^^$Z$460 zueb5ueCaiIQETkj)_i}?`1-Hq?@e>pzjj{p+IY?P=S;8v?mQ$sfBUhszjN$l?>&-@ z<N4A)z2ea3@6r2gZvA~b^Y)XSzq+PBp7Gj$$MKWlxqlg#SA1o(UVnr)?$MX#Y4bmL z)E~T_v;Wt{Z?*m#@69h>^ZmKd>%WnSd+npw*lmlbmDzA_zVfx-#(#F*?OzA(54mQ4 zpZ!>Q-PF&O*H3-qW0&7l`DMpZ;ddW7r^|2qde!{RKelHTpZ5G(HvO^ab@uz4Y<_jT zo&HGV{KtmrKRUGc{96$#@3ZZG+rPAme-g9L%e?+OGjXrI_L}d{xnBR>dGyud!>^K$ zRMpFEyf^>i_P75^YI{m+`@a6u*>G=u_S)y4%`B`h$m@NOoBx5+T|PPP{>I-oABx%; zTz{~8+Uc#&A5>4{kFh^|^?2@o%ecop>hm}3IV2hPQ1JY|{NK;Nh(F>?uQ>j@xbf@1 zn8dyI*=xS1FQ4=Msh_1?(q4P@HQ&?!Fz>eiIy2#GWWrv1?=|1kl|NUSSA6!IeO|7- z;=s@4FW$G8)wQNu{Mqu<RBq<$Hz}{5Zhd~UU&nuk-I3}!4+ZrL{z_Wsx0>%b_W9zc z568Ftf3xwMjax;xc*J{<KO>X(+Fy@&e_l3B-Zo5L_}cH!Enoln)Fpji{yKQgYi~%v z?>uNZfBR8kxesqPoAoo_dvw$P?H^mdkDrRS)%EtDG5s@h?R4q$56|na-)VQ~<MZ78 ztn(hr$QSgg?>Tl>{LQZy;@j%|>kakfkN@_t{Qqlnz`6bZVv_gTORxE!-hcJD-0FVe zYrl;-^uC;K{`${n<GT6DvHOp7UwgOxko%oSy7S9de~n*aAM;3%J${po&Fs7WJ<4|; zv-zJfwX*)+Z$58vaYWsNUlQy0<vf47;~=YF|FrcVnaqE5JTAWV@7MEE&TIDTwKqJA zOgg*y<EP%~@AuYx`1ByAY~Q!}iEr)0|B3##nOPL`P&V%2qt)B$y0z~-{E$8E`15U_ z{m-PnwzTY@{<!6}^`7I(avue+$8Z0<Xy)7P2My=dAO6I*zG7aPMb)0#`2L9f>1u24 z8-J|Kt^YgEb3@&Ek%-TF8=u`x_&e_=2v=X{zxVav&o?!H=gmy~J8$OWIp=3T<P5L) z<~#d1SN=z__l51#?{E5g^5@$>ro}I9mWzKViYflfcYN~O@*~AI51IS)Gk*J896Y=I z?Jrrsm+Ox+&)ZOUe$Ixv^D5$-{(h}iJNnBuSnK)s(?OQ^68_H1+*o&>=iXQL_rGqo zzODH?k8@+)`IL&&&to4PEq}p3eaEqb<+|?+ddhbk&&+skK5O#xpRRjk<ag{lzWV*m z%E{Lr3;XTQuPh3@o!=N-b7Zeief6HYCfoVyYwjB#Jep(wcb@0Qy7Ol?)}7bcIPW~y z>z_Fr>&}C)%%0co?|*%GRA&FnRygtRyvPR+*v<N7w(mG*z313b{@lNO>kIl9Pk#E@ z`^Hb{XIHAv>Aiev@${E1XxnUIf#&YIzslD7M|I~tesg@<`>B`jFZk1WEnVPd-LL12 z#%t>3432O9e(M)ceqrm?=Ul7L>t1_5lkfHSGcRK6zpU%m%l*FmzOmu8_cLY0Ywj`4 z{~*<Fzp>I}$6;H!4|3u5Tfg2+ee-A9&bPMudas{Woc+q|SC{sib^7M7lJg!4p8v?1 zUh%njcijQjzp`88&g-53`{Dd$=QZEc+rIvbN!V*29#MNOqP7f_N3Q+;{36!A^7`@c z4Zkn{Ha=KYFSg_H=V=!IS^qv%z4sup;9Y#3<9CaL%=aE26@B|hw^-Wd`NrvwC%)$2 zVK;lO`R++;?>`VYe?G6Wt$5D?VY>$fspqeESj)uS{C54f@u8}Ey$$!~TVDIUIqcl; zqO~&bH{6?Vd+m2Iq}~eO2(t2MRsFPzGQQczh4MeLecy5Psb%iphU}UHA9w1UU!V27 z{LI@2J9o^Q{@C(*|Br^lt8f3}bl+jQeeU)H(Q_Ujt<;hKIPYIy#ZQ&j=DXV7uK%9i z^Y!1EjrZoWUi)3#`?ba_;(U7l*ME1C_uBj4`|6nVeevtyHQ%4hy#BlMi0b_9hYp^x ztM69cbJV)#0K3hjtCeqmJ(^W!Z~e@yN^kwsr#l}0QuaHa{@eBXwy)eWkCo3A7Sx?P zu50&b);~2F`<uUd)+hgd{dZ=<UVH8}-_tw4{>$0$>rTSgjmN9%`6Aw*f3T};-@5sr z39734w21fTx!(WSqa71-;MvBbqVEbS98bH?d88~?P|5Z=G0*+{Y2&>z@;mDez2?4I zS(x=Ydd`D4hrYCP$2=0A_hHUIzaI^gUvIR3e_rJE-^irB_R}NYpXUve*A0_rzV`cb z!`FXvV(*{3{#EhXSIKLTlJ}v(mdYzT4$IzqEE^Yh<eL0PDSwNdE1sT~z5%JMb}gL# zxaYNh#gUV}Ie$5qR}>psuRkIi_vp`~Y4bn0)F154-TzGUTg|eF_vbCI{Vwio-kek0 z8huBre&y5Xr#~9L{*&2wZ~jByH+5IiTdsnt$C=4{?X&kh`MmDq8=-GM%Po%CzWdnX zUUTTH>f2vgdEd<qj!%Am)pxH<{tmkxGoOC;zFDVv?xT!<MQ6I;^T)b;d3nFT{(1f~ zZAVSt+4{cO>9dUI*meIas9jds`uNJ8qqfgWckJ16_k2hAkB-HsBjoNLdZ+*M*Uh?} zi9O#fj_=xcU{_tQ`!(|&|JvSOufG0%?`!{x!@nL(JM({bS#4M8KbhBmRL@1mKjhqg z?`!n?UoXPS?ls;sn<u`#?DOR3xzC>c%sumJ_kmZ{y{7NkvhUemv-uwJ+2-N@+1GR5 z?%DaQ)wJL8n$5I``^&jr|Gtt`E5AMB{_&e{-|T7medD3!{o``-A6n9{Z+ZWkGrzE? z`u6(0eNQ#dt;^Q?_>bqakbb3{*^YTPzb`%dvGOdl-_L#W9~#ojH+=55IP&`Rt<Mu5 zis=`WS)LBJ_%m&8_+!5EibK|Se761T;QluI184sGjPKVCwtw+kS3d7Kx4FEnd3o9A zH+t*(BWnF3YLAs~{rbxI;H%9CUTr?|YV*NY#m!fr%dI}oxcdBqyt2Bw%Gv*U{44s> z!xz}^IV$?@BiHosEnlPWT=Z}MoF5&pocBR+`TdPHyLR8b-}N_1=IFNnjNi{}-P68y zdjGuX-%CCll;5ph_wMPli+j($GCuao_&CU-;@+$2%~#X=!4?UJ%|BQRN&;Ql&y0^h zoSGBoJ?8<}`@(MbJx9MzooRmVW%?0ezW3SRC)Z8?_n|?&;&7eiy!fNv=HETPZvKqw z$CkVH&(60==P&qtvN(Fj&qs~VJ_J7h{Z#%4sF<DgT`K#Ywava0|K@#*`k(Z<<?!m+ z{yPqzY<}~{H}&~)i^?rKk5ui~*?3Q1+Q#s{nECz9Klv*Tu*cNi|8%qY?H^OV!cWYn zjpsaMmHWswzo6ILe#>j?I}c^g`A?h9zwvYOGo#<4*R9W=jxYWE;(E+`+x1@N{&KH= zJ=poL^z_%7^&98Cm%aA+ZnEut;cK634^{1(e1F5=uQkgf&X;$7t&L8qy>GVTVP$4v zRcLh`+nxjLHAgnz-}1S6_03-b+bs@@{?#e?q5L|#wK(Q6XL-f(I_sF2yXRKRr9Brv z^YqEix*fCG_dl(Ay)$Ch&o|p+%Oh&vZTMxA^wl!)tK|Q_x6AE>*Vu9Iu|GWTz0m6O zY^%@nhRv_OV>qAj`SlHztoyT{>wn}qFLe63#WBfug{_C>FU!CCAm+d0@LB(yza9K< z%8#thzV-9%`<s8)&W!%VaeeOdFB9|XKJ2NRGW)#tb>*=6?rZE8yWg(*Dw+IsX7bm_ zgs(e~fl|-r<FAVQuRiDGKcimJbJxFAcXoK!^@_g9+0pxsNUr<P5q;<4d9mmATlewp zIH>GbpH}%JV)uOE->wzKvexwnte-{MoV#{<@$*j~^X#gdUeA60RQRq<%*?Cc@?rIP znbqf^y_Yq1)obk3*Vy?-)T;S!`aOGwf7k5SxAu7LIqJQ~;_xS4y~6HhV^HFG-k@$% zy=m_Je{=qQXfT%9c%SKg!3UAu|4!VwKJW1T^3TUU|5=$=_hnDztl8=Q=JS7C@8;fP zIsfi|uIziJ*Y;TNc{Km|n}RPfzkgR9`DJUG_;+4cwzYjl&)+()f@bbD_k&fhy<f@Z zf2Mr#>-xm+`;Rlr6^PEcoA*Jo?4Q*6f+Dli&n*s1$`!WySG1a^Z-2dg$6?uX=MyVG zvX|8ziD^pT_0DGc`J&Gs_+!7%TWw$T`A2^&|Ge<*(_d?@N1QKj|622Y!@T#(*FM`O z+TQ;-|Hi+neZp&=?>_Kr-_(fv+u7PR&uhQ?Aou=b!}RN$zaHHD_K(#0f|}aX&vz`E z&(r>V%Ra3+k45Kw5IcW!{bBY!HGOmE8&5y^`PI(6x=(lht(^V5{+U(9yw|#6^JT;4 zL!<QAx8V7PVe>8T%}?wPZoK+j3Y>Q2mYZ+*`Tk>r|BsI5=ePf^$<KHleCLtnxxAF0 zLha8N+2466=3mi0yZH7mX1yEvN7VOJHs19=d*_k(u6tE}|L&Y+KmWD+j!o^x*UVsx z9>(9O`YM_Db>{(afpF|qaqrdVe5=pPtv=8B+<e>5`5#&)^L{TE{&hGy=8>F!q2>0k z(swTUcYppI5zn0WfpNL<>2&>#pTpmXKYFoj+kd(8Gp6+`*G}i3*FAk_<%go{bDw__ z&9kdsTKO}g`hV+>7UMOQ`Wv3@Jyf;-TEzS7=T4W$)g8I~_{|^A>;2}(*Khi*J@3G8 zN%_LY;%Cv1IL$3=*PpF#X5Vw%-R8m9pE<P`ZvE7q_wdc1Y3B<YjcX2x^64kM4&QP3 z<Lcc16XU+k3twOO`N`IG{PV7_FaG@Vb*#K?{<)&hZ}iVr?GukU|DEgg&vhH;z2|%V z^IoFuebAzhLsk18ubsR8eRR{;TJ3_PpMxHKNc;B3#Nwdzp5xUvj~K6;Z-3pqf7567 zUo#)RYWmV^J?G&cr)l98hwHR$_P4&B|8MJC`Hwrx=WX6!`1#)FPrP|@f7ia=`~1~= zo$&eEYwWgznq{?p5w&s~fA##k_wD}t#P|0lz<Gyh^?B308UD?eqi^l0+H;_~=7_q? zovwdYyXT87-?3=EC7*syt!`nTw#`Ga_c!vpyQ6PcK9zpEhqc(UYTdmj&n7=VYro^? zBl+0*HrxNF?EG~n>1*YISH(wO8UIP&9arlXQLDG%*O`sK)@=M0ll*n(VcE|w3+LV3 zqqgUWy3Ow1rzdB>{g*W7k=%3(o|pXP70v2*9z9dqR(F8?oAraMxo`iZr9WRkBl?Tj z^|jA`tj*i^ea|m(bN_qKst&yR+;H{zo44EIYTF`e)4;aGBz}!d{(3X<>(0ZkK6kJ` zGk*B0%xwLS__zPASsXn1R#(5E4K!j?{$=yQpRQ}y+va^}xZE8n_h<Ip`lS7m_wF1# z_x|IwoqAQ#`uy`g*Oz~u{QRx;ojn!HUq7%v^Hlil_fw!c&-6V{_C3*e^YiQd!*|p) zR(5}_UVZr2Ueia9Po1-WbanEXi@V?a`*PkmX-)mSiI3;}6stItJtywYv-=Mizk^0= zYB{6p59#v#yZlal_mZcYdLLxwe-LV)zUiyzyMizA+wM2URh_?j@9g9MWwsUT{vSVk z`G2WxRo=a~&pv;j@wFyB;(U4Q*P8Vk=DpXw_W5q2?S18IpKFg)?OXi)*3V!2jz-+y zF5_>b&zkox<NSH4{K97O@<%@psor~>koc36|BLXR^Y4~-y^t=i==<y)z3+(N@6d|& z$p^o2|GYEz`On{XYo71?(=fYTzT|FoT-}MHuaSvgZzg@+c@!Mj9aqzTB;JlkiqgW0 z^k0W%?>)4A_wke7wz@;6b`O87o2D+m@%QQ%>PH`@u30biyrNTm&%xqv*&i9j<+uJd zer8k^CwJ%1i=97b%ubgtx2?QabLi9M({J8qcbn^*^GE!zJk5Nq{$9~H&)s#Uy3z8F zUfg=dv%GxsPu&j<;XgXE_w3uf_Wg%9o6PRZ-g~sT{O^-k`TA4u)~DAtoj!fDj`iA4 z)oVX_<2KecFFt+yYxVnI2Y1{3*fMwirn)wEo8wmYhpqM>7A^L-PyT&!@$<Bj&u=z^ zT0H&{wa4dg{yM9?$@D!__B_>VHqw9UKOg#UuD_;|C*m`QUH<dezfBJRvOBwRU%%wF z?;DTW&e#6m^!ED4TD^jQT)*qqZTyzK;oIxIHSeFQuldcpFXQ>ky5KvXYUe$EBlqoZ z+#{y&A1%=}PkwJY`da(O_xBHC?W?Z0$8Y+b87cqpO;_1prq_RDUjK=*e0}}YM?cH& zx3!N8^CerqZ?T?JbMu}5^W_oGr&pZ+r5YD^^4sl->)Y>rWnLFqf8gWPx4&*)w6#BC zWq-K%n*ImI^?P1tzyHPOzvlkKXIf?dF7B@Tv`&A2()Z~fY^80@<4Zrk$zG>^{_XX< zHvijRKbXDF`ux%DI@dpkB-#4&Ui)6!{I&LOa;-f3n)k^DH~+ETUoUC*(DK@M&BMR! zLL=@^7rpj9wfk%Biw*numBa44ui3Xa|MuUN=Ru{&>-Ub0U%w~x{(t|T_uu~g=5hPJ ze|lnFR(I--+ny)yZwj7|%dEe@=>4s#x#G!lr&m`#e44GFzh}?!Uwf+8oUcCg(Dv>7 z*blb5=kqPE=zm@@^YeGX>pKp8+^Zx1(XaT&zPI}Fw)*u6Kj*yGt~sDP?}M26_idl~ zD!SeG?C5`bl|T3YjGyZZ`nMbX|M{73`ky+fjn68N%t|lW^w;}bcVR)iwf%8Hy$^ir zKTg|k&l?wW^`G3=d!VEttQRT&=fpep9kXKRC(X4#ZdJc>&ey3$ceI|@Z9nyqk$;VR zVQHzg{hy6@`_J`nI2ZqzwRrB|BiBw_pFcf+SLGMu>$YL{tJm!FkNC`2ckb&M{iCy< z%Vf{<mdT8(SYDZUHo4ohpZVJN%p<?-TK8}G{qm=sTg3h2qW3;nPI_=?|DVG}4}Q;i zbanNc_r9H9zo&ul<ELw<^T*YF_;mB;o0_-sNpI&T*4|^i_B{4s<NM&=)xU3kzWmVi z-oq#VrpXt!soOmI^yZHI_u4OeY}%(kp8W0bZ1x+KA1!lh+lA+dPZO``^tP#9@YJ^N zqulo&E&LW1)8}rlnh-nx5!?B~UkRtbN7V8})UrI^_V>$q=Cp|aHVJ)S|D;5m_iz1r zo;eJ}@BX?z-D*v}t??t)@6|`#W#+cq$tBHKKc;)`BcJ$=AEMFwkMY*mOU;RE-oJdu zp=uemY4fLSjyKr+o3sAvxBCyL?M!>VHRfJ*+??}g)z82EdDmut!)s8pp7rF<_vy<b zYR_%_6_bQq!hHQ*bmUj9WZa2&=_hNHY<`>0zRq;q;<)X)kBsX-u1dLQv2?y1f5oAP zrZ4%+Ep~3W8-KV?(@#F@xBSNgb5>utc3S=X>h2wtHG$WgpZ`kAn-_Cuja~4X=bMkb zD(<}coNe`aF>wEdIc)xB?;AgV)k-D(wLAM^)=!;+Pp{3^i=G3mz<Vfs@8Q{egM6C{ z=UY~1N7jE-ef!U9&VxU<riq`rxjy9I>}~ZWn$J!@&$CsZI6L3=`Sh~S4~#(BDD`Be zoc9_#={0ud5w-6&{*u}7i$^d0_v-VKVW1(|`nIqC)_<7xbIpfGiDv6{^$OdY+oSCs zamat<bDzE)R9YT;Sh{At%<~-wgySAJ{*{XPJ8ka%9ZhfN8%;l0T<B_UU$HLP+<*O( zsxN!?ZF>6a{^X1e|GukKt+D$aQ9G~TV12ylj;^=mhcrR9*-ig(!hZeQ53~N;<*a@^ z^L*HK{oFYAn8$+0_vcntgzlbi+4q4_zn~)a*J0UpA6u^PusnS2{gln|>;II$`E&K^ zn?I8*S;NcsezV!#HoJV@=_6+kXYaa~`1NKos25Rr=#}x#X>XU?1+TI5USl`CqH@{a zfA<nV)r6%+x*hw|{n?*q#oj)bU)Ztv{$`uQtZ@&7;~w_aWzCtNSzEf}p!J+()8q?( za9HOblD!vq{N4J*yqBf1?`_VXFZg`1xOmy@^p&rb!{!Tz&Hwl{XYVhc4Zn0Y{L<O@ z3o-(-^Z2Xc1FwvC*gdzO^zVb%`NDqV7`s!~!Yf+CEmrB=e>A7g%I2Zq^6QK3Z63T} zE34Q1eYD~L`yJ!!pW3#?*;U2eJ8|}~^zL`I;pT;(GxEZ~joPsJtYP!B!Esj&3C|6` zc=EEoFSZMwV{_}7{qgEOpc60tN<`m(#Cz}2&tzTu2c30pcVh1U;?7U|Zv22F+<Ze# zWAV4sA6wGRx4%|@cCVr@@8L7)^EY+x)_l5i@6=iG?PlPn7M5<x%(?5qLAj@5&e{5v zUn`oMk6)J0``A$aqoG^<#;=>XZ~nB^InB9u;n%5xR&k3x6Q627eG{%-zv!*~{<UxA zKkuwQ-+g=M&wItC)#m;0A5?wVvup9wSDTN$+I;v`ar@Qhf~(JSu0H?6Z`*#Pu-r25 zXU>P5)X%pbOYrYFe(=ya`v;P7ww;xar_>qQJm6|KUuJ*jp>E!d^@m?h&i(&%?%O|e zcKXZ<FE9K&`T4hXdGqd{EiEX&9=*oSdX1g?8oU08TDR{T{$5G%xtiX0H67kTh)c7p zo?~<LS?M83zIU15jUS23{~(iJH^1_6W1Uva-6OxU3){C_%#W@MI9Ip$)AC#YX5V?Y zJT33z-k5w__3ve$4;I(ToBO|i{j1^X^Ny?O7535V?UdKpiAU5v0}nK=+xY7aBwaq# z{cQR0=;fTalYig-^P2PM!OLmWEe?6_IV>G>(ZBof?5KF=a~~P~E50#U*C*^32;Vw) z|8d#kv)s}8@;2i9C7&NSuCqS>wE1q$H-XK+csBkzv*A}v!q=ULFat9D&A)GF)DNCc zzp;la=CRQ6`h?2n=M~NT75#^2UzC4W@L_e?zn1hJJ9n;~ubsZ%=cn=8Kf-b|tN&(i zn{T5XyWVy=f9dCo#h+j2+5P9;46<j<hF>v>pe7b5!j8Q9+;KJi%j~j!zj`+QIy2RH zzSGamIdR!{9<im@XH@oc?>Vksb4d0t3;*WdC-W0)m+m-NJm=n}PpW+XPn=s_&_22S zcAet<-Jfm#>zd!M)SI3s_ix+ld!Ij*>x9o24V$kUHa~ie9e)I<Bha=#`}gYe9IMZ> zhRwGOn{PVrui@W^y7wN+ecust`xoc>51j7xNtK_f%jzxvE~z-A>X+|YUvWVC>6`0X z$B!!K{O{S>GjDo-$>+t-p9SX43tgvveztq`I=kpKcI;p)&dDWTUwvL+^?4q!720d; zve(#I&#}4v3^c8IM0sApUzuom`)lv=n}u!a*F9yO_hR{xvuoGxXXCGEUVY}1?YW!z z2ZZD7&z)O8Bl<_hb?NgLh41Y79%Fm)?Bl7gZNug>hs{4YIcM*$If-8_kH0cL{A%-| zSH-PYpNp+N&$s%#p#Hxr&+4yK$=Kd}r~kwF*I`JtRWWaE{NuJdsX6zK{d!f{`rTsB z+NbJI--z2BeW#w2^ItY^X7;z=(@s~P?_R#^=aZeavt~c{FRwlH%6Nrt_<U&T8c`b- zQM)aoHgDrEn}n~HNna)H4nwM}>V0Rk8<Xcemb?C=;d;earf0puzg~W9P?x#a_phLR zvi*kN**El$zU0pRuPisC_^VH}{(PJD^PWEYD8Eb2=6d~;XD8)nUrq12n%;T!xe$18 zMi=Z)_BD3t+1Byko{rJy$Oli(=f-Kzc_`Jt<FNXk!?VQ=Ar;o`==zWIZ~QH_IQUam zM}NxZ_?3T-Zma*2v)k@L@%DL>pYQm2t@vMzIsd;arO$W%Fg^{kC%qY5H$ubnb58wN z%fqLQ-`<a(nf!HT;@8NBx}PH-9*w`TXWNb=vV3OK`YjH*?>QWp{5|}lf6rm-sCdhB zg^lWajxql>-BHy!cYnn`NS*bO2~=mrzj*d?{%$$j_3<f{1=G%MKdAd|<F7l(UqQ7< z#YRXi@;steZ{xr3$F$e{-}^D_y7BzA>*`mYech04^XNzFwD$VM@5aXk?H;i5y?3eK zaoBX;2Pt#z=>5Ux>h^w`e*5R@-nsEc%O9w&yFM>nzx4CD;-5z5{PFLq+QB1<Emxm& zu0H=nbld!2J{v*RNKDe#od;iSKKiQofcvxJ1Fyqx>`7a{<#V*mqc>BhrSCYvU2{nL z&PD&;&(_iLvUwl)mX~k*({VWbW~K1E58pz|>UoR3qpw?^{~W&i=Zl?{y|dHTm)0J6 zReb1`aoyat;qy7cm5FNDeBLn7l<)V5TE2){w)M~dOoP={`))yMtE%3o(Q_{Qx2xMc z`m*jzJG;!>)bG<b#rLq+9I!3c{eS7&Y4`J|*UoOQf4b{?%-^lE-RqO_R9E*Bz}3~B zYl!N~3R+#ghE`X1&i>;5f9+lR0l{_e@_sTse>43t=lOr<Afu(fs?G0LoU<~YZ?iqW z<nz(lXAVYw`*)_WJ9~}Yb?^}4n~lHbZ1`o9_;u!=W>}47ar)W*Bkp?+9*mE=_ehMt zqMd)oja$EN+TZ%g`?tknZ~ND&54rRUD*R7}pSrm|>|gk{|9|G^{GW0DZgt$B&9mRz zhUb@ku6SPcVNX>zmKtj<W{ve`eQsQ}%wxvy7C*$T|2L#pd^JBKz5L7Mhm7GCXF#I| z-O}G)7yM$oUH{X}+CE)x^7E^=@BVqR^KZEM`Tu82FYLaT1R5Q%O!``R<dyNS^4tEk z$G~-oSVZkQa1-Or$5}t$6n@%nwqE#L!3VzG^97gxXn9`ox%`YY|Civ0ui~!7cU$i{ z`g5<Yy+-=}z@PVT|C?QY>+h8_y8rmEN1s2r`%cY=nE%UXFaQ4(T50Xr{`Hmdp;yI? z;Ht3~Ja#4<Hs3aEzVJPx`NDA}X6v8qhtyfUD0SBF2w0tEU-s`no#MKCDbL>*9*_C_ zf9uyz2a7-Ene*R!yz6t!zUybx-y36=v}^3jD=L@mT@M}5Z@znf-cOZ^pZsR)nXd0R z^3gu(-UG{f518*g{;=;$yS2=o`{(9=;4%Nc`D^jhH`6u$t6TqXaeo$9f8g8Xbor9K z-{#bvIO~4?Z2R4se}Xvctw8H|aESgmQNy+8U;6CpQrmYNyg6I<ePQGGA1(en7SDf> z@O|?A`@g&jo1a_MHazu~dG}@ySGmPciTVBK?^e#MzjL;H-f90Gl|MYM?|uGsIhJZ` z{<go!1Hb3*In`Hmm+v@kt9L)^wfdez>N0=3pPo#A^RMWa=f@WBIbvVh+4lsVt6N(X z)3dGqSd82G)8}{nyjc9%()_&L`>IcSel|UY6bRr!jyK(9dof!8CvWHcv)*&u-R2SJ z_5AH$KTd!1&&=ZB=lZRC4ha99vZHdr*Xs`$^9#Nzoc?Z+zMs**;%}<;{-nHz7r!1W z{vvAr-ZnhG^z)DGb<hGKe*4!~#cfxg%YbV~uGQy7!4)&8HMQr5`RxCK@`Yb`ckf@Y z-2ToZnfC>a-SykQX5YEs-+Y-pI^K5O2f23sjenNR`P-C#>u<~Z4S&mbq^`TUU1$3D zdE%32`_H?bzq|6E>~-}ucFpf6%}?DQ9<;^|T+04hv*B0HM&u#7d3VoG`sq^f%@4V+ z^~!#Rx!=p>$C>99{Luc=Zat^w{=4;w`$d+&-}JY?BH`Rs{+zuvpZ5G(IQzIdsI%ps zH}B64oSm)tH-5e{KJv==aQ3s!2Ts=C+7o7R*i^2t-M^yU{QuECA06z4*VSG9)m@+U z{rW?e=ljzt7tZ<X^|bo7{V&PSPeB#e{N136i`$&P>4Kcex7Rk}>B{z}r%jEhHH)at z+W@LhEEB#;&bj+7e7-T*r)d$jVHKxq!YV%AhxWGqZ>%{YX!l6A?_46J=DPIjRAC>t z%|lbU8|x2V{eSCcck!)%n#Gbf;?IjdH$VS%CvV=o<6mD?+HQ{d|9y(|n*Y_OY{TYP zud(xws*n9`$MSsZ-z(`|;3!nRcE24oefO&B+2*TX-*2mwE$DTZ*?;`ghnh0`Vws1` zaSuK${L*e6^O$pe;pf-e>Xy&>TlMt)*3aS3w}1A2CK7Yt>#fgkn)2>d>ghju_OLbf z{f1wN^0sB${CVd^R-YGIeO?t@seoEoH7R!Nd+O$&%`OwP-hWi_9NTH*m<OzO4@KX- zSbpqhegvfM+MoGTu>Jk^&uVubzIA>3PwLsUxHDhReg5+(&#o#@@8PqXs<HCma=k}C z_4U>CCQ#Eoz4Izclfd!FPx%G*dyW?0dCW6ie#=+UxQC*Bb}2u_o`1Rgkh9;SR^snN zM)&F4etX~4KgMkLVBXGY(>H$obn)}2O?h&0=Stu2+_h)6d$_@B@Ho-x^GvJHZ@u>U z`?iCxV3R_t&p+rYvwvlL^kjSPzv4Z|y=@+GU6<eZRrlTl-Ffv_J~7XGk$&Xt;kEk> zw*8hYXjy%K6RfipzUei%v$gIGsIwJ%r{>e0xT|Ny!;O(UTWgntXAoAO7Y>_$^6J}~ zuQQXrMm{=v5L|a%{3^fLKDM8I&tdkMnmgCduS<Mx{zdpvNoH;8jzios|F%JTTmM_$ z+WSMRu9(Ybzt3Bpy|c0=_j>d5tED>O^G(5XCDv=~`lI~8J=fCQddM=QuaQY#Z)Vtj zzWLy$I;`%pI1KJ?)po(VTl<~scN~tsvmw6yvj6RWr;VZAt(CLq+ibsH{Q1wXb<NT{ zQ0lH-3*UxcK<{qJt)F>bPJRBy%DDw?&C)mj_E;QMw>dt0BBZ<Zciq?PkNENn|5Z<u zpR)P>ia(pT{eO0>%-*!PJO5GDYs;Cte?Hi`Z{_Ue=Eb#;@%ueH*M`p*44cor#;zFL zdPg(`K1};L=fk67v-MBJ%Ia193O`hy_Lh05dha3cx);ljK2%<_p7HsQ26da=OXu2e zi0@dff8%HM9mDH?!nVcPRp{ltedhRV*XNjf$Ihm2H$|?x);|BaX5+6n8$kWKN=UnE z-&|<T<vKgOF+1kb5ASK_6@BJE8opc9sQi7%$G(00XLXyyXBX%Ejj{ZldTM{p^Z6f6 z+<9$%qwdq2zIE5<UAEr&^Tp1{9Y6n^OZz%A5j5ho^U$l})nC8dOa!&wL2ZFu-E-I5 zC9kn7u1T?Djg!xf%U*k&_uRfDq#jrK*54<!Uuz%bo%i_5#cBG5KSHh7ACmQZm-f50 z=H0o^f8OQo`y6xc@Y%!FyWZLA7oWbG-g-5?8{8dfzIGYhf7M4BJpcG6`%C-wiuUR| zk3YTMR(D9d=FmlR9shT^-=|;p?^2I>^u-WSb=^7s?fi_>FDfhJ&F!o5-aUVI^KY#G zJahgHF}2&kjZC=>zvhUR)jG~s44W?rjx*?D9E+dJ&epeo|Iy)Y^YB;nHoLk7cki>Q zSJ*|yJ?O0Sx?^+gSN4Yn^Bq-lo>tG<e7`|`Pu+yM@rK(!99(BUZ*lvM%J(~eiJJG< zU;Qe#8a(}f9Z#2QU+!o7N6LL(yZ1k^{?Z;j=dr9_!N*w8K;d5#i`qS3uRi8_U-*ON zwEwA_`V}kg`v0)KUEd!4Y}VghPe0xJ{Ky=Xga0j?{hYrT)a9z!@${AP(O1QNSDy#H zuC&u$1L|CEkEoT~@JnXnFP{3-kWSZc)J_*Oywhbj5!C5g3K=J?&wz{*-rx51)5*`T z%J10xiv`tP-|yH|wnWx0i>RFj>WBQglVOV#qPCaLg@Z#h&UiknpC8IFVgC$sxfkh& zuAchUEuRXmy8Jio2{>1`^ppJUe}PAzKL%A@?%=9R!kl03^{&q`Hs^}KB|-wI6H>hX z>laYHWpfWpy(K*Ry4ds`hY$Me$``iuSF|ryzwtNpi}2$QC$EL~6~{c_T>tSv9q+oj zwCDQsGJY>VllmcM&7D6FcGgXrz1+Xdwkpp4=%-h`-`>ZYS=3Ahjhca`-^IU3{@I7r z>DuQndhPqlt6$HguYO&h_u1-!RKLZ))~64H-_|{|IK;l^z{B7d{N+223CBHt_C5En zpXKkbr<y<iDQZ}~{N_*9Vy=1bs@_(H?XG;jv!-o!d;J5{PS;GFovzI{_oSWQ1nzXb zLFsfYkAhTO{+s`F94@{A?sV~kE3SVNU(bF1Qy$doiriiKO?@4-S$lpP&UGr5bL#zm z9gem+ZhNkvQZG9Gp=jL07k8%R7y8-D&il}DdHH2|xq>e-yZ2A|n`HBV`Q6OypUc66 zg#TvFZa-gqw|bqFdH=k8JiV?sNUv+oad@xGb~B{fntvTqZTaT@ZPnlM8&qwjN9;Rb z`TJ?bq0RQ&A=Osg6Hv9)4H_f-*E(C@EDgM7A{n%1q8O$7s#>-W+<pD{sK)HSs@)@A zzH|QdJB~8nd&sP(m+(4Wf9q%IUz~-%*sq1RY417k&{#KKGkt&5PuAT3KTkuetvz=@ z)mHe9%0FJ9YHKx0wFO!8v-a(J@bVDwz^?S#bUSIA-4o7c_k*_SvajFvnZKefTs#dl z49~;A<Iuy+FZjzVz&$RhTmMd9zwy(uc&5$zxYy6r&%b?r$EJSo>jyfhV}$jYzai5_ z;AVg+xR-1jXFT85PcP}S)k7ZkXjq4<IPh67`>&M+U)!M_F8N5vAYu2-f3x>*`n_^y z^q=eNw$Gcqzwq<H;=gR>{{OFiWn6t;aP|2Q?{oJ4>H&}6%}fT(2k$%v8VCigD{9$( z=6Q>_`1VTG`uy+Vk3`s~Z`gB0Fz%7;y$4-&Q8NEFel>j{16px47gB3^--6Uyz2I8w z-jins?RUJhng0L9v(5EJ$ki6OcC=n&R}P+EpR?gt&;Oh6^3T@@?b$Qs?CJxidWABP zu<llYZ~czL2WP+i!+E~ov--BWb#wk2J)OSovwua(yRYZIO-|1*-1}|LzN?_#*8Uwo z|KuW9THROE+d*TYpkdt?Z=s`vA1D44si>8N_O?=ydt32u>prDN$7|+&kXe3yV@>Db z(>E(c-xYky-xh!3`8%8Q)u2(r|98(GF5mIaR{wtHPRt$xKU$^r=?kpV;(}IMqPqU~ zQsI@>PDG{kXZhRthSM*8{<I%7;d^s;<+uBwVZ#3#kt?mg>tom3Ij^xZ1`q76+W=Z9 z@TNfM_Mh^K{{D(1!t2(jynbAM`)_VR)AR6ckp9-s;MeO9GT(df>b%bV$8-L&**yGO zJ!Ac|UvZ#O!r!1#Lf$;N{rA7dJ)3_YGyo&N0e63EN7vc^9sL%+7Tk?52!#z3?wAD~ zCbT>M3p`Aiqhqg`zW;%9nf=47xsYMP#M4jrK0oRXs<Y~@oc-MVTKL*$$(l1opa!Pp zanPg_q6Gk5;d1<K-Ly06hc;*5*du0f%<^4fFMmaEIOpeMnt#7k91!N4?_a;;@JaPI z|D?Wo7gqV+{@=lV_UGhnadwq)wWrQL_TMdMbA5i{=M<CG7(D{Wn5$j(b4a>eKl413 z`t?nfYYW=4Z65K>|G<~O?kuFQ6_@b$=={yUUl%le7LSV4NZ%jyvoz=bi_c}X2SD>$ z@dcmPKL695Cs%V4G)lPXDdq~m4A{IDDAKg|q}plk*|F|ycDMJPhYxs8yURROzW4Bj zc1_){&cYwhQR=I6TRw}&Z~Ytm%&0<d-?L}z=f7URYxB45^~KM>W=~Vke|G=>k?K3i zUw0mV^||G0`Ul<H{-Bx}JX2UZUu(_#;ML&ytmS6wnb&>nc>Fq2&JW(ja)o!XlAyzb zuW$ccoqrotQ|)_P^|ta`toeJJ>Gz92fB3zwJfij+xYV1s;g?Tc!ta$B0SfM7?Q1-n z{mmKN#mdX5Y~$W@Kz+|4*}qpT{&T-xeVF&&!;<8mg6-y;z+J49;K{81nD;j4>)$+E z{QQ}E-n_q8zCveU(znIcszua_fg2hq9oIi|KIi+?S9Cw$aa>X_Kk2pho<rI)XLaGT zS^5h>vsvOuvsp$vPU!y^IOTr+^yZzF6=m1=K7S>XCpY)<8gLtSjU6|5*v<^>$9Ee+ z!*-H$PQP=nU1qU!<=Oha?-ihP_kN{q+jmrY&(Y7bqw5X`|LxgPx$>*{yxZUr!nicp z2;sTp>;7l<ev~<Tr{=Mx^?K0g!sneoAN|2uSuw#YD=}zgmFEeotnyM{r;BfaR8~c= zwGWHNJ@_CxZT*zZ@oWEF+xGvD)3*9E&)?bV>%DnaeLnQXvyZMZ{PV)ax5hx0l_RZX zj6d@YX|%QXn(=(zd8uaWZTmhpRLjKN0r#-(w!wQ?>zBYMv~qL*KUIALnb4YE0-DeY z%A4oDuGt%PbZ}SC+vOOcS#h@Jo5jw#XJ5A%%fwuJmwrUk?xE$qJM$ntti7io6I%Ju z!9m%a|7V}RsmppM7Ju*Swc;XHb9tNV;U%9<%3vL=Nd!7reP-*QoC0^S3e-_LSgY5< zI#^whs;U(-p|w8i_0#6(kFxUQV(ykc-?^u4_IV)!6I!#%>Z_kke(-c|ZXD=X`p3H? zU^UeTQ_#R*%xUnz;QFnQfx-Q&=hh!mE?&Pr_xH)ouXn^%`>lTsO6xr4^XF%RyB?xp z^U)Wa1zE=<#s&AyKAYVeedocOchkP_I8a@4Nd3-5{{q|H^Z%4y3-6M)IsWpsZv3MU za%FL?)^lR+L)Rwp-l=)MbI-!r?dC;ytK;t7z+9VDA&gd2&4blcM%%v%$2}D8dzV^i zwEg$#m+D7_^$M%R{yt>PpRzfAwT!qqbYarHGiUqf&7QrxvW)xs<mYE~b;ChZX3Syp zK@%|BH@&`^-f=a(?do$T@Q59#+wb=-_w)USwswyM{der^U)!Ib`+fUC&UyE*e`50g z68z|yK6E~7Ev$ES?#-XBovqso_kNVQd+luX`Kxd5*zDU2o6nNP)46(=4(?pN%lIyS z=l-Yv>`Sr_Eh{vKZ<M@~dgXJ>*7Y9~W<NUE&8|Oh??w>KFSn=S1BjsyV?adGWuATg zzv0@?qGRvR+{s>B9e4h4-OcwB>btIGHvj)$|0;UUm;L|CyUHyN-_*_BckJr7mj8eE z9r`GJMpy5HTzmeOpMk~axYy-=Z=X4L|KYEuZ~ogxez4o~eb0_QYkAx2*Gr$j{2C+w z@y*`<e@mMl{4%@$Si)Z~<+J6zN2=%a(mpfE7xsP^-~LlfUqA6(;m5Mi4TsBT9G1RO zJ?%|;m-_ZiwYmi@!FL`BeXr;Z-=22gVwr!pwaudszF!XW&bxczUU*?#&*R%SezM=$ z`23OEx4kuA_ITZ`EJ+3}@l<<PQx?3r>R5zr@tS$wYvxI>nP(byo^N$}|JC9m<K6ML z&THmz#%-R@I`8haZ(9#>>V0gGt~p}8XQ%A%W3shwF?(+Qay8%n-L#_Z^7b1xm*;#g zI-8&W+&@3jUj9S%^?B*rOP)uc&wO^SIeKTFP5Sn2JFA{W*lL6Asa`WrHthTZv$B0v zejBRJ6@D!H{d-2jSDg>j{;v7(h;Q3^-E)P_&3B$L&wIpt@8Qebx3#RbN;3PdRxPzS zDk=9-s(eoP!$*B({~wi=)t!m6I)C%+-OBen_cUAg7hi9F{#7>DuI9qG$cLYtpNXx0 zF1Px*;Og`Ob!&gy<Tdlaezo^+-S^!7efG@1IbT1QZ>s&e;|O!#yPVG-&V8F_Iq#92 ze!&m3Uk}-)R~*TXG5z+}ulQWH>HhA!`*(HUoxgecgLUh!&r>fie%|~1&%1XvF`y+M zIUB3`B5eC3Y}3DQ_<Y6q*sGbzUt^NK-bwhn=Bur(bxnf3ZQT8<-%NKdzZ?HpK)rl( zWs~uq1MYhc@z#3H*>|_fbjKlUnf-^KvCG`M`%b#BdHeTG-$UQ%?%NLP%+=oc`C#X+ z_16CHp8smNT72Y{r0BQ3Rp&NTrGev4H0(TY*m>Kq^M3bV&p-d}{9o^14|V4~7E`y_ z-(DO4Ks4^*OWWLiM>T7uY#uQlf4@Zj-G?uX-}cS;taRt0-1ax7^$TkC<!$ukpPW0) zzB|uWoWJn-<@Q-ujSsz=ne_F{hN^WNtHL5|qu0!<UNg^q&AfxB?rpwqAOHB~-kkr% zXFm$@?>O*u@7v!}`5(m2zfb-w*Z)Pb;H%zial143E<e=NyO;Z!)z4D@sPa6UJ9Tv_ z@25V0seI?>vEol2<#tu;<e#1s?>AeW-gR~Jkyks9z1n&B)y<@@G8?PpHddXJOa8t( zz2~a&@$TQZ{!RbUU~aKP{%=9A^`67F=eT~?1>O29TI(dU|9F+^jsx5>`>sFJK5M!D z%AbE_|Nd+&t2^@kZdIJkxw-Fc#rw;jUlfihpBL`GWoOm22wUkj^Q_m*V_!2*Iqduk z&$4~6u&h0Q|Gd}z&GUKZ*&O(`^|;`>g6?MZ+kb86J-Etz)4cEH<Oh7>7I!Z4n^$ye zpUW=zqhMXXbKCFWZ$?#dwnyj6+g|rCeg5)t47-2%-lMN>CVZ9IP$jpa%5Q$+^Ofl> zSB;Opnwj`DCi&}{FSfSPd(!NyYyT(QzAmV4QL$`q`T;|`N0#?&T52En*|F-~OaGg7 z=Yg)@{rt~y#pk3=>Q~I&UpZ~>@|66*r&X^jzvYzQtJItS_}t^?yWVZA;+ysPe)ys1 zH^0BKJo;+q0Z_c@Yy`#IyA4&_e$AVwd~efy<+wFD@tdFD{#_*V_(7uC`Hv#{ANleN z9Op~5%WsI2^(*Xmx2bHrd%rNQqr3g~-|9OXu77F$w)al;IkR^*6%$_{EB=>MZdchE zY3saZ|9sA{^Q>X#MZ?Yutxj*gTHJp1bHml*E8oBL#AUs|y?MT5oZX>sQy&UFx2Rfj zR@>&d^qt4HeD)spcOE=QE&Jc0zT?+~TKnsBJ`0_dPyH_c@xZ;)(>LeaRJOj}`g}*( z^XBKTroOBBr*-DPo#Me)kqKX862IO_`kJ$$iZ8;JKf-qT`fb0j8Xu~zTK3~Wozb4U zEpM}Xvtu4UF*M6BZ2SJBqkqRj{|{2t{=X7mi$3N$U-(CGwtiv6ty<Q+4{x@X#hrM6 zw_@J@b93L@JYQe_{Nc6I*OfonX1{))-gtHMu~$2fzPgzVin+G@EuXIzcU>)RylQ;) z`xl*$Pd0uz9KGkTZeHO(i{Euev}=xBK7PCAn_6|e*L;b7i{pjf_IDokKARJs-M88N z_D{}gNgMt9kIwPWTfKeP=O?-A+|SQG_Uu(;^4B*Ts=h_os^@Q?AAVk7b$S!XisII* zo3DKTl4DZe^tOJvrQHL@{v9=oYUTGHzPJ6Lu}%G?v&J@;)Q?|vUmM?Qz31T3<2n0| zYd$ZnIP$vu)?e0Y?)y)x9#{U#E5BEzckl7J#ou?`1KY!9{X5Q9J;L^Rgst$Jd5|d6 z4Lk34|8ntxZuQ&$_<uAkf4})VYxb{)Qp+pajpx`N-53A3%TBb<K5uVS%mZ6K`^3+S zpUn~9bN`;O-PLpVAI{pVCck0lp6`2pEC5wt@<q>Iw#UkEtYVYjxD!;FJdd!Q9%0K3 zu1s{p&daTSzTo{!$!*`}ss5h%=;Y;`cy5`8BHMQyv5k9t^)e_^U4F#ZZ}CUvZ$Wdq z{MPTGbHpG2kSzPhSpH_`j~TW4^0w>k-kn?Q4;oIGU-Epj|IDi>rR&D3vIyJiHS;F> z-~Rhb@{z5r@SZe#?%Mx8x36=l?>NGo_d)Rehi8#*q|3iZ7Jk%xE&ldxE$_UCUoM-S zH+jA%=JTm@^Xrb)***Ba&i1_B>vP-ZT|U3_^MlXpq|e_J&sjImGVDCp>gQ~rS|q*w zs_~CSbJx!k2G=5;`<ACSZhw>BDt>-jZEj(ow2aMhScNtH4Y<O3w>G>hc+Nw?^@YbP zMfK)of7iFNwolWa`~1!6cYD6a)E%9BJigSnBCh`Iv)TP0-`juu^Z3tie|R~2{jJT{ zo{d%KHdg6v{AVK?Q9m#1ug&k9ub$6O|NZK#tz5yEn%V95H+(<yQRI6?<Kgoc_|115 zQI323YBRLTs&Blz|JO25m9+r0Nb%=1>wKH%_sgC?ERT7=p=w)%tvk3t-~9eo<txeL zuV+9ORpo7{x)uSgvG!m1X8Lm;xW<y6t!{CcyXJ`YoeRs4ynMbUyjgqC;g8RA>kd5Z zezQ-|yyAClb^OtLkK}jUtDMLGuI59`-wSiq?Tcy;ys}LC+EbTvfAw>o)#+_ljgP;I zOaxVocOVJW{^39I%l!EtWwu)!{OSGXH`DqLT>kM{pLP9SUVb3RZ}E@mZ$bNV`>o%P z&Jlme_kBm|`k&|DRR4TX`LnoOPA+EqyzclNpI_>qeI=Rr)n_B9O1utkX6S;eMCGva z55m96eeL=9CUx6?%X^Pi<q8(guisf4{}{AFQ9I|}<B4|6>-J^*JrsYt+REnW(djw+ z4ro4KT5)9Z{Tt`|-|YQl^LMTF{R+Rj=jYtde|>RIe7`x4>grztth&1OZT}JWJqHhJ zziiLG^HBC(;Ww?{b)VdK?_cEK@%S91x~jVgt*)+?{rlq&t*-vu1XWk{h0ia>$Cl3v zcb~mFz4PkkgP>}$5)zRA(w-v)<jd-Bn-5ODeq-M^i$k(`g&)dy?>{cQr%LztF~eG+ zI}e%K;}^>3eGm(ubN#`O(z1V_<jd+$#krkdUBBz|;^)8O-`V`#{`!Iay6xa9kvZ%< zbJ+RJf?farHE*bLi?9t|Gfy(?yeTLPq<3F`lir`cetWHKVN<j4jcPWT$I9~_pPdG& zuJ&a?s;m8J(CVsr?taUKpz7*kXH35BdA~R3tj|C7yi@tdeqHsNdCXzwKP>sSx5{s0 zmEMM`Wf8XCYvyHx>tx-q^Md&|&kO3`%lJLZ_f4&3U*QL}+0QGwrdPC^?^xj9dw6~H zdcNg5jw{cbbLQQ93;iQUXTSYtn|C9-s_1vzJKOZ-1<wy^#+uLj9K7@M$(3hcNhW>u z*#NR--3E-B-{jjq+ut)EK8?<am((llTfF_&o<qfZ4%*&({6YH5VO~C`-StO=V`?w& zo1cBIvh!K~=HLAl3Gbg~zO|_=vbvsq{^QHLKOgM0YPOy~|Kgf?oMGpgRzK%j{ag%O zB_4PMiZNT^_Yd#y2Zd!#g1uy%`L^|@>k3;ZZ@<0gsN}uJ%65<1><-R+Ynz$BH!0?! zW#9hP&#HX)6Tge@IJkM`T=7rU=JTGX7e2Q>|1<J#Wrf-Gwa=g4%v}d6$ECpb^j|GL z@Je#t;d9~VHN(!cUb}x@WOaI{`uipF_a4dS6?T6vzxiD`?xFI$eYc-&F240U=+}{o zBbI&peGxTP@l8lgrCeV3A!hFFx$4ggZL99hxsF^@J>QI0QyqDA^TEyPod4E)j<f%@ zk^B1j{nq!3KY#xHP;%b;oOur?+F7pKm;6`j&O=_kec7LF{VdlXR*s85@J|0mbcN$} z>GPLoTIbt}ix)k=*c$sDT!c4w-}wH@^584W<F95WeAU@dwQfUI-o`4k2wV0&74zTz zZ{V+}3apO*PzS51Y7amws{QGZifVs8xT1<Vb5FSL0;Hl6-wvv%cC>&hs*TqE|F3@) zT%G=*-YopQ#OmiVtDg(4PDiWrr*HYLbMw52zg^1js0SR&cN|rH_fcxS-I;xbKJ&%O zD~>$mf6*>&vwO<k^^f*Q9GBntnSV#}`J+qUR{wlaSt?mB7kjPr`OZ1JKi_<M2BSz_ zGtV~c{K=<p>%Q`AtWv8uTPId=?bg09i-W3i1<m~x?dI~S_jfEl-_d>WmuvV2aK}pi z7NlckpZ45;eFn5+6<+i_`uxkOcPk4-ulGLJ*@)S(S_SP`ZLCs@u;t#9YOlTL$Bwtz z-NknvJP<aM{~#j&fzN+^+I#I6!Vf>VU(-ISdG15U^&OA*aEZ^~@>@K7`{(3umnzS# zdw8z;{8Q7rl{LDcZq?S@dGCIHpI>nVUbH5F8n!)Gi~Ft?cZzSl8~=cL-Pg}=(pS&_ zKjrP}<EnB6UovO6U*8C;q2w>aYAAJR4R!za-_@^g{k5#-TKBx_ZDmny`MrvB`47$= zmPYMVnQubtRBfy(Gy47V<1gcF>pio+U$;1NGCg<R10MYkg6j*M=L;>j-x|le?gQU( z`^`TY+vjio?RMwE+upaeqSc@&{O6T*!R2-p>++tRn>>5BT>_}T;kJFl`>VxmSB($9 znwk7{%?40C_zsdXql^AOf7!mhqAz;RgFoEgY8%5VT9?Of`6;&iOXi0TcA5Wk>UJEy zeCD2baa@b_oyXJmKHI+O{nO^>PuJe9tdPFG_W7f2?`o>7H)9m3SBv|ve(tzxykqZP zciUu}-;>^gM;zH>9&FnDK6}r>Xq*3=&Q{x8N<VP*;IG#54c|*Dy1L_U)HDX4^ZwYO zzVq*&6Zf>wU$)-$x%c@KzjrlXV(#CZtN$)33|tCaE$#(1%r_qeRlqh$U+3Jt`VN%v z)7SkB&-w3t_9Gj6{8IUKh3(}#j^Dig=J(0FZ~k@JDfZQ8?iGr8z{*#j`g!WJIpJCV zO0Dbb%B}4meb2MipZ2ci{mvcj*8T5Z?)toE*YvZXe%sB2uXhr^+Cakb`?k+W;rPY& z_ss_<&2!?bWghZvx7g8t_x@wfxZ26Jk7w9%zAI=v9Df<so4RxR=jq>@;z6CMyXQfj zsa9+M_wTJL8eXqGV}Bl05P-XEm)SwB6|}lOZu5NGd9|m%l^)^blS_FoS<us8(Pw@? z?f#C%{*B%DBlb&~S9D*#e{0Y6IiK0i?oWQ6FPHOP^JerHf$P!dH@ENle6DzB(esao zW4=e!+q18k_wexB>aTN>zQ!bg+7#0wY_CVymV^7ipsv*7egD_J&Hlzw9p9HMQ*-{A z<-A9r1s!@Xf**e<zqb0Y=DUv_>it`OTc6`D{8+oYzF+$7&p>cjO788s=Hol^Y@RQ* zo^Nw)%{;}h^DL{MgR2enie4`L{cTW3>fZrKM@lLu?xE$q2XgNVEiUn!TU6}1YyXII zU0weD^QO=DxO|qs_1E2I!}m{|W%Fz*7roy4{D&u~5oUXH?)mF!pej%-!Ztj@7P+Q> z8T_X1YtM$NWk$bGe)#1Mt(xSKtES+a$K}_A_h{Q3|0tik@5n>{H~W~(E54an>p%IP zXLEl3yL099KBw>a{9)$0=<}!3Z^ocB{2Q(suX$606pj_2f`5Tl&Wmlg_&>AO{*kEN zqnGD%?W)c8+t()9Jd!zHzexVw2e;yLt4)vJEPnH+WiQXX>E}zIv!B2E`A+4hn(K?7 zpXJV3hZv{&<n+4|T$)DMii7><y=EToJXuJ^Wb;69`u+{?7x(VXZ%(iH=RG^UVu^p> zYWwK@T;&zb-TQCU^ar1N{h{N!{-)pD-^BLa_<HQ~7u9!rzQ*jiGS^+dc<=F7Hxs|| z#O2*z{hS3<U!*r(-F)EH&O_inV!~G$lmAoS{$F4@Z{MMN;(un<?Kq}+?;%h6{*CXi z?^xvD`gniTdNJ{e{=<vU_RlJg3%Ff<=>Dyr)-ju}KbdL<T7bB|==tL3zplQssqK9Y zYNu|+Q!lOG45^pu(vj;Ww{N}4zqaf+tjzb{&Hm1Vo3G#2iatMT@o(?k`UA4ndfUJQ zepSuZ{r6sg*1w*dd;VTd*m;T7>7WApYH>5Dzk4(B>zj>Le)p2TuTJl{YJ4#J+vWqC z?QbC0OZ6#`dTD?3{ugV%?PEJ%@QZ(T`mEx(bwB67`SbGjo9E1R?{9rxQwXY;Zr`o^ zz<0g(`J1_B;pb&mr?-OaDek|z`S2^rJ*UrwpXUraZwX5F;NH{H_y2Q#-+CltZt<i0 zEW6E7_C1F$m*4))^~=TL&+@Ncg<Zxrk4)cLtUq)#{_Ve`)o=dH*jqAh^Y>k!?-lPX ze*W=y4FA0A@3;Sa(*5VR|DL03pZ)o-zwf#XxH}3NhqFBXYUhz(pF<M<R<457P4>6; zRmD7Fbg$oB`NOgLyo`TgzqrL8@xKMl-Zqa+*Zs@A|K8;Jp0LmRZ~tA*e-l(U*}bWH zTlq;4R5wMJ%hg@^%C|bb`)cu(n7<~+H}~Jf(RuoR_?zj^9d|*)egC&05BL2$4jJxa zSO4`szJZzVf9m(%9S3jw-}tTdO|1SBXx(8jDDT$ZnyX%Y9Xd*7{B7^Q&t+|2=Wmw` zLl4%PX}|yb+JjaeK4N+Pf8%@ZJ%_Yyc-8*D-3S}>Q(piY^eaXh^!vXDJm|Oo!MVfw zyYg(s<BOhOKDTC`VA%PP;(vB&vmQg6{jfUf$0l$cW!(s`qdv8KtL-(f=zgxgsj}bw z7tao>g<rY%C%u39K}1}A(@&=5bEZEM^xt>t{b5igwSFh4l6noQr0NgP)!&y1uB2A( z{FT`UEm*_OD~Fwr%!l=%gl|KJ{46+OLw^5`@0(w9`rY~$!jFC&xu$)PHSWO&6|?xv zbCpY<sc-%K{QkDj%60FreSTyOs-%9bv+m#beAnkazxF{Ysf=}XvmP^tofll4{)O2( z-ZnkL)_4u5gAW<;^MTb-5^w&=`G0to@<#gj7texrZkd1le+!zo?|8I_?YR2(&(rO< zKt}xjo&Z%+_GQms`p2-_mqJJUOv3HYe*BVNHV>n=2X~;BZvc0o=HG^Opfqoqzk3n< z;L(z6>yPo?dsLDH=|I^}ox9)iMzz;BvA>7DUi<uJ`nx@!WB#3*dwhS{UC8Lv{*CXy zo_7vjQ!f{VwUSbfn{(@%>F>3B^^Y6!`6Yjr@&73F{sWu%mz9MTMz60v6!{M7;@<o# z`@D4r2c!#Sb?bZ4d&}Q@t@-~w*!6MF|BG|||D}Y1hNu=<*2{~}dW<&ScjT4i-<$Wg zr`sFvv03|8`>^V{!V;_5;T3)6JC0Y&%$rlU<KV&gH~$3v3raydzb&7u&YFMko&BT6 zz0>QretvTD;@4Znf6PHGv48K*J^yb28VcKd0hFtv*UaM$JI}cKIpgZ*U*?zX2L~p& z2Q~lTx2?xa*A*1G&E9TtxVq+u`<)BwKg8c#F8$h7*!tYUZtB_T7B-XbhCg6C|1bA> z>z{kQ&wtk6`FXBbZqC2`|Mz;?%g;Md`ns~xX*Rg=e+^`vEy%9)3MK1!+vVVzBzVm{ z)p>Jf)`8Z`AJsj#{M%p9p-0QpH~xHc<lDX}c7}3=eUF1L^OsjFwtRkd*5fxSWp#(* z*v_B+UkIwKJnvQ-fqPMBAiXGXqImM;+h>gKsEzgNx1cqL4eU0LKIMF?E#a!Z&vyR9 zpEqXbKX}ZS317akPW0UeHt~gLzgs-r!`EL?>$Q7-LjJ>*G5I$B{%_AkpFf&;r&0tq z+P8cwC{YNnsh69Tj8W;w%{lz7{s6m;?cBZk7V3WsdV}{ImOOVZ_5S)o*ZEw>mv4;& zPgyPB44$&`%YV<QY+c`;{cYBqgU>*V4tqeEcmBz_%hSthkGzuf$z3<kFzo!!XMg_2 zB!PNt*TBsSX!l+RI^ef67kR+1@`iceOYnf7jV)-vFC9AIw+AxdXE7T*;CJrbxy8kx z0l()Z&o>uC2K=%?xtBNWywvLS_N$wZzq0IngVc{IvqKr~`|}1o+*io<T72&9d)FUG z`kl}C>{ER1wCVnax%RgGe`^lBkFB0J_xjv?+vU%TpC9}gYd&u?_l+3PRM^yKua;Xv zM^D8;L%>a-4vz7`SCJ2Ne@8w%%9<M=J?AkSyYX!PiZ1?&_T|Ra@s9I3+S511Y5IXq zfj%btJaos733vDJm@rp<^YnAYWr^kYs`d0AoqOE9OU`D!e%j}6tN;A=pHqMKPSW4! zZ{=Rs*U4?HViVsE?&M(BSo=3XdQ&BWv)iY`$NP+7y{W7$XpPl<>+k9t@6O*0{;(f3 zL-qgpIqCC1Rqs|-XkNEoGjHv)FVJ}vz1-(3!SgDwb{=`P^B{Og`a|R2FBP?hx351j zDy#2xo)dHD8RxkVO!6PO%)e+BR*Aj7`he?wK~30f`GN}0-T6m&@5Noa7rt?O#k;EK zm35Y&Osx0*++^(?;O^AFI=ApG?~(ce$o(np!g?QgZIyjryrMO{;#a-d{YO*mc;!Ae zJl=efzr3O<wL1PxrQo}Q{^++~wZ84G`LM_5PGv<Xs5_PQuBNK=Pw4OS*Ev8#amI&1 zGe?n$UvoBAy#vo2v0dNreMNdt`t&V-&wrHD|JcAC6L)Ig`j2e+AOBo3d;ig6K4W<L z_PU3rZ*67gJ$fW#7XM(%=OCL$C%3+N?*1*Va$el+x%oEg+@Kk%^^ebqUpIaI9{Uv4 zx^!55b@~RRzS^M!tFNAY`}^cqS-tCgf#c7&#Bu6<U}}H9>8DrmIc?MZbLQIbZ=5Ut zv3mPFar2Vr)#s1)-l?3j>z&Q|yqw~%I^cnia`0q_`<i(deL=10M9^FuXbQFVe4W{^ zf1kFkx9tPnvU}&syZ0Yi)~`DN8lzw--*F((#s1C%-E|i04}KJV`{(J|H~*&W?U@&D zUjE$u{8!yOl~vx?9iLTogT@st6F}XzIrmPT3qFq)mLF&Ree>~+*S7V_egy^D)#n?M zYmOA}*=Yot{N;+7bNkoT9S5W5%sc*U@3T4P8Fix8^~KWF{wMD(y1naO)x5js=9bSp zeS7!kAAHaOKZEV>VY4YmUqvQ?=E3fOqpZ*9x8)<(q~EjdUc5K`u>^bg*2=!+6`kc3 z&D_7PSlF!p`l_I-+UC*8xo`gUeRhh8Is5MZ<F>ua%D26Ldat-lyj<?i)zZh7`)6Cv zzjGIFk7^opxbJE%WVkQ;27I`$1~lCFF7bPRMbqlfx4##kwbZ}(XI9yNLH;*;_bi{Q z?*Hy-)mzJb+pXno!oL?j|NMK_RZxL|IWzTrGiYY&)yzlCpgvU;v`>|d)TeSxeDA5B z02%Jn&-kp$CteocC~dQQ|6Is$AAbR8xR3d6<qrkWa9=a#aG%`~=x`sT3H7t|#d6Dg z55=}y>|Y1%Q+>^`t6s5Jf2n_u`<%xWZivCYsdMLVn11l{r^I)E9_*~zVeK#Xu<Fa6 z$|Yx!dIEB(_Ukb^rkh{i{#Rbn&tGvsSua1~zI*?+@3|j%&gW-*=IQ@(vanR~b@)TR z{DQ*7+3yQaRm#eJ{AE~HfAHR+OQ1g0Mo^#1=G<KO{&HxaYF+}aKGlauZrlDd+dX(G z{I=H7%Rc6@$oqn}&C<8OXUAMze(0m?n(%J!J;xuq=GI-A^SMd=X7!=jHz1v=li*HO zHYm@|e{}Bh@?CaGUwP)G6~pIHs>C8}v)9av29Luru1^0^Qhk2XU!RXpmTg<l+E>s8 zIxK7b$5&~fhF@l3ySq$WPu-4#o6~Rn);iZ+SW|5Mzrp%jjLqeJ)BERzpD%hI_;lCj z7`xkZ&(BN7(W#o3johh<M(I>-hjyyEqxZk41b3>cI3b;?%CzVH^YfvDefkBUP8Ijv z$_<5gE6&BG6oaN|!Xj+VBW%A%*jlfdcd+|y&DS{zU*|w7d?Qd5wKfM*MQz{qvtjv< zhVqJELXbLYBTAPl_szef-f#bayHwM6e!f@yv#4Bd|DmrBDr0ZgeAWH;SAAaH?E3$m zus%S=(z(k)Rla4|dDUz4movRSAG~&cx_$KDKe@T_wt62M7T>;UQ!{mMdf)OL$GK(x z>BIX}=l9*uJXg8)+4k+f!`E;7UHnaC&#|w!K0n#|ZqLU#`_Ij7Uthi#dFFpx**wr( zB6zU|Y+;K04gdb@<r`{!?<If+{5<nMv!quv_E)q@|C(a4cgfeRg4S}2eN)czTkKhU z*ZdJ%{{4*it8YaAQ3LHlHsAgEVDVS6@_Bo%eq~(!yyL2I)$H2!^NhhW9B92%lRNe5 z@D&uBp(`k2bKol|rY{3^rrsiTrs~_lovHgT&mAt`nP)5hzU=ws?=x_(ps0Rp`%3ch z&Dh-k;9Xn~n5LIQ2mJQ$J_{M}OI;JcV>5Wb@ANI$fZzMg^W|?=Kdt;#SHAD#oSFl3 z`{%vp-}U)u`x(?3fB3{6KWI)g`RkdFNtwUnA2Hv1@Ida%VbgaX_`X~0US4bev*T|3 zMfD>e??7gx;?6;5q~gl{?b!<LODzWXrDj<7+r0zzrCK0;sRX0}zxkjx5`5qkGT^s2 zHy$$J_hSlp!0&E5e8BHCe88_J-n#y0=5G5`{l(9(-UD@}<`+JHnI6kNzZlw?f-Ik~ z&qrQ9@%Y>7Kc7v*&Wo+Td0y`PyY$~yk675_H+<)@IOcA1e0CFLLTX(CWI}3v2Bbf= zfB)S5KNo=~q^5%=q^v;eC-%QN_jvsd(E5o8+r#T`eaE(XBEr^vPpZB9o{EOI+22gT zBYrj)P)7W`U?YC<+kb;c{1iYVe&1%*9o{#+`ut7vyOkGq=h-~JU-W!({_Ly9M?mu% zG2og5y_zq7Q(OJb`0#1=+xv`S9?7`#Z>(&6UeR2==lH{^FWaSMYOcSN|Hu*^zVZF@ zS;cXSemcMXr!04)`lqe6|Gb*}pw1LCs2#>uZufs-B+7h0+v?{H;3XQrly}G5DzBNR zX}4iM&-!p^{nUOFX*#O^t!-u!q<&hT^;wlqJ`X${HUCDX;{Ni-mH&dv_kEu8|IFNW z`|`V0adJ5r(^3BpA=gix>irAk?>(AZC%66APxo7p=_tEX&o;-yr=!**)ll)!>8Sd* zpoJ6ncYJ=b9kg)b{>>Or*)0oRP>`|(+jP|8T<~<1%>%*d{TtsezJL3-Sw-9PrDq}Q zCayx)O_;*hP1xT6t(*A&0<>;I9kg!Z-<xxb_wRtV{Oh;Azgi4$`Gcbix&)=-W5n(M zjPf78WW48=bBEPX$6+;;=~_q)wKWG^L+!s=eMlWzL%j#rQ2z@+!+!JM+5DXj9`?JB zXV~xmM#!+=ybR=Fzj;SMO+D~*)Yg~n(l*@ZKHUGmC=|5TPyIGztse_`=+A1Qb-&+} zU7us--I#mcF9}C2_3!w*a8M_|>H3@W#`J8Io|Gn}C$(`y-9=Bx!U-$r!inX#eqQc` zb)?RKI#TN8&kt(Gn9tiBd<)!>g0D;CTb*8@WgQP&hcc)B?7#2atoJs}7mc$y`)%vh z?>i12yqs(IK-2Dl?7Vrm?uB1aKlHE^b@4>vcYVL)=f~eH{XC}?w0L6PwYkTa7u#0E z&7b#^|Hu9F5BRo&YsCHt+h*e%;32s=pe1;JE44QKv$^`K^4sRC=j|_lyZTs&-=b>T z*~7-Ss-IPKRqr|Q@av0q>6k}?>kCV3f7dOye7<#7c-j9~K4tai<~14bj;o&MbGP!b zrG2+G|M^!{?a5yc!zNVbo;??gKAQTF88j_*bKClpH{bq~YPYEDJ1acrA*<aZ(YzPI zkG`;7TYXUU-oqblX7&$0>@2(AA-%`8eee29^Ul8n^`rDa`B$lY-kjUeTFR;)S1mPX z`tSMfux`}(?T|sg+QzfnEtdK>yW2eY;r8XQ?7VsBk*1}dZwF6HvEHeyaJ;_udD63U z%f*dWqpVB=FAMn&Udh<ZeY^UK@v+U~xBfk^=$~G3fK|^NI^w4f9r5#88($$<?cct9 z$BvC>#Vwxh5$Ufe3jF<Z;@<Fi%f*YIOP@b^^zP3WJNLC%#~(?<m`Vu)jriUCf9o%J zs`TTdquc(g+CAXqi*vG%dCd2|pnvo9Ti+-D-~3zqSBu5I&aYktjp7!2R-O%?RUEhS z=jk_peyYCx({X?I*F8I?Sl_SK(|dbv@#!7!Y}V^#WA>r$oC`lM8FrorH2A&w()Ty% z&FcEwYu^^OSl@Z{ps1|AU%lch7ic_PWyfJwzI@PlI=By2nEPB`KmYskH>qFt>}s;M zuUfbM#ktGcJNeeVLFq%q9mUm$nhNeiO@ObNSbYOB<o66R<o89)?7ivpJ&vElZ~vUV z`W9%+FZL{G%<mOw%rEZn+~e0vpksd5H{t3-Rh+KttN18&`}&((-}cEIx2RZqw%Fz< z_*kb4%a1+OT^rsWeCM&CzgSuQ@k&L#xb*Mu3lGO#etiQp;<tO9HUGNjyFSi|zdzSM zF9AH_2VazS1hkM5F}-KL<@?*4=Xvzw^M9Wz=q#4W&4o=%&A7;KZn0w`cv>ni6*?_t zegix$Wds`T>y2G+d)&Mbw7hTGyP7)V&EVPTHS@H=ZF0nT-!tU#zWo;=<9(mhW+TS? zcC_wQ-@6qu;J2^$>~xEo&ENsQyiCY|U*$8<yj1kg&mXRV=A~}mz?hfXvv%(KdBJPu zaj%)D8FpUC|DKcmo}<=x9*I5A-}>Ht&mni4+SzB*w{QNw^h@W*j$)bn)9Q8{mOg8_ z{+dks_U)gAzZq4;-8(o}-X?sfwg0;pRbTe(oQ&LwdY6N(6Lsv{{=?NZ2bkpwf0q5W z+tm%3nBs#@Ox=!zOibOr4V#!M-wK+Tx_1`TiFya>MCshEEaATnT|PYzw1Q>>Xnh!H zmLEAB_3vf=wtv7p@80Qq;(NMb6I1WEK_{lHBOnt~cb>VQwbb9SeXn{=|J?nDO{;z1 zB^Ot|uPlrQb)w!sKX>^MXu(85{cO-OT<|PEXaX!U8MGSn%|_5_%){)^rReXsA}>X^ z24y7h#MFDxqR*Smkcp|f`H+bzxg&KSFMfV?@SROn$Ln*&KLg6`q9Mb4pduTzIBX4g z>b-i+yvgl1|AI$NKg{~8^Wn|kZR<t-3Od>EJW#&(@GLthCmBC_<abTKYRBI72aV_4 zyZLP9vpLhV|2bOM|Kj-lv*G^kZ#LCStnXL($v-{U?7lnCCcPhX#l!+o8x~&ML&o{q zp`9pscqb|X(upeHh&<5uqvP)W3Yp)jpk;j@K{b=z!MVr%OKdCR>`y*xpa0>#{l{O+ z5$n)Dq@b)rXT3Imd6fP6x^2IHUW3$4zgC<*ycO1kx;M3M$3a!Ok5b{~o8OnuDvn$I z^Z1*8SA*aDn`6uBU%L03P30`mqCWrf=MRlh7xkrsrpd7_>Z`x=&9rhFcwTD$2IP6E zdv_u8Qu?4ped=#Pi~6E(g65>`Z-eHfEJ1Tpw%6yXp9dX#09w>HFCW*UKK%#({4erD z7xgWF3t80n9WlgLeSyEc;+GSAi0|91e8`$UrE<A_H%edctmw3!zwZioK1u|%YOuKX z>gGeBrQvsyzuF{y<%vssA9!Bkyj}9|`HyYm9x;mV__GGOrtdj)P2aoBzlWxOma{o} zbT52KUpRD0-`cyN73f}|WFG(YocMZNE70TME6~qFr=xx_!G`ztW!(3V%l;nzg|o0G z|Mk=dO!@^C^0U{QJl_-aS^wtG&)0AM6x?6_y7FUH`M&QlId?0+uw9?~{Hk>Bx_PQ$ z=h;?2=L1h~fV!fS=OT4Qm%hJwp3UFt_H{Y;9fx)K?&W;{UeOdD58m9-WF7P1!@e(v zdG9^y`Ya_=fAn1ZzN7E_Z=QZp`8BM(?n})6vvZgCzJBl)Wl7&l>o+!EK@<HEpc$|1 zHS<Ja-KTw7;O^7=EwJv>`i=Q_E-pWC^zWMZUg<qYGZP`*r~kX=?ysB<?mq2~&9^;& z?=fgSU-jL}J;l(f>HTK>RTJ;+>u>&otEL~zP^zZCu&T)};d}WU@kfic|Ng!sas5m7 zx79npAzmtDT>ZpAtKN9WYng4Ujc*4aVUF(WTMKk`bl_6lSw>d!RDRMeMT_*9f# z186GhICv`RtHbZQb)c!J`s0wPDEaN5pPW>WS#NuMehH{<3Vv7fFB3=IR05iw1g+#} z|2FyI*Ye!>?3l+~>i0KSb{p?G?p||*x3=m0jp|G6k?SSGEBYR@->&IA{Qfq0;rV9h z!t;9Y!t?p%&mW4%Y@athe#=gbaVb^Qd8j`Puz4tU=(2P9TQ%2i!WQ$%J0ZsR^r6en z_k)+6uiy3gNj9kWwEi|y@9Bv&wt1-E6A|-J$G_En@rBGosYB<X__splp|oM+d%L!S zs;0WrpsMLMsA{S?KG*$zDRg{qUpnsbz2%Vcz3*>p51xO~o;~N0?74z(#lP!%wSR?J z)Xn&sRoM95qGrcg(4vVC%+>xC8$OrsNLzn00aP`8bOz<w`X}cu%kKt_@6{#Y8Q%+r zj_--1jPFf{kMG$vfyVdd-vp2E{n3Vu@BO(09^Ydxm-~CD^!d&|OReYsJ+%fj>;+yh z_?&HZdM{`(!_DNcbN<{y?m6w>R=c*K$=l`uoBT(<{JO*Y3f<=miuZ4+yO{q5yyiR* z+I6~r>*wYBx6ZTwxwrNC&G&bHUMsfR_4&bf(3*33ENjje!`GaHmYC+>JkO<HmkwDp zApz?({W}TiH7!QzHPz*R*Z-FT={5a5I`{j$)BAUQ{_&#f!=8V$&%TcT^xnSkllH&A z>hPt~?;+!E&p~VW>*sN;vG?CvH}7xG*U$AEAe*HAWqkf158osu0ox=s6}q19|Kz*- ze=P^CIDZho?!SD)wX}~5E#MpP?v`G8<2Ci)#|ORa{P!yKr1pF~*38Z?=T>d=*p`{+ zpfvxzipK|=%|RrH{o%p>ue*Q$-jf}A)_$|y=Irp$Z^6%PpWoZe7FKVQ|Kb1t<v-T` zeSdO3Xbrgi?ym+G^Dpl|I$M6uuX?_+ihs_Z8`PK2aKH25hsn11I@Q(c6-QX_#ov6z zy=O;PWpDp|v-}UQte^RPjra5WYcCV>e`;k>ly!Zxv(5jlSD#xP*OdDxwEgN!zq|Vn z-E=;qyY8cyI{(&Rg6;b^erCJ#=vhhG{#iR!mzVGTYGd6T{oeL^ddch0#yjnjo?0e6 zwVZpS>ZxS%)0xRnBNLuRCO_SI>{N08)b#eL=>=)$<WKUOSG1K^^mX6A^-D<qqmcXl z%~j3DpE5r-RL`;L`BTuoc=L_FLf>XT;*<Z-w^J;AE9j7@M>%=6HoITXef~)%&(>;r zWToH6PiHoKI<xUpOd{Bd!yqf3cT7zexK%qPz3K7&+ket4`o(t~S6%ln;k9{1ue8nY z#aH<&6z0oa=imP4Q1Q)LE18F%1jGCbkJU-aeRy)`n!UmITg4@E+xLC5v0oo8Z<BxS z`L)ZRXHQLUo0{G_^*NXJdEKyi)@y9o*VwqPv3bmW<L4>kgQqqhcsW1kANShh*)o4- z{`tUGUeV2O!GEsi)%ok5DbKGz5q|V!;<W2B(=CoZT)bvq$lSkLSN)TJpV!Oy?f>)L z+~;4M@@n7j+_NEie%z7L=arR#tF_N_X`dGZTjmb7Y`OU6zf;qDrl$8zO>dl<zV_>x z^j`JlTPxpw6e+K0Z|=VRlilXv>C1-sb0(aZDc^DAVb`C6Zt0lK@!gXz-~QLVa_)RX z(4>b@UhS7XJC{VC*L!NUW6NtcuqATZ=as|eT};ife`<O3l=0zH#>Y<;w@yv(o%-A_ z&8}Kz{=sLak1YB0vcJD9Y;w0b{My(k|IS4J&eQJEb<%epv8DHK{N-5s?RBXA<hT3x z&AGcgDev-)(#Mu|N7t6mTYY->*C$--_#-O6MO3zhZ~1!4_|Pe1ur-?xfvkBhrhQ&E zY#wvojPo6rJI`wGn{;>m0ZzViIbWIFD;l>~^m>1~`QXFGY2oe0H3yjI#oRpi{)YaM zr;%^|*yhd5E)xZv%KN-Cnt$D^U0-8j&aU-emlrlqF>GG3jpfmQKNXMi#w9(COniDX z>FLg+r#^Q~ecmwj`4_3O{kESwKR#Mxwq7QD$AQb6BljLN-gAt7&+&(kPw;QI*s*M` z{R7T*^7*gRS8cu@^L6v>pUUrMR+sAD_MaDX`|GJ<qvF?lpZ~JTt1XM&{Ao_|)5xT! zJCB^&eDKufqo+0>2icQeVrv}_PNE^{b~|4^-%z>s1KahAI=|KL3;MoS98u0YslH?1 zUG?gwr&AwDoiF(0vRc1D;#Q?<USSRMZT%;^qt9=a-t~3u^G9xZwsUshtBkvI<JGCn zM@|(tPfc&0`kYbwyqxy=4{F=?f9l!r$p@Sj)_iyp^Q2vR&r$0=hmY>e{o63T;>g9y zHFEc_yqiAJzllBO!4ft5I}f+Mdb2%H_PP1yzt7Js{k~_%&S?9pb@>mkJ>L#$vo8+| zpC`S>#(Iqne?+BUUh3ad#z#&S_f1Xjn)+M_k}!`y-}*EEqnvzUKYPsF^Vj_IbH7JF zmN<Vd|EmoDli&xxJg3F?TJJgbbn@DL$0dK4RvcJ;`^HbzV($FcRc|eK&5gcash9u$ z+Vb*(y~j^&K77jfM9$t%a}u6f9tPXf3AP8CHkXTU_$j|t&b@rgZ&tyd4|&gh5PNR1 zf5F=LhqG$3=GYwlbnBte`@$a~tNT}NjxSK(?O&mIyT03e*6X=z_1@bqFE4!E{QPX} z-KsM4>x<9q-_QFluk`6mh*gbK(>td=XVX5<rhT3{Y@Th{yu`fkkp}ZQ{Pl7^dwmp1 zuV~k<IiS5~569<YoIl?bw6n|D_5La7eq4RyZ`L>Of}+6N|2wRo#oW6Lx^L*|-aEg( z+*@aT{%Y-=s+#!Cb+u{{m0}T<dK*5)BtPAG5aiL%4O5>#sVm#}iD%=dIj7I4AN_bV zC(hgExahk=&|OupyN&bjOg!IkdU<r+<wNhTKaxm4zoBZK)o;;N{<;5O{JSRq;jZ-g zkMTRc9xMJfJ<s-s_Vu;T|EyoT&W3x9P4*g_>k*ajHhy}u;S<llW8cE(39qq9kElGi z@sm#B;hJd{dt1(~KFFF^Sm3$(JLp{AqZjYr`s?*+=A(k#%2bQPmU17s%HM=P{uESJ zw`;>+;b)gB&;38QR^BGO{{6Md^#ymU<Nhwb`uX6$pPP;;-%EVD^Z2Ra5c_(2u|JdF zF1LZ$rMKZ;aOc-~X0OuidVlHXeztnR^}V7>a&`OvobNLqa>y5S9ZsJlpI6w*zUR=_ z%{hO=O26G+Sr=(t|5LxL?%<rJOJ7fYeiDDT>h;ba=G*1|?S73?*#6(}_seT=X?v=; zaq4p^?en~0^JMLe<}=5|o_l8c(;r-S^{=%%Zt3^2WBLx&`CRGex9y3Fd93R<FZru7 zpFgDH+W)4`wAlOK?XTB9=e)dDeg5nJ9bbR`-vzC>Y8%#u&od00XBjq+HEf<~*u3mD zHs2#E%OWb-_@DeaJy|~Q1JC;pGUDMIzN*GOQtexp@s%z8$>m3k-z_Tjem>-L=il^O z^^N&aS-S_a#k&8_gU;sdX5amFL($&PF?L7Ry7QZApVthVCkeJf`|Ib=+rsRj;d$hg zam9?g?v-j5`zD>OZ~I=+SRM1|)v0ZD&DVDv+`KyCZv*?MmxX_APV3j~xV!wYpx*ra zuOjJhraxr#mrMN1T)f`ay}#sj_W955JHH<Moc8qEWq0JNYwfS8^M8G8m<p;Q|43NJ zSAtTgg>TM3?wTXnGBHzOHP`-GYv<csJGcI%`jL~zKFxY8wA`Ym@#^$do8y=Mx|;j{ z=Hs0In)mm9o?~}!?R(ql{spfutM7Veqo0jZZGF|Y|N8jl<eYk>W<a*>=g7w=O>^R^ zZH~&`d-&jF**`}A51(e8kyd|Vd|Xzq@Q2f<!@79|XX|wR)}{W|pO^GJ{Y>hIJvFPM z<!$rlJ-fDf`EI^>4|gFKvs<?P{qp;{$m{Rtd9=^VXrC7aN1FAXB)e*v_}kA+vuye1 zWx{GM$<N1Re@@v^Gx6#6gSvSI-;!3#hotY1`}+FEzt`zEYqg%4)oy!ztoV=2_Is7< z=Dof)`T0(}gr_%?pGw-@zovbjL;JjlHpm_>uszXhY|0}l`F14QDf_L@{@i6=wx3De zV#mCz+%gYY?>#crJDJ{mczQ&f?70t&{1u;=toJAH7npv1`{(aFQrF$&&fQz{Vb1;& zYuWY7?pEo=J-Vi@Z>oJ>K>NI9*gVa!dEQ??f8H8opSSVTousFgM@|(VI92@1X!rej zKaW%tGS3e0(~f!Yl6P&~W68M3pp$u*K537ZF)91kpuVGS=Gyry&(#%Q%};sWza#zK z)zi85m7ln-Yo9-<eYfiU&bq15^Y`9bWAi+sa#}>C-o{TkSJwZz(qFyCraz+6ZT-g2 zQ=fzUDL>P{FT8m6b+5lW!v6QJ-FMjX-ebZ39jfymY%QC=X5${An8%WSad}@^`SO#V zPu+2}F#C6FP5JA}Z&us)eT~_3Z*6;i!QRh%Di&WwDruWRiLmg8+U@vCw}{GR5tV5X zm0=Y{!Jj}qdf9sqAEj-pJK$~e=*6?J{`)!KPoGdf$gEfJiR;s0*|^o`>ZGq0{u8@h ze=er#eD_*Vy><W9wdeVuS&;pAUxDk!c5vNz()vYsUBv$Sa~nZ*V+^DX@aTt_*?--8 zkCoqjY*-zA>(@)`w{_Vvk0Z07_108qy|sD`tllcW397g5zpZ*}d28p_xz9h@=GFd+ zyIu{hADh45ta@sB@KkZz*XIi@!{(`m>MkBfZ8ZdW}u>oO`F9)gN(}*?a$;_?=mQ zKCq=%bQjOLf9%@&0$)2*zqs7Ww*{TwEoyqNx?jDi|D)lq`ku9K_aBvhX7zu;)u(%( ze{s&M{jlfe?yqkSuXA5x)7&2s3(BwOHhhXncpABCe*AiAuwBrWmHgJ<Iy3xR)VFW0 zTw2j(yyvi}-2>6Md-EzEx73vFILyo!?_Ia!=*Q!4>P&yDTI_6oTYp5hSkFH1_0x-= zKMCj6{_?y&_xY;g*B_UkfwXABWo*>nQ~rN<96klExGqC0uE(OEJqzdD+%qkzU;EBu zvHptg>*Xo;b|}whoWAy{`QM(q>yH|qi%b6+Q~FIiWdG#1^0n7~G4HE>Z250abiPgc z{PNcaLH+*E%~!!~*<Nr_z89P<KDgeFuk_pSX-?u(%j2guAL0ER`RL0zv-ND^JB}WV zU32d-hx|t|_xMe}r#>-0`tY^xdY*KP<IHgn`~GId+`D?te}B$z^)pL9BOs<`4y zU!S}l^L<`;{PwCJ+5i3tZ?9;d`dm)?yx{BSxfgEN{rYPRioB`MnO@sYef9i(y!k6o z#q~Z7T5*-HZoj|b_nCqgev6|IrBCp0-vPS&{a5d{y46;{--euj`{$|o+doZ~toMud zezN(wEjr&;{C?r<hvl*P8$aDi1owlIo=VoA{}w!t6I`8eud%uQD!lI1aY(gw_wX}Q z%UeICWgh*sMX9#(H$kecdpTc~`QE2K=iPDi?Dw~zYOC%6=<EaM?f0tJ)jzrR+`g<9 zS~3VnueV8FV^h2asWEUBl&hQP7ya7{?{NLT0qJmk-wN+=?P&#dxDLLXzTv(|`HzP3 zGh2Vm1YN!<ZeRZTp?=JJo9X*YUSC{)=2USPxF6I9?gvSMo9)$WY}~(U?~lJ-`{j3e z)8pSa;XN*{==}$??>xBqcWvDP=AU17+>5GvnE%F3wy)r4*y`mL$@_WuD~zK4AGni! z{$l>!U$;L0DF-F^pL?VI|0jT}N71l(+G}jKM^wJs@JVLFCz*|(dj4lTfBpSDi}rb@ z<@Jf5;~yx;J$|5nl0Uzoefy7w&-*uhoos*er|HiYi^|zgO^>Vk75*q&tsk1c-|OrC zZGY42xBXpS^Zi`0)SUlU?02l#_dRCbv9<pGuXlakV>9<EsDqc@Jr&d+Q4X8O95(Or z<=g*G86N|6hc+KLwfS1rv(3jQ@81aPa~a<N_qq0`-m_4gZ~3mE^KkhD`MkpB>~GnH zn>PFvPv7#{_?b~f+`N-(`{$VpZ=W~!W@&-gb>6Ufy5Pp9`x=|Yn{U-2g{s%zKYP|* z?Juu5TJz1~pY`nP9nUQ+X5U?YoKx;2&-(xKDj)aNc*X3y{OR@sF>{OR=~wwzZH{06 z%l^i{*PCzGYCSWvZGU~NxW*4uc{y#LH|O+MXeZ&$wz{IOzn^_tq~|0(otX&g5A8g3 zs(5wX)8%zG588hASp0RL9bO~1JHAm^=Feo5`l~z|T7MPALF%vnInUo0o{ri4`DWG6 z2bT8xqxtn7?D`txl2=>gxEb8CO@2BP(j7Vk>JAlmPkkN;Dn}}+6d|?OM}26w>(VRJ zc@ItP9@z4ggDS6>8|UOduv~w?@vHIGoB8X0?Y{--bqRucU01%IDlRevrF;E%*V2P= z_PRK&<M-G5ZLIr$=EKCFPb$9Vo2?hU_dx3W|GTfO=RCHR``8iviBm80`^kIRm8v_A z8PC~s{uQ^(zxKJ|kHn5oPud5nz{)Z~6<Ex%we8bO_kP~9L*{Gz?emv~zzt5`uzBoj zY^vAT9KP`_e4Ze<s+J6!Cpzzs`p<`K`5*b-7krF|R$=?s%FR!LS7CLCDy*&&T7_xv z_`3M{udck>I#tmA(y43L#m`4{zSboncfNxD{;64er9WCmKlfksp5yL!9y5mjPkz0; z^KO1`vd!=K)z2#w<}+QF-|#2v&O=i@`;4!)=WeV&%p4bc^_;%x^VK`!s{7WxyH-B$ zboP#~FHK|LL;4EqQeRJf&Z2!@GHhP)8XNC5Hq#?2&A^3}t)F}X_!y~mx!<|vJnAZ% zjrSb2JSU&>I(&!Pd?|JLO?%3A9I2Lhx7Kdexw?aA-U<Jk52?cT_kpXhU7#Gjb6)iM zb?>W=JY4_wd2Rvo>g^WLLRb4dB1FNh#Sd*|^I1Q4et2@wY`utoVO#l*L+pDFo$i9y zVfH?tI;<B`hgEh!>ageA;^b`g^WR)sZeDn|a$Vl5YmaBooSNPQs$8BkX`eR@L+;Mz zfeH|T*Wb@u#u?5RInKYeQum`w_>SYcav#Ok%OBfQ=x)cXXP@-bH$U-vc45!v{wUk< zxqrE?%IE!d-@fHv!~1&`eZkxBRh-LvdaXHncb@I@?HhM}(%JB7%|>uP57MFCvG(nH z8}*3Fa~r^e1CQE%>J<Lsm>u5Cedp1GiDB<S$MkkDzI_wi|N4+TExgTm&SS>)1;^`5 z_2g5ZFW<f$(gAz_;2QtD)o0eKmzUhFj=OvE)n?=Q&#uVtFa<3WvA<C#_r9>-eNIf{ z{Ojf0{#wm>V0+*EaQD>O_e|kCj$C$+u5DJA-~Q8V$3gb>`@jD>y!%FN*_!@>kDRyl zkAiPEJmh_CeZd#c*Y~UbTCcA^!1>z!&ch$;%IxRPPnf&^AZziwduOkmp8NdOyFA;I zvGN-}z1#SS?|tHH)At<N_cX8B7_X@e`;ij&BPA~4^Nqx_$pLe}8?WknTz%{B7dhcI z-y<GRdq3;`>1(g6gV(%|ee`MKw>oVZyHj_P_P*D>_S^1}<onVC>^6J1&$WLbalL=z z_qmUi-!D9{`rN(nw_n%q{bze`!@k3x{UhrO<iG#ny!O+0&gQy4_n4}`-s|@te7OAW z9<l8^zW?fvtFMdS9lvB}W!&BKYtM6CcR&C2@7i^Hu18e9-}vlq;#un-+^?_Os7HLB z^Kf$I!^xG2XOlZk``KRGZjE@q@_1Fh`<m}F{w=+me{iq+n)kf_PQChdk0oC|@qJ}m z@x9+nukBQ?)tc>ixYx|0cFo@V%z6d=&Br5c|77nzKkvK1!=veM_AZI|D;ZYbs(o$! zhcBD2?f?3#Jnnx(`WpF<jK}MfzhC~zdF>~wT*UqZU!TAEv-SG^kG~G@-}=|OXqD}G zaZvX7X}x{kzrB%_=@FIT5uf7{&sKMvo|nC5!(L;gUt_ese#2+eewJ)G)@#*K3452D zUaP*7u=n@_`P~1S&$mU~-+lDgJ!QU%`^@(qytKbrx68k$biR!Hp6g8cg=@c7^qxL` z`}e)aZQn0E{POvmT~qzG&(^=xBmNr4Y^dvN){m%v`Bi`KKQ{L@`5(o;$Nj(fy8mD6 z?~Qd`r<>=_UwQ6t@zt+?D~{f|eCgX{_w#4>@A~@ZehmBnys&%1Yxbl^e4dwlcK4B4 z&xNw*@!HK4x0@;cZpLxJY`Kf|H~xN^w=Ckl=HaS-%WKtl9x>nF`@{cxwfCC$cOQIt z`0exVgYGp4cKP?0&i}A~hJK~1MP1Y0`y%HH+p1$$&i}q4=)d^e+MK=r=3cj7aqd@O zsm%ZFcm1EMM?4p=NcqdOet*jI^?U#IUcdiv+E?~DwKspMdw>5U@cNHe=`*Q6{I3gN z@B9(JUC!>nSFzW%?>Bss`_NU{Z*gsp+=)7igSL7fPVV_|a?gfm8xPKMZ~r=vFXDaX zCk5;H_l8HR`c>EM{Ql*C&fb0I$9~<Dxz4}&d+dXj@3E)<{H*USoo_2wxn4HT{^Gsz z4++-$j|$JZ8aDqS>-)q$_4tk710l&W_!{Vp_Vxc?e086C_x*uinsIek&z;}!ZhrFJ z`qu1g*DZd|`ucv)zrEM(t2^e}Pr7~W^P`1%wpBA;?|uI1VOaP*?=^d**Hmtg`221o z_*%*@9Jl{NGuVlZ&o)k~^f$d$eQV|X?>nwn-An%CVQv33j(yGhw;T7F*GyTv{>S_F z&JQLVzt2nhTXlNFyWoec?@L?N{WpEzeKdH_VQZTQQ@$HKQtk^cv;V3d@t2ivU*7x7 zM)QidU-S3;<I9h%KTw(f+gI-GJbBO!m#VLWW$F(9njTmG^eg`k)#;xM%jVhCu6cc~ z_^ZWsIs1ES_6V=p!wtC?`Pi)IY}xZ<!O7sd@SB>m%134$mw9cwG~#_`=T|xHHQ#SM zZYX}OzU%((W54d%UaOP6R_B=ech`BA*LKD=5B8q>`03=gecmyTRsH5AePuhor(NuQ z;rp-hd;h)gEZaZtYj8~M<$K|6rFDI!|G3si#y`HfeNFxIukZK%6HH&jKQHIIWI<Eu zKc3fr*z{*q{}FjToqt}qf9dPZ%Xhxpc<;NQ_WKtvx5a&)mvq+p@T~Mc)AOQWmx^Dr z`4;h6V&lEw4?Mg3?*%t~l}nF!U)a07CVbbny4w7<uX{zW)oHK!yDj4T&BVLu2Q=T8 z9&wkkzkH5=Ue<fdgVHe%PHul|t6R`~J?{Up*Y7{{ePxfSIsR?7&1uj*tMz@;*Z3EH ziG3Yk^>^y^`;S__M&Eh3__}??-=mw~)&)PiR=sZi<7>~)gU&y)KmY1fb@SBncGLIF z*Y>EN-(Gjt_{gm1GV{-H&p*SREhl=-ChF?X&nLr5YmQX)GheGdV^+3*QZ>7N!T$25 zuX~Nx{CyVjduqh{>dvqGr1w1CyUn70*52oG(|>dvULI{*weary6V)>wUH$&1HZ|g} zW!QhN{D}C+KQDsHs(Y{H3(x&};953cP(9-L`3>jdPu$=B+3U`u-s|@>_U`+CwCrBv zoon+hPv7zNV)5so?eq4YS@V5v^3%PCW|jAvzL&jb^F89T%*T^^q^#ek#92J~ogVQy zB4O`xq1U##8}21Hf0a|$-~Ku2-NvK8?#W*J&KL2!H{$ov^&9?{%x8IR$J%!?yYYKP zZ}pyoSB>A)mPehp;j8$_T&+Jj{MOG`{2PBgH+mgx^LHVrpz?Zcx&Nr~wfv8a@_YXs zd_8~PsdwfZ?2diyfAdG?^*`2Yf34T1zq`3QcfS<-`a;l<%Ij<DYa=V$BP!2-oL9L# z;&a@iuF9~8&p98Vg@9qg+2mhVyXW5v?)f^eXwCPCguTaQ-~D{|>Fc}idK>p`Ke+n; z=K6U#g=g-c{~qz(GU={*RrA~Z&-VI!%*lOkepvF{$A<774{fhl{N1>>fA01D-+#Hs zY_O|Xd{_NP=T~T8KIr(WdJhzq6@S_9Y}|MJssGzQAAHN?=gKF}-G4~4c<!E?*G@;D z@7})SYsTxU_OJWCM^yGleCE67W&hcG!!ygnvz{}-QUUKZ8@`D5E3a4ms}b6F_TA#| z5{Y|{tHynn{dhZf?>_T`zwR-;zJ3~nrC!@fulauW;Ys7Sw(<qd>hCvvF1I*xbaT#M zne`R?ntklm^4{zBfBF?|lm1ui`;Ql2`Q!e7nOnAh;#b4C|7Xsf-}o**;qLxpy05QW z{N4%5XXjqe-+%fX|BTz`iht&9x4XOZosIte<kF|HiBIn)f$FUH%GYez*Hrr5&;AW_ zF6%X$tTo@a9INuLUi1A%;@;y=YRl@DRVUWI7kpj4`1|dux8_H0=^x(Z-&Z<cH11Pe zwv6rVd++`JR;;hHdBpl&@khhm^iSN?@|=DV_J?KT9%#Py-g8)b{r-=?_<tPuC8uAX z`Mh0z%kLL|I9~q|xxVK7mVe>b;!oZwfBLn*!dve&|INyxJ>|6@_Warq?QfS9cF%at z9`T6JdK;hZJvb}9+4MX!xD>eV{<h|<@*&R89;tP4=@IW&9;)isUh}=;i14}C`ZIs; z@m~907V%ql!?)QrcYb}{=e*|knU53y1nsHn+xuSi-UF`l^RvH-EwA}6Cjar`YxzC@ zUaG&TJGlO>?a_+n;+dbh*L=?2lloWc`TmW6zs1!*|H?jp%V+Ov{sk6M|9M{jejf3B z`>XVKp2zEUe2=L=wf4OI+g}}D_pz_pvwZ%>ud~W~OyA37&ttu2lU)O94(P9`ECF3H zo&Ef^t?!0=mw8^>p4)iuG28ne>)sS^o4?Qe_^*4S*Xm5K)mg9k{bu7k<41G8OV!*v zCtcWd`1#H1c@M?(3(iz)e3Z-I^Y7Se`v>#B+ODh1ey{zf>8|^8_lW1qE6)DnIbWCl zck1>1pMGt(NdC*mU-99W`}+FA4Zn|``S_ypuj}@Cbtk{RsQjF|TKhelHmJC&UQ^i~ zzp?JD@u6AiUH;En{hzg(_KRM#*%t9Wv;FHlwl&`^lJ*||v$|}*>i2myXaC+4cwPNG z;`h>s-?|&$1t;EJezf}DYcc(K`R{*rXxlt^dF<8Y^|$}3?ce@g<T2axh`+31^}Xe5 z;vYZa-**4r>-T&9El7`BGylPh{%iJ!t?K(q>pF$6=@+(3uiyXm*Yf)tK35mrt~j^v z<+bMgoq4v;?{C|6ue@<8sH`%*wq=QkUkN!A?y7M}%axz0#FyEtU7{d}F!<Gk0Z zb2i>f{t;_!f6;d1zViOBdzG)%9sIr~{<mtx`|7r@`@}1bRqK9a%>U3J9kc(yz3+_j zmHf7Ge^1^Euh{Z?h5eyd{vX@EO3wR#^OyGe`lny>3(x(MmHYVMYkyq*pW5B=5_A4t zsb`$}KukR1dwjy({|(olO!$A`+hle7lD%K|{FoTcU!N0pPcZDB=CwV>Yd{Ucn8dTi z-LNnf%$ED;|E8|WpYvL^)`okJnO@tz`#AA^+`lV-?{U4Zjt13KzhyVRGfudhZgt}y z?|ai@FS+0TeYWEOD_>m7SE=`v{MmafdiPG>d;Nap--GAh{OS5CY-79j>-i1m<|ogM ze<b;O`i};1I9A(i_}B6J^39(Y&%dp+s_!bT>otBR_3seqM(d~gcYl2eU-v!Y{qDo3 ztbc4>dwq|3#OHa5XN&tx&kMnFzwR}gsx{wBj#T+y%zsl?)gQd(d&EQD`%`<i#of0) z{Oca;wK~;nb<%5on?!uKe9-az)?;11`t0ZB7Kfg8zpY)q<DqSeh3($G{ij~XSN@HT z*-+QsY#i|)5|q}v<B$Ae$uDdy{m**s@AXxi>lXi#eEY|*bl?94yY~J6UiPnL=UZF- z{|~M`w%=t}vF=|=>C?T3PE~h<>Z?8MYbyI9KKK03ftFO|XObJepKUx=<uASV`FVBO zYt=RhdyhvJGzQntKeO|?;OlDkHSg!nJ9aI5zeM(anb&r#*M6`2*tO@HMP29K`?m8Q z^L@9lSUY$Bqu=Tlc9Zw^ONRZIS-n4G?pN=tg^R78Z(a3Ru78dFqpwHK_4}oNzx*?J z&1d5~o9vF?oVm9C>DTLV^#xV8>)rLHK7YcKxA%L@{EKVPpGyh5SG;DA_nJ!mjnD2r zu>71+*k27U0@ioVU0><5@!7=0y~|}@+pgVkFS+-t9J@@>yJu(KeK(7^Uwz=#`s26s zZMwg{wiCQo8)Wk-&S+2F%DwNowpX-no*r4-ExxB+cHW$$_l|=DvnFi!{V88L?>%IC z{fG71&+M9nzij&Fv!0j7{Xh76{+zSt)<?=edXjU^{<xL>QLFmy>8D=4**3rC%O1P- zX#evss}5A%R}Q;ZnDN^5JxlgI)@wH65ualoL;G5f8%+C8?tD|Tce%i8+p`<*C3k(5 zliu@u_ow39b)ed+I{S|8iGOu<z25)!)%BOo_j~>GuZji#=SO?}J}lYz-TH8_&2j6P zM^nCUIO2Y%;_uq)_a8ra^KD*VMf2j%xBiy=6M6lICqHuj<3BRn?w@+Se(yh7y~zE? zA6l-h|MIK(^Ud0lx=!I|Ru%W=+`qPZUhIKVft`69KILuv^lsy`+9R{dOB`?ef1Z<c z*7&gc=R@kB56yD#HSL$ZR(&gZ@B6@Kwrt{?e!s94+wth$!`8Ly-uG_UXMXh8*GY+g zt5$A!xB1Yn^J4N9>#g_H?A-gF@p{FPliF+K;*Y!&|12l8=QwNFf2P&-z17$93q)`I zezoUc^L6{3E9UM`tL;dCzUiOkwV#^TetOT@xbF~i-rj#}ugk}uIcGm9`myEy9ntfB zV)Jdqr*GKxDK6pZ-ea@Avt{3ty=KE7@p(?nrEm7&&ek=Xpf!~`8}9|Tew`P!=KGGL zRs9#2{;<0n{mlOTlEc65J-D>(--m$TKWz`5Zl9SR@qK3U-Q`C#-<Njw?>Kl+`>pNv zisot?%k6uYE9VuINba8hYk9<9$$J~^jvuYe*+2DqeDz=JJt=?X+@Ej#ckwm9UiSBk zKcV$-!rlE}epSzm={mj9o-g^j_4%iodA7UuM82=?np)lls<7^9uc^Ei@%av{$F01h z>8|@{j}7-O`;`8(*>Iq$U-eq`n-2%d!^2DVm$!c18*THn_WcJw{)q3A8}F6ZsNSwW zUF}=&PpkSotKLVZ_VwF-Ny%68OYgCozjyt)*Y*{ECu`sSDSfTIqOR`s{N%awljiO} zV)<Iz=Fv6KScdygrm}kt(KdU#?)pDJ9`SsA;klT_N3NZ&K7V@kuCG6`)>*IlUVGqF zbx~>b`pR~2N7nf0tmn{@tG(vGShk$|n(rnFdzZg71r=R}*Q#S4y4|nzjb8tLZgOpY zr#Sz{`PaQ|9@d&ie9zqY?(*Xq->Z&P%fy~O_x__u`1+0C=RUOkeBz_hrth0R{mNcn zufDmif4TS;P)M%J1%>3ijdgAO6^*6;FTKA1pz$m3I{lRA@_YW>dwo8wY<@uT*O=Jj zYt^rp-mQ*{J^$*|?qjE_+fCowUfbgyV|f0-uWj?6&3pjOVT+H<I?ndm)->Y%%Z9IV z)oZ?6>~Flg{J}itYv21K?n~dlaBch4z3*Al_k3r1ZO6Ul_nMEhzVAA?`TDKjZwuP^ zD-KMSzWI~)z2cFVt#513Z2T3x=AWuwMEt`CO4sgJ{f%D#zeD*Ne?|B5;@$Jl^=~|P zzVP@jrs?8aKWDG6fBV%xFX#9Blc`TD%Y?Vrec7{bW%T^}XV&ZqUbAO<#OHMzp50A4 zTik7WUK!HPItyxN9h>Fe_jMi@sGK#uRvnYP_qd?@oZEMr-rG<9`<#DUg`wehi#?n6 zzE{pGEb)GQy>?l|d+WoKuiY!|liqX4I_B}C(rtC^;d|O``}EV^Cx7|XeC6HZe=O%C z?GM|=CCrVl`YUa-Y2U%upaoIuL1SLgH*2G=xm(PR0##vcrT=Bu&G`N2+P3*N75DBO zTbsVU)b^2WCHwZxyFU4CcxHQe)_11td8*fJ%wgkNFE&0Cym#;2;_o7fdzXv6woQw8 zzwv6-zRf$D-!6|o&%Gx8e74P}|LZp&%&wbP9`W5W;coh*oBzD;Wp^yTe*5>_$1KZt z9QNMxz&50!h_hOL?e+a%fAv?K`o;ME!<W7@lRqb|`CMF+P}?|t`W8r#zArrc%kQ;* z>feKN?|fE|c&`7^$Fd^tolo7B&%1ZbyK}Wv`}2F@Z4s678=u)GoUN`hzwQ6IXXCS( zumU%^SNPe+>0iH3jd-uQWB1(k-z^SS^>goebT9DS_s@HS*StSlaAbe}nU7-YH{2`l zEuAlG_o?n%#aFiK`^uobv1RjrO0S*wV9NXX50&E*zD|$(&&ED|+xIIOwst4x+^_!o z(Dtoe^fh;j{XK7|C(WIocz6FH)z{$`d)9o-2MyTFzjOMW{E4++WA5Bu+y1=}G<LrJ z>etU}j-0A)Hhs^QeUE)j<+qB%HHUuR{0A#>+f4hp!1dT=vDdcmHr#u>Wy9y^cUB+% zb&vVlcfWV}ue;fA&G&bb`LOSK#COk)?=~N?e82UOa$eyNwd(WHcOEJCRq`|6d;Bu~ z#@{RQ$zPxENUv>Nefs9_ReS$!y}ti=_O<c~vFQ2_)8G9RUh_HKBKfZj|Bf%erpNsk zG=H+`hqkqU-@jX5?|uH1f9Kbi{IjR5kDanUKC8UZ^u1K}yzDiV?>>S%i(+N_o*5pT zb({xWjRkjpm75;%{$qptzUr^#@4jD)xWD`GS>`vj?+Z^=%Wr%q{Acgm<-b)b&R5TU zcy#t#Tm6DoZ=2fgtL7C&U3-_ang4k3^?O|X5B{?Gvagk6V(xwO-gxf(hI8vbO!}%V z6MOL2_PGD&UdtC8`XyLy@y~tt{B!;r&fR~|Wa<C^BzQn}{@toi`s?^3-tRts%33FP z?=#uNv(`svrMH=$=YqArtk+cXsFzmfKlZ#U^MCbS|M!LmtNKmzey;nu|7KNfe%IH% z-g9hkeOv#bA$raCy+^C=yW2e8%lD&U`TxA<{1(T)Ub|P`*T1KoY5ls4_p87Cx*qpm zE<fV_!=F>v*#F?iG9o+mEqp}w-~6)u9>t&c{L<fU_d6dnBzx)Asp{UT-{nB{*q-M9 z8|%&%H<_N71Q!hA*KDRme9qW#FSz^byed%7tE&Iy|66~>?o=Q7b?*UV*!Ayf6?->* zw>@lp4^)UDMr2n*M`X80+SV+%n|{8!<HIAZZ*f6u{_(8de@OIoc*U%U|JPpMul&nA zZ)4pNb{YE<@0NcSUh|pTW<y=$>(#e@X0NY*{I&gm`tR~5A=UHjZ>;5?XFfIBUp^`9 zo@CfP(QA9cBR=0tI$PXsdY%y+u&)=E?Rz#c;q2lARsP)I&TYT+n(q=eXTHrZ%Ip5R zH+s!`+eh>M?`vZW-}C+D;avN>>c@8Z_bK1YZrr}(TGgIoPjA1ieP4LE`b9yNX|?=8 z<u`x&{&9x==Mi5s|M7!Y*Ww`qiGr`A_Z;1Oz5dIu#qLG+*Zd1Bd9Ce(=l*`a>h<rB zT|1ZeZ~FV>V)5pp*FP7>viBQmzvl#XZ!6Dld={H@wz$*uJR8`x+~sE;cbN9S*jQ%2 zce&=Z>Qfu;CHH-m+y3J~xxU=<y8O<sd!=PQ?~~qhoPEvjFA?84<<Hlg)IRjl`pw^E z6@A8c9((=W(?0dr`poyquR#Nsj-}W7S3Um037H~@e=zYY_nv*z=I;OY%RH{WJ^Gq_ zL0RtW`8F3p!(aP@=I&%O1&zm6Op4~8|E8+@>%Q`cO8$zYd)#HR<NOot=RA-Thn2H8 z63#9@T;<Qc=DSJds=4dGOC;?*E_D4)^)Hj?{r_$2>yCHZ&j2myjCsIz{nhjL+}B<` zf8YLX)#vYyb6@>=uFLMf{j=$N&TD(pE1v8<|MAz{Z?6|0(T#ibCN?bp1Jm}3PkF1q z?|8CTw$NhpcX8Xp@1{T0lq+ak{$~1PS-$Jn?2W!}eg4w;?yqCTzjU_SRprfldu{Xc z8KBj+pe4Uj+UMu~I$6BF?vqTY`uPVt%jW(2eP0N?x=ycPi?aQmqtbUCvQ2-#@hkhD zL$-bQv%h|*ESvwq>PdU_oJWl5JNB(xyZ*zRzhOI$e|~=Z-^OPTEN}1ldhYWl);!y~ zg|C~Rzj6%=N1E!s;y-Wkuam}ghQB8xX0f)udcXN+e#miIxetuj-*5jqdHRi?ynKbf z7+0S!^tRJHw=TU>x3E`xPR#vRx_USAyPNrM{d?;D_RpN1J@Zyi-}!X`=<JO6ch}U{ z7u-cz3OjY%{C{<3$A1038B_X6C*=9_T*!h9+jBGh+uddMoI1yE?^3tpsPUfT#d~fT z=g*mVUWk9k!IQiv_{(=37yT{!@yp?D|DRng+b>$&9shXO*ExHxt(CV~AGO`CdhToJ z+Tz!_dp|*@o@XXM-5E63Cj08iV(3~7{h9tB*mnDW5u6>~By0m3=TX-C_-gSPY5pgd zAN*>YcAv3)$ML7VYvlG_e78AqKgW6dwBO|wr(-^w->lmCJ!a3%wf^@WRlTm<So->5 z^jW0k#X<J=`QfjgKYtrm`svO}KhTuEa@f3&x8KxdKb!pE=JDJ()^i`2_$zwV=PTIX zdBAu5N%4V`cRtP9bN8HnVaH+V=-R&WH`5<Inp_t5f$z1w(f6s(Kg`YB`^~0$dbE92 z-2U5Z&zGkmO?8LbSLR*ypXYw{WO2Y;o8|8}euk{r-hO8Jfy(sHs|q`>Tl|@F^}EF} z*?W&4>@BNvvJ<}ZP?9g-sSb3=^3D9t)&94Cp8TEjzvKPAs(JhGuYGT$Z};HZV*XwC zs`czsN|BZrhuc@mUG<-Lao*pP#a&;YFXREQeVclwCTq{1p0nBQ*?W$kOkZpFNQ7N} z+i#h|ChJc#AAVXk?LO1?9Y=1SU9<1t!RK%Gu;mwg6tvb))pI}p)cx+S2bF&fx6iA) z0~*}nT#d9W_M!Sq_46FBzn>2&{d6Ye`E}Szc)6M9`PSR#f0i!jcAryw@Ks^@_vi;K z{(NEj1)g@?GWYI$ih9hG|DnO!CUrmay@$Vo%l=K;={mn`??;=zJ<<28<La)hJwCtq zZsOC*1E-2VRYtG3aRx0)tC#cI_$g+U-@K`>p4@~c*2Vgh<nKLtDgLHTwy*G~YV`ca zqIv~?QdeKM*u(nsq1gM64c0ODFI{72x2``|Cw%9zY~GCQU#`*m<?}Y{?*t88r*5BD zf3);N=w_sq#w+XUYW1$3KY!b;^ixi#I%q*PXeIphH+7rW-}tlqM}x7=1DWgbTfb`G zc_6hse)BKB?N2rzkIbs<-Er*T^|y8>*RGlOpy_X##gAQY?H@1~$A7z~&wjrkwz6;k z%WM4e!tWQoKDqtusp7V&powT9?eo3APHqmguapZ>KOa~6iD!TEbI78?=V#OpE3YfK zF<CzEquBe8eERzi?kRM$Q<f|E6T3UUDErf4S-pazb=)?8m%X+BJMXLik9Wt4i%MRv zeg5ZnUhOC5>(S?r@~>TIBOXz?ZsVsr2~TgXoNvSbO8xxF{u}dcoY&a%pM|W0d!+h# zWx*HS+2P&7dydN5JyMqY@ap!N-^a`LH`XM@+&}i|bYVMp%)M)`Oz&;DFLi!@^6zx} zjepb6Jbke9$I9sUw(Hrp+x?#TdhzpL&%?rzmd5U1FyBTUwA?O4{rt{Xe}3s~{1lV) z^yUN8&zW2Q?KWF4m;R%JUFPqlcjiCkem-PN-=Q{NMt%F{Jz+bJnDXW4f0ezr@xGk6 zyY>2CQ|Io#AXEQ#*EgAe$JXZC>bq^1`+MyxpZ58^um1c!)iE{wgZ1n1d7Rhgx2Hu^ z>V>GE4=M$FIN@pKf#_$KAOHDnw*JHSviU;p7JnMA2FpC=d0*Hn{3-GwUw&Z)`=`UY zas}t>l-Jc|Jl|fw;rH5^kKgpKE1&ngzUa04`PcTlznXOT{q5F&YoGAcGU@NH9{=Rm zNNWkUzIw7Va4u|ZykGWn`5FEl)9p7^o-OPRp7ZC%EAKgvxa14Ftv}s-WVHED-;Sfq z=iX&}efjcD-9i61dnED;`oGV5{W5p2O@-e12iF!G@48nFS|H@F9#Q|l6I9=TcX!m) z-CK9{{QLRUS5IyZo@>)=eEa9A;-;z3dHT<!_g%N&`g7`zquz6Pp;epl>hC*L<}35P zPpNcG-}pPVqKkdbL(Sh$E7}ilj{1M%&h>fA(~DnApMQDt?yna+E!(5dpUb?q{ybu> z?AOW9<NiLeT;(^<G2{8m^Uj=M^NiQnh+F)!{P|G#-UB}K9e-Na+CLC|SNO+!b^G&8 zzgx=H?C(4h;kP*aH8!WV_4%9ahivD~zx}P>l>Pk8$~#rhD=Xu+%gsOk^<Z&n-D>2u zvai(72mXB`8Q<{tBw{6Z)Ytf%f6P}s=Hvg-@H+nXFVB?c<`zd@=C83kuKRO|#qQNl zw;nNFS5RcWdi#!q{knW^xA*T^Fn7N3^ozx%ireL4K$}hWcSO&Rxl)R<eyjT?Xo=sk zUw@6m=h|>z{aG0e-G@~C?D9iFcJb|>58t08pZAgH{YM%7y5pc62im%(UaoF`=b>Eq zoAM)<@8AA6d*$8yqjw&8@5r-NpI-8s{rqb0onLP*jr|@`DYx-ck6!ZYso>SJkP`US zlgQP6pdCWrBP#nUPS!M?zE!)({>C1mIS(Y?ePEI=><q6@xmTeupY8h2r{xD^-xXH! zuWp~d`FG9@{X?JaZ~l|LXLQ|W+t;G^J1vf`_1Ak}^}4c<b-P^L#Wgm{Yixqo*ch*| z0j(M@TUu9lFE3R6{M=VhKpU=-o=V1_e78N#j&t7Mo6kZ)2f;U7uMnE^^osrU{DNld zn91iE)xU4qqZYpHv$xEn4{>4hAItt;y2GM(u6WGRU;SrlpIZ9dsd`&k77Fgm&x)QO ze-~`w^oYu^h{|oR)X%T|b@KBHt4h9AlR;Z(_I>Kv`032YX+K3Q?AM-MeM~j(@rRPI z`;R5cEBc$WZ~f%_=~K|2Zn4Mw=R>jSJJR>7uJg-(u6}*fU*&z(uPf_vx9|JBXU5L2 zFRa#mpLhCoR4jNQ@`g`28$Z1PRij@gr<d4%Q$MeHZGO8Ecwu9>(dU&PKk=BYmtFT! zPCb3gua01unEUUFk8r*_k=}OtcSIfcokv3H-?#o^V^81oS!&P0qr7kSOxY=8JKenG zb@TJDy?3gt%5AIefp^jz1g&aK@1L4pA^hv4@u6RTjYH?!7+?MQH8SxjXmwn-`?Jl5 zUw59(KcFjDSeCZ>xcS!Kx&=+@=^LvWy+1`h_)s_Px>R~aGy9!~n!jZ~@Lf0G{CWBr z-Ca9h_db8Ad*|1^&!48{+4{wnM^wsf_%!FvscVqM-Ph)~2d%N0zG`y%Qme{s5tVrx zKiNEbvF1tp_KK?P-SO?oG4sw|dtTAXEi?b_D^ou1Plt8yJ!UN5v2Xuc{=DSp=WpgW zRqr{>TkM;k{rc&-;xcPcgEjB{wa?Z&_>73C-DKa^Z7xRCZqK)XYPbLFZ#PKoEpUWw zRE)V>eaGhOpZ^~VSFN4md8x}p&}?F1&Rq2)R%RhRUT!PRT$NX8Ce7ItB-Ir*MKMcs zW>H44M4+$NvKHTq7sOxNI9=O#|LylmySVwc-|qTj{O<kp*I(z&ocrDM`aHYvpQnGH z`~Uy`iMut2w;g)=`rY1xrhNR9!Yz)U)4uXve$AcZhM##iZr=Lr{f8v|uS=`5v&+6k zzRsD;{(Ie7@z*w$PjB6+pBnSO`tVB&XPbZhHplkHK4Cdukob4@y=3QuXMR<GI`rx8 zmHScuEN=F0`TsC&)%(Y+at}L>s~^#xllc8#)gQGTM~Y(}bM<e3`0<$H_4HP2n~JS{ z=j&~+^P5{7ll<Jf@jJuW_ivZ~lY4F(onHTcYvs;!7a6lZ-^;#MweQ@=##3*r`ZmU% z*WC6xGGX_;-mk^B;@8f9eY1Dk&z<x1<ce*XbFLS+yscVh@$}=#g8FFNIMr_-Wy~u& zr|&pCnf+76ajSiOuZ<7<RNPf3m{-s<*?H>o9SQq1`L<pD^YFFF{|U!aH=g_GnEknI zt~&erv+e8SUq7{a-mbCzwdK(=+w7d{n-7-R&UJqIyz1MB8Lut3%y}&taqg?nhS>8W zx4+JLsM+tI`L)(IPM7baQ2CDf8GY-&E3A{B_fX(@%zTdD?Jui*3z~}G^i2)lk+e_h z`0ecan-Ra|Z$Ga15%v7_n|+D7cJa?Y3TA))H!(XlareBfPw(W1-&nW&v)k9(U%wQd z{VJ2RdtUPCo%1@M#++xm{dG>_?s?oc&p&1seo3&6dpEnphVxzWcg0ig6%EcYk9mH_ z%;(JeAhWx@_-{d{^&O-8T<O*s|DOh0?bGakY!LZ6Yi_go`m@tt+t^m++Ety7D!;Mr zIoIv;&mXK^wf*(W<lXZOx4pJJR%W|=TCpwn>7Da<x4qtZpv<=Vc*cKjIeGEr{8t}~ zK9K3(uz&F<k^X|V={t__UQh3vZ2sbxZegdjjNHOI)?vq=SKPYKAim@1R@-&QSKhm` zws_LIv%in8FWr0YC1-Z=``j7Notbm48y_mOP0qP)yuGf@ZuzzIf46O$^ZI7QxvwSn z*8RQx^-bdLdCq$tedPR5qHbHqsaM!_xLtGpLqom7_YY4+&nc*#cEdL4`s(^cefme_ z-W7D%KI<-My<5Jb{^(=7*!1^vvp*-zb-#J5X6w1l<!jF#E<gS4vt;7#dBQo@jgObv zYQKJ7b?w^uuQ8Dz`xgJb`B_o^HYBL;+@JZn{rQdqyz?GD5nL7jfHUvogNdi^et%VQ z$GqroL8tqU!;0tbFZsp8e#ZQuF5kW7KiR)otSPRzbZbxK^Dlq1KOan4EB<;;t;5dP z^PJmWTY_xMxxRUG-MZ(ee(jvsJbC7P)PT14>aS0<%qwUYw~$}4@4MsM`nFh`TdtK2 z-s{eDw7b8oT554z@|*Be)xMeeeRJKj|2+|TYyYS)a&2+ht6O(|UWht>&C)72_ulF2 zyN;CEKEJW<xzO#eZ#KmGzdt<dd|f@?hQI%Mbe>lon^wI4EHo26?l`{up!S;@m*3^( zPk%iW;xFuFwyERkH|N`Fr}^#!&+q(azaFw}w@BWn`b}>2&+f~mHNtY+4@zdouG{?o z;?|!@bJgXeXY-$rc`dfR|H|v%s^{cX`<JbnZ+!2;NxkoP12!D^*}d)71F>-Z>C<;~ zKjGu&n-p$g6;oz+?xSiE|M~vOv3qLT?;OA9@~xm^md$~i$5Z5|r=ND-W8=?P@L#F2 z#XWwi^PD(E_lj?_mdD<H{Uov5{4^(D-qhfjJKyW1<@WQK-##Jy#wOz2#{UMDU5{g* zFg@RAbNK4JqR!pvCyU?M#;+^*5w!EzO}nDz(|@0`=J8L8ezVUp?$Lv@MeUPA;vPPl zyQz5Ey87ZtcMhLxPZ9quKlQi8za?)T{)wq<Sk0XxUoL*y`Aw`EyTzI(g6GyvbdI^F z;J)MUM49C8>7OLn|EsH8{M<X|(IY?0!)NWEa+bY&m=_^$`K|hs$no!!x#!pi%zK!{ zzVpc4bDw0Y`zLD4*afaD{ARNA;8VFzOxu-r9?jFxFZ`gj^YF>WPh8^dC(fzw_~(Ag z_RXHqvW@@EcJ@CmKP`98UV*=0>S?=o=ccsZ_&r7D!3Uelmd*O76!rEix$oE;)3@=r zY-LaL`;(l0c~gR8be`~-Z$B;k=8xOCjsMjvyB_a9&3f*?^7(?&^=r-7%oi%3eY*I~ zT~M$n>^wG8-F!#QlsyOD<`s3{U4Ft_#wPe(!6%!_)^73B=hS!pTR)-t#!dgSjsLB7 z_CMbE=HVZW%7)d+sq*FOr<~vH_2(<7=(0I<^JP)T>CI0#w$GoI{H88W?(u>t!gFG@ zmhbqnY|g`HT9r+6-=DVpmOpK|#lBFzf`8tXZL9B}QhjGt*|&FU%)<|PJCEH|`+k2z zom5PorhdV1hMh;>IX_Y5lhZ4=sNAyW(9y@K&vVxO4|tcTJ|XtaeusCDf23|||6Kc& zaUI`;+B>p3{2R+#WFCCTsBGE%_^H73nKBQ*{VnRbd-;hWpPibzg=Mr~;m1hJ!)IGR zu~^4XD}GZSJMYnt+eM9^qvkz)#a`68TmE$Mo4+yZ3VvwsJa$w53ETAgsp32SPQR1% zectVy2z_sv9JO+b!l$Bo+a}K~-tmurYW0oEC2x}cZ!D5O?mx+Pj(x1$gIAWD`ge<i zLM4W;K;oqGoV{Uug_SF09)9aB>baZz#E|cvp1MV4|C~pUQY;UjZGXyHW~cZ3hW-=H zzVp+$=fta=FZdd|^T=J%Pcqf_r)tZ@sb9C)?U`3l*}dmLuJ-mDv8TLc{zSejD4M?K z(9!zT=fN@mtL+mG|9YADeBY+-zqwEB`nFHEo%3FWzrb`t?HyY`xupDZ%L5NLKM_3l zZHjZuK9|0N-P>i7%j+w9nwy`nsJEZi)DyBie75iti}m{{#c$@T_!ri!ih1ydr?PFe z{|VK1cFN~BmN&*c{E)Qs*v*){f}gsTjki}n729oo!dvE#$Gd`}*?SHhmE9Cv@V8$5 z`-bA>Z<7AcFOolgZkzPA?)J~VPZ`&3n^b!zSDSz1_GXy}1?iP7n`55}O!uFle8(=d zZ{zNEn_~w({0cTrpY!O^)S|Z8*G~w)kx_bn!#-%<qaV6Ojh}^|NUpo5I(^6N6UA?y z7PTHWekzsz`~<I_y}EwEZ`Peh--SO><<pyPJtszUeL>;cH#M_f-#=mdMkhu5_x97Z zGXJB#75sCrY*@Yjq}{uJy8Ii<*R$tE*fa8(Pj|Pt9mQ98bGq`KcN)iU)K0NEb}+A~ zX|nohO}%-F={qXd*&ICA{Z!`n`U%S`zIMJflc{fHe}2kXCRSm3MbSo^<DYlNJbb$S ziPZP?6Qyl-ImSKs;$GC9zWt>4o*mBb3f_PJ#Kr%9%G~oWe)a#?{Qlv}#U0(ReV^LJ z<*HU!d~m32SS*_&?_V~py<*>LnFmjWp9sFQ_vQPT!Ebr!rAOb#p7+eXA0JIUC49#^ z!0+RP^P7!ro_)T+Iqy?tRNrgiEA~fMm!D*nyYc&l#iPGRg#0V)*WXAvys0qjUi<07 zs+srt*Q|JNTingtY8uB<)SP~PvbcrpNnN?UE^&|QG|DS>Zr^k0bNI<~JFV8A{8z;9 zzJ6+~jYY(}$5$6_YJY8hg4w2a@|(vWFMbl>@1M$i$J(*a{OV)V=N8T}pDMmqotj?J z=zb<R`Tgsvn&q=s+NsQc&|woa^K$Ik|Hg+bE92Xrx|Ge?p|*ZQ@lL7Wy}7S>Htt{g zZT<t*c@LfPgROM?zHFPad6(1YomI0Be%!Bky`sl>Z%+x=nbTJ3jn;c}3f!)*xc@lQ zp^bf)uXXX9f_aPIue3YdJp0MSyl%fUKLoaaVZW31YwN4IvL*i*;~ssfdV1G+>hy|@ zi}xIvs$P9R{BMrB<)NR4pU5odpPp?KTmHxRPJvzVoPPnIuiw}m8TUX+zwndtQ|&wd zH{SWZrf#0roHuJ8&kPWMyeIJQ3qcuuJ-O8%fBJ7ZTXxxOXXx!Og}0_{&yfCk>zV!4 zvL6+>vs<_QRnL%qEXwyH-X?J8;Xj|%Ps)Avov-7cce(7BNbc_&&x?7dKQ4V!%xiOe z=G^lR&u4%8(meS=-<`)FPsc5g?_t!7SNpx=Zn)f|iwlbyE}NfHwy9n%^XTa9Cmig{ zPZ;|7O+UWF!rQOly_4n9!`@GL`t>KPS45q(EX$j+{6_o<)^p~UdlTBU!}SWc&$T&z zudk@}u=^>~b8_0|J8CBFIq>%KQ?cFWr%t!1n0V*mlc%4!#LFK4nscrGbJSDYH!^Cw zZ`4n|bNr*>ruNU<Pcz5tb^lgazRBjuJ@+RJ?ef#zEwrBqOn-h_Fi(F{@|!wexyKKr zi<&0CKc%U+ZnE*6J1YJgJ_lPK{|73rrWZASwm)qgb60ixj^FETj^C^O(!KD|!`i1( z>9<et>TRDczT>WE-p1YCdyX{fms{M7jeGbga#OL~^?LuE?Q^G}();#(;%|$4@!tyS zCfFRf`fyYKZWp;E{i)0{`-0^jzEQC}@^ocU%WdN)61(S5Ic{MQ?pILMIOox$B+J8R zW9B6+pH%!NUfI8}ra9)pANNl@;oDEDzI!*}`HkOuV;+9++j;D!{ZqE-ee*1I3U;r& zlk~mrlMp-q6ze%}6xJ7P-27(F`LFjw-z7fp+|>Pd`N>+D_lngP@+X;N-m3oIQN7gW z$i2p*hQrQJ1kd$>3QgC(g6bxj<nMPsNwCXL5T5g-sH@xfDUbQ{6T)xis6W5)f0516 zkKs?4o=-n*922WKeaG&wdCBkHb{=}@w63sh_nilyu76_MUOy%J&A-^VM-S|a+9y}P z?0m{4KKrvv@v*P>quwPxcihxn>;LZYkHAgspYJ|lTsKeW_m1i|n<Mu=KVfLspXP2+ z-753w)77Gu+Yx;mt*0Hgu<-ILC|Wb;(IXej!)L3Xa+di`EPgXz&%dx{TFiq#mp}1@ z8}B@Fclr~{Z+cU;W$J?06_!oC^WaleW#eu6Q@UmOQ>R<}SbFE-lkK0l#J@Q`{v7ka zUgLe@^Sql!|EX2k@9KZ7o67&3e**iCsts=*zf1fiP~AU`S;j6-?qQBb`;MAzG7rD~ zEb6&C{baJt8*Oz9O9#Kg!VPmCKI8qwV(os~@>~D(<re3jFg<@iJ$=Wo2{Dh}E&e1^ zoqk%B&tChw#qNN-g38W42i~R@b>GcD?JaYqsC}~h3GJ9a5oLN0e*O1(m-sw<Q}<u{ z6SXq`L%$XL)30n;UHzhUb^q>pHb>@Zvs>KplY97PVNu6v_Y;D7^2*0=)K0QFcJT63 z7WMNJgJbptoGTDMCH%&vsPFIfQ^7LwTH+S<i){{HjeaUro<I5djq3iGhaXaQ9=qB8 zlx=!&W#euCle%T+r%$)o;qk8EQ)p#tw|2^Nr*;3!pU9Q1n;iS*zRLH)n(j9b_e^Eq z@vHaE<9Gg_1ggtVGV=Y`?k_0I-+NK;Q4zQ0p|^jZO6<OUI$7q8in_(a-pL~3{lW7d zKGXcfVtsy6@tgOW{)K;pb{_b7?-Nh>?~|(U;?&P?EZ-6H&|qTr99d8+tbNacx4)l= z?OuM$TV{@8xy8?xJ%^5_r#$D5`G5Yj?VA{#-8bf+u9d0x{#H=8)#kv}@2T?T=BJ(C z+*M<@*yAks@J)G9$Laki1oOg+dSc!4b9xImteo>8MceY|(QQR-v)fMyzj>qc{Koyz zd5?Z@7Bzlee#$t8wWxEq_=(~-Z&kx9EU!uzn!Xb+YIc8qntM*1%JzzHp_QHD;U{F@ z)rQACd|0~avuo`CkG@6x{`nJQW40H`udh?CuK18%*|2zbioE|nZT^pc?%m37m>zvX z`ObYezK<EzmWN*Y74@VuSN4eaPZqv&JJ#>xhsdI~<JG5xZE8E`Jg&;G><a&Vl2uMd z<$OWOj+jTDO6NcRvDkU&bomL>ck@-uD@s=FIiPvJTJF>P8L{`SOFm$HFR!<9{rhXb zLuD&ZS9CAGVlAF&e^+)@d12M^H;Mn>Z|cwe$1wf-lfM((U;jPa_t&@Z{5}4q`|kSN z^C#QbM)(z0EU`JbH~ER?I=?CD7Pg-AlK-3RJa*dnDXSh=W#{7ar?ub2DSh8}r1aOW zJI8O9KVg)M)8{WN-)wVe^Zb*7ef7Hih5yeNb<M6nA#79l<+I7rgK3|5)^D5ke8-)* zbsv8`{KQn<KXH1+N0rLf&*`W320gd0+IQ#pxwuaZ=i^oR3x!TA+gQZ(75q-C>{+gU zLX+>lvVXyQk;<;s#!o83*KQZQu6soD_1gauPg~D6Pk$oXS7C2kyXIAP%%$|_(r=d4 z9NW>~zQ;cP<`3?#pMxG-Op%_m-z)CH)x@H1cK4IsGP2s`6-A5o9J;%5Q}M=i)4vDq zY(MOON^f4h<GaUCr>F9N*PqT_QL^;S<4<ap9k-*OFtA6zs53Zp^6ygtasEllcPff{ z<~G_K`}g<>%W?mS!E@|`&lP;NschOUe%kWew`t2Q?)d8!e6y--JH0XH(Kn6CzPpc~ zaIwFikUeLQe_lah*Pa7sUlw)G^*`bLrrzyc!Jo>?*3Us@dKbU0*PB|s<M*;RN$<0E z_8)$KTJBulH1-{PLcbOM_O9%>d;cUO-#?}Pf=!d0WA5m>@3`smzW&&an_hCs_0g6G zKRQ1VdCouGIL0<=-h+1^i~9C1KNT#)R@Asz{Dg7LJ|Dfp-Ro_Rzsr8Y#lC)e_8b{S z^BopZaSxtV>^ypI_Y>7`>P793FFz4IXRl@*|LoU%-R}k8BX1tPC;r5aZ@*5p#qV7< z2cB+EmET@-!S+brVw(d8{htW>)#<hG_%T)H;WPfCp1J!^CcpU~?N?CazxV#kM>WQl zho@@$7i^z9Cpq7}vg@$>Db{mx+UE;?s_Z=S_VQE9viaKK78Mg?9zS_n)ZFb6_u$#q zqVBnepQwJjuTpMd=N9+y&)ZF(Mc4hWej@kIZ(8h|T9<c^KRn*lzS&9c!Ka#=$KSes z64)I-nOUaBS?=MJ-9;VUub*(3o1Zi+tJCV=_@CSI;Kv}p!hh+Ohp)zdVk!SWwfN0l z_xI&~g%$BT58O2S#54W&Y1O>)NzZTGbzN8R;Iye<*>vd`8}GOWY5qHpp7VaH`tAEf zYnk`@>kH~8ys4S`x_-x<!?8-cZ+Jho<GZg}ZDH*x_ux~=&Esz+KMCxfKZRLlj&^@R zQKJl~7Cv+~rf*~L$>cZj%IX&T9Q_LaDO(=Cn)`{Ry!?daw>o|Q!iwcF58llG#529` z-g}+G-79U5zx(@yi=BT;_MA5g<~wdg#yv<2-+A<$@Ke=q^VP~N?m5RjtWmL!fBfsc z>i2^0UN?{4Yb=s)Z$BY-u5Swaj+*W_kH4{0cHAvL&B$k`&|fg=w4&a*Dav=|C?CIJ z=`WZ3zq_dE@%j^*=k%w8YGMC^^0jl4-@8?I9d3<z@TRP??R5NU)x7^2=QsW?-+Aa@ z{Sztm@0Whh<<&ErF23VtRNltV3YDF;`y{SC{1JF6+~U4(ncmZ1_L1)%zLVV4z4!Ua zTA6(j-wM8QRW_UsKW&$nT-kAVqs)T`8kH@Rlb;HRtDh3gyQh8phNY`q^8XUcgC8S{ zx<31#mRy(iiKRS#Qt_KuE&swFzB>=x4E@A2{rYLuyn2oE8>?5xJbW;{sCV-HQ@nas zm5sCOPw1Atn>PK%eV6z3Wfu2>;vW8C++_Uxmwm*$hwl<Mb?<dQT`OZ3_pQJT6eio= zJpT6clfZ8M$;>iuK#|iR^XO56<)O3EPb93}Pba^T*H^bV_eA9R`{~9pwI1^xyjxt< zw|DbXO+I^Vaf{sn^B#T@+Ii${>L;1q_or#gTq)|EEPsMmuTDq5;Ir}0qvzH?QT_H` zq1@u%>OF^k?oWAcwC=y{mw$o$<+eAE&eQ&0xMS{{hi?{sVwm1Pq4tiQn_SX&&!UFr z<)@YB*tqi*esr=t<g0PKqH5Z``;w1;T`p=0H?}<59CWUrWTnl)$@!-&=f$h~fBaOn z^T6NPPbKB<YE8HJyVU0R=KH5a<4THptF?C?JiPlUt6ct~*Vi7soc2lRI{yUgJyjd_ z9BOVqeeU|!Ub(fo{Qh$KyDRLb{I2+!bMx?D@e|B8RWsi_E{U(~NM}F67$>9CU+|v8 z^2p)EPdWPgrzhK-ePX!ozP@_JS4GRC&HX1=-~ICM&YbT@r&S#LU3~QMl<gH>^*?xO z@0a$;?yKK_;B4Kg@EsNb@8ZuD%Bt`e9{B!V`OT-lzeTp^hx`?po<Hrmg|%B;LVtb! zhC_FYr+#05;ooZU6V7w?NAwl!nLa0Jeto{dLCNP+A2azErateDwmIB4m;KuZ8TK8A z4A&L5Nyj{3U02W@z2^wu?Y_0g%Z{2QysmNX5AQj?@0xR)vdpKyneR1E9e(`v$Icx} z@efMM{~m6XT7Tm6-K+O)Lo3_<&VN~bYw^QdH66#FoC}`1p6P4(6V{(Kv37^KKRwBO z<v(xrKZ)J;*Z;Bm$It%$v18BC)3#UdKj^9X#q%}V?qKn!4>M0ap7@LT&cyHYwwlFH z<j=eQG4x5d_{#lTsy=<W`D%Mj&+;dA3v6mu{<6I@LI3(w?mO9A|0nT(y<WZ2{y1ah zmpNO1ax8!Hu6Qc@`hfk--k+*s?T&kYdQ<so{`<xMSgQA5_{jS`W;TC;%e}<^p|A1_ z{p_mu{nDNPXqHV~<EMuae-+E>R{s6&p8a{=%sqzx9sYk<c8K%mlh!YjmshyS?eVCr zT>Pu{&ZPC%o_gQepZuA(_i6W4`yG*gS@}*L*N>d-Ug7j^Z%F0G<gdGTD8;WioxMlt zeEhP1|8!rS-|)ZQYTw1U?9YmFldTti`V)p6Fi&5-{~Pnyu&jRRU;em_X73*hR(9Ww zU%CIF<j<0PNWc`xKb?Gkh5Z59%KZCVe|FV;$d-+FefP)juWH}P%i*i;e=?jm>G%4e zdH)>$%H^Gy{`wQ^yT5L~^_9Ms*9X=2F@Jh-HT$!m-^sgwr}Y0{RL4I3$=6*qebb-Z z{P!yV|Ehm1)!wrAKQwIp$>9EE?oYk1+bz`R?{)ht_*3NilaGz3W>5X){N_*C@Av!5 za_=2>{>0Y*Z|y%W^(T`f{!Rl2j9=xhlhdUC_4h}Ay1J{T^Y{MCAE&af-2Zyz{sW3X ze>~V)*`ogB-s`FT+XMD@YkxYrYtJ$5Pk#iyZjWANfB4_~(wfE2*Pl7tsFm{t%k6yi z{si}@pSx<>#Gjmu_<Q#6Rr%!a{I~u;_^`E7zW+q@=WVa@3*78#n}4}K5w=n1?+=K} zUH*@u{K;PB>(8`59h5Jv5&c<E{dKy9n*aS3b*GP4c)i>2S@~1oYxNGr_;shncYIfW zyWhg=@8|Z_pd>S8%~^K~)$;pm?$j^&B^xv8`s+`Yag);BSJ#^b)Hm(5fBmB^KN6B+ z^1~qk6Z&_Wf1$6O{NkEl5ntPHyxj8t-^T3Ea&nW*Z#<R16=tD0UuJpT>0ldW{-CFm z)ieIv<(&+F{YmxRf7j#Ta_j4!pM0fX;9+N%m@D^?bLV%nr{DhEJ1V;K^lQ%FcBh;6 z9IxmNH<N$-t#-zrx3l)2uW0*gUHZrFvGjib;zh66d-y-j_|;JO`(OWOzN`F_?^o!r z;Fnzgv+nYoUxJT~_bjkWyR&cq)IGtU-FuGT_`AB#KJm^zd6N?%_Gx?i-LItg-2UDh z^=ZmSw)88{dv1T9y6pX>Kf=e<EBtB??x?-5S+o7s@*egbi}$76*{6TCy8l&r&-xYe ze|o>V$vy6}`n@meSKQb0lJbu=zw$o*R%`yhcGY^x?*-1ar+3uG=Xvf+sA;UOzy7hb z=l1uvU6W1zPx>gse#QF!n|zH8@1tGchyU&RCFr-ZeC77{**k@=ewQdO@Z5WRNA3O9 zYVVEhj(fkVJ@)o@(oJ@g{|z5ms>@&2u&ZnL7jzff{9W)#N__c=bM{y4twZG=eR3{p zdcORm@th|`-R$zyerun-{zyRIeo;-+?#oZP)}NaYe&gqiH~)h_e?2$l_>H-zSl`vh z#66gBT(kCGtvQR~xi}5=icdzChkxEH>RUcB=D{P=qRw>olcIY3lcjC!gYpW$rtLiZ zb>pVbx@-5p$lcWa*yr1aAGMqMCr^ILuzdMR#dGH-B-{MlG3UV_8Oy_$i=WDf8}B@@ zS^t!(T%1z4#g34?4==cO9(}m|DW7}zX}_hP``1s7wfWQf=3$w8oqf{#nMDa-+fOaL zDzA9FV&)0XcYPC$_gFce+c^0o>$|=FdK;@Z#XS6%S=7AO_=(u`;GKs*Z%%!F`%b-` z^6njW@!twQv)(-3-Tjndd;DbQJ$qvM3d2uw#>J|p-`MGQuJ9l8&I6ZcKNS++enNEK z-<Z6D%Jw^teg?fO`09RhXVCNb`<lCV{A_>o@MwLC{PyoRvJRgwZ<y@+L|}RJ3Bz+S zmIo*2KIM6S`?Te}cN*e5Zba&R%n{#t=xJV2^K<_x(J{PF`EGx|IQRXFKW~4((A)NY zarKRT^>PnRrgZZ@myg<i_x*=szvo`P{g*F)&;5tJ->vt2tZO&F2NIRNSF!)F^!xIP z$A91Lwz`i?4zEU#WbD$<_aP=(>^6Tbd;72cfwKSHYv=!t&-r@izfjKi{XDn->K`xr zuf5^!f3KYH`?+raU4O9bfA+?^|E+Sq+dt2myZ`S0n3%%<pEun7Uz78FKf~?6`bWzC zcW=B)jCLuo_MGqb{I~y}KVJ5qd&AxTPC4KAOWgjef3WQT=?!=P7v+54&vg6m`Xgok zS8urcUn=MOezDts*B>tXUz|1f|FPdlLBV&u`o&Mjp0_{an%@4Kl=%DS*|RqLH8$+E z4^OJLUw7!+zo_Q7e>aKU{v9v7?fd3A*`@ow{hQML_OA;6?ceTp+rC#b<-C92a^oGl z;kNJjLOJh`r`)&~e{$nq`JjaA|BH`&t8;CA`?r(r_HSO@ZQuE=a^|yJ-M{?v_TN)S zzg5m}fBV^5{`T`+#%<@XJLSx8KYGLNzt@J^c~cXA*JvI8RvFy*_VYp^{+;u~((L53 zHrzcQmGixu@Amn{#dFWyzqY+QxAymqYvm2Owe2^qmG|V<s^7R)p7!kXGMU@Yy;--N z@Ak@>|3Bo0-TBN7wRV@2e(za&_}lN(JL8^b&!4{IhMoM!4Yl(gCjb7Ubl_X1OUK*K ztrGcv_AuQ3{abR|_s_*S`~A6JKmY2|nOhrnV_kmp+h5ymtjkaT`scU9^WRqg71w{R zsyOgj;rf}{Mg6~R`u;^$+3rq?<KO-N((8x+)Tj0rG#lGkM*oyye||zX?%~1xrtv>D zpBl;)*?g-}JM^ta^XRuf*<Ejc-j%ui`6%nQ>$kOY_Ur#LfAMkCeS7De{r8n}_Ui}d z?5|&bqi+BCjkfU-3DtI+4t@Kx>_79{{ZD^hVyKo`-p_1v#E`F`&3(rK#dQTO(K5;H zkEN3N)h!Na_7%1n+Z<#)SJ=9I$5Ga@8_Qa+HMbwHIQ46V#P;W#b+<h~?YH>&<$3kh zH|CvZzY(W@<VIY5%8hyYA{CE6^XHsTkIFeeeU9|j`s#mA6RYdQAM~CV-)Q^)%m&;2 zx*Kfc^*;7|p7&TPyrMJv&gREQnD-n|zVpa1?h)s^4?OD&dgsnA`R9G-u<ffDn*+5r zhveiQGtNu+Z?m?4=GDh-Ukw-CyLMdS_OBT?YWB#R9^Ph`mGe5eGq?6j-HOkfzZxFc zX6JSTq97q!-Z<y=;$z$Frro&a{yy#P{cFb=ZvT=<n*W%upu^qbpyIj07H^ryZ2g7p z!f%S^XnrefbGA9id9JW;`Hmy3c^`PncO22X{j@bo-Y)LJz4|Y|mnGhb`(Jh=&VRT4 zrN`Opuh-_R|L>ghUSH+Lz4a$H?)@M5sCRw%hQ0q@ZrHo8sD91g+pkUKZ@=CzkY7{V z`Sxp8-`lSRoo~OEwmzRz-YdRiW7VDmwSAk9I`26m_^zNUyrOIF{DQh2?cz6%YTr3t z`|RTf0sexP+BuID=RJCqw6@>!T;YLV9V@nfotP9YADr_#xi7a?XT#cl(QRKZ#=rQJ zTg$U?Z9m8DUmhFR_G@nYYIuB`o!E_Q$GL9*dU1czUy#S6<&|X$?kM&ZwiwGiX6i3& z6~6OG#9Ai2&DQ21<GI3~<raro^*-=~?>M46FZuYZ8&x@ry|>yi*&Nb*y*TyGwf4`! zw)3{x+2p)dKCsO$Dd)BF;ca$YIj<KV+GZD(^ZM}4(BIp?K1__3XWaHxFgaRYa@*Iy zglKt?`yy=n4>Rc(c2~<h7ve8ycduwYeq*QabK`@yHiz}r70T;xY_HxHZ*#y-?xCXG zV+Q{Z9OpOu=3Uz_WxXS%wrAz`uZ9P=*`?jM=H8oItFv)!|Go2HDsKFeNQjnK&Uu~O zlUwVvVQoLizT{|m@0{0*zZb(|J26^bkk7JTLcOA=*g8Mvk%+m)VcB^J(;uJNnE$-d zIpzV&`GP+0m`9d*1+CUG$=6@q_?7cod#jz3&2jH*?#FlR-B+4_{c-mC{MwxL`OZ1( z^M!NP=NIR!&-cz*pD&%WKHoZLeg56B-`lTmx7&7oyW+O%+c~#g-)_0>`gYZA*SGU- zyS`mF?t_KSN3QZ6=L^?;5U}2V;iKD*_TV><G~Ye8{Pux~{{z?W9r?eHI?FuZ=r8DU zwmB*|@9~;zzak#6RR6#F`SO&iX!+pT{pXKAzj5t2)9qgoiP7?Z<6nK;^wsdlHan%9 z*UCq?*)6+q?YPYCUl9q>^4dAC7uUCc%YU8Rkz4Bm$_&TnxHlPF=f^zY39o31o|D}E zcvjN+bIj}&ZOJi@c+M9#YR5d3+P>o;-)+|D^RI5O<+Ph;)E=p5P`=jwxxUIKx3=QO zJ1mi!6fJ*l{g(P@dAV(01rws>4Yz%rm>4b3y6tOVVzj*Owyzf-s`j7f`flO({JPaX z#&-_|%RWkc|Hx8Z(Z;^xm|ff>#dn1=jB*bI<sJ(37q+Nd95c2#X8Nvf#n+8Tbk9Y~ z3%`2%`M%_~^V73)=7;NVto?WQcdwlN@0!|%x1WCrZaaVd*bTe&vlD**$!~l6`KZ+G z=Tp6N=C^;Fc58p_KC1)YD&KP4ets}HXTJV&i;bU;Sk8MO^nAy`;5!eQc9-3`aa@(} zqm25FLze3bJEiYDV0~B69lOnY+rFzCd2gP}yZFoFgUIzY{NJ}%)#TRxxN*(BBez!N z#x?i8+}bHOuDLho)|TA3*8bc2?fq-*{kgR*H?Fxi<<>sAam~Fmx7OswHTU-1T8sVH z|ApJw+1`0>5o>d#Rwj{uc}2tW8^?=nj&RF75S4pqcU<z3VqZZ^@|;J8^B%FBFYFS( zR=@k&ajy0oKj+V@_W`AQq+I;pv48#Ae#LEH1rwv?K{@>BHoIp?X~I71|2Dg}8`s=h za%-2|xOSW+eNK2Ex6M&ey@Kxa8xMDQy?JQKSJ0Xq^O(nc#{uq`hhp0+I%T)XM)$w% z$~|!H=VZC{65s2Wex3MGPHvN(&8ys6mK)dHdva@2%<S*0>uy-v&vpA(#>Tb$&(B-g z;g0o(CAapk<rn{Man4RIfxrI)L;uEp^@@&kivy-|4^{aJ`ycmwU^rgUa=fBP*yf<- zyoWs3E1IV7_&N8Qd;do+^)>yHkH7u&?tS}ty6U#`&#US$KF*%MpJzkuKchq6ex8=N z{ajx-Xa4>(8*2XrCI9}D+WGeL^m}t}?XUf3bl}_1(;T;->nG>T-|qv`aMbd7<bz9c z>~|hq`t?y}w@i9#ZOr2f=eX4?8jJ5d64Ebhw!ZUFwCtu;{nf{hAItHr<x{V?lv(@4 z;;8YpcK^L=`vq?Q^4PGpU*`5Njg4#j*>3-Ov0*L$_k63mXnDJBUj>t*<vF)~otPXg zue$B)#e`^i*==7R{)+__gAeVFTOM$J^O%Fbu#4H|sItv*#kdC?>kE2*%RHChFKkkt z^GGDUqD#G^uXxXa;5~;9#zx849;>~x$!^+zwf)iO-KWjImwecv<Mh0k3-J#)e^wYj zHU7jA{>1e0=jzwLPkX<ax8%M1`ht_!c6wi5f3W$iX?^?eilcvczsa7xpLhD>=kNYf zZ)|)k|2jPV_TBy2j)(i(qw<db`%$gJs`oA6|CaT4{@I;mQLolIFSq2*zc|mPW^pa~ z{PlPK)p@quovy_%zvj-rd7cf?|9-DJ+^(^G|8t`QPwifPFAK=4zkl>-<dQw#1D<~S z9vAlHA>%3QPc8fZUrN#s+jk@I@3-#>S$96(&t7Zu^xOADuNc{7|8Dx7GXJ+%VvhVm zoBA0=zkeG__VowNvy0jJ*LJ4ixBH81?nUnWTRU06`nYC!WW4nL`tKZ9ze{{C{AqTz z`t_^uC$-0>->BaG>Tl`Sr^=@P8$L2Uzrz0d)$#tIzY{)kSgU9KD~R1|9`LuLP+a}W z@z<}!p9nrS&0Fbz?dtR08UG9)OY+@c`1s_ttNn6oe@*$QxNgP!vg@^KzZ9N-_OU#E zb6!#JU-1*?G_UOUkb9J)Z+Y<PzM}sjSF6`gdK^>6KV|ui&Zqa<r>e7`WPE4wudlxT z;hSZjrE3~~%IP1!QQ2m5^zP@UY}@sxSF5ky51Ozmoe}fsQ$}THy80=(et*k}cjGhm zF`f6D{+VBc|G#$n4psTNYxb0V`TI)o-TZ}B&zn#Ej@Ed8!ugYBWmWuBV;e<(zrg=V z-uw3VYJXa}cJ<pA$Lr$d=B)b1`M7pYt<Ujr!?-^lc^`fVzRF+we&UROlRmPQr?1}s zL*V)88q0a}7TZ|)?kwJIb0|=8_s&m~?i{rInRWN$*MAG|m)G{KUsmUNSLXT`f4en5 zKhNH}UxeRY_qTlDya%G^@<R6=nSB1l)0eB(->h%c{`67u)MfXVAG<$v-TA%Z(>JrT z&y&7y{={^AZOmiA%72Dm%dcylFL0Bav$Cd--6l@=b#lvN>8tW<Pam)FoR>5`_t>@c z+q1LkIpa3|@B1s>bNiom&hPwdPj$EbEw4CKcC_r+wxs{wF^^t8FR6QZytL-#)K3!Z z&rjZqJQcj>$lv!`@ed~1{BL<R{YGr2?X91c6;*qGE%sk^FR`X&@8_xPJ^t$^C(pT~ zqF!;pEY&*c^}Mw|kKZ^b_}RRoV(YWj1%C79FaCG)`_%LuYVx&SKmV{jb$)XuWqH89 zj3<3H9(E6R*gQMGaqj&&|9U=3`n~A4Kl}0Fzw{?!<~#mZzO64>_ep?#{#5mfR_&NN zzn@(F=O_Q=Hkn_(&*m6+%!8U`HI44`r{Cqi8eb5%?cQDPDgKh>A3A>Rw6(h*R^NJh z^%JS^nDcDr*EQlFeB4^p9eeGu(C?V@eC;v&MYlaJ{kQV#+`j>zzZU#V?rVQM|DySE z$>)<}R(ziO_@Vfd!lnNh-<`1D^XN~s&5x#6zu(-yHo5(;?9adLptaobPpS;;jv9V$ z6)&_cc<)`*tbOPAqHpu3onp30iL2hz)IIfgS&YTfx=!zym_@%-`}XNni)Yrq3xC3K z-QxJq@Tukh!)n{IKV7^&Mf`uD-H~(CQ=SJsJ@+3RBI^1l(=8PJpS|*bzwo&AG2>4M zQ%|W!YrcOxt)}zw?ydh>-%bDY=Jl)X&z*y1CLN!yz5RK%;=Bpp*9YXCzh1RNDZgO; z^J3AsiT?W*{`>gM>YvDO@z+0^^0nme1n=xW{zQ9^Lj0qqJ%6VCYX2Tq-zfg%vDeQ@ zHizD+-rD~?_vz)|EAAgv{?uA2{M7W^iO<?s?RQT9#B=_n`~5(<uT%I7-QU%O|Lpo{ z{psNJ(wgE=H<!Jd|8R!QPOrav&rUgB;bpfY>gSw2{nDSrZhwBu_I=-?zy9k3>(74v z$jNu&aMVs)dHyp`_GVAzudv&vq<>QTQ%baKedqKiFTY=rzvKT?qB{QS$Dh|V^<$pO z^_`e*aVP30)AJ`szrK1u!~VEw<(Jy0=l))`H>&sjU7jBhH_5ufBkpm9^w;x+PV@dc z{C&qfMZLn!E@w*k6XrXU%5UuSJAV1mzokWmi+?%G6vmgzFaNuA$06Z2wsAjs%y%5S zDLh5L;I~U<>u2?of9qd<kN=QT+0ecGgtE*#J@>HlHims4C7xRxRP`(DHh!~V?uM^7 z`|=-sJSZ6VvEr=6_T#IMeXG#fI5+?OeXDru`F7`4elF-_-*M3Hoz8#7*Uca8zCGWy zZ{gMVOOES<+VSr+^$SnG|INjJ^IDGbHs0G)-Zs6R@^;eWnbCd6W#S7r_w6s=+-H8A zwepMdQ{BJHdlbt5Ek3^ap5yWNiP7ur{A!*u#@(vfas1lfjo-|V@RjLb{&;oA_k^?N z$CW?5QGd1l_524tH9I0^^M9CAbEfWXjoOX6dCP7*f1cUqyiN6XOYZSMta*jU|D|nz zQSoffc@h47>pu6^uex(Bza#n6zqQ$)<>pN?e{=oZ^26Ugzxxw@ef{I-_m398`c=^= z{p`JA+{3oNzIm5F_Q)@KJ^9hSr&jYHq@Q~G{QPmopINc{j%faz@b}hFmm89s>|-8r ze!KB3hk0A@HpAPWo?GqX5#N#W-}u<?HQ$BO`LF&vzJ2xn1e-(NzwU<ZEN_+T@3Q*+ z{T}zHoxP7wUN)&ueq4Rxr@l%2*^0LCE8Abca^D~Fx9OvzoPF%)($}x%T8HdU*|WLs z)!hG?e{bwxKQDOyqPn)`|5<hAv9+J-XYD^;(c}GUZ|T?O_?7$4SIAfYzx?Olu3yt? z4pcN3zhXZ2_Wp1G`n5mXd;AOjWc{_BRuEhJL;7mJ1b=~Z?Vfe}wujUn`O)<&!T#+0 zHLKUJkT;HdH0f7PZ0)b;v-6GYj@|n=%RWB*{eQo&!pCgq1jnyg9Y4umGCtus!`b+C ztM%*F|4i?BZn1D*l1<e*yZ_z)7W}e&tbC`w?NeIp-rw$5ulHD2IMwc6w@)qJ!0x#A ztKFqvZ_WpeWwP|oU-_8x-<q1QH8+pHoLBTd?{7`Sz2i5zr&P=SJ@fw2q<>=kam#+O zosT>8Z^rpg4AZZK*I)cIulMn^e{cMrUfefJ|6uptryX{ukMCG&_iyzt_n!6}cisOA zAN##gMrP^xV4-8RHdR|cSq0kJ2h4kXux`_L^SAoT?;i~=dj9@YZoO=!vt9i3^9A|! zXXoEp`tM6=Q4jMc$=_=&=UZ<3b<qBbed?b6;9s*#|GwSzZ`!Y}k8IPwh-;sXmtFMu zbm51pO4s>MZJ#?z+wGT^U1jro`LF3$R4ta;|Cs*ioyXPR7M4!mX5=ULT{fTeKl-oU zW4(D7?<c)qyDGn+aEr~N^Pf1*uUP+Y@z3_2=@pLk-{PM>yloQSH2bk(?X>g?$J#&k zUyp~iSF5kMZy)t~bItlUiTa1jSJyl3?=CJnzVmt8>%{xzFHU5G2leNc7ykJkQ2(jU z@|g3droUk|^A{Znv){4&SMjmm77O>sUEd#Z{eF;r`ksTvxBu@o`TwWcYM%_h|1|4g zI_2*cewCcF$Y%HUU(vVq{a*0DTU_%~@apf1KZ!ff*G%ItDB4w&FZ}hpM0ufOefRJ5 zmHQ4}UMX`Sy|RP*)oNeU{pTw>rGE(@6Q6&z=JNIoTh|`{g7-3g-zA>D+_|ZH`jg4Y zQ~77;AHBCcWd7F@8_mz^7E1l*!Fk7pAI;gb|L3he?b)B$_|ISdr}ajpDDU}K%bf?p z>~A`KPVZS>;qkvFwtnwA`yK0lrT6@{Sa|>Dq@Vg1{#h42|6Jr9pJw?k*2Ay<a7A<b z7xC!Kf1i@BKEI*=^q%e3eY)=-KU6)n`S;5DqklN%F3ayYb$jQlTABE+ndd(-^y>$| z%UxU7UnuVXGJj+A%6$ianm;}9>%{SjuGPP@{|P=;UH5Xn!Mz&)&rgqM?p5nazwvY3 zr+*)xTt8)dXHxp@jC#wzoP6tpYX2}i-L_xA|HSXx>&{m1P|Dv>zxUbd4_!5B$7|<) zvfTFTB(v%NDIZ0Chp*ljdHw#n*ZkXp>r)?B`0T$NKF@5{dgb`L3hQ(BKiONI`Pb51 zEB|GD(Dj7>?5DcfGymQ6e<H(Qr&E0<eP{pQ)=xRrUw8MYTP(9rxbyGd%MS-v$s5@n zc$zy)KPLSC#D0nVjo*#09)JBRo&Vw=!N<XKg6FSU-CrK?cg9BnYy0PaB)0F5zfr&9 zRq(Ou9Y3bN`ds>z_h-vTuHE~?YB#Q{+qC%E{96|1R_N#c@%zd??^Sxw?;VTxMTPHI zns2=C$j5w>_|5C~-SPZ<y+?e<!hNyf_H{E}iTAi$L}dNTi{1OZ;Hti4d7<at;{3JG zf8~AEKM{WAdc~%ock5Q4FTeK3_L%XWMfak^?W<Z|{qEua_+$R8`|;uLZGyfsAG3ZX zekJ_L-m~wvKdemXRG)oH`Ik<AfnV)MjkES!3S%zH^Ph-4EB@$bSdr8H2{wmC`N{(8 z&+O>8{x#QKTRwmJPpeN)w|$yocRGE?;(bf&4^=epWnZ=bM(AgMd9CL=_BY2|Os{O- zD?2s4Kd}B}MU(Td=HpuH<rh}T_m@qZ{v~qXp@+&-t9P%gKe(gY_*e9vs-9QUbKYs~ z{(kY-);ou9CQl9Tzx;>qv8vw7^EFSK)mPdb+^l}W`InCTO`9vn`<KuED6suYwSRz| z?cB#lyO*CbtevL5W9hxhMZcVnS^v7beC2+n`8Mu)h57uIPV=7zzp)Fi{HStvzR|qL z4gY?eJoQ{Zq_(47`J4Ovr=omuOKd8-esLeGopa&+n{_pktM)0&zgN|NvAL#m@^tO| zm+K|-3moeWul)Jz@zrwPzMwL*i@!GBIm-I=X7JVfH|q+W>pz%0U3@*uPX7A(iR@?6 ze+rmisrG;VL!x|R@q%B`bL6zlE524)E;;{6!n$2^{k(-gnU8tDkzZs}z3kIX>8C9G zeN%#e>C8{~?|bTT`pWt<6&=aH_NHsc?+N{?*LP1z{7YoqqaPAa{TBS2^Re&WoPS+= zzL#B{UQyH(b2<Gd)AK9h)fxYG_CD_V_rvm3`}>PEiu3k+zk9Ly&dre5D;L>Stos%H zs^-qc_cs1Nf22H9j`w?F_c_w;fb3V@zqZGu-_$z%Jvwhz{jWsJ<9}oLUTg-fQJs>0 zK79ZAiYDjR^^KpZR#nOOpPO?0OXR#qA0D6DJMowDvDi5m??1Y_Jmmg^FEW<P&wpY{ zZ~gSDb(Vedo+GM%Z+1`NKNnuxls<p*^DmKcw{5N**AM&O1CBBMhkx`g)#%05IOe_V zK4E<)>G{%E`4aD6l<!~hudC)$=*}a1*Pqxs@7m(0wQuTtD?b{Y-JkSNhW*R&YR&oa z3x5gl>rbzJw%O*uLGvl>3xC;y<7`jN&rjB?-k&%geoC-*T7TiESWxrjm~_mA_&rXa zzpoFj?OT5Rlw_@RaZJGcw`>0$`PMD{tGRYcf8M1UyLHl)Zu6fO-wAmCcJ)8a$9iRX zA@$vtqo1&?uWNd_dC$>v{!_!(U-={YSoNFusm<Y<^S2f?v2Q=E{Y&S4?y|2>{ySC5 ztgQEp1w~o7cKjc=uX=rTy5Mr-@zSDn`>(ru%D+7S68>bb{px*3Cfma@*~b0P<ZoH5 zd(qFYu;2T0YsEiv%Z2$K^B!`suZQI_=BfSvSA%ld{->I?)6N&T*LweN`N&rNKd}B| z)LHwz+jl?w8rb*y`y}mOI{6#Q<)22b`8Vk!OZyl0^}%uZpp0fV9g@*%r&hDWGup2G zucYVX>F%DLZ5#FOVUG0Es|RP@PXx!?>#6+PK=D>v=`sJQ^6d6sKLmbB@)vsVFJJK~ zL%mO2zidkKFCG5^@4dw{!1*lJ|F7uJ6ou(KzH30T*}Fx1B(nca`3Q=!cJ2B0eqR;k z-W~h*V)LFujq7bHnxVN&W?{`wk*noT9;=_`{-qQDD5d<V?qAc#ym~M6`>y=?o4(TS z_~rBy=ftAyr|sUcz^;1nFYDX-c`x|yEvebE{MqNizcG~uYNqiQI`1tPIevUc_uS_z z_aA-f{e*3OoJ#wbNV(+aOHZwK`OMxk{YL%9PjAkiO0Pc6DYwVT@8#y2roXjQj=Qgh zX0z=Y@o$z_iMy|##{El2zi`j~qUUR0otJ$7;{40?C#u<3?mIF$V%^KlHI2oux|2=* z-#J$3T3@r~)5C|e^pAW#{G`wB^xWTBwxL(pkFVUn^xSdTzkaJ~<`~HT{N!6S|EG@p zgT7zW{>eS&{ni&$U%2Pld^z>!JL=bf>+ZcFm1Rx8YL5xaME$=#<@)=8z5VX$r$FIb z_`~n%z1vsi4c|TL`6ssi-tu2;%im8e{-vW|P&Vn)E0?qL4dWh7`u9fm6#Md3b_X}} zpDO;Pvp!eu)#LJ2^~WkYqJQn}`E2d{d1u8(!JSL>mEIS0&n;iMKXK3E`?j%vMSngK zF6yjKKe<<TtDNrp<nNPDb(d%V6aD<$Vu5{K|EHhnA@YwNE>E5RQ-{BxVBMz&dtZSD zU7YrRwtIT|{OWzm^5>s`+Iki{9V)&5cYI_M|01rQ`S0J+Rp&o`@h)<^e@sUFO8Wco z`lR1SdH)`C`I)iqXH87K@4SoYKV{6XRLckapHe7pJ$=>wqc68VVOzhi5tPmRmhQ2N z{2bmh{l@=gpWZZ|N)JEHDHo%<{>A1!+oxWeT;KcYhsam`mE46s`^#5-I{H2I{i7FE zH<Rs7*UH>qaOdZQU$Mume-;1I{&cTDB>vG!{-=Vq)7&kV@3Zjz%%3Y0{^hvk&s<QH z%|FFi>->o)+~UWEU&+U6?_7Le<MQ(d-`VRE_3IV=Uv92xvwju*OTEYZ#_y%Sx-Xml z|59Gm_j~_Ta31?!{q&~fD}71-0+0F+flt52hrE9rsILEVb4}}E>#5c9!T*~I#nZoR zuh<$?+kCnH#Jj&+<aECmeDi;LbtWv2h5S5lv+D5c#VqIlE&r!jJFP!Q?$zV|%jdz- zwt0&C?5sL*{ddZs()Pi$s^`M5_$A-JC^rwRceT6erB|5m4asQt{3}0t!s@e}r=N2} z<sUs{z9C;bE!?7F!KVi@U&X-<wx3fzJ#`MbKe1n42U4NQPTBtDdXM>z1^YEj>g&_5 zKCk#?aI<i0olbe7W9_%hujSj~?_7|tar>DOe)jp}Dsgy5(*tKT_tpE4z7&4Kw!Q{Z zpZSNx)rLVb+MZ8uich8cPZhS2IHg%T&40sxlcygmU&$NGJ(^MXzUFDS!&kk&dAi{0 ztb+gPIiJ6lk7fT_{uQmvU-C=Hf1dvJFOmBWSbjbF+!UJ68b3XZ14Y{OQ?j+wtfR9* z`AmEjB%hrVpVA+HvgXO@TGZ<7?dpGzx@Xn@lCnJhm-$J9-D&4HvMX$Md;GO~%*nU* zzxS!v*H_;=zPS0RVePc#7B((B!&liS-8m}xS2s>};V-u3`4b=+ZONxsNwAD2^t5^V z3cG`w%}>KJn*M5NMq6J{r=DRat{();XzN!&GFlucqs10=R?ob%d;c|1b+#|M@}nuN zI-9OF-yf9Eenaxv2gaudWB$rLw)1;Yt{1$|#`ml2&mYc3F7uxz&v|kx`j<O6#(wO2 z_J01#Uo!soTIpYM&lEb=mhJo{3@#bh1=v}+fBw1S-x8a}=RaBIt@yrgA-Fm_7gpbW z`TR-VxVhagLDgC1snz*e|GGX3{hl8>uh#qL8}6becJWi-`fTynC-s4qF|hh9Upu}g z@~d8--bCAHo9`U|7(T^1eAWHbJ&Wy^?^k*syY82ezusi`FOhN&=lptPJ*z(B@s36I z|MY%N1;v?{-wWwU={pV`41Luv`MuD6fAQ8&AGd;9-Eqn&`E2#o_?X2%m-o(j{Ez=h zm)&XUH+NUqtiBp=H1ENLe-EObe)SJ||M+76Q`OpO>kD=SysP#9%MERRoDaSK;7j_Z z-wbQrPutG9yKc`ezt7e^(|0Vf-yQe!!Sz-CAKv_b0?T9Xp?OStihKQ&ijV(S@61V* zYFlLRi{WyDhDuN30=5|nOE?uH87{IkuW<?U^Yc^E)>fbI66P0nF7V&!`a*996GkB> z4xxRYpX_`-?{?R$u=S5x&i%BD*_`ip_4pa-s{g*VjsEsWEZ^+y4z_yUzTv-SzV}^u zgYyqM>?|kC|IM&(+kM}r|J+ZezPhK2i@#2*<oN#bZ0nzts)zsouRi#F*%8sXpM`hW zE5~2%pE-TQ((TiC-Jf^0j*Z`TW8{_ZkN5CLf9qd=#r)mQ0#Eta)AHwD$8q#OKO+0i z@_wuLw`S>k{X6-k<7;{OZ#@$Fm(`hU^<4Od&S~+f@7&K6Tf|JV-#67hQuO=7b6ph< z&uh5a8*7S|?>lbOCj9F`+`g#m`}pP8cIwXkY&j?A#BBDjlAqh`7VCewH|<-o#a)s9 zmvh!X=&Aj^;<vJSJNK_Ap8Iw$t~Ru}C1zf7ZuYc&%bWhbh^##u$6@|u*|hg>i}~$u zif-TeyoNpR#`>4G&s1gJtlX}@%ic!dKjV_S7E#}w;pZ;gto&j7_0Rv0f|)@Q3;kxR zX}WthUr|ZTD4b%n(qvQbR>dqApKA*Z1lx3$cWm@malW!Zq9s}WW?znS(i+}Lil^WI z`nse4^{;vN?ccxtw#$D0`C_~I_qM&)|9@2M*#H0ao7?lsPy6Tpmd*dU)5ho9#@Zco z;+9vof0y`u`JevPaQDo62fN!(3swjFZ>Zn0>#z1(_C3)SsrwH<E}WHL_~^7{b+CTH zFO9Fys_yz%t}i%R_bdDB&twz%$DdSorscm{{gUtV!~8ArpWZ$0tKI$ly!fkmt=Ii0 zSbt;s)nJ|TC+xTGd*<KQgty+musQe%*KGgkXJx;fFMnm6<NE68`;z)6b$zzq4&PsW zeR<}+<L@@7wpRy#-%!45*LU`}xA$0Eq}~6qxT=2HGxn-4HkJwbuLS$%ufMl(|AW0p zS$`j$UsC@~=<M@~pGuag@9Sm7&n!33u%BlqzWq&g^RGuTcZ)x~5-mD;?@IO^qx}Do zzrFA2=FD09mrs5D)M%S|-8W}fwfBC$GJF4vy2I72bFZ&hULUG|U*&kkKex)1{8!I> z_WPgv`RZZst@krO7oOPn*Zk{O)>+#NHcZKV=X>|y!`4^Fd~a>9kiT*MX8wz3yG`RC zzKQ+Rw09-DMf$!UyWj1;Y@K(5-@R&OwcR><h2w8_ei1Rh@w@$-^F3Lc4f%h9ZGWwJ z$NS}<@~26AS5B`;*q3boc<<3~OK<7tUHkj=X;EML{3+7kmfcJG&Gq$5{H^sB{Tt8k z`=0;xYUr%;50AWdrsuy>{${^A@4x%+?0dR%Hr;>tG3)Ghi=T^f-mbWReC2J6zmuvy z$KN%t07Y2VonO=b|CwFy{Mk@%zuN9MzO{yT6Ychh{@(L@NAmr9PPRYv?u)PAuiXB| z*Y=p~=VNQPzW;2uAwPEU-?n+N+Tl0;Oq{dP{HyA=x;1fk+`bFnD}J+4K5u2*f2YrO zW!856dsmv@*zI?B_u6mV_he-@=jSZF_kEW9i;MYBOsj+67ygO<+V@xVy{_I3eV@DY zd1syf_{o3M#>sW{%kmyJ{Vd6T%YXU#qiMD8%U*Twe?6}`yngcYJF|CnM?ZU;`=f48 z^o<>!zh(XYDQ~a%GyTnG^REKZ|3mY)U9Ii^&Sm{S%s+p9Twdk#=zpaGkALPqY1z9n z{l?C<RTb=S-S_;qNV&gn@vo}rv(Xke-1KhDu4+Aed$shmZ^if6zZHLz-c>1nHr(R2 zU))CXucqf_>c3m^`|FoJ>2HVMUb#EB^!)L!eV-28yV5)N>+dTD@9#$bmM!L!yJ7xr zk=)(6)nD#SOTRPwmkj@z@;9^hY`<|Y_V>})()vn$%j27OzS(GADu26r_43=<_aE9G z`?qC(^RH7E_cV+DJ_*TX@uk(v{x+0zFMm^BVH5CK*N<;P@wa7h53YpfmtFZg<vUmS zo8{Ik&&#j*DKWkMglTnfyl?po{_<;eCwKQ-e|sDI<Fo9_YOy$Zz4AA#Ul_}8+z5r_ zGQnH<FK!wZwVri9@l5Ym?VMjc(Z^SbmtX!n@wer-8~o+L>-{h6>A(9Jn#&gLO3%Lk z?CwFs-^bp)`kQQOe_`?Drww~ox?9xEsXG3z>ARTt8}ah%e{Tkx%zylJ@{=ih|Ch!X z%zwA=Z^f^eJDc9$b*}yBbGP_|)+Fz5%i<nStE~2at6#Z&!$+RC<rbBFcOHE#Idkud zb<UiH_uef1&HG-oEa~f)d9PBHj#vC+0_U-HziNxl1@S+J=CNA+uU}7_<Uf3{bko_r zE3GYjuJ-QTn*Y&m!~D(nFPsg}-goGx_EXmCVE%%0H5>b(dF;J!{oZ#!ci8#&-7J2o znYUs4dT1uQ<8E8k4a#ISl_&3A@wPFzzj6M@dr7~~-qQbe`R`NfCo<>n>6yRr-Fx(# z>#LQE|8{+s`2D8(duaUL@Xvg4d)(jMoc*ig@As9rzhC}03ldp>COnIt6QdJde);|5 zE4g#(BKGdw|4w>O_>Ls|yYaRE3eO(ju_k5u-j({xtk1ObC(JLGFMo5JeFgjcN53C^ z6)ie(?@IC=!+e<)we!C>)~>!*=X_pj=b>lMpY-fqslV}S<<~=3|F(RWseaSWzVdqf z#XbFZ^*>j>@!fmyp4Z*#1<>pzv*upC_h)9?U(5ES<-fA++rReS#{G}L1!8ka{WoS% zfoOa4hVOp+;OzwmYpOcFwZAMbJiV`c#ji)pcRhb_wg0K*_hs)MO{@HUu*UwLZq6T< z-?Dyns@p5}_<g%MyQ-u2^;K#A@3!}}-~0)(t?j7#S#5dj^Zk>Y)xqaCd}japVd1U* zitmLd_SMe#^-F)&_JR-TI}`F>iSqqll_#_A@0{<Af9L#d%ljAnS@fJ+<<Waru3Mz< zvvB(U`lYP-n|AkAySMK;t^5D?iQ`}EOY0NzU$w2hzr3yTed4Y6Gm1YP*tapD-{-FU zi@&)~CBHATJI?%B_P5%5-EG@1)qO0u8-FkG+{ZV3n~vYRQkxU~>Sf@q@{0bA_HX6a z?z<KDnJ?~6Xy1+5RZV|oue^27uzzs(kmhe$x$>(u-IHUV%-OqA++y$Ss^Xq^%fT^b z8&<n-`LpDhcZ%2F_|}@<O|+|7^3D5R?VXMJzyJJvx3*d=?!7KFhgtYRa+n<`hy8uZ zHT(PIvv<G#w)iT!?OV9M+|u95-$A9K-1<2CfX{Yi{F7wg`QANz@8GL@oVT`DmKU7a zSGcI^zrb1kFDE85e_JN^@W;YevcI|SMaOK2w~6|m&VRk8Z#w_v;BWt~7apvsn)K~4 zIL^dZ=+}U%v51{1`L9g#W^R{X{8#n8n3>$lzkKTICw1lgkG%2Sd)RHky*X>Z8BA{N zJiD;HHBB;)e?ERXaqr66<=5X&{_OJgLm@Pa{jK==^)e`nt%PK;nq9j-vb>Gn!~f>_ z8}pLSH)pLcC|k8BA^#QQxtaR&R{VYkE)ggEv$^}Zu(nNR^Ycr=cM{%v-r}z;|8QX6 z$CR%xTz8pY_`UgwYIU&w#{)IT>yA}-zZK8gU(xkWKVI>9#kZoJiTST|x1A63pT7#4 z$NYoi=dP|~*EfRHWATgg>|<f|nBn!B?#bpSuF3tXowKX=viU0W<uCRftZvo*cG;tL z-<6u4)7ww!RR_oWl+WOwf6(r|-Mbsx=dYC8)BV2ty7)=O>frvu<9iBMeXG64{%vh} z*8YX*@)NXk_QjvOG5eQLw0wyEyrsW6--C+7`D^3qT|V2D=|B8@V|G>ZUhk`WFK>ze z@b1Bk+Q%hd{|STYu+&ep_OASH@o!1h@qb<5@^It3^XsnE^i7{Xt+)Ec_w-j{dNcgz zFaDbdE)nN0-1lSAJIji%m6hlAuH3yN^<JIc{r+-p>G%tE?UUD^)Rhb0bpGBI=bW0@ zy_NIcRqqME@pDyG)v~IevX;j_hxy%@UDY->`^w?x+4hg_9#{PRjr+>=?6c7pKNiMp zF#pQcZXaYW^!7P4vNm6?Y27^kr1rOE`;J+DJ{q|z-v9lMMEjbByM9aG{ajeP*Jgux zX|n%cJJU1O`j_gC&uM1=)+@f+z5bEh-v7-ukMCW14r+MZf3o-JH~FpcdtAOxub*6O zvpewHjoG{U-_E{rd3qM4{`zn9_3D0;_YaPqEjn`VitwBN(Rcl}%74gvIOQ*2|Mq>a zb^rffee|SQ(Ybq9xaZt++grI4n#F2cf7M8zJ-*{k)ViCqe`W1DTvM?Pn#JZHo}X`h zck_o^lb}t-JwcExHh0VY3!7!1a?LirdB=NyfAIXoZ1t~?LU-90*&mnt{c!cw?C8&S zW#yA0Iqco5xMj7o%h`{=k$%4F`}Zq<9Qwaa0_U)bb?}B_c1iuV`Ok{?+zI!)`8)nN z>*tr*Tjl-dcSnEQ`}vAE`-^$a;lEF-RtK*yJiX_y6{HShcw25^>5})c!XPdGm8=<G zXnx#!NETZ%Z=d5{o0z_je+<BtnC;i!Ph=L#J%i;ix36C>&e~p3FeRrr{}msf{KC9F zp1;N3Gyk^y&3o4-?z8B*zRBWmd~FX{em?qh*Ly#3q#6HBx%;_L_Jm=zp6yZIZ}%4c zolwrs|3*4Lw13``YUaK5i}s}CzfzT(@&3m7iu{eTS^FzyztdN?Jia;jN!#9)+i%ph zRqeV`ceJ{v_S;_WE8)wt?;Y&E{AAwVmHjvRufFBpy8juZG@QTwr<i*BscSL&`-8U^ z{$l$2?Cq}n4{;B>{(g~t^?7=h-La?Lsjw<ceB*g=i=%uM`}!xpAAP+EtHSnp|F#3Q z>n%a$VP#S4+3TkytApc{UYEXdT?oxz_t(bFUtcM|JO2?Rf0?hYet)s<;O^$+Z@u4F zeHYKFTi`B!BKzC2dyjv-eI@%_`yQw?+~fZ}{r$z7zUi$wcf6r>*snTRBa!)4cX#Re zgRA*Z6~FVndsO%HH};>PMxw^n`wy2)infW<`u)b&_Q<j0S7rx+tFW37+r1Hc_c--^ z{8MC^nEy($EbHqBXJ`%fH}UJ&bkqEY540dPnEmp+m__g!tS<7i=(%;%!r%DT_7*?u z?tf8dyZ3S1-w#2h-)HZ7{`l8xaPx34xOrF`0&N~@eSJ}VXYu#zCyd|u*7mVKv;O9L zFFL0_{P)r0CG|g(Est-`PVL{j^7oB@omIaI-&*fEeq;VO{owf6<(2IEb&Bq9d~FZ@ z)4%%GA6|Xcul^~fzIV=M^RG<H_Xp?Ot^BR|UUc0JfBo?J|06#e>g7+!{<du2tak?T zaSQ)W0=GC!>-PnI*V(hr^W6>Z7tHTAeAIlqeGmVe==$q*&gcJuTJ-%n?-t)bzViHr z)SUX$|L1Aht%cTLb#8n2t$kMeCQsA)&g@<7d)HpstGzY<Gq_OH`ugwNEcq7~w-p_@ zcSTv|zT@8H`h(To+~2y-zxwxP9jIl0uISXg|5kc4{Oy-R8;Pc`x?@YuA6z~6$)vq2 zukT2`_ixX)>3gDY+=%=w>-TL!w9UIda8Imyg?apizir>Stjojw_pgY1r+d7jP9L1X z_I~~S<jrEaxV3-hS<1J+xg8%Ae?R=Q7@y3|XZNni%G~q6`(x8LU09=j!ZYnTvKsm~ zW>+;7KkHuqVxKiA)(Tv|mz+QTbz#w&dEWko&3o5p*+02^kootq>sJ=Hzr5%0zJJ2> zH@<t1fZ7|9?{&BJU#a_8dN*E9`*}rK&z%kCU&U_shxqSb2&uu!_mrz=-}}4l+4Vi= z3k&!B+F)pZ;rC0~X!VSHH^TSlU;g_kdY8S?{v(>7uN|xTk$ZObp5GM-_ny`M6sf(p z=IWoGa$)xV;BvEP(ZA+=XInqxyV<kN?_caYU2XL9<F5DlXR5Dffbxm=Gv|AM(=+Rr zu<!YPqiR;w9>e(B@bAj^xaSzn&pYFO|8mWt>IU{_pL;8R|DJWeVtc`llG^!A<)3{j zed9mvJG44|b-48BpZq2JpV%IKmpLon?3uXzm724=yS<;??yXE-`&0Bi^XJW%OZPwC zTiF?7y#LwWBQHN+ZSVd0!+qEN&vK6%D<9ka`R@7d{Q9eZPW<6%*S}DkoxE@H>^<9e zq~3dGTRHXHbo*<6CErVy#V>o8bLO}G#Xob(`KO<$mj3+A-}L<_J0pAV();&k<j;uz z5cja>=Y;a-ytn-8mi=aYFDMtcRxbaHwf*&)BfEPK`+R<Rtj6EJBL4BTpEb$*UX<8B zwmp7t=dSn<_xAhp)h+y~`kp)H*{iF^_LR<j=6#RXrh4(S*}XqS_deTuO!D*FV>Q3L z@5X<Wdpz-H-}glqp6}d$>Cde1mVEjF@@CK2tIk)qM?dTR`{lR&UH$df|4#g=`c3aj zZFaKVpRLb)@72Z_=6{xpS@ZX1zKQ+gyL0}?m8K`}TYA1Szu?%O?S}Ke?t1@e-h+vi z^~v{suYD%I$J(N5{XYK_yT2Azf+A+e-wB|I`RfOYm^ZZ%AfJ4xKC8ZmeMger{TbW; zFZh{Lb^M#^uJ{l8er?&aOn>?J%>PDTv#QeV{x5zveb4fWlzlg6#Q*X9Z2DfW&u-!G zx5xGrHa`pB!+&P|%=Z=k`&ay(_0#md>bG?v@@CH-JASXe=Nx00Z}IEz{CDU7ulYIg zr{uQvmtYZNk$&&l-M?+$rq^EtMU33~uyV6!fA?qAo!i~MSU>aL?HT6zSN5F#ed5oo z%KGGeiFF67O@E%=CI88;#^3KBIA+%ETM3F8^Gv%(caMGBZZbdb%=a%X-%ZcWJa7K2 zd;jaYW7U1V`LF8^R{spPlrY`@q_%Of{EBet&)4it;y>j*F#UY?*q^Sr&vB2B@|W(v zJH!9~%HP`el4FeTf0X+d_50n~S@ECkj(<B3N@$JuKHqydr}FVWkZ-2{zXXaKGpoDX z&7K{u&%XEM?s3D<X74vkZ?XT~|15pa?25nAu(<iK=sGBJ{)T^#y(b)F49Yaea`S%f zzpPVH{^8H|UGksq{fm`*$@t#(9Q)Ub<bAuQzH{Fre&fgHXP0|_W^jYz$07|BKly8a z_LOs%pAp}aevEJXAIs}sRNrgw`O&`5f2-mA`)=R8?*+#g-_JRtzYUyqp8vn{w=DVI zj<w(z(x3Uh;`_F1-`CHK{~VW;%>6Yn+3ubfD2il^^Y8fY|9|=K&Xu4jdN*Uc*)!{1 zC#$>vM!)`jV^4qir0LPG|Mh(5xxO7#5d6KJS$A%?d8PDOQ06h4RldCZ+4M8NFI(SV z4vM4Jv$>`AbMBQ-nw(o||G@Up$GKM@_f|gtHmkp4d*Sh#U558B3*WnbV}JL%=hB~# z%7U{G?`PKc7v*m8zgq#yJvR4U_uiVZUFYodJ<=5?Yc?D1Uvz!X^&59Wzu)ft`NeP7 z{ztaQI6uq2|F(DQ`@H2pP2U&ax$9heV}|?-+xN2HzF*l>SpWB*|M%0@um7F+BV>Li zTKe<DSd;uu?~>E??{2S*f3JW3-Kw9G@73bot$vqtX8RY*_q=BB7gy#d+ue=>#nBuC zP#jr<3%2TK&i6h$f2mZqeX#fVKgL%dkJXr7{@d}L$^6W6>CYGYX8Bj}Zzx^>^2|3s zaLIPC=HTx~9&gvb^u8B<qh>?ZR>S%4*Zfv}ujw}fRIKgJ*!S%2QQOaNkL}sG8XPn1 z^Y-~4-~G{JXIkCa>dx8iEBU2Af4p0=|GDjvb55U+{R1Vq^W~R8<(fFiGqQJ|>^*wz zaOwSLd+j{qZtb#vY<swKJ*ZUMoej!7)z9|!R(^grtGrTvCV$`MT4?5J4L{Sq=lH^J zlWj{t@p3Nt3MgLW!0~br9xqmr-#_>MO!B{bzT$l0shWeo|482A|90umr0=Zn<}ZoM zJrn)?O3lgLjm_%Wpt9g%_Py*qN&D{3@P7`Dmv_M>`ycPE?t633`}6CUe0uX%+U1<# z|58_V{MyP{=5h<}|9@GxbNjpgGx~+6_G~qjf48`Da=ASJncI8x<5!%YeK-2E@jYJ| zoAA3gXXL*uz9+pW>E7)b=f7R8o&G)L)8~@=_h)>6xA-UPdp^CH{FUF&U;F35U-5q9 z*CbHBx#bTk*B}*6-!tKR%r*w|^UvJ=ezoS<?$*Q8U;Gx?GyD0;>|c|<i^k1e9G83M z`S;6zCw&*$z5WU)k?q+3Ec;$;jM4qPGt$4a>yG|D@aIkFuKOSF?TK6m$}^9?l)gHA ztmY5H-S?IJ9}ny)Y^eLc{P$;O6MG~3!w+AV-oG{D{R_4Cx_LA8`L66OSov3`Jb&u* zH@=|AUb*_O<ol0LwrAeA_OPv={wCq|TyW>gM*GTJ_N@Dl?;hg*W__o|;qzOQ|8?ND zUtCSVUdxE@%J;PAY?yE7QTwCgS+Y#LlK30ny@yUNef3cB&(F&5g(vsbuGsb0^KA5v z9X{Z(LA{yga%=w1`ri20^f&L_{XyTg?$kxeEqm{3&UfYgZ7K12@5;|Sz452#+u`;V z%j2ii=F~XOE6jgYoD;Y9oo&c(wfB5wH_iEi*Y8{Qv+-Tc)Y@;$-X(v3{Yv&X-+SG@ z8~S|D&wrOa``jYuX~*8ac@JJlef`4mmVM9hij(`wm+bngeAe3HzvBGk_pWg7Nx4@y z<J;v-zV$cWZ@B(4u6y?Ulc3SThoYa)I(_%Pr){%ozFmy%zutF8D|W=ayD|G$gZs0? zi?`hW^zQM`^;`4h*T((v+_vGb`^^&Y*u}j23-WB7ek*@x|Hk}Hy6U(2+3*|t1Iu=p zm-4fluM|K2V&B>7KI3nPldtyHXWu*i@+`RFH^=b3ZP0J-d$KW`^MAZLmz%cz&;8?U zRa;x<_*H+AxxORW{@LE6w!e=S?vl@2Ty6Po+cfa-;Jlw-0&m5Cl6!pf?Uwhl>*wXG zTi@6<`OYTuuYBj<t;w6e;BQ+wxBiXy%l0XM-(Wu3{hQRT$_aJz#jIDFtG}u{AJZ!Q z?Xvr7{%y~aW!|gZzB7AQ^W?i%4^Q5b|MA`9qFek|-tK&+8}mjL-0<^$)_XkT{?poo z`m=S;-@jkp%eDRYsgGawzR-+&{3zuvf93g&mGW=f`$PTtC)DQHIK*u-|0*<_eN}b; z<+@|Ln`6KA9$y{ao>8~Jntu{_82)>}*SuTL_Z}7eeN?z)zje%KOFsU|)!&xcJ$k|M z^-Jfi_|I~WRe#5=$g_3&%y+JT(pvUc-wR)v#Tn(>d;UIV(jNY%{DyVh_ozEE>Y(xW z#_%)d{@4Cmz896d=|6vw-264whH^}nDevoL`_HudU)^_pcmMPLi~IhXe0}7(sr79D zc=Y1@{55$tvA;RrGyi7%&AYePBtG%K$eDXrq-AWJ_gb{RKMsy8kGmbF?;n3LsXTk{ zN;N31oPTSB<H{!ZZe`;;-Y>6wZdyd_2aOJ%tvUYhz+bWNZ^W0ss{0Xi_jKX+B*?%; zx?SzGZ|QrEPmW(y`~K#upW3PH(a%rVRR{Bbd=vWWTjs6r727{F{pB-%p1E)Cvv_Uw zH@>!qyRWY_U;eu8NHr*`B5Ez-KO6SlSApcN*;fxg|M2|z-Q%jiHydA>yE?!8bp5{B z6=h5BJnFlbFn^xy^xyH9YMP99eYYunfBF?)-V57pcB|v&to+H!H&1{0jjG0&$D(ot zvsRq9Utaw#vZ#Z7&ylCxSEFV2d6-GRJs+o2z2hk7xwu7fiT{@toxQ)keXn@#;{X3$ z@2w3#rObDJVmkk&{qt6BP8FB7IPg#Vig3)Hn7BuZQ?uVZ6wUi_N36V}xA*1T`DXUj zbya_4en@^Qev*F5|FOW|O0JxJKK1rzP0ru<KXl*U*?j+s_wf%up51hI@0@oJo@BqW z+qV7ww`u#|3(Wr0^W)_wW6!U(O)Hb1>(<;meO1|}x;4h<Z;s`^iO+QREK9#Lcc1^x zhoXMv7rwtW&yicTfB!W5^E}5d%g%Xhxo(5;+hlS6inHIhR>b?(t^Q~8((>15-GXmk z|NexpR^PGg_nn#bp0;!B=D(MJ{Br*E?^<_1SCoG^uvhN<{bkj6SDVT|vYY%i`|9-y z&+|L1YeH*xe*CFwwr^eBW1jUJ{`$U4et)~D|8e${M=`bYTfWO|ubF=|`^wp+&;DLN zb+|;ly5zIX*O%Xum#BZ~ic5Tb@K^23e|55N-YmPX&);8jkLmo6$o+ovpFa}%ey2Zf zeO~;6_wq*OfBzn>`1RZS;~(}}{`VHt&i~HAerNXcSC)D+PS>yc%cWnZW?u2@^R|j# ze;C`pUz~sc)0gkYe?M<3Ja$KB{l;eZH~Rwb=O6nmv;N0ETQj{Y-=*&_`FZur@21$Q z+JFDws`kA+Is1Qpe}U)spK^D-=8Hdi`Q7x`<@eckXFvZAcyQ<1eSg!l@Ab?p4#>{? zx39PT#rxu4&+{L@e1HGo|KEQPKi#+Mee(3(jD7_j;TDH%`!=5R|E~M%chm#RcRzl= z`tauMuivlkulm{Y)AZZRqqnatzdv0j{mapyy$AoX{x83GSlRY@@uBxGK6Bqm{_OO2 z`JVna*6%OWIB);<c)obwi<7sv#&42;*tG9a?XTJwg*&eO74+Y~eslY)`T73yA75Sf z>ksR@AGKT`zWf$R?7u&s#ecuQq}<Q%=Y{mkulyDLRzB(ajz`sHJC53#{jmIS`Qh^u z=jY5nmiX&)=;b@sU%p>Yl3xGwcXaY=rN6bM;+glJ_;rhak$it~-miaV^WLiJ-(OkV z{hep|o8Rw)=Krg#+_9{`;-6ow{NuZ`%IlQ)TV*a~|C)1NyU^SJ-r;{=_HO*CcenW7 z>UWoN-(38C@{6h8i=)4{>|gMF$0GLk;oo0!-?_Y&e+7F9^X=As@n?R2`9Am2%lFks zYfe=6_LgVc|6K5Hx<&fBy<xST%j@kuyw9dzwtjb`Tt7_zMX^nF|2xw?zpGz-Ph2p4 zPx+1Xx}S<5tN#8uT-37J`-jb(I+wV|Pl77{)xBLVKQ;XF_v4Cp*Iv2(^0)so{tDaL z_?$Y2@9g)M*V{_BzmCtpyno*5{+D&;XCJ=ddh0G9KK~i>oeR&Wuk`=_&+zcUskgTO zuqjUe`}bTyZ?4SSMRv!H|NUM2c;3nC?&5d5_xOLewYeMr;N`yl<-bq+zxZvvC%xim z?f=QI81Ek5%>L@P+U39Or@dq3wXWYdk}V^%=-%TA^O@~~>-zra{K%A9SYO9$rhonW z>t&Vu=AZwb{X(r;^c&yf-|-7;yX79Xyu0%1rQodXg|6}b%bw4_RMRg$f7)B+t@*WX z^FCIxeG_}7opU*R&V|j|Tj$Fj{eE2Z?oO}0%f43}IGTR-xqG(#FPUebnf6$?-Oalq zyQ?+0s{ONj*1n^rw!im$liu~6wepB^S<PGX_>l6Sd$@l02l=lH`~E`k+>3Y9uS{3Z zsOyuiY7hVNbh>H&oE4S-wQKC=t$o5eKmE}Im9yJ#EH}4U{yhF_-A9SD%PU;V_b<D5 zi9KiDnxCIuzmn_QugG2#*KzoGX5ByEuM_MJdsp?JR?n#GjjsBq`*!`y?)=l&giZ6e zd}3N(aOC;b$@7=|{9-+;|D~bci+iT8t_GXDe_*=zxa{5^>z<|iU#<CId-M3W?@wFK zZ!Y*#a&~>8SNy|C`~Eb3d+qUA^4*Jj{8y`gb-Yc_*cY{$-QwrOIUg$p{rs-(DPCLU zx4qC+zTk7t+43FB_3PLCZG89NW#0T{m7kXvbwuxZ@>y!mv03Nqmi=s+_h8n(A3J}& z;yJs!VgY}}lIe4w&3&F__or3n;m^{dlh4l<lr4K^9dj}I&V}yyto^l(&x&m>iSG|G z7b<;Rb@QlcU*WIpud~i~Z~W`@cK80k{1?SC7uaR?&3NBm{A<(oI=}Dr+Uqy`w7yx< z`7L_Sqt8+~`+aPG?|l|s)x`Yk5&x@;r+@ylSk7PJssI0kt;|19v-pL7fAW5sb$<54 zpO&v=-@VY~dwF!h-yfl~&VQV=@5kmUNArJ&o<Ed|UVp9bSNPX?=esu+uiI6<_?N@{ z#~pT$o@|}<zR*$s-?G1x<nE}H-}trL#@hdPw#}zX?Kk`4Y~@Y!Wmf)|oBU|TyZ_F% zXHDNfoMiW?EADUbXWPEKX>ZN5?*E*g^QqG8+qv-f8(rfcx5WL6{(UprWd9M-yZ`&E ze9ixB^Y1wLd3%<<@wPor|LfU3{w%a7Vpa9_pzSaD{9Yatd-bz*mi!CTy-Uo$e7H7C z{^J|7O`pr&mRFp6zV*g_kJ_E8pTF>=e|fO&)#>ugx<=_;8>jy2ic4O6p(bb5?i+Wd zK35jY>~a6h{OyJ8xtCYpZi(OH|Cu%JVsq}5=v`aa=Io3Ato`QUpKq_Y?evd6WH;Tv zqj{Hq`Nv6l_X79siTJF%cWL++Q`=1@`L!OOzs>zobLVlx`RK<lgwBfJ&a_+de8+<J z^04)KSNHT^FZrzZN_OwT&HY(+J6G@O-~0Ay$NAz79}Um;TP*v2V`;c`#{Jzr@B5Rh z41)V#Uh6CB4Bv71r{62i`O}jg7u}72vu56<*f*EX#$FLOy+2>>vUZhIf7#WV*0;M) z+P*71_I&NepOXLf?9Q}X{`|(`_HDcBr#`ElbK&gWtHD*x*>mp1)*iSg_i57k;tf|n zJU`01_c(V|E&p5g_zN|i!Bsn!SGmo9t7^XEsB+xB;Jr^i8`=C8s=v3h%JusO|LyOV z%GJ4kW;^%7^xVsj_h!XE{Asso<E4KM*>>v>f4lzU^S$WLytSRoRa@3qec?UJZm~T2 zdtm&f@Eu2A*1dXWzIA`Wk3IRP_5Aj$N`DV6Uq6xk*Ns!Adw<P~dGup<QH}lE^Yt3- zJO1yp*}uQ)qjF{2;jMQb-t>PWwtoH8>A$n>|IeJ0_<#AP{|sNRemO5LJ$F}SLzTDu zOTE1dtFLG7J7j2U74X~eZrM|z^@Z)-%~z&he;wD?9Dnj4^VPL+aSy&--&DGx>YMe= z1KsyeY3KY2TvzzH+Ol@Sx5?5^BzD(Ny6b$U-TriO4DY9g#nMmt&i~h%-k!C8w`bg= zNBKoR623l=-_)PJ*yi(<+p|-JpZ}i{z318daO1Q8y=$M`z4z$bulJ7pm+Sd^@>O^5 zFnq!gZE;ApJSpdc@x$e3&rg{zXTQ1q#qV~DgU|MV{kx}qm2~vW&$4%NH2W=XM!nmZ zed=oBE%|K|xnu77_3?%Gzf)kZsH?td=d^co3b%Oql<O8dHt#vK`R$WG9&fjoPYs@P z-!;z0r`B+CY|c9cY3{FS>Xi$YTc0w$dpA_?<BrWT_A$RD>-Q__y<cW$?`6CEe1ZG> zg8b}#M>pSn@+RZ$`LgMI-A~xb6}HVzzOuJEW8a};az#aJs`gHod6?pVvvSF<V*Q)P z4%<GJoBsPmw#-{y@qORk{yt&(?wv0C@s;|rm5t4RQ(gzYy7o7fdHeUN;TF{mF^`Y_ zE2`S_jW@inu(;vPyan@Y0_G)uSExMT{jaighke9eo50_!wViL-SGM<u&6n5uZLwgs z`bzdMY|kw?uY}*I?wMof@?GXl<)@D0ho8t@=byTI@>Y3yP4OF+PJME#@995bn9e`> z?eUfE?x)4J^-sE9QQNcU&}sJ*KOb*>|GTJbt^28N^%d*oRCilg`<cyK@ougLf5~H( zoy)GPpH%ge(>=cM+v%6_^D8*6gzqu;pH`idSiG=)Ki~W%cE>|C^fxprzp)RrJ$zL7 zi4cGP^z0bl`^?)bOwT?Ri~bYy`SQc`<NovK+uA4B@%=gT)3Scjzd9|O!=I18_+7fA z^NHB<<tI+7XV<6LA3kb)_3!c(()`!&FK)kH@_OZ~zne|+6JCexJhnOg^vBC4?;roX z{FI0P#*@!hG4s~_{UmZW`ittj7lBLcY}`LH&O5s4D^KZfp2}9{Id;Le$Gd}{O3r`& z=vCz{^La;Ye>uE=$y-~q@7e0ie?FE~+rB;5pYZnb6M^*~g~XQ|pMU;zhn@Ft$2IRR zh*vB)KW}l~o`}zC^Y#biZE*HKccG?b?(<WuavM)S|GJ}c<-5@Hm-o2qfAHu(A7UQA z?x%pY{^a!Y;pH!N`wG7?eHHrMx#z)Wn>|+1-_LBmQv1up{9IuC#kJulP0L<><lg%J z;&c5;!gn5WP1g_O|FK6k&-gBX{35wawK?-v=j{)v{T6lekX&u6_?IuKv-~&CK4oea zr{`XC&mn$)pS`~AvgtRf_Evr3dV71P%tO|@cF}j2uP<!-Tzo}ZW>1jck3FpK?j4!` zJ@l34-2<CHUyZh@@4k~Tf8L&`-;8%pK9Tue(Ym_$s<GYr11E3)_`I*JwyHsU*WakG zznJ#fzk9j*<d-itXK#yV-K(7|lkoWKr%C6fU!1fyiNDNU)u~<eiS4cV`zOC2&&zxM zin+G;`TI*X|J=R`u;)+mw##q4T%LW;Dt_-G=^e|qSA2~-YhJNHJ^$K2kMes;*6%OZ z^ee~g4c+_fGuND2htC&pUy-gdm}wWkYWvb>=@m=1-`EjhyW8Qj-Z#A|$vOLC<33g@ z+y3A1O}ff^{j$ocUwm)Z+b{YlAwB)X=k6<Ky`S<`SN!z*%F_P+k=*>}k5iZaja+!| zqVJtc*MtA>cou%+&x|?yqJLjY-Sk_ovXOnxqhEVp+0GAt^l0*}{`<@AE;#R5X8k_> zKiApYH+IFuJ&x3UE`MQ9zqtGq<D99VPCS>~@n_4o@EaCh|NkyOCTqJp;`iaHv;052 zG2Zl9vGljr&f|=Fc5CK6ej#-8$!DgRTEE}l+^^{FYOStnKYl)Y-_bJV^yyjk|5$JS zULo^HP`@yE<@q0bxUPq1+y9)g%eVi7L%IFByc*Zf#Ww#Z-AQcUx5wwR;5U0k@rrMr zmFJ$%-0^eOyRA1CnpZ4&K0W*XpSEYgZ)$wY)(4coWbP}BTs__5pUCH$xQ^!hm-qf$ zekE7iR$SFSUH88H`WH9Tx9ne39e(Oqxru#}xOw<W{U3X{*zaGh`4m|?U+&?YcXfVy zf7pRk%I3X1?e_T@zsdXhxNjfca2FkTKGPy5d*582{121n)d&85x^<R+p?CTEu=vaD zIe#NQKb?L>+~VIRnU9qZpUlsy`zQK!hTUP-y~nSfH{E|MyK3L1I`{aR(0Qe^%=fAN zu4omuTYv0h=`8>K>wZp=dpzk~t@GZ0Q=iG+xmbPYa(8~#y?t??4{m?LaQpr=W4rT* zPUf5JKW16ms{L!z)w<8oXVZ5quf88B|3Z1*f4|RHO<yVc?bFu(xrbwU{e?ZBZNE0< zJ)ULv;Ocyn`wtuU{n_`;eb;~Hn>!spKjVMOv3&=qVfZ)l^UbKwqP7QlYd<P~{kDEq z`9}}=4TX!VD%U=XmH8LZ_wkQ<(b?x`3+g6+v%Yg7de0(p`>X$|uGIAP?myi<f934| z6ME;LmRncYblI===<}l$m7BlajbE|-%g>Zw9lPtZ_Z@$>|H&h(x6%J6Hpidh&iU)* z_fcy7&po1gJ=S+V)t^7V{(6nO|HoPH{zvV-@AO%2Tb^ovMOpUFU%k(2Wxf`*&AngL ze}41E-Alh!+g!MAu}pmb<+@E%pB2Yk65k)L|MHmp6Ta&eX<7Dv7yml({OFCnb9en# zFMXWx_xpUyzL&~=+n@e^JSpzZg1=pIJVpI$?N5JuyLJCDwfWl*-IKlgd4I;eMawM~ z{;m%_|87YofA|-{>t9~Eo#nUqHQVOC-|ut2pL))3{`g4mmF>2d*>f(P-k)uMKkl=4 z%;mp<dl%0BKf(P@LOT1~^#39AKUZ>oD-Zde7gqj)@7#-H`>#y5&aPWv{$<j>MeYBu z?b+D<EdBq)*gL+@K1a#i@%?=If7ZRl=@!e`kLBk7yq=htUDp_1@;L03?%re1-p%UY z9`Jobb#~>!=VuFdv_E5ibJ=>&lJM;p>vk@G#(n2fv+mXFC9k(_+B*GNctzvqwO5$! z^p9SQ`OF<`yJYu{1+$}9hgWCUEm{9kbnjyK?U(=L$e+D^`-I)Q!XwYu7VcU9tUK3K z{&I25rNg&ZU;mwX@3`#UJ<V1A`5WG5*zH_g)nM!G_roUr(_a(&M^o-S?uxtb`TOj@ zS^9<k{M&=&Uu?EM{h2*u-%-W5d#<*RKO4=FTT%Ic-7CwxhfVL^imNT1@Ql~yLblDN z$Fe5xZ2~@jo+<N?QUB*2zUl1Q`<~T)d14y(Ku}+Pja_xizuG<aQ_de|E#}MH&~5!D zv3dT}zF+&y;vV(A7k_tT{`-f&Y~;7Mf37VHi{Fr+^qJ$U*zYfF)n8J?-+s5a8_HMv z_V|s8mN)l&Z6Dl|+5LsF{R`jmFHiGs&6izV-KzUs{iJ1C-}L6YSC!xCs$E{Yd-d@W zX7Mjl`hFMortzQcUw%R~&d$~E-NJbV`iFGy-VC-~dizGrs&ChK9J(7fYySLo_a0p} ze)`n*s`0zj8y2B==dXTV!oT~AVEK(3(Vq`~-fDlxTW-Gc^)IU5z23}ODEEHx&nCG? z9d^67@A?{Z_V({nH@#E1&!3-keaDk~T<u@}*xg$HLNo5t-M?4%iocro{KVncDeTXm zpHg18^ZH}ay}Q<bldfv)y`EveV}4cV;oqmfMPI4C^W;-b{a4ZNJ~o%4?_838vnTNP zN#-s4kG*8v^q=pm1b_bIyUa76-;+5%{T1`O7qWaWy~BS$W1XeXH|e$a6B+iUGByEw zpWkD8Uh+EOYh&I6(Y?n$9^G}_rlWfLNzrq5TI%g9`tNiXIG6K<n)hAY&wsmO$@;>; zmvP?{&YrhZ-o9gBOkC9~weLnY7yh=dh%Vtjzy89%j_4ejWj~LKUukbY^*6N0cYfje zBj3`mK0a)kf7w~)Lc043>G_xU)GmpMRgS*#f7+g7#qZV@xSf|@R@*-BQQJGcD~t1A z&--4m^Y_Fz55D~V)Dipc>yBmN?wR)&i&rdQcVDevzo7b|@)Oq2cHin2$$gyn-|1Yz z^^>Y)AGns=hsP(^-+G*W=KQ?*miFv*VSnbxE`EPKNm#vRzgYhlHtXxJKc^pm_5H>U z$Fhz8)h!Pkm4C{@Uq4~`jl-tr>euf(cK7`gLF*eeD)Z0pZq2nhEVr$&<GICAKD`ay z(r+H}r5}Iw{`>>mgM#(;_mqD=_b>nOBmAGujpg5O><rxdY3uuYD&iK4*pIJtFL@nU z)EHjT`}y%zP_Ek?_+9wk;`-CxG5@{SZS1^mu_JQtaYcLfx@CW4enx({{Nd~Q^#{9! zzx=g&lQjQ*`kWPco6Ijvj=uYG+JD)|%etV3!k>({*SBA*>8SqoM&;|gdr7~|W}RpH z%23@uQQGE_V7U5f>*y@|$~A9tmECvjUi-$zulCsY?dthU?<LRPcg)VVe$O}eJvB`- z`OE+EM3+zatG?>|&gTzV@A6!g{pI2RQna?t{qE}dOMWJ~?>urZ_UVt4uk^k<&AIU0 zKk)kt<Cu%lGCAw3UoX$vxAm!LU-`t(%U9~}nEq(ezKUJD{L2g7<qNj0e%HG^<KB$Z zwtC+ttoE>d5Z8G9%L~a@ina%?*>1_V4c>cfb8u?9eW~#F>eIS?JFX`b%YS_nd8_{= z=eHN<HeaoNGf%CZJ)^#__xDL=za7^Tr^n4(_jk&^BZhbTucm*$zW0G;QC-KL1Lqt! zm9|wKvt@SwB6{wnsosl^#as7REO@7Se)8<YPdVD>y_vIe-;;T^Gw(fa+ILLXwtnBY z$?Y%hePaDouw~A}KZ%>>ub6)4^M|gyc}wFiy6@U}q0Uvm@UPR^+s9W=-(eZn7r!K~ zSpSG}?Z2e2ZFYyxDQ~_1$TV)h%jbV5UuoOS(Ri*O8egb?^t1bF{kUcKF2%}#26>kJ zG`w2#OJ(QrZ;zk8dipB+ov6hE_w@nqUof6~dF|$_cfuw4WqmQOn>zn4Kc)L_=k*6Y z^Y#Y)X0|=Zd$)3G)fbby#qql3%TE{2nWx2H@g%N2yJ}|UeG9+;J9iynzH1*|`%~vG zcbsyx{si$IR?gevR_7I+KVVzis$13j_IZ}Qo&Rs`IeYxR#jTQi_)h0$<=$UC)!&|o zuljyt+4_zC=kG80E2v*=S-13?an9bDZ}(T&J)hSuUh>)Z6<_U=<zKq&>RaDkeV)C~ z!e4LW^phWFUyXg&YO(PA{YCFCrtes^-NGXL`?dV5HP!p}9Qr8z<WKG^?srRfEYY9# z%I@w_+1dk<>#85K&N9EJ_kBmj>X_ISas}%TWLJGD`#M1`;dSn<?e`YOJ-+F>>9bs^ z@OJT&;E4Y`_tj17t@4+J-&~wqzViDG-6tQC-k#t1v99s}=Xa|&mrj>w+b`8G^!a{& zvEA>6cdYNHe)ft>crJ9aYCcND|K7U)N5?x=`3bYNpK!EKKY5P*>hwFC)h}1aT)4ht z>GP%ECi}m*_bI9J$C^10|6JbmU-9dtYPq<JXZcr$f0>w9<Mvy0?~$*nv$pSF6Zh!Z z=b}G?UuRV-%Dw#TeO3Awk9GWoy6)>0e*@3{z8@0*Fj4jS)L&iIn)B*IYd;!(o%Zh0 z1iOUK*|X&B*ZurbUDW?JY@J?keDV4NjJCg8s@(Yt{I=Vzd{^iGJ#G5wtNp3MzwM_) z-zi>yP||j{6C~zWUR}KP-Ol-wcE5gN=~u4?jrgCLZ>9Sm+5i9G@ZEm#zCSzPv4)?z z_WlX$x^>gnuD;^?PIAZc^&4E*Z~UA6_W1m(|G3oWPu^?4+I`3G<~Js<jNjdy{C@>B z!~X|m_&-fD{kP6<C|&%fKCt$jEx-PYXIEkI{(ttntMQj=KF04na_?->pVZRn)rx*E zKCfR<{Y7%!3thf{ORHZ`eRlhH)b>B`|BLo*pYVD8DoCdPF$<dM%d+oE?_XE>n6Ie6 zd+pO#(yti5bM0B?em{i&CF8cd<+ZP)UzyIUjV^n)D(}&?NzpQe>kmGgdu4OjZ$sOI za(93BRrTM^eo`R*_WVY}$?S6q)*sf}`)A#^;9o+=Oa52C+ALeD|6|{r_lo)(HqXxa z>tOqMUZe6auIFE*#J~J#zZHLD*Yq_{)AB73J&fD*S^2B#_ctfM$ccY3&3pNC>n;Cx zE2~e-pFUjsl;`>HQ~&(0c)zQxa5b-A1<Lh>@^8bx2wk_oyr*_TRp;!#Pk%grW%}L8 z=F;=IRnGGhpEKUd|0uP-eqrVP;P{6R6D;@p)gIj|a$bJPz8_1gyvqwc<Tq4yJUbqr zX;(YrPV#Sqox9>{&+cVn|MKGcD_PqEmUn;k?yBDL?rhagt1z>T^;7OVnpe$x?#0dg zt@am$?_8LBf2F(o>v_MccUlL|d;G<s@__PptC-8D?KAFM%=`c6F!SC2i+_Cz+$H|U zbDNyLeu3zTYrL=2zVqC<9DZZb^&53P-yS>u)}Hex^4mO6p6}fBS^TTy_ctdOR`uup zlKTDS&-z>PA3wQS+J*j>+~zm&@BWpLvVM=}-G%*Mro>%*KJnev`s+1?Z81sjO)TZ0 zS^ob*SeB3de(nF2n(AqL4t<<iRJZw8Q?;hv%kKJ>ze~i+zc8kszrOe5{aNO5pgg}Z z=C3=nu-^|Z?7g?-OGdx^-`AhMebQW?yGP#MEb3N%XL`fN-S)N<B;t2&t?Ivf`@}=( zE%wKcWj|$9zw>&7xBm7kbzbq0X1u!}R$Dgj9c%Q-pV3cQ%kHT}FGI=o*KWnnSzmqf z?UQFpmWLj)Zra@YYf|;Ml&XI2UsBt@)I`5k7k_o{)3;9_>?{vgD*i4H(BJv|q3GQ` zi>qAaUmn}NHU6S^O!Tbn`hog|pB*jtO|Ck-SD^pP&$3s%wQX~Qe(T0P{yNw6ef+Y0 zhYl|-suTYz`aQ_z|B7Fa*1gibdsMOZK-$uuh1F-5&!1ME6R#I;y<+{&@COZYXRlaS zH5BjKx_DQ0>$|gMlUAQUt@dsGBxs($9SO<vH(hOit$DX|_o=&H^B#Z6g2nsp%=;FN z;CR39Tl-V>E_cq&tf#E&_UT3MUOoGcae;q)Vs~8fZ|Ph8@7Mhm_4_tquly?a9lPDi z-Yv9yJkR#$Zg9Wj&E?OwTkRh`jVt<N{5Ji@uCQ<KS3=7A;&tyXxL0|tfALxVbzH6f zS@G+qRln6K9<N@#`wn-Z)BN{~p%K5s@B6jy*J^&VSGGQmJNG>_zCiz|YHgu>>HK$( z`s^OO*}lvC-SW!EZaa@3<t=);g8h#6hgouW!)=$a-;mmpf7gHSvCY3vpF8_XEiWIM z+wWi9{1;l(v#<Dm!`6Xs`;N=H|1E8fAFD6PhnDxuTjyVzZn5n5x?gYh?-G|$c^>`x zv)GNBLEGe3%qu*9K(My8xT=@Cs%FDC@$FalK5;BcJ}Jnzed=82E6C-2dzSsqz3+78 zb@;7MNS=GI^Lt*^Nn8H)FP=GH(f;LO{%+CVuDD0P7S7sU9;{!ud9sZBGDuBdw*!>t z)6?H>ujsw*o^kKKcWrC?-KT#%z)`<{_pe8Z(5QbVH%nf8mjCyu)j9XQ*U7Eh_bjfF zxvFFeq`dD7EB}6N?@i?=S@k!M>{H&p<9S@OaaCE*H}<L~>0QNZs!HPT7Rzgvv!5=W zBc}l^?_Xx$x0ncydV9axm#^YJXxy~&iF@!W;HFjF-6!)JtABAtf04S)f32>j>07vk zW#G5Ip#FmLmNzz0wa09$KTU*1{p2n7k5UzmAJ4Que9dsve~GV_-);6Rn619r`pd+5 zm$ZNViMYF3e)UhK3Epq!Dje?*F5fBssKu^+&o1}*54-L?df;+5+TQW=Tj8goee&AR z{X-y8FSrVt<@+!1{pdN%+;3uaj7{Xad2686ya>3O*SxiT-m19AH!r6=Hz^g~etrU^ zq&L30d3m<|GW8pat(UL(exvuv2Om&1F9WOQ(=#B|{QQ-6*3RFXf}j35`iaT9Gso5& zrKG=kD}K(>>XVs8#oJ^aen{O^ybC$Q+gz)g^y=Ox%TFJqED!%&o${aOE2Nfxz6w;! z?}_>is^veM<nQ;~d*tlvCqI0kwfytd=3gYs;@1BaTmSJF>#Xnk0r3wXo-LZUV*QTk zkA6m95l>&ay+pkI3*+qktABnton78~C;7K*(O0Ffrr)__E-V&bUH*mPdC6<lSMOYR znOCojsSPmO_&;vvQQPlQb1rV4o>{*@eaAxUl~rHt?iR=El}|raJg3e>?!$?_eAmBx z61`<EceeiD!^U^>*Y5kX;T`Mq6W5-8VhWd^yf%ClQl5W&t3Q6}Us1m}-Rkg_?mJee zst3<6JU{P`=2yAfUrfKf{J9fU)SDL7Z2e}OW4h^o6g1wSuY|?>=Uw6Z{XQSP{#2+s ze&XZn&@8{-@$TaD1+Mvp8!qiFUH9{wRAuYq{F6VWuZrLCF7%Aw<9T=a?j4KyEq-r% z*ZVyC-j1+$g&%8oR&B02u$O85%Zt%hoU0nv%4giKT3ywfo<DhR{EFE-{&wDZ)KSfA zR=;YVE;P?`U#Wf*r_sLm+w&VgrrJDcsg^B!`Sdn4yT1>ZAG^N#pleZG^PU6e-lx8v z`%3aVkIcnzi{;mMEI9uD(!WRiyQYg26;GCV_(6Zu=RA}uzIx02KcU~Jy`S1%e_Ha~ zf5qSLgCQk-&3<r6Zx1f%>m5FSn|Ax|r~UW6??@E=afC*G(B6gTHxz4wv%Glrz58Lc zt?g@{{BeGz{cdSR-YR{&HPE(w-rAoZh0ZQ7pH`i7KX9GhB50Oh3CZ$ytKLQJGGF~9 zOa11Nf8UC_tKXSgEKZld{LjI^z`5LRo!r|6)$dY^w(qt%{&L-?2KRTRJC;qKe_>yt z(Ep$9?7RMZetmkkM1M!jws)%f1*QBqcR3;D`RXkDbzAozI=MXMd|-dU_Wn0DkSxFV zYIV-#>o=A^pMUXR$Mo=19~*D|uQ$D({X(@^=J>}%@x!~$th>EY=XmX%_S9a{xuT{{ z)}B2g>aL4dXv+C$sCzlD3_Mk-uuNp~qQEOtZYRbb%k52-{QlT3Z+G%tw%0+wEC0*y ztNOoh{^uuGUaH)P()@jX#qBq}8{Ez3hnByvt##6W@$KX-?fI8~zwxm=zW8d9NpSg1 z>Blqj?AO~Z;xBMqUs$l~+br=f`|RA;6>M?yyS}pejc<Y9_qdSnFZJeKww^O*>E3^; zd(HJGM9--#`naj;KwO)2)sL=UGvpFp$J~vcTGh$E{?s|wS8D67pEBjUIXm%kxPRQ` zT9^Kh9q;z6yO;Exx3XsDyWE@@rE-h3{Jz+#f6Lyff6?rF@vrKu<AS{pbS?J=ecMpH zGw13n&T2Nkm!f_zRnNV+czf6Pbr<6HIL?bzZm+1B`ex5!yVU$0302+3Rn6H|zt+8b zyn3toU5)aIa~^z&x>@gUo1WjIz3b<`D#!OPkCpDye_^R7y;uI&E6aJY`tI^8roZVe z^xtkDGXEm`jh&9)P4fyqPrUbg`-<=#y952~!`9!Jo$y<&^u6%cS??Yh?mhhMY}Nhx zRo^Gyek#VFKehY(73ptAG8Yc-{541J!IZq(b?@#i+j~&FsBn5t(tp04$8@WW=UkYq zUwZ#yvdv=a_?7MDS?B*o&AYFByJFHQ*>^W*Kb|zNesQ((gYW$1CI6$pzPx*jJL={x z|8E6_>vL+C=WR-VFfA^zJMPiLknb12?^<8*r`e_|!1l=J->J{tzcN-IX0=~%?~&r( zgLbxmJ-^$|%bT>=y<~pEYsH)Q*W_(BKO}ee%@x@y|M?fVcm3V)?bQ6QcGWTGKE4RF zytU}vCi7#4cmKK8y2w9hihKOP@U7|oSGBRHMEmAVxP1SL?Kd@x<<<LF|1J?f|5Da( z-j%rj?f0aOcOJbNTy$|Y`<v7q3)|~g^}l4?Ht*WruhXkK+3QcA(|)D4E`CC`%qH{0 z&#b<ttbV6l)#G0BT>h)r?*FGAf8Dix<HuQd9?sZnS@!a0{jT{JmRl_P-L~^>{mBQV zZ&`1jeCc-c@VVQYw(hMuG_TRQsx`Q()3|Dj$M<_|Z;y6Ay?MN-<9P3zHw%#Cd)MN3 zkNLNl-}QXAZ;J4oI<?=+s?Ocx2rns4|Jro#a_`P}+*N(2W53k>Xs+r@zklMQ(AP<O z4d1=|w(gbjF9z%Tul60|%`3ZHUEf{Rx_kbUlJc)zdpWn6udJ?KalJ&m|D~*%`Rm&J zmw%u5?>u_={*$DYRfpr+n5%a9)cWxkcy0d{QeJ=kUV{EkgKu}f?KR!FKXflN%J)K} z{P&9X{~71+hR=Jy<oxE3DHHEJoVV9f@8wU2@5h$@`S-Bz-TUQsv1|4w?96$qSiR$h z>$Y#<<~NNCyw`7V)&E$~`ED_DN&Lf#R-0SywnsiM-Bi5bSHs?iP19Fyukgs<;P20W zskVQ)vt`u6-z?F;PyRdj%B))X&Be3Mut?t<Q~h*d)qGjC=M^SX79YM6`|X&;vfJ&e z`(H4}T*#J@S+n;U$KLh*6SZYzHJ7`ug5>ZVP&3hT@8Qn1d;e+dH4i(bd#-PK_u{L} z-;C~DIGlXt_!ov~^%wW7!uB3%ew>oNbyw%>;3rb;Z_aMm>1Asf_g&ETnDVaTrmF5( zce(W!_xAQ0KjAz6=IloA^Zr-<w%8pNyld}STd?d~)6}9@C*{h{O<Ozps_-`+nM>Mp zE_u(nxH!1v{oKWSE2i2+YqwX_%zHCu6>^NfdSz!9Uw!%VlXpp$2Vb^s+8<?`{=R;J zi8Di!J?!|8)VY-ljGy25&mJ0hYKqb~$4n7XZ%!}w=&9@6#Dl!pmoB`Wk-2z^W9F8l zA(_dmAL=H_i!7YxX!va-yFQ!io8<ntU$f`Ee{Qz(`E~oM*!i!EW3S&idD60S-W>Jk zKltBR%>Lh{oWD!COitxo-`=NuagXONeq#JbChpDZy7wPH6<gSc$=F8J9o#1n_qdvW z;`xuUJN`?Yo&G^6?%nc#4^Dn!jcI>$WV-$h@xy!7Pw>lY#Xn*%=ve>oobc8C_u~J4 zJo!lUP4Cg0jGw%}E&ae@Zx^udQ2zs#_jW#YA41-~mQy)*PN4k31Cv6|^*5#;4*v7y z;jHx^g)NSMZ~yez<2M_7_v+0@Z7uAAV-9D33;n=kZ}0N?T=JKSnFmenEJEtarhV(> z6W*;a!2j@#%*N^+HSM*0dk%?j=#D>duh>*xcHvK^c{WaO_Pf;agg@{4-B>fdcHTqr zlj{@s_qQ<DeDXNE{^8Auk2Eg+5h>FbVRt|I{l@dd&Na_7e%jUb_J5Sz_dV!sw9Mjv zUxGf$eA5?m@1G>ULBB=)$Gyc*Yiq>MeNeTjTKY}b{guAHuz0~2sluW)e<su#+8pPX zSC)UkUeLP!;mho;`F|t6TIUO{fAFRE(Ho1Og1>_*I_2&C?2hy&eD|GIF0a48VfXRw z-|r{AKkzgr=1}strv=Y*KV1&^ELg|%`^P`wC*dC+bUymPb@qFLy72W6Ew!RH2hPu5 z@>9aTS-Ga5spk9MTjo`pEOrLjwEUJA+U-Aa{-*Pd$A2*Sec-k@>aLzux8uUTlM!?3 zy=>YJ_jmvHpE`fzdE=h=gPL`m(|<h4oHf73>35oX=j-#`%L_h978Y#!GpE)r=7II{ z6X`!p@BLi!43rk;tg3sT{i)dE|0D}5UuasGSkw3Z&|m%ReJ#^Je0uqcf7&P3n0n_q zbM)dj=(knhpCUg`5tbIVU)f*R_Uo~HXZVKiwT0h&eyaWss%Vj)uNnW)y`ZW5{3Q85 z>%Wz%KYH{05ueN-mzYD^-=2ODv9F!>Ec*}3xsQK0KRs@Lb>G3wN3Z4|wYB)SbVu9u zH>)48*8P(?Yu*3!C;z&S2Q5Esj?cXRVrSBK{YQ#(t|oo2{3QF$@&i}=0lhlL>iP>c zBH8;I)X$6m{y$0n@YVZA{)(R{zxiA;?ldehIDrzw&POk-cEA7e`LRgZ|HZWjyPs6Q z`TS6E-{&M)ig=#!|B=nt<-0qcZ`i-tV%91C8{&tAYu>AVvfIa<{zFJE*8lgk=d1VM z@r^lfaowXQOg|NWCsp*x$7#S4MDLdTzd>KEw~MTQP*Ps_%?O$x_Q!w|MB1!!;h(H` z_Ilj;{=~YVGP{to|K{|=b8nyUk6-wc!@jY$=6}*pQJadT7I%U_+uqnYc}JW2o7E2( z>;7q-J^n*d=3%q<lik%B_g@4RZrWbK@%_8dZsVVtzhx?V^dGS7ZwRjWl698<{j%E0 z*E>Gf9!a*?GkwSZsGpL*Z4Unso%3jVL8pJ*@}DR7Zq5HzRk(M31(!N=VZlsjg2<n6 z{zLYj&kSeNe^~DExcXjJ!@m9V-y;{LpNhZXerUSyyV_5g`>ntCJ@c;M)c^1P_u#@$ ztTFN`etM9?q5U-feH~bW2>APY`wDxzpgm1{m5<zvemeb)_d~%to9XL6C|exdoj+OL z#_9L2eDU<-UDgE!Jv&;$-<*D^S@(<Y?DZd<a~}VGKSkc&>o?nbq40$}*pDCY%&s`Q zk1OuM^Y_>G6w92iFX-jJr)*z6=UcDQMr$$thi^QSzVAg&4(b_pRr8;5SA445SU>BJ z#JYKE@8T8jr_N9Rq4HDo&BN&h4gPiuewy0+USMGr{5klBZLCa<$G+os@^X)?xnW76 zyzQH=-I{-2WIoD#o3Gkur(~ZH&*A@JuQsf3u!{aYO+K`~a@~%G#SwFAeCkf@lbH9o zU4A<M2j(4zu7CLQ^Var%Ex+b+AJw+7^`G<flk&HvAB61xEve~{Klm=>^Sk9w#0$P( ze)R9?C)ph}Gk3Hce{=fbxzkUVhiBRy@=uVz|G(!Cmt0Ml%sw|zT5yRuEc`9>1B3nl zInR>+@brCfRJ^}8<hR>=arJx={)hiwAAKkOWci!o2Zs9^g#R%4eY_}cYQM+lw_3c= z^Wu*ZeEQ<w_v_dv&rg@w-xT~uNblpxa1;B=&EL-M(^_|5dETLu)<+yQ?bGM;i~l%x z{fY4(srP$=KTFo}>F--t_ulzau|@rSi(hSjnrapI9Q(dcbN=Jq1^@rf(toH5I=;TS z|Fn3)-^9XCxj&m~4e$K!`18T~lWHA9`wyviF)ROl4f^{r{fPFB-%BihulqBpR@3HC z|9*8y>9Akl{>PMWrT@d{)Oy}&Y<7Z`4u2b=rGxybe*5*c&GMbA^E;{w{)86tuD=<6 zko(V`OM5<B%=`a9O8!qoU0Bxr7wSphe?L;3Qycx}U&y}0cI<Hvxo;fRPk3)VtAE|K z8b<#*wQu!G_HC=>JAT_wf4}Lx@gHYca;RJQ)6^!qb8&oD9oKGqVfOt~-fukL-u~m< z`X|4CnA#mn|8ej9*8GpzUzcyK;QPH_(0%_zXkC#HOAf!*e$%aA{_j)%Bc{H8Dt>kP z_9^i^=RY2fvOB>4fGhs+W&7;=zxZF3|5J`T_|pE!P5GzpZ@eF>*8NfcDPZ4pw?6CM z-_3uR-u-jEbN^@BuR4L4IB#fmaqH9N{aMf?G5Zgf+?t~g*Ufr=@Z8s<rZ$Q3to$E7 z@6Wb7cK!i}{2%9iySu;j{?qg;6X$=pPrK~Ds(tEw=KhbYa~_BnboKvR`}1MmE%TrD zg>~5<CHV3c-~D&5J7#y@ppJF<57n4Q!q0zM+xbmi_wDRD)phSR<{diu_Q=7lPoKZ} z{E+GX&c2$q_{Vc^XWJb<|L~~atMA_?%|HBe?$L{-&=O+%W^k3UI|E#0RK4PVry76Y z>)oTC?)wtrdA`3>wBNI@rc?fa;=VTP>ecmoyMH}ytYEGFE}CvWA%2s7tNV|Syic|N zaQJ<Ax9(GO?Uwb`%7xqJ?_g%<7n=T|tJc!ysDJ*NpHlXX#WnY*%D-P-+kE%PRmVqi zGVk@*Jv9BTRna*AzRTxxk1PK*XV(-2|Gdd~%luaC8#zU}LpKj5eNRI!BHA<TcI|w| zT~WlnvA*Yz#5$(J{o3FXV&W(58;A8DGR(gd^f|ktOuMjT&a>qktcBROPlG0jTzHb$ zcx(HPwZAT_cRt@xzsF+N+&>MqiZ%zo=jp$Hyt|-H|6$;YT5tcqPcI)~m9cf5bH@={ zLX=N|l@Km(qwjnEJ@@jF=$v^<=e|vl->Ba@{l~|-Po4j8l=)xXQ!W0J_0HYkJN46Q z*!AWs>OD04U9{t~&(BPMX!9ZVY50c({X&WCeeBlf1!2vH_sgKohw`8AEN_|bYPYcT zw`uvUFS5J(wExZL2a;<T)qk+gd9=7XtFB_*H{V~6{-i!Kl$odh?!Gs2a+qA5U02lj z?d&_{b@8h64xMy;^kCno;%`3-8sEQBp8xQA#dFbF=RXuz6xx5SUO#>Q;h#P-cjKYW z2WD_>vHn^Or~bpI%va=N^x_YEl|FKk`6>6eqXqg?<?TH79pQf<5Px{C`KtQ8>wi5i z?+D-UJ*@Cs0<`&H?*eH{zEiiKksW_sJpH|J`GW^{9|^^6)Nfz@<E67{{A2ZkzW49- z?d$r#@$w0T%Zq(~@Pql0RNUtEP2xX(HqI*Vdk$_r9Db56e`ViA$E5F*K?Ov}8@m|f z0wQ@<f7>km2PY3E?Vlij`0Dbbf1RJ~e)E|pUUh$y?H?h&4<{GSia)&h@R5t*ruMCO z^}Cu6KZUd$id$;r_a8g{gXjM5N#Atk7XJHk`;q0F`dAs(Pt4z1Kd{`dUb3hE{UOPH zE$;U(?0Y)#m43Z~-h&srA3f24HXY=2VNHkcTk>mre$AcV8D0?8x!L_>|BdN~y#M^T z_(`#jWw-scJ=T-r58O>Y`uF~c@&}*99|_5A)^E4g{`oT5ME;@rhW_{VVRfwheZuYa zmumRS>h#w=<ovBw(J<fM@3UbYoBNLkA3xoV`t5eSdvbk8d%^$pN#;{fnv(t({(Q*4 zWnQ_+V*j<X<$r8*dD{O^dvEWFk|2al?0?$7ooyQ#Q(m%HbIuzTzIjT}I-~QGWL<Ch zy462<?AyhYzgDmNBXcfap>Lkn{)Bjr^&j^xKl%KJ-ktpm!R-hCp!x#tpG@oWmHhms zfjbwoPx1Rt)c?qAapZbIdimG&{-O0X)*rdP<*A<Yn+z!(3RNIA#(X{d9b3PZ`irNR zi<Likzz0telF-h@d`0_xi@)*C(_HsX@7=*de|YaA__N=M&+;*kn>Rm6FTc9)qRX3m z;WjOstGn)|pDe%e{D5{1<L)1<Z@!w!&s_`ZTSULH_o(9u|1SJ}zB0IU_y;Q;<}Chc zYE!+=;#U0U;2X6a78Ubq`fKfMj+DcjlH2Eh)19~e-<O1sGT-FY`r;Iz?FaEwzkle> zdFWkHGU1!<`OtdF4sHu8pE-LxprwQUG+60S@HTq>@_&MVwRhA{`Ew*DU1i?Er;Cqr z-)Np*@I~XNsEkl{l243q@S@Kww&#j1X1}VL`m*`>ocupRKlzH4E51g=?su>K!17UG zUfUzXJvMPRt<@iR$~rYS`p*$&PIBw%xcAd4o@w`n@;U3aw}}5>^7B6synen`++n*r zwTs@ZPtmb&SS@_i*&<!fKu+!;pP@?Ckta-Z@3$vcoc!4)eyaZ?b45wlI{T$|_OUkY z*ZsxCKM2Gf-0V@u>+bU0^G@6j=JXBR)0h2UY8B6WeUARa8t$6uJ1yVLTlt!QMf-WN z{xe_CiTNk6&pUW<^ONTr(w}wM6*}^CS{kak>0kaCe3_l!GXDM3Pptd0f9%}#@6?s^ zqUC-U{+ib<+`+CNryzGowt{8)vtI?g{fW~h1b-jt`pi<mX?_0k*R;-a_6PkR{;=6I zb9ca=2HOfwckvVJAO5K+e13A*Uc*vxzlVBr4$H+HJm_jQ-}!F4_?-2hxqiyk^~$U4 z`ZwX%m(WLsduo<eaD9JZX|pq;j`4e^rJ;h@k&Xx<;iPjvjVzj#&zbLxcpm@gc!BNZ zuh*~qJ$>Zv@((}1SAAqy7pE?Fu(l${rdsKSqF;xcq1vw_|Flc@UHEcvZ}{sf?TW7W zL!Zr0onN{BzLMSp#XU{w`P1IdS#f*&u9!Qm!oO@RnyU*qzdw}ni(mSAcN%|8;l7Ki zpP2s$@ZDecx_?FbdqGHW?S3j=@Jp|d@%NEQ5<=6D%!m*SUR1sE-1NhIHjisq_Z-rH z@a}i%-*Yq8n{yZP$JKb)v{-+TkgE--W4ivPKXJN+>bFi#Lxp3;bM2dyEgsY~ho3(G z!FI=<pzHAg-|aNxX4&qn;C(({pnU$S+piDVS}a}9|NH~Px&-;M4%6o!edO=_T(Qo) zzW1E{k@F8;hJH$ZbsE&Q<eztVveUkX?(?0~3mn#T2o}2fbeI|{x2?bW)61fv_}ul{ zxqqbYH~!Us{p;@Xk6)j?e#974>ub~W`vXg#_fO3?0>X>j=Lps&dGU0rKJR=eGp}IZ z%h#p<EbExo?_c^_{7U<M@%0aGem^pI@{g*|v4#9{2be9A&$WAR7GL*}p{(;?s#U!F zJL!Mh)@^Td{~<c(Z$O>X-JMZ3&CT<>#0!22e-v6*uM>B0;Y#7;N3&)K&7E9Y|D@m> z+uZ#|wI8}Z_XdM=%pY!0j`=A4QQ+NyWaIDIKQ(RsPpx3vePqfF^V%Z~GX#|veRrFy z-xzE0w8p!lQ9oh*wXf6D?#Ca=w)nq!M>SV`{sTstf1Y(5&(E+Hu=Xb$KO<<H^hphz zWsdx8`>$+&jQ_#YsO$5C-v6Cn!RP-^SMR~2_(!65TCW$ll=wY;&3LEL_(rSu<@%lH z!VjB1FaE#oweE-5XU__X)<(anuq|}hdn*zWWb3u<n@`U@QhY->&1L=$g|;JeB!m~6 zzy7oGWi$7=>($*gjqeW~jxG5s`t@e-(Ycp@R23_O!pym1*XlnUdS|~rl#)BFXQ=e8 zQ}j9K1ED_4`)}4-{b#Xnojd#0FXLB^;d>e`M|Vsws4e~|_3feGlH1b3<qt*P9kP6L zP*mnjsa^XnetwJi=eeJ3|48lMvGttsl6yOwD|q^CK8nn{A7^7;ou?jmpf<^mr-Ri{ zY1)yN8O8f}&OMm*+4tVM&-x#eEp9nqkDqN@E?PeS+;!;+hIZSZf^*(#${iL(&N<d4 zpqx{DZhO=6AF6kDx39BbZnty$j)v~)&hP^9cXQU=Hh*`z{9%h7|G5WpF^6h*v|TQL z^=I8p=Jq-HU-W)5)^UXYe(_8B)nE2b^@2YRA9?iT72*!a#T?l8Qn~Gjs-argktr|s zML$2C$o}r0|8?yLqIHbt<&^GQHm^5l@2LL3Ab05U->1hvd|LR3GiI}WTdcAB8)4xj zho1Y3Kf4#OOrMiK;pF)bwiXpT*6m*~PfjiF(AR~JOy3;7oBraPTKkFb52oz1n{$|X zhf#GxdqlC^`7Z~9&zaY+2WK3=1+V*8_V)?;Km2*|k>wscub2bQ6`brxx+Da{kF-Px z8z=p+o4dY+`Ns2_yWdZ*|0ruA|I+4e`lqkYK0acUvGcQO+Wh#4@QudhZJnGKmCtq9 z8LGA&ne_QcLEgF3KbM8S_*49cWuA@WbIldv{(|ct=-siLyx!b@+WUju!bcz(r-I{p z0mE*W|IDC_b6E0u^kL;cr@q+xUY{S(?=O7*!KaXqOy3@;%FI>T-{hR++tZny<W+L+ z(%*@PZ?c#EJN4yY@;UQ=6KdLb3huFrz5YH>-sgyOMb)$l9{uwo{txABnq!l^-UvU3 zWSi|5ehS@a-D_O3S9Q+;@q%ADHeap8)+frp^ZQZt+2$u>jBU70OYt+N#}5VH2skft z_pw{^`F8=2zUBQ7%Ab<|Fv!ha{n~%!`FN#yhhMMU(a`>ZYu;S-{cXyNKI`P^!!pfM zaHjFLxX*MyHIHw%{?)JSR}P1FxIe2B?^NHweExyL#QBHk%~bw%gw;^x*^wC%wIR>_ zedTSQ)a>m)c|LCO>(Yk@RUdKb&Cq|yVgGx_Gw(kl^B({8Kfyjd>%M`wc)ke#gO9I| zo>PB1{mtr+nstrqKNMf?=eJ+_^M}z}>H4TW^Sa$XyeZmPKJ`ymtzOKd=>@&|56;at zm9KaEENSz1&5r3O{U1D%P5NIvr@glJM&<H9w?5pgnzQZ!qn)lv{K3!XyWQn4{o#q* z?=Ms5xbN^jhIjkp_x*OQ6u;ki{KuUi+E?OhJpW$q?-nn3ul(r8=})oWTnjqnYh3Rg z{{BF6U(;Xht@&R=zv|m5#~oVi-*q`?-|>AcejgUEKl%Nm^Ns`7@zeY5me$VA?+A|< zTL1B~)11fbZ%#juvH!X3S?wQ*xW{|*PcEN-ec!$9NAKo$?f&p+^&>91P3v2-|GcrD z#s49AM|jq~_*2tAeAxZy;rFNPA70%~dS3lW`P<cs_tu}dYdYr7uB-V`d)C_fYyban zo1dK(EamkY-|7_X)8=!t|4@~A$X(IZU%%q#zy2-xzmz}znf{2==FiL<>n-?y|0?K- zmp-=s0ju5Ljn59x&%Xah{ZXp!yn~bPcO0I7%KxVL0m*&UTWgx$AJDGJ`;)(Q{g0Wy ztg}Bd&HL{u^FOBU*glSRADZJ&EdL>Q=kMlc+7|8M+F$wqDerHY{$F7E{web}>o?x5 zKh<BSI{#t&2Z8s0qV}~}?-%SZfA_B9Z%*&~nCLl=#owGxkhlN2_F3^CiFpt9-alD= z{o=lR?~iVdJsMk4P+Q0<{zm&Dhy7~P_(#h>$h`mWXm`W)cU!%P`0j4@4>v@u>nFu; zT7PW$57vE$&imTi{n`BN@!c)?f5bojy7oxWW_Rn2->Z=lg<tsRkM~XHAH2MEN4fe> z&X_xXZ~o8yykR}R_4euU(sgF%>pw8e|L6Q!)aL*4iY?QhrGNN!?$L+%PqN=w3;yeU zx?6vG_YXdsW6zJhU-|FR|10);r&ln3f2Y{@U-^D&JWKnJ#?7buj&E&$f6%yw^>=ml z{Z~=1;(3mESCqsTR^|Sb`z>T~uz#KM{p0)(nclxqk$=0mcJAx0@ZX)+f4poyVp(@! zAJ4guljoYge|-Fd)caU}ySw4Pt7HqmKYXOO=SF<YLFaE%3wr0r#(!q4<ND5bVbA{V z8czOv1vxoI`-X7#?zz&Z`fr3k-s}8C{D*+O`QIM};b*PeSJl@je|#eGk>j0R(3`sv zb!Y6v`964C91{N^BENgqd-;{Mm+v0={P>7)g@w>>^M^KXULQ8B%az#QB>v;evRVB7 zSNHvV_vq>5BgPd)do1?M{4=GNan8ft=F|N@$X2wT@8#dRen;ysYxPd?4}UZt?a;PQ zj%Nw~aZvYZa1H;vc`JT$oqKq7?G<~A*g8&qf5GM3r-4&N@Kg8g6VHEeuIMPw(~dtp z_jc#;^Oyce-LG6`aa{k#^n-SFMb&4Y|1h*USUqn~`Lb_c-zm&H`bf{FqHjkN_qVA9 zE%WaNepapHI{)$C+$YV&Tk?0b@38Q<X*jMgVqHEBB}we*e)hO{YyO_)6@QIBa?G2n z|E=ni_P3n{o$-fE_cd6HXV~pq{mi&x*Yq9boqt&L^n`wwKL!QDIqfIc+vXqsEN^pQ zdHMAkR(`pPX4&)Ve_y}l=;NPW@LX|QdE(>g{99{TrS0oyyfwb@<K)3@{fUp;+i%-7 z^uD*d^i3}3&#R2v*Ef9Zp7^%-#*deU+x!zAyDxm}eB;MG&Ta1xA6xIgWv{WAbo`u@ z-$G_}WwYJ}-}v!Sa$A4GWA^l$_u6~Q?bPJot~u_`URGIC!G1TR-C!TPw7g8-Z^4|J znk{daZ}_<S_^o|y|6luORNnaU?&P-b36I;;Z`d{T&YzR}Tf%H#)xNjkAL{@9)a93c zzwgku*c%o9uWpk+bnJZa?eq`ze{V<0nbpm_xv#1By-v>iL&x~-607CS?EbEK`}{-w z-|%QTvweTIy`8?{<KJ_=YmeV&FtgjU@$K;qA5WgmwQue{pP&7k$!y=f!{4%RRJ?z; z?ft=H?B6&3<uJ3WTL5y~P3PSG4ZZ8zw#6Sjw!87|?hPOREzY%X>Ft-x|IL|G^DE`{ z`NYSkC+F^O=`Ej^_?s`M=KsxY@&}H!>u>lgVP;p^`8IvS$I2v-9s90;?cfF5kq@zB zI@k`;ZSe<=g@@m&W0ihicMI%8ZjcY%<2V0hF|&)kRmUeC|L?>%?;91*#kajbaO``1 z`ftXZnjhk~`x75euD@BwCOtp?_||><L^gc<s<$ov@Ud$1jkWw{b~~27b>HxDWBPB+ zoSJRR-->Vec=aCGE8jQQiksc5-|)75!^ew_+vXoQw!9r|ja=q$)to(_C2p4|JpS#! z;V*-koy_ez9_jgW(tb<k)YL6|%YEa=FPYox6CdvtzX^)Um<(`KZUlQJI|me8_cDHq z=Ir@xcDp?B@!vDwoNxSi;S9Dze*0e;vweShK=E;IdhY$k-uLyFzcJtV@!|J2`NPN5 z?Kl4AF|+%>6lBS+ZGWZA?nU1Q+41N2H{}~YDxTk6v)(@V#*duv+xiKQSKrOu-_%>4 zpZr@dXHUKQZT`f^i}&W(H}?9!PyKC~Q)9W|uaMcjk6>Hu?|^OL00&rd4k+gPH`g*s z$J?I-$BN4B?+K5aFX!4f_4dzC1qGMb?K(#3__^s|pA><8;++GE`8aUQSM7ZJe8b15 z);XY<zn2e=d1Y|S&)))yc}=h#`?EkX|8Lvd>l;4a<Ila{+{<5=1`4h}(zpE+A8)q5 z39{tx;cwbED!$(`-?(pIN#f(buW#3JNXzd8huHQFpb*<L7aU@-IiL``e;DkSec%w| z-vkP=`}e=G=G4?~0NbMsw#RLo{J~?#kKeB2lAdpS^c(k$AFo7iuTOY<H~rQ=Zt3^- z$HCFk3by3=ZBPn}O94lH7C7oxzGdI=@nLkXeOs^py<AY#|6{x@zTx9n_uTz$z2Dbu z0wu2>i$KZi<Mmwo*532`vVXJY)P&vyrQ&rt_gj0v*XRFM%&GYje%n9c@#T839q*Rh zmrjnek2!SA+I&kbyV<__;M@EOj}J%R1f{V%2frEL_^~G)6lnXFgFW*X>>0TvaG)80 z1MM(4jrDE%%Vl=2b`3aB3WM|Hyev?jl)4G>%)C^vXKcWp(ccD2$2BWK`JQwC_j5M? z(l&hjnf>-Bli9wy$zXeKgYEgTH}}~uP)bWY4~icD8=&a<SbgTqKTvuWtp<hM=juae z{s@@aJ^EfH2}*1~ZDWp|PkiiMmp|<zD2Mic`)Re|<KO+a>lmcvt$tbFNc%5gX18-5 zs3iE=n-hQF*md*GprpNf?c3@N9}mibBdUD!UlB9A-P^%=lsN~Ku;sHr3EO_lUjZ|_ zs+PCv8$P~VoMYeKt1q7p_FD?rZ|Y!s<|Y0%%&95b2DapLF36H#a2`GK&GyER2SK;{ z6COW4odb%edpV$Z`sxQx4BFs``e`fp>~lJYwEV|v*&a|?@JEjA@$(HIRri}8{Gpn& zXY-cx*Bg7^|33jrcoXg`Cw%Kqd@Nk|ZvRK)8$agEznovgC>{T~_-iky<hg$F{99vC zrhNmBv^}#y1w-U@a0>heE_|xNDe!$RD0OV<2YYPaw)uyTO=rJR$0_|@CJUUKJirMf z8Jq&0Zl6zh{8<?6ujRMuc%|RZ&HOEwQ&Z3fPJlbN%|CQ3T^t-+dk=v#lj*kihmQUJ zGbiEm57|S<+>5L0n55_LJN~Ws#*a_U+u{!$vzFfuN`L<)LDo3e^{uf4MbpFQ`=mgH z!%xe#$HX^$G_?=E`olD5&v)<NHMTc?G|Z3Adgi|2qoIBJl^>cpHOJ1gnu1bDY`A^; zfghqddv>n|C&zc-(tG<hQ0cvQF}R?J1y>R8QbAs;PXLwPJLZFvqy6^_ckGRC{P<H) zy|1Bn{-=E^#`6yx)7QJaKiS-_y*GaE&2OeTHQ!^w_2QoO$KLQKJXR0C_1Q4z&z$dT zXZ++bt2_DIr)Pb_W9#_M-*<2L$b9d3;<MTtKMddRHn3~zo&V8}^KtNvA5Gs)CE^bq zTVE;P^zmGLN!;V=|C`R=Z|{|lb^pKa+?n<bA1}w|fNU<?T+1iD|JAL1ZN2ZSz_wQ3 z0@cQEK(%q*zJ5?E;px6@APd=V-D~ZY|L}gs*}u;ZAM5Ym@?81G56S<2H_j~I@R9ZZ zy6ZoL%<9hWKWutW@^#g*?|z%tgDm{`KIUu<hqV3E`%9%j7XBz-a_sqrkJ|t4F8>Jf zYSQl-xtyBP=Ipl>fA{U#|1b7A*SWI5+A8Ox|C*l)-}v!w-KU4jPuMqn^uDq8bl+)< z(9_4wFYWmleB;dbJe_!Zzu&(%d{oVOHeJ`g&{W<g@Uvmgv%PnB{5$-#dc#N7IWo%e z+iFULfBzA_ai%<9D_(YeCBK<n`|tJ>;=1<tVn3f-{8ahInce1-`Q_E_xAw;0KWjI? zxV&)Z^dzp?{qOfYZU4St{&Dw&89&e2-aP;J`61C-$(+3Vi=MjIKC7&0*)a3{z1#ct zv0wl4bc1F6#ZQlaXWX-~0~z>z>w1uJTWaoodT96UkA&IhxxY`&KE6JC#^>}6m4Ec2 z>PqU*&OV;cG5hbihS$aa%M%_y-%$A{O;_H=;q$YPmQ82>NSXEPKX1GvcW(ZnXOFG( ze?Dx!k}tQPG3Q&koKF6?-36}kY`gF5b3NTJx2UrCuYlR-b9JAdeFGb`^Z({){58M- zDBn1<p7-<5w>BYvk1gNu^Osfr&xikBnfIS(F#Bwpb8dUy&zp?1%<Wpw?x|m}r}7VP z;^XiQGuKDkRnB;J_W5-lv(L@VPtHER+};~+A9L?cT{_3*>4(z(?|ItJzWV?E@BA@F z@rR%Nc|C2u?4ruY!8gwQZogH>A-%up?BDS1HAORQ?VHd3c~J24|2_K+A4PNI{;!@k zUv6RL=O2Cv#`o)6_Wb-Kb>NtO;^!ahqwM%h^6maF-!SvNZP2}%e_s<Hhr6Ge{rP%< zUwqLNTl?l-c^TdOy!rgn@duwh-k$aIrQw_BKb0HL*8C6r^z0jd!sF>1ZpLq|`IB*W z_V048*+1`{*jFcSwy)*v-`zPsPi~xL-v3|1?DM_kCubioXP1sY@a*&IoS!c?&N82G z4@wyQlg<0{`OWHnT0Hyt*_Ac@%hCSn=KcMeIW_MqDnEaGd-&M&4Lko&*R|)odH%S0 z+u1$eSwO-EkBM)%8NaROi_6*B{qI@KKHpWpdHs9B<Ki1NHQm$X=P#)I{IN5^_`O}^ zy_%X`8$NR1sHyohjeq{4%FjO}4yWxaUbLsOX6J^FM{n50b1whl>c925`i75pm!F*d zxq8D#%ba)r7d<_$o_X&FhuP<MCqYG={)7Mb>~-bmC7th2G`?>cdhbrXUQP|$?DhHG z)8ywatNi?fFVXnD%co}_uQvA1Km6>odiKu;FK3zeuNTaDc6~$TAM53-@BjG2v(d7i zQT<Ere8v2A@&}LYK0n2L`+9Ea{RU_5_ZJ<0!+$=-e*XUC8)wSTO)~%9&n-Rw;InV~ zQFSH{YySK-X*s*+vku7n2acUj{QTo|l%2(GyZ;<!pR;e&)LEYo+y7BB$Ikwlu6<44 zUi*f#HN3Nrf8X_V^Yg5GG4+i8=L2iM*tmZFDSzmg^~ODx@$6=GeE+}y%l!G^=PdI& zmf1f`Ph0B;fXv9dzvpSY{+93T8)mLg{QNO?`=2*4&wl=P=9*pk-}Mu?2HW3s_VN0> zpHGg?GM^uBeB%uNIZ#B(o9$~l`~3Q<r`6T3-+x{DPjbrToy%v`w>_|^Ep)%HR-m<G z&)m5DlkZjc@7Qaxce};cBOhyzT(<5w{JU$hb=Tk8Ba5v&_x|qezI|wq-huw+(nIX0 z)bl6!N6p#3BX8q(g=)Ku!aI-F91ZQhTl==Qu6wcAa$UXXH#4pu+)?|p?pD6s|I%*T z``;Y9AB&~yu8VqOcjeym?G{;uw;pXedhXx1eRbW3#ng4rMaOKvVSV79{1%J6!fTIK z9evmM&2InE!0yXp({;;!6tDgH<7>2xOiH{ySo*B<+46JOpEp-;*n2*|@XDhtM^n3H z<IGK-m-B7@{ykb|n?+{fy+^x_-h24%--4rs-J8Y2b<6rUeE+H27d2<wj+~8$Utf6k z@5S~T)?U}O|E=tIzwl_s(a7%AV!PdM|NbN9uIm>av+c&i^MTK5{))Bh_Q|E*ug~m0 zE%y8Ps`_oq^Xefs&OLtPGf3JG8Ws`N^>A~J-$XL!x4^T1ueV#|6kd9?=jhkRrFNey zzE;RyEP$w<tDX^mbpH0=8*YkktGV>4^7M7xZ}T$dU$5;t3lGjY8Smi+ly>jkxYr&Y z>dS8-Y^t2Kcm4fG8;*K*e-^v0`^|6DcXr*r=s8<=WNob8gb1p+!Eb7j9A3T(VVwB# z%=k}6wYISbFHi5<J+Y={VFic3p1^Pa?dOHJTI3eqeDw3>w?CVX&Na@l&)E2z=jT&x zOa7QRoxTT;L?4Nq(-%5FZ{^P?t&f<@;`6WRzMGpl|G1_1ocZg2+R5AxzBBX0`t(PP zG4Wb*hgW+aG2T%%)uM7*?cO~{OS|`Oe*5n$@0ah}lUB`7o_z1Zvxn=<uItXbd*a)_ z-rTsY7KOR?H_kp=b@cM=8~f^mXHVDdE8kxGKFw@*`S!|-k3Pt4`~EJo_~N4#`M>|| z&5he;v9JGa_4lnib3UfX->&|?d1pppN&W3|cC+WYa`p$ly<^Ue+hS2O;jQhy_)Ix_ z*Y3sbH~!xJn{zJO=3DN2yDQIJyAS`(y>EHo*_xw^nRDOUoOxE+-Q1k>{?lLU;%aeS zzMuc*e4Ok2=I5HD%KPr8{hE9D&A-a-=EJ%B^9rk4-nRE|*qQfHXT#qA9<%2z&Y8E} z!s6Dq*J8_$->CbcG5fXHdAZ!``jpSBj-K4OP2T1h$Xmy6?W>=2*7W{=L-~Hm`|l0k z$mf4wA2p|Co4n0Iki7b>eg9QJ-v0To%hLb-$+G&Jk2vq^8~(l5Syq4ayK~R{Pk;Fj z*Y2C2SaI{wjQ8mh_pNW<i{DmJ)b-YO-~EJV-D2*`Z~l$lSD*0fvsnMny2g)s>n}^k z%}adWJwLIy|N3{|`kRkV&Me!1{Povm`!fnnHvZnd=U*O3@%e4PW8?hI|8|SD?}^K+ zX}^AySA0)go<-HXz57qUzIzN5r1#&ISN^^AML$Yr--UPYKU8gbc|OXfYU17ZAAW6m zIe)vwgRjYtuj|IehS&FTcA8$i9r@MNP|50g@LqqPIvu@xYiAr<%zec8apyn(kn($r zB@S@@b*^w)(|NgP=X@=(FY7<rzS1l_@Q<fV@5&vSIHBg%q5UuTA2R+`uJ9_UTlP=y zdEvv3e|$CydL7xnxGVhX`K}-Ncz&z>@_MH5FYX0iZ~isiKKiq|;QP{5)ddbZ9gn}C z((hfqzh&;!KP@vZ*|#qLa=gIp&EKHn?(dp>59a){lM$M(wyB<V{mb<U-@|X2tL}O; z|KQ*0C)Er5Wd1~bRs8E*;j^FL{fMaHvU=X-U#@TXF8y|{VD)?P^AA=3YQ_k)?+=al z_|H)mzpjem_z}~M&ur%2UzzW*pZR-d@uQx<+!o91o3$Uk_<k$=l6{-_m-YguH&<T0 z|NQaQA=AHlZ-m8<oD-d;{UA>1+{0NG|C(Rf{S~%YV&4>;^u7Pp{0sM+)4zayA^F#P z$CCXmbL}@ymq>Y}d1t4G%wfrm-_x(I{;t87F#n&O%q+V_-x<GN|IqSJ^v>Vz8Yi6> z{ttWp&HU){fBh`!i}DAwf3<&Ti4Ye4HFtmJz3SFSQui0n6Pn9^D*Z#pKg&HTY}sFV zU+_O{`6p<jYE>Uz@3Nm;{7d-*MnmOuFV81FPkI}9Ks)IN|5fc@$`ua(CFTj<tzT7N z+Pa9{{Y&{nMML#p`GNJEv!9=4iW6R3zcSyco@e&+)0{Ty)BcCmyVbMKe!gGz&QJTV zM~{g9<;<D)f7yqo8~^*CP1>&YYeVHf=>y4+x+KnC-QRZhb3AYLIo|R;3dVb;Ejs>B z(B}DP^@ij}vm!R`FU~zX`?)duJK^U?-rX*l$C@+mywMJ&zIBUh|88FmvTw71U&q|J zSL8SB=hnZ!x>EdGC-<W%|Cr3qr(4DdAHRO}-<*G(IrGjdS*Vtkhy9=RPcCQPc^eA_ zu_IG%?`}E!Ig-=*`sI6^g`WRK%+9A9&JnDZJ=^<I{=l=JYxvubs9vmXf45&WXWn_O z$LrVc4SqD?pJdLw^FkKtd}SB!%sGBu!0ddwos3|*dBFdszr{Cf?3WgJ#@rA1`_Q`d z-??>3pKBf;sD1Rp?US<H|KuAs^(_TXCHZUqP5LXBGtYk4hY2%;)!$#L^txeFFY;lQ z#Lipy7uvU-{d}Bdp77qgSKeQ~-+uP9JHMQucM>=>`&IAk?EH2N5}Nj)(A>ZB*`D&( z-$21BaUeO#Rpw&+;b%XSS<B0>-#g;+EBJ;@{h0?%FYfyk8{5S(=FGEi`_S^D<Z68U zy3e}{YB>AVD;#+8mj62_{nWXlbZG^Pf9K}iE9$45I{)yG?;{bt&cn7>=U=dIy8eZ| zAm^3K^v~9|XF(}kVE#c-!-^Tds()*@6_hoA^euJ{iI-Vo(Y9IfbAc0&{KBfb6-g}m zeCcxY1dqF~j$`Q(wy>X6X`$+Nd{vy>Vu`~?7td^ee&poi(0DtyLayn@4f)fL{P0{A zeq3Aa!za!&hq)h>toikd<)~zgZEK~4y4vy;arU8xt>Nm1{NhJ$zFf85KXlIF(jzu8 zLYFs(l+Rl@<KXLbBYyqPzt30PtDLroUp>8>{lg54ihZv(7mKtPe6o4=u;a!4h_7E* zyBKY(r&m@u$;2)A^~dI@;GBIel@@Ajov&`Tb}8D_OMd<^#iDBJtL|=*;{|1gAm79< zuBz)yV$n}KbJ+ON58hSc>qF-p`1yKfdvH?8&R40cBn}^)Jp<&M+adA$A`7{u=cme@ z>-e}kbp5?`GY*_CH{#dtc=tOrey{t*=EdK;c7K>~BX)(|PN$9R?(!*ed>!|WhxFU8 zxzVm3Zp7c;vDbg4-QOLL82XBzJ)Cgk|D0DRmy6a`{E~e3(6I1>>ecktM*@EP4%o=d z{1qPRzu%|j@%oPR0>?Ksv0w8%Tdr^5{(a=f>{s3oSo-!Y{3Q}6aQ%MR-p{&^%0<FA zFn{m7SpGEGqV4d~SHcy3F}3kuP4~1-zaPA}ZSQ~4cKeW?2ONBL3x0tz`ur99nrtmr zg}guH{OCveD*rmK7WeROWee4?{Q-O1vUmL1`AU1oZlV6Vr50_+KYW<Js=dHTr+#r2 zYk0xG?5ooYo^OBj@V%(}2M)PEeqR~-I)BQC=sy%Xmvxo9!dK=`<kyGtodxgxljQq4 zZpMe6e<<Vkf6*_dIKk@r@Y)aNN_S$re;0W8{9jqcmR|6Q|LXG(lOo>jdEK{vdziK0 z!#}$osovQ+ucD&%sGv;~yX;kF3$<tF0ef4#EpEGhWr`DKHxH_<a8|l=JM_(ALBnFO z`T1+W=9`DsZjcsychBWcyK_>N@l|7s=E-+M=O6Y?+P=E#{WF&x#oQa&*^fL4TeZA^ z>-hGNS~l?`=W;{e-<!p;J`q&7_}6mA9JqUX^}NHT7w<ZkzVCCnv2*ecZvM{8yI0sX zr+;{IZk2k0U&*^wyLPJ!u6xL5qu}>$**;C1*5lPH<qk`3+}Hl<+}+M<?XVA0_ZQo} zJMI5~(`MK5SAuhdR#&f%J8T+r&-<(BoxS}PTlybK$Q?fXdzIWF&W(HazY>i(`15Z_ z{Gqvx6+axn9_J6RZAgCf>)<NTWXGBJt6v<bP5KaU_3+=04eyQF{X35`t^%z=z7hXb zsEkkKH@hZV0mFCsWxoXG35Yvi<=)ZooIl*QJv-@tz}3Iaog2zKDj51ZKOYW_Kfqm4 zHsh6KjNo2}um1#HZq&ByVDInPtQ<Q3aB;;ikE_8uRCXO-@lMvYW$xmmu@xS3?4rI( z+BB>82iZ0jCmDs=)^Z8<`KR#52#Bk%zE`^{iE+1jXYmfTXa3=LtwT4q>=yj|VOGSP zl~wO~j;g+~om_dtlDlqOQvITcgL^k0_1^JW#v)8+&f*<Szt0PYZ}?sF!?o)lw@7wH z!MY08@_3&4>n&{j3weL*3trz)&iJS3=mRHL^+1_@K83yS1LOAxeZA<~H8)(7O<dcm zV7^7|)>jWzyNoMJS6Va{Cl$?n^)*{0xZ<nTvxiL<zvZu9&kvY$_^b5HcJCys@Vk|p zli1eZKV-8<<=p+HRnOmcao?y^|6JgD=HBvMzvYg~yxTLqvcff{#^dWd(Jt0EbrULg zs6CSpz59DZ5@-4P6uCIzZvR#He(!iB^sVSw;`87S>7u{qhpl;VFa3!3j?b1qy1Sa4 z3R%DJS6G+u-TP7D$`|#Kg-qh{g6;)gJ^Pkc{WLu)p||g#jg7kBzU99@-R=tB5n}`@ z8QoWf-w*eB@RWZ>yZDipS68jqIw~3Sw*^!(?ho1fZ)OtL`nrQQF#_rPgKPh4AGM76 z-&VOpWm$dD-Ib!o6@S^EJyb2+7q<7a_)*q3e|jr-D5d=myZg62iLd<MQJXzVdh6ES zXTR~czlL%5^&s2!>_;D}R#jVT_C5GndX!N{xLJDDyiyV8ihbOm!ts{x*Q4vYk}Y<F z3&;F*RdvEgIpW@&v$0X`%U@en$bFP^&V6uMKkwDkT#@7*J7hn9m}9YX^Q+U=8h#Hy z`JFi|X?V~3>npu3&OL7o`Sm+4SFhT)YxX0ab?*+^%n_dadsW<b(cp^Gd{7isH@tdX zEAqUcw*J|}NfxzxUj0iJO)q$F_=x3PXY=FG`4{Y4oPX6?C>?vgs{X)xM&AVeuv#wt zj=$n7<u1NIto#d93;dD)YQ6FiM_jBL--8)D)c9V!k6CB;p7%}TZ<qg{SFN`{Y`0^V zmraZMky$sMf3LEN4~U=s({!Kv-p}DbU-f@E_}IQX_J+FO`o;IpUoRF(|L`gbWclNn zb#ZZ}n)c@Wew|g}2mk;7Uz2|I+4Ytg_j7ljWS_fi-@Q}f*YjWPNj-S;;`;p4Z%({k zTeEJudf64{+LyN`mX~fWfATcU?&{gwf#1JsA1ZtD=hp_=%4P9yH!I73{`cvuq|INC zKeAu>em<IIwcqOS$@M2=Up+OgTp+)2@8bF+zb|agewF<9#bw#A9`<UNZ(jMmr@W?k zt={|K`-QRh7VkT0eb4m&?q~ab<Em%-{QSh{JMXjHSKsq<zAw-Jl+wQ}z391`{HJ+4 ze9tF7nf<xt$xqYD`QKghs=}fxZ|z=IaJGK-uNUjAFUjuQ9X?q+y0T{bGP@nsldnhr z{3GXUduR8_-QROQ6=~1^HhWk7ezo}gr#Ju3{#9||$vx&-H9M!O^XI%e%x=1KbN*!g zZJ%Bo^xgY?g4+6RpKjLq+J2b5=ko=%^V>h2{O7y3-eL0kZJ)jzSY}rhF?lz;Y30VL z$NBSLH79~?daz7R?$VRH&a?O2IkmjqwDkW6uuX!#wSPL)zBj4G=RG~CJp0#&6Kdss zS)Y!E$;sZmQhnT{a_iLda#yc3yPH?0UwQH~%+7M#%J1@*pR8VMQ=1bkFMIvTyT`Nk z+&K04xcS$EAARHUpMKu3vfV%9(>r0XP5xQ0{&D*5yLW1{y7||S!L#mIZ#+3Yy3#1` z)#2r4J3ntxi_dv_(bIQtdC}zX=$~(<ER&ma>&e{9vuy64QV$G%Z@>3scywh|Rj~Zr zi%;GegKbJT`+9ID*rv_1sw^@;z1uts<fnA=(*HNXHa$1_`q9$&o$YpzO`rBGv+J3C zr?L(ldaS;CzaIjJ-cDcJionU`ad*I;TEFu9a^HQoPF<dE^7Z0R-*<5ro*WH}i@R}U zx_<7bSE;`HswyWh_nh3XmsRv#)c4-r9VhpOn^nFK^}V-$$w~I<W;^Y(KRsMM+or;I zGJpK_C+A+zuGu)%eY)w_d(yM^+&Yz>8O(q0(v!L6W|jZ$sm-rhaq_o(_NS}MXW8h^ zs`)Zit$hE@Cr8U?+x!ch%wKoy$=CI>YU0c*e_U64|IfR!%=bmvx~<I5uK(G8=hX7+ z;kB2I?<{>;Sh4@bGP#MnXY-opSCuKn#|6jbUaj98s-J)HOa0`U%lluLeeIu=|LUdg z>|alx%>MNy%=fR;CturLrNQ-VKbP6<@(s4{s|o&|fBOo*%Ka<V+p}MltKYwJ_qO@h zyC==RehvE@AN}=I_OJD7_rHjjXS|A6x_@P}wb@tg6XsuU&Ykt^^$GK@75&TV^nNar zySH;?`TI+t{C9Wr%HMmz2`hH?uZs0*-``z(68!1x<AwXngXd4ofAw$gtY33#-2d<M zt##YCvYJ2tRq!X@ySAHF`kyc_ZU6p0SMGfBC*Qqx8(+%zPR)NM`kw#vx|7WJq_?fq zKcD%l_MY?BmD4XT`{(;fR(}0-ldm6t`o4?3@Z|Jbo1Gh0^2gtLa_;n${Bx5h=jWY% z^3>PgKJ%04&t>&>fx-Oo7oXhi2B*mNIj{b$o>jAVYWnmQ`)<m|-um(-%{MOh>E>|r zuMbRp?^WhbejfevNvZGN|5Z<CXJ3u3EQ`EsT<1KwI&-rA_D@fGeQSR#Q!D?s{G@nv zWl3f5`@K6)u3lU7ZQ3%qJzGy&e+FmA+q0_vzXd0#a+9wYt!Lk<1?T)f@0QtB_=EDJ zP4=sY)wB2f-lwL&{nN|evv<|IPF}zL(;H^r+CK-?zS~^^c`DN6>%;b``F6|Z_M22X z?yJl>9&Yxxx!<gE@6_}5*RCAyH?RCI>>HQ&^zxpSzvt(C`WiN`cGb#$`@2u>h5GKh zb87N=ldlicXW#i514{fWGG6UHZw|`P`z~B*);Fts?(I7-|LJ6X^RNHHXVq+-x;#Gj z)#6Pj@2=OpU!&vo>Fi(ozg5BVb&F40-_J?=ytd}k+GY3tmw^izYv0-}IYr-h`^M!w z{rG*>uLr-u8S;PbtB3rv_WV8!_ErC^UGb(rtF+J8-MMnyKC|fka<HRT&;Iox-S^#} zJ)i*m(!K0nv?<6@bvLgx$D39@zYccPeUq>M@@In_wLkmS!}qiQuzWgOJT2b5^f|xp zKH;Csmfu>nygsK&PyPOvBlTIY{>`8L$KjK2|M%(3K>pru_VwZYS$87MEB8%}cine$ z=D)o!IsadLqCO$N>QB8{>GOEFA^-CrhWx*N<?nx!pN&su%bnl(&Gi5C(miW!c7_GZ z$L&5j_q7Skpm$fkv|P{qBz(f$_TM?*yF241zjxX9a^<#Hnaf}9oKtgIIDY?1{<6$Z zRoe6KFId@bo>P>6UG08z&F0HH1B1_R|Frsqx$Vo|+4C+y&B*h;yVDb9#!KJX^G=^M zxBd9ex3*xj+WPIEex99ORne{XeV6Y(_Md6Xi%&0`m;W?;Vt&=7Xj9w8(dIj=XRGON z`}AX*Z*9SDu!gs@t6rE^e&+)x3v08||9;>y(RS9aALo4E-CcTe_1ZsKpU&o<`JPkt zC~oq6?|m;h-`~2jckiqk{!g;spWmHT1rD>v|Mpa07QVOmq`$)bFF&fyOP|k!huPm{ z&x=0!`q!*q_U``fll&_8zf^qBe04Rf&h+Op_5Jymh2LL(@_bT$)uG>}rSJcNoWAV1 zq{-JB?fqRfmnYjTc*!Y$0}>bPrlslk)$VuJTn^5?dYRqacKYP}sz>c6rS<#O>?hP* ze*DvS@2yjyGUy3^Zq=i|v;V|=^7XH92>#BO^U3yvx$U{bQ1`y{<j<-))NcNh^T}+v z`Wsi~HqWk6|K#hxHPoL!6B5PkW~Ki(K#Y~WcLf{{zW#MCmxb*Yojk94|4WNw@OQbJ zPpT*6SItqL4GD&qp8Z)>hZcj<pKpJ?PjI>1)hE^y^Q%^^t@`x>5)3w3ul{ZHtyB29 z?0B_L@cM0^R-ZJt{h2&_-j5w>_S0)F3)`(*`QP4O#y$3gBLf&zFmAnDIY+zrpWX*2 z<!?8d-4+T~ze$uzHA(8Xwa@^O86r{}({qGPxOz7opC_3sBDL|j+?<6y$w%a>d(84| zpMNf#|NhSZ_j}?V@B2OT`R5g0^A8ukdvBWj-h6dyJ?qE)>{oJGejD@i`meh9F}Cau z^NU1Nt8<NK);7PeGqsvH@%Q03J*zK%<V$-e<HW!6;zs^dImvko-PCn9J(hLrUv;sN zKRr+0k$=U-jeRL{Qu9I<KbAk*S8Zau#_hOe+s@EMzpuP-aeF6!`rHmF+4mOD&VBqc zK}z;F%d?3OC9_Hn3E%wax5)kKiyi95+fRRKkdl2Z@@(NlPgARZE8px`e(`Wt$sECF z*It&)mzsOx#9!kZlHrRV&pmnP;|oPot8bBKln)zUdC`)-`SDz5kW<`e-hO_GIjdyN z=Nav7>Q`U<c)s~@7}!6oXV!ARg!o5%qwzYo<GW|<EatRb;&y!Bl**KfHmSKEjLP<C z*{*Us{+GM>cTtr?Z(k|v=L;W}NXh>9D!a29Y|7O$YxiHO%_>n+{+<mEm34{lV!T12 zvOXCSDl*B(ch8dxUHtgofjj#q$^|S={&~jv@Z_wLKeKNX<}Yi%`odzn!S-WNr)=K@ zc1rKgVr!67_-44brLVZi`99(J?#W<7j^5cf8)V4c!!{;1E8ULopDz2|t}3FpFE~B# zuK)Ly7dw0I)Hlp6G_|_dd}eMtI8+WpL#2PiV_Pp!s7RlAyZ<66RQ5w-Sw8vr+yx+~ z^c!#g=Lj{W31mv#i93~(<boC_+aI+tvT^S9`(a{zPX!bzwQW29>ew!DJ6_dSnNbnc z+jl?Zc<ns7u*Hw}9Jo_8@m=s@Vac8Ug{&WEmF$^5(|Y{{=d6-9;WHr~ihfhE{^H`S zk~`OD-p;=Oa*Fv(NCf3?e7w(>fAz(}dx?2lp`r2~94giQJ3rfjLS^1Ls8jsQ_VB+5 zG_`uye&%flC{${XKtkoexb?f^Up7d|rn42l7T)FG>zDH6-~OARv{HNO&P%XU&O^i2 zKK=ObCQ#VcoxJm}3v9|+s3~o-+r2?f*_WDE>kbK(|MNhha*zMaT=|!v6z2~OTl>wA zzk;2jf9CCfu!rKI9twW5V?Q|A{x{lQw;YoAqc<Pz{PX$24k_7uv1jMjfHFX}88idf zmF>Ct667hFGjA<lGMZY2H9^AHUflZK9k8e5o?Y7m%>X~a8Q|>sJCO~bSW<_EuXxJw z=njyl^v>LgYXNym_Y}la@jbHTg`fm8IVn#U8rAWWz@7>^Gq<kFqqlFb$>$RvcucJx z8ojAFeQ9x4NzCk>du2dT9W@W)l>JQB?-)QCI&$L9twMK~yQv!$)u)ynyZWLc^VvBq zuzy0K{<(VNW7u*~hR!zFetil1l@}4&hLEzw_|1;&%b*NhIwSfuG#6b@PLJvB+iq8! z&J1>nBE%_)(`56Nt3rDFb{iJ|_6HRU*%P2n;k16Y3>+%P&!#y;BI*7duz%*yw2lTx zwIb9hx;H;=1D7q)#@ma*hDbxpmThl#+y+O|+nLeU7a$qBJO%6&;bL`vP=+o~gJkGC zt+M&yV5dkISC@l|g{|z6Vxiu@><$wsRBm?f+-eRD6?t%|T%KY5`w}Pv{Dr2v@9D>j z!LiJE<}EWQmajQNi-p9pJIyaaC9dL`wa(BC;0iXR-LRZ*dHa<YH{1>SdCmtc7M45N zS1tm|i?*|N*7ATNNDCUY{i(-o`#^b7=KLM2Mo3<)R|4n7$uq3gFN2(71PR)Qnr|wW zgJSv4<r%k?L80=x1R5%mZ+4_#I-FG^)4#J+4C0^vIbf$eHz;=lr~jSM^#6S0<GKEz zvPJvMTkaQ(rdHQlq5kQ<QK%0t=B68L*INon|MAB_h5!0fcYbn%GW5PV&=QxcY>zfL zR6@_ZT@4NuSS~UxyORwrakrjX`x;sgB|}Qw^mlh0KoOLm0*Rn6H$UcqW7*tzd;UUD zf_n^gO7zXb{KcSRZoBbzCTOW33pV6k%DX$RAVc0mV|h=z?E5%SELY1H@Ad;#X7AD= zm6`p4zTXO<Sg!2Y`PBd#DuUop={G8u0;T=m`Or|QPd{GW1ImVe2HWMAgPig|0cy&@ zK50<U&QYHk?SB!H;O3`+5}f(TJC$vqylj6OTFl8<$AJrfyYqMI8bNt^?+Hj=uJ4?C z=yb^^(OsE0s;5?Nb1mm(UvF3*zdShqg6`p|<}<DHFWMgdx_zej{e^GM?wZBaPOp4t zd#h@Go`jxn`n-SYvXbj+57_)yoZIo@LcvevXEW<B${zlA{0z78izn(Omp6RRe|FRV z<+_Ip4&V9P<5%mqohN)>!n+#J?>yJ{C&m4lwQc{x+ik03pY6=gd~LleamN?i&tLdU zQg(b({`|+k<WahnNz6OL^M9Pmd4B&-jQcUw&+nq|;d}XKHveC`yRG^D%-vz~b!zAS z?C<qF>$IIGJT3Lz8Mp5|*MH9tPhbAl^2N4?ujZU7=DanlJ5NII>{%OIep$(NXHVPM z%g>!LpO1ICc)~o-OR|UmHJ#z+zId|r<+8+l!DlyJU#@$YVEF9aqY^FG?L6Vr65i>6 z96UWK?#=SIOJ8n#_{!)^@##y`e9d{LPfMN`H?NQ9dRW3d`31t-mli(!<aNea`?Boe zx>=QpqE<V#?wY(=d(39H)m>AWH3#p+PWD?1GSukI+^sL?J^W>Lru+4!Z&f9?3rcIB z?c~kKcD6FHSvl|L_ai#i@7%zpQu4EF^P%ar8JyJ265r)`fs&dzw1rS|<D(h4fUHl; z%Llic+Mtb<=uMCFTtOAkwzRybP(yBS0JRWqPusa!7L;}F&4Xl}0`{^!vf!-acjm1P zs3^EK6IwuWTfbWec8dA4Yww`dhAp_-czg1WCb)py3oDh<j^Azvr`P1X_uimX|1BAk zUf-YZdks#n%rm0dp=C9H5;(n{xnns4lwRczLDFl5hIQOTkRfu%?%2%%rB~U5ko0Ol zN%p%2sO~8?DSj;h$$)j8;Iew-na#&9#vVTS@yuP}7gst<P8U2?d3Nq($@zl4XZBQZ z<<9ro&KvHZJWqPz+RHE79$u0>b2<Ie#D}wv*Zki6V$Uw&dOPQI<MS&gT0b{<FS)OJ zN@Z+sU4dTlcblq-pI=Uq`dg`eW^&e(PVO1)ZT>qZR7UpJy;OSkvis%BHf7UaZ@rfU z_t!pMTH<@R_w%jPGv%u<v6@=7?l*{kQe?H%O}&)$^R|b#rk>#r?`+;-|Nd%8knLyV zpW2@<eBd;FmiqO~`6p7(US_{MQSjF4*-yh=@2jj99$Qw*{JHJnrQS2_HCAhnt*sUQ zyiIC;{oAx>Kcin9v5=M0yMM~2So&^Ya`B(CXN^+lAF!X{F28Wn`laV#U(-DGcS-6Y z%Jnbup7EM~+WkH;E?ilD|MbcQZtw0N-xIKW^7qTWruQ~}YIsuc&arGw`-`@Rmkyt~ z+<#%>!&{5beCD<KXl`W^^UwP8ndB1p-gIuY8N2&0udD}^3hFbg7fM)Pn|wyP&D-D5 z-`>}~Eqn4EO`F4hpCUo!NMGeP&+@Ak^L~9k)2)BuTX)Irg0HI2c1}Nf!*kCe8<Uv2 zsg=uI%XxmUFFam5ckUHwP)zwgI~QHz-}^js&)Gd+_~zzap7`+A?lYg?cW#_qGr#ik z2J^X<-{zNGFZjS%-X*^&*&Sr2^0S@w7q1;pvhiQRmoz<n!{nbW?<BtcKfC9H=G-?I zd=KACKeKuM<=Ddq#V0+k?26x%T<^M_H++BEyx(oxc0HQ;e(AiQarZY({@M3V;@kf8 zxF3zyE9GZy=LxS%diTfYJJ0p%JDPtF`Tep76-U~i|A@@};oI{)Yt_fNd-XR@{_`v6 zWxw{OFu0EY)Lhpd+3K)oxreXbobmiuMt0e*g~$9V`JT<ReA$<1e{he1_}n?sJ#(i? zy$_w)E}I^=D}9HZ{O3o1?s)1SurZ49Haf5Gp)R(_*>v9V=oyt|g1fRUBBt!zEipH0 zPM+ktB{QtOFW4Ubsyb7Ay3pgwOMlNOAKtoj=JV+bg->5vSoN&>=9$f>K?(oUnY*Gd zt~5wk=bG+|zbbn3<XV?#?aRD}mztCtt=@3i@Xh*Ddp1bTjhUOb>Z8q6(;3#;muwGz z-8w_uc*|Z;*&f?onHPI2sy|OcZ|!-Ty^OMw>vB)n%wH-jeR1K#Psh#}*Dtbe`|J3u z)%V4dWhIw4Y?u7J#<`rAeYSD=f1l;s7DTscJD-`W3o6yZ&U8m#F6+yaUYB>+X1i`~ zuDdzU^lj<$?lt!DTrW$RcfZr`$;%4`mFdrB#=huFtPg+IdiTYXcOXOAKfjq*a=joR z;oUpe<=>V?w`u=2C|?Gug=%Mte_#Ce@5^luSDm&gXULWHFz1=hH%Z1`-uUpF5}x1e z#`-c&>ikP*znW>kzWdDF!!PDN{PplmxBG=}6O-P!Wc~TNs!YWC=i-+~3`;V0#60r* z7E!jVt@-hc-N!Gl6n-&H>U^;6#L9Onx2$I7N$5?Tx!hkcdHLdF)jyYS3byF=>jNdJ zmv;)!B`i<hQK$BKk^AlRi@B!rmY11*{$p8^G=-0M`uWs(mi|3+*Gat>opZh~dSS3Q zD8q2iv=#?>pnImc{=&E3FSk`atByTp6TeirexdW>r>AG8uM;?5qyP2H_g#}@ziU~Q zn%*^v`KeuAWLmZ+8<a7(p1FMe;zX(QkNXYvWBk?g7tC(kD?Ky4*08K9Yft!JwX!br z%inZMZWol!vHlr-)ANp7d)w*l#^+f|+<TvMzDsy_&vW^^MbT~A<;Laj1e1^NnqGOh z;HBNOmsYZp>+YVPdx-gsiu{t<ZF}2iq{}bcon_<ySMb?U_srdn+j+zPAG7&heygen zROK7^+xxk<W&fWbb3VADX>N*#Id8SU(Rn-9@~g)8UuQl$>UpcKEl+x#-D#Web0n-g z)jnS+_*waErud7##Q(1EcIa=MTs1RKa^0RocPgj8TlvwZSM2kp?2=!lRz@+^&6WRr z4a+9w8|F)WyO%bvw*8$H->o~E`%d@$l>;?B=2zxf<^B!sdCqzD$IrNb4Rg=5mmJ?9 zZ>V2$WbT#yGq&@D+oiobv!J@|_56b6U-HW?&A&A9VN`qNKVz$pma<ZM^#^S}tKKzy zQ-5^NhpiIx{a;Bx(>**@ulRZNuJj%MWXrwoZ=U=QltAlJ=Kb&V`=fL0v&q#Tb(OYd zoAzIbJ$$f!#_s(^$LA&8GmH7#TlsGPmao=#10GkNx+e2c{^@sBqs<p&5C2<phI{kH zlXiw>QCWM!Q_ITcSb|dFoHN~=@0L7Il9OC__N<LP|J)hV`L0&T<=Z{G`SazvhY5_& z@+SG|Y8>;6?)|<>?}%Ss;;pEbJPAGBQ*+NGfzo!jvHp@5PlP}jMCJ3Fppxq!D(pWy z-0hryKYmtaqNLSM9ox(uE05)7Yz~g=JE!A+-r!E)W3#BnxwjbR#!q|~-RZZYFnjHp zJ&8M}q}grYnj7}`THMsi+Xbbj&zx6ZwlvL~YdYgQ&-Lpmc6-EPLmpo{Yv8}ucRNr2 zy2E=G9b3Cy@AI3glItIS{5r#In)jD?hPc_1$&po;3W9rY7busVlD<6C{-QBY`IQ~L zY&TctIz2aE`rB-=aMqnDK2Qzz><s%qpXFC~Y~7x4&n!kZ$!?44+~CL8Zgy3kFR0Ca zcGz;)ieqbc^M1avt>pBF7iDL(v+h*gHa`E(p`4f9+NivIQE=t1)E%3Qi_^t-rSI6M z{rOSemc7<@&0_90SLXTO(z?KVc<J65%fByEKD@Pd#`EPDJ8NHZ9$qLlKRVgI%<K2% z%<1#;EP@_yt7Wjh%KY+<<CiIk*%3u|zFl#A^XuRnasGwB`IqLJ<^{Wg(!=2y{BnNQ zS$ER<6YiPH$egUX6}V;fvD}9l&rT-4SoUzDP_cjS?N1xe7$3hVdpP;H@%O7c*3La( zQ?E4FqH~^s%&NlM7BlAt&Geh^<ZmvsvhcRu)VZ%ROY&Og8Rg8?v&^f0rBL>l=UaFB zrN45ys|#mabyuD)c$)L9`T2!DsqHrdpT!<Nxbw{Nx$e8O?ueaBpSR|M?_p(egYQ>% z=*FM0DHgnIXj5ib9N&BUmg<?$(=T<NegX37_FgUPrTZ_uJPmG*<lPS65WIKNxmZ_! z$!+VV$!xbR71;eR{9Cu_uK!ZE!XBHI>VBT~@Kos;_Tw4uy|>?dJ+r&5S$c;5?ulhr zcj*2&Guiy2?_p(gW00ly57`*|mGku9J#{Di@wPJQ&wt*QC@oOuY0tW2_AX(0iTd1q z-O$iAx4v5a;?CYLQxad>oh-Z^%)aq;>9_9hm;dr#*e11oB|E5Yl}obwVeocE;q2O$ z%IgImG(KnY-U@xZ?SuKVllw3G9#-x*1X=2DaNY)F>EGs^>yPEW<$U&Yf}f42zXada z9l16~{a$8T*?K}kx2|pOtIZ|vEUQj!xH<*uQJddK#b5jr&y4Q9eZ~7sbep!mv3yNy z*_9n<_nq34ZINL(|C`j?RfW+Nb1Ogb?#irq;`?l#)b^*_XQba-X5DuDUgEpeh0)o@ z=l6T7-&+jv>Am#jCUgD%PlovPFQfHU{+BT>{*v45CP6LL|JE&k`LA&X$WnEXrT^3H zerSSx`qtpR6rWwP-P>ZYPgy@dnO<`F!-?=Swx)Tf<BiYPIG6MEm(Kj1b?4f?)Or8= z{A&CmKK*mR@1?udUt!z31xt?Q{!TAjWoz}F+cx#aul^@H-mYxE@iqRYzTd^a`!9lm z_rFoT<hnoSYA(z&&O7x!-ZJY>T6*F=Q<*cTYHsC#Qt-n5%A&GenH4E~VDDPaOcyFS z{^3T<nOf7l>|*2d=bhD`ErodZS@Lod`?+xq5bw@SS-wkQZgj^yqa0lwND8hM{MOxl z>2EjK=A)p94LZX<eSxfL-ezy3_fmY*lI+&b2PGz*vo<?<?wZ(a(g9_gO=oR3%iJ}z z*=7Sy!CYrPGnXW}Rvju>aV$4kwaiQV@=FtlZ>vSiR;|9Y^Xd!D!`EI-dc18pU()sK z3Hx`b-Q6z+$~LDA@+H@;Ia^a8dn@p<*>1hh>mDZ9KD&Hvd8}z3x3qzOo`*Saf6C12 ztUJ@pljhx=@lHbSw=mdJh0lM~N|J)1mKv9N@y)$A2jbDc>1C^;FYHvTI<;ZzQfLsb zy`i7y`~5jMUd=%9dfQ0;P9G>S<(=P?ZE>UV=N8$_@ZQ^p{LW0S1ch!oICNuYq?awV zZaZF<3`$J5=T_G7TWwUgO|%Gkye%+c`4;iH_hv&Z-OF!%HM1ly9%Si!sHJ~z>hCJ~ z&$wmnvD{Y<phBs620!0rQ2Ee2vz(W`-C%y11}Ft<82QV&ne+C`oVyeJcv}t2=Q9&Q zQ5p!2Qg`F?^3LjBUs@gp^xi(U@y(RJ#g~s?zRc^Mb!VG@!tyGmxz?SaLJ1nBd(YH; z6xsDZ1YAByfyxJt&j&AOy7%6GBMB-WjA#0P&H#Bd^33FPP*!~oE|mI>&d>2K=joq& z99%xweXeQsi*bM?;FuGBFO{rn4IxqbTc~W+^h-NWztB7!778tW<8SE4`F)Rfyq$Gt z*}9Z_Mln0Pem=OmW%04xZ|gypN`20}eqo)|_SWo~>gyNJZgW320~Dp})93AMd?z8d zdm^ZOIGa9if2ZFaABd%Q4*6xy@w@K@DIfOr&VBW^L~p@zp6GIqzT5MxI%4-v{`)Al z<o{i8=*odYw|XZ3J$F!%{~BcH@0tG94WP7ZbHe7Qz+DrYPX?g0`$_qXasMUR!^ynQ z_DOBuy5I2p|G9oPZV*d-HUwAA@%!HliPFC;)>kK-v-R|s+%^wZKA8MI>bdK`Bq(&h z>VQJG_ze4OutzIFMLzeL<$FCqMSfh;yqcMLQgW58JC`5J{Tcdfrr2FWn{QI!wEOzZ z=llzu=HSY0d++uentOeh-(R>~y6?)4-0e44?(=?rZ}D=T==a_bpUyv5_i=v7e|E4> zok2eB`1!ycY-v2mr~NbiXFGx{wM(4$#~kESO^{EE+AE(K?@F$CQw;X0{*34Qmk7sS z6mD}i&6AGb9Q>=zuN+#>+}{-Zx9?o7zrW<Rx(U#dQ2F=K`7i#>2Nk~|{h-QC{8{t= zg`lEgKd5L}HpBmSFDQ8bOt1V@zALlhh}RL{pS8xIT2<$a@n%pEZ#D$gs$UJypZ8OL z2CZzKr7WMa;BxUrNCJMIw%m$;?(FIFjB?JZSE}y=7l%J@>gzdtSI>;T+xdGXE2vZw zOS0Pmu5MyM)y)Qt&zap9LCNXpO!Z(;AuI$gguRW<>$sNl^nV3c=DKHX_Vdh*m<FlL zH{8_JbzeSxIV6zRAMh(}IJefrUvgX6q&>p-!A*!$Z^SQ`{MXvD_T7=cS5|?_h}DMj zF>^s>#JYofvMnMe|J<?_ly#iiDvNSKWrQraj3}L%er>UJ+wp7Z;4-42vd-CRBD4fH zOIp6gaPB{7*<U?j?klyDykJlnG4sjXAK>Cp_FMPt%YW;a<(8_yTfQyfo>7cslHCqf zP?dAHtMYom2Gh@(mY^zU1MlZ2+d#f81N-*2@%c9n<vjgwPk?glHsQ}T^ZedGGtZkt zewpD`d!->cwz|A*RrQ6Pwl6gghdF-os{vQ()f?mQ%zk&jecRQ!JLb<iwkLJRCjHL` z|AHG5|IX}gW1jwV3p2>KhZ@gJW(PGS4uh>_H$E@pRL;{c3vNh+rOdPM@ssg`WFMJ> zelI<&YDFN{{>~^{b^O9kA=}g&R$(w}k8g~(nfK1V5p1p1L{Pd?dDg5BE)<PEuY34F z<k@AvrJ&M(xuHL(tERjh+<uvV(56`JuA$8{aFUvP)TZ8Su0=Z}ZY5Hem*~y4>xbmp zT085jTyu9f%rnY~)qo|b?i>1XKHuY=Zol%o;~#r!PwI{$$<GJ1K!N<18)R+Kv&-ui zfUFHS@{e;h=k1R<3o48Mgg=`p1!}ADf-~;cGoP<t>@<W_I*+T$yv#4Wl!oRZJL{{n zU)(thYBq_TwEmduFMDKN|K|8TQ{V0H1^af_+{)7hstI-#>>z9RPOiLOP$2#}v-~nB zC0EZ-f4_8goBO-ucPk5{*BPI`<6q9xZ+id~x8D>#|8XvPlnAv}u*|Ff(n~gIAm_gc z`S15!4pd$UPbz-|E*k6S{C#Bq;-7j3I0sDt1+wR}X8((znrAtv=9zqExvev(#F&>l zucj|gN-om~)LyecZ}XW6R7@#>ed~SZv;O7IaA?ak{HEsC7hkf!lpLNYwf*h&n=AJ@ zKEJnQIZt%H=e^E+aC<oSTlf15e{*kzl|QO`6$>h;zR%#_=MO59mm9yA;`?{9<`z4s z4fD|X*~yMPDY>5=;L<VvjIsP>*~7`kGk#~?xn`F*@4xxnA5$Qa`(i`zuO7d8KS(|B zuW9b9=_T*9t4?kBx)qj#_9yPYvGn);rQjU2FZrHP%+Gm0AMk?<NOw@F?0;tYUmuWn ztIkZ`4{C`2PXvYXzp0gJU7!|4FR1!?yZ_AR{g*kj*Zr`cdS81sxC=0&b?&7#B~@ls zyB>P<-Y%23zIyZJ9T`x+!w=dr=KR)OeDQDbWl+<t64ZBnmTG4q4~pQq^Ff)H;d7=Z zIP)ree&PhmyobQKsN3MYj#oKPzwR+m1aGtWT+`*J;|R$`Iw$=yHLdRYLwp=JYwjzf zlDx>OQyWsD^+9~!-$$QH{&RuqgRF+i(*>!n&ziNtu{#wMyP3}}hb;u9<<&<1>p<;_ zHQ;u|9=6YCW`WujQQ&rk<g=e!Ummf7wkvkMnR0FM<=4=5g?YmAU5ay0UkYwp+pBUM zoRss=)P3|X`L6(KwcTm~SsMJTc{a$>$aauNEk9@0F9TT`ZQ#!bDlVeINh<%S&1TcP zhBnh64XC!tx@fD7vXBPUMqBHpvM;{$L6g+n8!Ml^ymJl|y5i8#-MuOPPVc+>bGKdH z;rsR=sEktoe2@tgx_7%lmYRRg{0(kbWS*J)`;zZr<=^0b$NTd(k3sbhy8)>Fkv(Bk zFECeP8Kh0jm$tlwW3Js4NMRA1vb;)SuJxRGMme&IkT&sOk8j=X7yr70qcj;5rCw*) z`<H?$zT<}PrTF^N?cTD0qO?Hi^Ak@{l%51fY3j3?!7utAZVU!@0sNBZ$uAUMei>5R zrf&$gn(S8%_2}jsE9dz>Keuo>PxNAFoA`X{{tCIf^>(1pT?J|r#~iq`bHY2xb@4~` zR2b&QJ8kC;*H4|dyJy>~lEVcr_0L?M4jNh&J@YyIQs-*WoK4oVnfouv9<J-Hd?;mA zse9MtP3&<SYqxS<_Up#w`3r*0FX<i@oxihK_^xrx{+X2@#cs(hzumTayV3c(ZqeI8 z!;1}-zxb?-Vs=li{HJGCXbu{fK5SF0f7kF0e5CpCJ?1l;`7gyDKInYrZu`qC%Ahgd zz-NBV?<Cj#@2&j!e#>8pyJj(S6XsRV+4j%ToJamA%jZc__UYY<#lJmw<^FiId`9@y z9cyjQ-kG_iy6t^kTV-tT?FW(1-W8Xu^4y=YW9hNn&y{6+#9zGW{xZF7ch;S<xTNFt zGxhd3o&T*hH{`L|#Uwc?z5T~(zFvRXBengd`3(2A?0lp9|8%X^AIp80`|O%^$>9$Z zbDyc2=5@a}+J1lW?Kb_o`IVu)w@;NkJ7@oL-oxGY331_%&3;VSDSdHe|BLB7+pp}% z{nst~KH18;`QN32@ZQ_!)ZhI0zl?kSrO#zAmPl>yJU^qo?eu)(_`lp%i;w00@_sh) z;jQp9+yBoxx4Lll@3x&+mrA$w|Cv_l*?ap@`I)!xUv7KYU7rva`gq$X<>Ke+yV8HW zn?LjV)g8Q5XYR(oxKa<Z^i-d@YkK|S{U&!pA8-2{U-swz%O=zEKl4w$k34J54f3v` z_U8))m1fUYf4?Ycns<4#aX-)XX9@fM&MArOy&coE(^UMfLCuppXS}oSh&@ltJMX=n zH{M46^D3$BQ-991=DuKixLh4<vslVIJ(uNT%i@jh1U=rilf(MnqLMPhU*3mfP4ld~ zH$Ptf;*ijnJ>Hi*P4k4k4f%Pe|DL&ipUK?7$7XwZKA$MajeK_dHptF9MQ7GNecAR< zIXodQ=<zm-19##k=1K3XP<<9^ny0O8Tz;wK{D-@%4c4zNlwNb{PHeB=T0cm<X7j$h zl63w0lIE>1yxR2E`98n4@bc?RonK${J$&}-%xvikojSJbZ}rbV9#*~i*!;I@Z)8@w z9S>d{e0$PdLsP5LQW5Lzrq;JtUo5=(Q0L?P?C7$-kj0NzUR?QBMfOQ@)}QisSN|9M zv442;&RlE#l^6T3ti5s5_NHXW;>qFZkG^}oKYs32R*6ihdD*Gd<?+3KZgYF)?<`tS zG9iA=G2`F$7IkUgCEk^ImHe~VRcP~ejt9H?#jlfJzR@iCv;6n||EJCh+lTyFU+_%+ z@%dWQpSu>uPrv@>{(9}~|L?CqJ^26ct{q?h*Tvp=TEG9?+u!@+)4TuwF8x*U^H=}# zjpu*d|G#IseK=F?zHR?%em;JEE$Y*}`kL75^UdpPVz17(uCIx`G~d3yX72U*&h<5O zFM>qo#{94UzWe|C>%WR$_1F2;{|k96@BAZtfqnSDAG_At>wkF6{(ser^NrK?Y!iLa z|J{CH-s}Fi0so$7u0106$>!pj*FV08e>uKpas9qU|9)&KS--$uEcfrOmG<xc-B|si zf3N*K`^q=}_vJ^{^Zffb-~HF)5B=-Ae<gqHUmyMJ@yGu4w|^yn>R%uGxA5oj>%XM_ z$N!gq?pdk+$J5Ewj_<kV$&VLXvue(qyFBS}{mi9{kJU?O<pve~+3xvdp5WvEQTvwr z9pRfdRc8ODRpv*3BtNYDXtQyx_>s@Yc&>atdTyWE&p#WLzcOvqUhh4xXKtnQo`g5s zsute3H+z!4jP>7X6%%jheqFTjbiVh#h@AVgeyUu1w9&ivk;t#j-P1c{&pM_HJyLzV z$8dVZ${RO#9{s!VaODi^&qn#@j@y{qf4;_a=CkLsx2!Y8#ggQ7&)kV^sZ0-icJ%0s za`E&yFT?k`2lwnzDPBE)=kjCKs?!qV0+s!5omrjrWaZPD*RPy-%lK@cRArUwvqq^( zwX=KHxy_56TIt+-CvtA(3b%RDlPiOJZRRK53sTl!b9m2Mw|DD~+XN}==N;Iy*e&jq z(fd^s-KD+vnV9`oiBl^}3fPnLCLs6ty8KghX~y4fXMI`S_vA%&ecJt7O@AGF_gNpQ ztJD4#on_-+nSJu&`6oBFZ%@4+zIguazS`{SZ@aBG#NP_+smoIT7M*2%?(p23<z<Qc z7a#llW4BjdU1|BZ$<-U~2P}@iHMzE2|C_Suyycs=KY3yOd&B&j)Bo<0`g^GEjr#ZW z`&JFL{=Id-9KI=Um3_W8@!Mzi?u+kNezf`Otm1xOe^b8vvAW>iedQLvmnz?UziMN= zwO7%X&+ePwPr1Q=^@VtU>V3PuzfU;dgkOD;?w_)M^|r@0Rx@k2>$uq$a{XQ?_4m`v zN&4cum&e(aJ@DM;^yX0HqsAMZn~!QA%UcoN6>H=EX=?Ivx1%p__<q&eIJfJ`>SNoS zKW1%Y{`zX;Wq+@IZf|x~oxO2$xk|it*@|;V&e`hzytOg<RcVsAnrggx*^)T@vX7D{ zulL^#%@^4HXU!AoW83BlrzeM9kv{5Yrh4C^%=ho|8{a-p;y=cBW%iMCdlY^y+bI3& zY?8IU*SjNmtIYf7)_Z=kKc2UGd&k>-IzI#6^i`d{u{2!ezE+w4-qM`+p(l$s3hRC} z+qn8wZu0WD$u+xg?5p~H<K=bL`-)|;dz0V1k)HHk#5&}8^7DIBYM$TF{i?T-JKu9( z_8X7A(Qh8zp7dUB_v(AfWiMq<e(#x^)y=)J_G{e6%kRDRmA~0mHUGxc?<(`ftbgyT zxPL?TYu(1|%c}S7%ihgTGT-*K@khf9-mi@tyXC#=0^WqE$o1U~k(b&1XX2CgBYyw8 z^Q70+oUyTPez$_ZH~+8yjOYJ-qvu~LJ)GBG`N`PIB<9zgoqt*H8ojAKY4cfBR&rh4 z**ibm-bsDiedhE1OV`Rv?r!*P`}qlfQVpN4sonWc{co1kFS^~PZEt-3+l#)3xAe~x z|6f?$)*L@meA$a5`HzzR*zlUpTl*uvENFlC$3MEJR`Xip8>6o!e%x=oxnrVx+v?3m z<!Os|xBazy_VZ?DHs7wi8*?XD8acMNc^4aQf4(gG)#1m_zdTEvH#5A3WnO%5`O0(Z zKPPUS`}61znX0UkCRUYpZcnR?3U>`+Vmd0b5_e_p*u(Mp%c7F#+K@lbSLvwzytr|5 zcXxm1-N46{S(+!kH-7f+=<l5y5mXcNCZM+F&8478^963d6Q3`!d#Rn~w(VP<s2@M4 zr+jSw>cx7;*Xe5i)ZAFS?Z=fHwqG+hHa}I7?~ILztEqZ(gzJ%UlKGYNV|siZ?~ZN@ z7nv`*d#RoEw(XOiRLnbbs$|JA`{|*VCmlYg^{6<h{OaP3e?wL6&CBXcKUQvBd-dq^ z<7-xHZ@g=&vR|Pr%lBjJ#@VmBlcryv^ykrympwlw-N^mAbfb2*cU|P0H&&0b-t_&_ z-<bW{tFH3RovKILN#C!8ALF|={m-f!t-MFVkNf3l{L6mRwo7dHjH!QS-MH%baqGs( zvpd#z%dR?}G~I0KpI<k=r9F~Ps-E4Q-!oTI==VnHSF@ASw@;C|zxa*WquI&rucDKz zZ*O}1=7e8xuV0>eS<&uImxC5hzTZ2yrg82zskw*Z-iUllRS#PH_}%foY6WXqQ>&xR zH#Fr=`GxoTtvuoP(xa>@tK^jX#$dZ?vac-+t>#J1Usx_=-8uQ@$`uzq=c(<K-@WYE zcO_FLODoNO>wQx7dcSqb-W1>Dyz*kn`DFE4Me9;itC`(5PR1PbbME!qcFOOYSDBNk z)w$3&7S}gi4p}^T_q4fpc;9Tf^5RK;ih4b_b*`yZYhf7|qxD27+3$R1cdBo^TyatJ z-f6$@bsOcMKV?7iBks$UA8}vg9`=1-Az>Zn+|y@z(9gBk?@?iykpHIO(8ZH$y5>IF z{N~D)7fa?PtAACp-X|sdTeWQ0`i|p|_x#cN{3!d(?kt=7FKnM5&3+?(FHLUc#r&-^ z#qXusf4BQQP3rH5`DcpdH{9<%Qg=tQUS;0TpQ{UR&pCMekNTccyVQFji|_yF+4<S> z_e}kn=2u>@#~YuoIlS+$?q`SIy0_KOzVW|NuTP2#UL60@(Es0|eP37q2rIer;(6V4 znfkN&t3F=;v&l66&+#As_iOwsuM#u-H|u`b<Mlsp+MP`QXIjr^_)YrN%=fbs=FRl( zxihc5a)Ddi?Eam$_P<Z6e4Zus_mtC_$=sXsbx-YC<hFm0MX~nLx^m8EPgQ4%|DIWX z)p&k!<jKDkm$x1LvGK|3i<)Z>+@2>@neO^*>C_`9?wWk=ld7x^efBQv&GYLC_rez6 zkLj+oJG^f*-{)mge~(q2nfvwT`J5Ab7P{Hr=%`Ff{&u@L$^PAr*&QEex@6tCCVMZ< z{CRE__p?&dU;n0^xg5Q5{wc%nS6_s0Pn~zG@9z=5Gpn<{?43J9{nMN8Wohr;9@?`- z`tv*~>$$cwrhiMZ-@CqI=MLc&)B9s@_<s^jQvW8-e<W{3cu#DJYP@FID~6A!HqOra zBNy`1;pE5afV<5{f7q^gw=n5XjgI)yigULFlm6^FZ5PzDf8Ot`l0QP$FOxw@j75FZ zWXsOEyQJp+TKOi$KY95=x8;Ru)_uqQR=BClq^g(eS^Jt=o#no9GX8|0cdy^;pfaxM z8!v|~zPztV_PbY^lBw0vSx@TTtdp`o=Y8Fy&+lc`yDwLM%sFkoA$Z?R+1FyA1aE!s z?Hd)QGOer<t@6#2_q5MlB{lce-#1I-5|%G>TmFs1`rG0+Ke9^x9KYe|zd?A#MNX$O zBmYgo;fsUo+vdL6{Kn+U3zN8n<CPQV2K4&93qASwhOX&8@t@P4ym)f|iC=W@`JErc ztXs=(c3ye0<Ne0RbqD<1d;LB-mvzZ+z8tzZc-BF`m52TOd;NYImz|2=m|Uytc0Bm; z-aYScc-EW;rTeGpWs_{nR%Ml}3VySsE*az~v(tY*%Iju7i#JabeOy^%YW31m<$Ln= zt3TpWo=uUNd&cHY=8BDz=T4uSGih!>Z{KDG>vN0Vm|T7FL@Zf-yNb20snyS=H%{uG z_KWWIJD9fI;DFx}x8t!BWy?j&tg=eFR&NT9nLKx$)Z9x^Z>C&JUB29H`5rmzr#jZx z+>%OcOzqOEgHL{ZZ57`efBmWXWSRZzy6cm<>$iM(y=#}${&n7Yik5Y;;rG32qTjr^ z_ULwU`zwWQlPCY_yCM5^?#Arf9(Cbw?reLsJK5d3V`Jo<z@D?~q-HOjt8zR|OaE9} zaPQeq=O!f^@7ygh+tk$Tt*y%OW$TZn<@x7*yK;kv>yD0}@O|OuCu@&>KgM_U`%%5O z>Oaq%uc_a)blq{;^Hcx)yD^jb2>+43EBwdw<aI3P-M4b-dA@$d$3LCUs>eTHzxu=H z&Y~w3|DGf!)u<hun3R*&CzQN-;jy%D87DVNuG|=D>sFLwrD|w)`*`=pm-7^krMdT> zebhNA*|>6&#O#GqvzJa*J)ZW+#L#SYR?advmE&o?y}r*&^2)B>_;UWivg&OT_jez$ zd{mume&xqKQFm2)m9kecyH+0izOH88lOOk%o)>>yeLd`Pb@(oiBAa^u-sj)-rpcVo zk5xMMnLVpUt<_ug_-A!J;m5`w^~IBoYg;8|mztUxhkNy%-6l1A>S~qaY2UI8&7!Y& zY>eCy)^paQ*Y~^C$&E85gu$NpSaova%zd6c=XWmMXTGg`^OGNQjJJ1uv~+J<{dm&j z;<7x^$HnhfeYE_&-Lvn!W%Y82^YW`c{@J-(<@jgws}=t)-JSIK=iBNd75}D5osZu$ ze|D^?*=hcQ&C8E1`>$aBnZNLIRYcF(DCeH%TmGvZOIvWPc-enFOS9-Ko8{kUJgGQ$ zrbS}*S@k0~&a@}xY`c0x$41lAZ0+(RH`c7)_|i_-((LW?BR}q$Uj2Sd&s_ERcfG%R zB<}Ct_V5(<q{r3MYXf`U$GZ2vkA16p^5fpqTaHxxYm++vevg{vJo}KxKfBj^_dWOD zt9T6L(7Nxkd1Y5_=xp72Gc<YgH$C&>_l296A4_}8eDdS>vv1my{?u+>eC)n?_QWSY zey6=tHvG3wvPS3Fee>@5ogdHF%9{S$x&GVEC)!8O)hZsd|NiyLkLUXyc%KaKiv8iW zr|8X*>yJv_v{g;LG4s7jylL5U_Kotpzbuy6&-QxN$J#aj+&|6SfBygKif8XXuTKAG z_kYS0<NEpk%|D*G|NMWf&1c#4ScSOFYuX>xmtW;Su6I_=@?T7%Q_uVAJUzpG?z*P? z-v8XGdi?u}<;T9;&TucPxzGK%>!a-}J%wXwmYT<wZCxNSd!E$nm#r$t)1rINo;oz? z{GXj0c1X-_l$t$L#Qb$uj@YyZX-S@jX3|+X&!#_2i|+L;kIZw+$_dNKOPl^U&Arz* z-!)GyOelHts$;v=!>7s2<~6;ycgC}{EKx(V*Q>ifzPo99<Oa{x8ztAK$jp{CHOtQS z>?=Q?dgMmWHPPhFJLXA%;@$hTci-77Y)Lt0S8wR#Ygn31t`|z)yzJOATMbLIT2r&) z*`Rchwn5W;u6^<5mB-TRxbwDMxuL^fXuNl+#Oz$td7HQJnpbD#RNXGRe9N!rtV3_P ze$M%`NBEL*epMRYv)#KHl(z5LZti|^BWLx-$eW=>HtA*NhGx;#T^l1cIzYMc<vUeN zGvVs)jW+|5H}B|wa%0Ok@#M{mj-_3iDl<FO)NC_*!RE!s(%w4crSUyXbMEzh9+20T zl_S=D$46ETRFpg~>3;;u!Iv)Hd9!L`q;=cuokAc-h50{7d*xziR%?3iuAOI5j@i{8 zHmB8#jbp`<jct5;&KsL69ZU1>J^Sb7q-0RaDrkFB@%_-uq@1$zV#%9p`XpvwTLFou zVpT{yRZdF2ye|Oc&9i4*@2pw9F>=R@*`3};Zse@kIP*WZ`R%NnUDJz=gOWEFv6^oV zFWS8L*s}1)X^*5pCCQ%ZPf;f~-VAtrxBGgbv8mdzw7+hK_iSHxZ<JiM@#P&AOEc@N zoNIa0WZuu)?b>tpg_)sQ_xA3Mpwuto(RcQWEF_xlYg(F3{@%4QGC2A2KL4VeUs*ZN zUfp@Ka--y(^S;j$^USW^IAee4>@=y_Pu+LU%<I^AGwiXYw=hV>OWSk4ujP|+*s}Ii zKjh3)b22phyZmUy_b*EzvGlnI5=)g~MK<Z*EDg<~^*c63Rs=$dAk~u_XI4b^oNbeu zeKcI<__D9NBxZ}6noZ94=sUYgYW7X@oi_uMH-8f|H-2BVdEv2TpXJP*P0hOB7aN<# z_MBZNb^fK>oi{5sM*ivmCB5wbkJ8>HgNpk#b*IjTxcB(R_m*$BpDZ&Q+;e$;$ERNL zSlW-R67$pFNgJ9)XXQNG4T+`?YeCUeo|IEoFOt0Z&t!?&*Ul&9RAuEXtDcm+dF`>Z zr{X6!W<tuYv}gOl(ez{PY))-S^=Yjj*Z;ITx$$OL^5wa)MK<Y6j-{pYo%}fGrX|Sv zmuI)n?yNj|W6i3KGw1jh<(OT$p>yv1*(*#*IoHI69$RkahQyYR5+t_7rpmmZ7wyw? zHiOg9tlPT_99vtaJh|cXwR_{ukmSvKwmiAvqo;lhRD)Dkp4|9y^~Raejk9e{&6*kW ztTMr|wH^{%p*v^B`SzUMCUrhCsvD$YFOT`!tw(S0T&ehe$m)*I8tr4t_BTqrpLZ{y z=j<-2_w!CdD~w*1<Hc#_V#%Agc1p}{^*wr{=gN%|^T{%^Io%<#byoHGvaL%Yu@&tJ ziLJFD?{1#<I4!L@&+N*LlClSBD~_cVy5}t`6H4B^@>ub%-Js-~mT3;E_SU>TclM4P zC=Es4ojrSH?U5T_u2z&+&w6qrXVu5bY2TlwRoNSw9cDiA!={+MYvawJWaIh?Pi}xx zC69MsxxS3fv9#?P$I^Zp7@Dm;eDubbD>r=P6)nwfXXS+TO_7;h<_XDE8^N(<(KoyE z@lkN5vY$CS*VOE;k-4&|nfCGm<7zE)>#Urr^aA6;WfHSPP4C&h^z1v^CiOnfrhE3v z%|~ynnXi3pclvqZWaE(J%a-v)Ib~OFtO->)zWceJK(g_!h@P{LRFiVbuHMjz)v`1T zp56(LrkJTRvrlRty|HHH#>{wCNZA)&3@Q{pgy&gZF9er;J+pO9%}!r0Hm+@klzk<} zpkk(Kd%<NX@nqwG$9K867a14MmYDrdHfc}wFF!-G*I9e2pXq^<+vcL}Pb$v6u}#X^ z_9`hy?45YBaZvK*T8E+>H8~MT#jbdAW2Whm8*5f<ocU+=6L2E@YYp=6HMz%W&p5&H z<ODAJ=08b$rD<q3JIiMIZyk_|Y4aYZh4-F~nml{w^PM+?lQ;h|G7nzgvGL{VkMF*% zo&<`~=aG4Z#(!r?%zijKsb=2J?MH6ptlT)WKDsCe)aJT3QRaPIM)$^>wedY?Kb07o zt*!6c_;TgOng1M$K=u5Z8VyUcxBZ~xc;n2O2WhdrXAi00@%a-CPGUdL?*!$jzfH3z zO3j|CzjNl_E>NYjH-G2MAAUV&S4q8}_a_dNohrUR;=kkbSL0aPUiD+U)9VGn@$|F* z$qi7^@H@0<Pj#MG&)FB{hGyN@nm)?wa)SyB@6DhBa1EpYJg;VHHn|v50Q!0K`NsF2 zy%PgUnv!SE`li=`vy}b;Z~>@dewn)qQUG=rfm%4*CCt|z1^J=kyHnTfPSqnfzWfFi zfR~bTu3fG8e#Qt=f}}>C{5U5vy65aQuB03>wj<yi6+T5~cIQ-ZSsxh_S(FpCx^rV> zV6ySfU681XZ3cNcJG;nuGoQJ1R*u!{BIA(c%{%1GgI|LRgU6P4dv}0}$SsEEyR&kx z{X25@jshqFg~{eEGZRm~9RB$3TYK-mv&*FBr&n_4Eo(n=gJ-+g<IiWFLZa%v8zic> zO_g~ccf+se?7P0CoN47k$(K)cgQMzRBqXZdC|R1lwLNl!=gN&UZ%&@wB{jR1$y~U) z6I8<*@7D)cQ@;yA)zl_?^UZpo^iy^Cjt?l4hW3{G%PCo!NoVb;{uq$AtSc$!TED>K zyPMs+z}1wDDx~1+165PjZarsTSQwghABR*^B~4Fm_{`M+)yZc+d4ihLt02w$%h2Y1 z3#eFYzP$70oTF!#NzMMbdFRcb<jpmF=9d?P8j}_0f?7c;_HysYjMX@nw&2)qalJ=r zkCF_{{+>Qk@x3GzQt<tRwkCGQfa)nOL$m1c?v0T@R!e|VU!HGKj@mjwNREm+xiRyu z!m%{h-m_17CxIGEY1f{E+6uRP@0_`F3RD}M%e}*M^@h&9b7w;oKrVk?^8Oh}#U)#C zYhw5G**kyl0Ou$L^I-l?NRB!T%~5qNvtPO&y}|Qe@7VL1)jmC-RQHqf<i?x9$;K5i zMSH3r$|vQN%?H&}QzT|zy9}wP)S=A@<4MVv>*63KA2+z<tC%>ub2>PQ&CD;{y!P0# z-%{X`Z+)@xZ#Hl|y)Q7nC<gM#ZuR?9A+5e2a^}JByEjJucjzfUU#D>_ZN;%=!k&F+ z`=n-X?FW^7|5T5qed&~#eY74DPhVC(x#3fK<VMb_jgkL+igMKULt1@*w?4V?<?4+y zwTI8nlbY=~efBy2J2|U1&ip?ST=Ll$8vor4Dfzx=gA#64@SQDn!jO{h|I@Tb<%VW| zBgMP^XGB(f|B?gAQa<w`ZHk$o%C?Np&@8&Rb7SO;XmFdNT<+w?nKQz{Maa>gD#yVM zV^Eud8(K@Xf@`U59OlNF;L>jzq?Yo`TQ&_^OPvPSQYW8*O21o0ch2aZ2f6*~)EzUU zA|Y*x%h0%rYn}Zv^ym$q>jIBIpINI3Y5GM$+7uH&rC&sJ&)IiXNjcN5izi>c<<R5n z-+T5;+az$CLRH(+?5(4r8MCRG@$7=l>yD)*2Ir}nL3(7MR`JyvCG9&veaoAZX5U;3 z%IBAFPn+HO_Q;Jjt3JLv`BwFKnsaZt{<{Nbuk<D5Tq_rQe0THi4sf1&qXo%RWs_yz z&$}Jlb9RNov9v;cL$lpkIn%yPlL6Paptza`D*4tyvJ^8UOTBXdHKBO;9xW@@FrS^3 z^Q`+$&dQCEc0IFS9zJ>_XZ6Q9OBaLcgUi-!v%|oZY`MP7>9a=)lX8BkcYS>Kax$pr z_VL|JP>(md_pDbWC`*YLnnf!o<%sz~Dk)p%q8znzkV@*}WEpU?7!*s-y&!Fg&miw^ zF0(T?7B2*~{E7|DK`p=O1;(W-C1(4Y&bz#K4ybJO4lmfe`dHdque@jL9;NyBo_%F{ z$0t_%*s}G<cHh442`%}0X1{!W^af9@q22jAzDYUTuG~0tU&qp{IxB~5yGLKSeoyzt zn|ot>&K4;gTUOc*X`ov}b5ty-f$k5^Q9g4)y=w07#l{~SBxduPntkps0Ou$TaE{{5 zOZ$Heltu16zT@-98B#~pI6>;Dq!VYaOis$Vrhc^I`y1n=oVKhStMguv9Q7QOqh=-L zxaoIojI8m5jB89+J)ZVT6jUMSOp6D#CCrb2+Y<XVA#I6#aM$&NnfcuBJ8p&~8~?X6 z|IaV!_wS>S6Zkj>h6={iz3hLgKmXld`{u^RWO?hdH#d&+b=}z5ALz7FLRG`ho6|Ju zxS!^tu#C_suYe;O8@1Asw<MXBt=V;7|N7_h{r3I8zf}~(uD||#$E?q9*Z=?jefFF= zm+MR49r(BF=HJ*_-v7;?-@N{zdyf56^xW`!DfNp#cm7d(elq$^^ttBkh1uJlT+`Y6 zc=pQr$JcWD3bNDgA6|QNTD0+ZyXtJdC)d{09=I2m{?GZ&tiS&sUDN3;%;tM~t;aqw z|Lm3JJ4~&MvZvW6=bwF`eP@>GPSeZ6->$7Wy>{mRTjuUX*=GAbp1t$GX0!74v@gy1 zWlyi^uz%iB&(^s2+!>P{rqxB&b3gLDd0X1|<l34uC+@{PkH|ZF%x7Pu$IoXjd1rs+ z?3+1Lc6(ZI-q`}aZ`a<Od|Mj!{L9%KsqJaya(Cj=6XWj8s@-YY{dwbdkn(GP-n_lz z&Hdn-jDAb>=3NGPXA=bP%<8-|>!$0?=wgNKX}N~quJKGivi9brXyffu^0z&`rlb3I z_R7?P?6N1<boAcOX5MM~ShS{CV|!Yz>-{t9pUhU?X*zjM{<5&cYa^%M-nl$HJ^yUq zopXElwB@H=JF@m>9Q*d-^Un*j%bs5Axt5xLcH*5`y<czK-YI_V_*%<59NW_>lkdz* z-f5~WSe>0_c5v;@$<dp4X;x=1+kRy2%?Z)Qce?hP&MwLp<JxCAF?#dXt@&=Zf2R58 zoqhCe-_7aKn|C$mr*-czWiP6pJ2keZSZRCOrq%guyB}W5Ir;XE_wL_mt6HKr?-b8_ zKJTvd_O$4{=ksp2?=@vF%D(n3HGjSFe)+t!{}^|edb2;eCUa`7r2LV4vsTW3bnVTF zwUKr+_L?>yF37(2<eJa_p1r28i?W~be+PR&xyHDBN`BdsYdwA6&aS&N>uGY0aj{O` z*?D*3(qq1YluQ+_Dc0GZ_SogV&YUN+g?F01Uj8dh?cmy*Q*Q5U-=CC!cHW&j+tvHO zoqdzJ!*qB0gKw`RErhqH#pj((>fLLqUX;D<TvGn?I4kMx&wj+*nRWGZK{ng-$JczG zTsw0O5>s!PzFp(dKM0Pg@|ED2ihVYFC0pLvzB{vyuC4*aX3f@ozNgpL#6F(=GrP7} zWqVrD-uz{C{n4BCwio-~KX7lB?9RE>Ki%%1xziH8`7isObGG%|c_0s6T>ECN<)rA% zh0@j5-w(arVcK5)=$g*`)@YEYp7y_4JM*V7$h)(y?!Fmq{8#+WEZ&`_hxcy+C&WX0 z!EseOKi@6({+p9)BWo_0|1Qc7lmB$~&0%O<9S6tNrO#&{6yBMoyK`>!OV0Z~ww=+N zf12bypI6HUiL2L}w?A{pJ9}&Mo42LU<{w^rvtDp}+ROPnOxugHkLhpRo|e@R4azq^ zQ@>r~i95bFa&olsU$*M(YfrD8`F|Rmx!U&4tUhjTU6k$i9}-pnf22K&y)(;p=iYNa znD5J+TpRiGg8A{HY%{x0XAd0*yZqnE{4~46khq$^d3&0F-u`)4^=piOtLB}3cYVj- zDzSYB*Md^t58di)zbDsnYLDMLxA%)}-q}Kf^}o{vA~sv`=ABK5g5<21Hy}By>f1G* z;2oyXMcL2NzMb86XV%il8e>y!NPJn=g5qn=x3dfH%-XuM#@K#)e&3U8KF_|Ny~4D^ z^mUQ-_mZ}~rq)H)-#<0&n;FTmJ*_(M&N<ut5|HS61c@%eP1}q0bsD3KcbMdz{baPm z^mtKr*tFFAvuBDxB}sPJ<~M6+#&K>>bIv<kkoxUf&Z)JL5l78I$t~>b*$iHAY{gs# z$JX^vXW!g{#@1JGY;D??&nEo<lCz|LrDZAKnRV8;!20`}Wjjo77g>M5llEq<<%H<X z+bpZA=l;|!$o|H?!_+(aF*s-CAHFwhWo{w382R6_*R)wF@9eBQvtG*H1f|BbI(1M~ z+`Mtqym<HTH21u-E1t}b-D!Hd`bS!tKP0v);z3FxZ=MIoR?>Z)H}An2%l_-xGY5B= z&Mvb4{y_j-1YX}9_3iANg*!}lw?77DEGBTqdUXC~G^l`h?eVSb-BPZ+vmXt2n65tl z=o(L5W3=(aXya-bNKr0({@$#Io{*TTdpSFIr>VF4@3dDUcV@}%H0|F1?QG+nSsyd^ zSx$-GT(&F!S^Z;h8MwK|xYR7~?5;cKZ2v}oyY}Y9+dHS9|44flb7$6DtGws)_A_ix z3(q_I!s**JnUia8?&#P%xB6kyomq!Z7i3S9hD4QKdj8onqL8RspOSy}%hnyH(nZ;A zzL2Q;F*hHS+G3y2zSz4DR8Uq-&j0rG+L~=O#?{7oXB+Rtr9U;hKj*~SnRnjJJ}3+F zf%W&G+vdULkFMqX<J?|+{$6kNX5H<@=l{-x6oT&(^Vb{SKe+bhe(~*TFYWHka^7kB zc>l)jX|L+QzCF#qVSCyK14vZu-@H97Ht*~o<$a){;p2+@XY)RQ3Y&|Q_uZTtz4_0S z{Iq|K(VNw`UzfCh4GI(O^FN<~YOBxtA}bDpQrR{3eKRX1L50L~xqXN3&9dG3w<;|D z>)AKiJ4|=~KlJwcW`iS;jHL$2SbLA&JGb|XS>D-?^#$2s_m8fX>?z1rE6Ps0pPUbh zhOOUkMjQW;*q-K{clJ(w?PlHWX_;?kuk?phS9K4;)s=oNsJeQqegBMq4X6<OG&g_Q zzT=SU>i=<QA;^CJ49n-8z5)@OZDsSG&zmm?j;#zfNNmlRxOZ-KqS~EVha(HJr==fV zYdI}?vypUlc3RqTaFu1nUY)({`7v;nHK!d?Wj*_Pw)4)cwLfc&qb`_N7iEiye>%JG z&aA7gwZ^+SAkn4z1*GJp&^}3>&gjjm+l%#epUz&nv@qLj`r)^CHb>r>mAi9pbzbGS zYceO+Mn*U8-Fxm&*ACO)s~=p`S=$v2^4FZ?{IgfGAZ?8B^!&34xsW!->kZ)8s<{U) z2CscRd#7#R&56;*w@#SvF3O%}{_U(!OEfsL^520YEBXgGvZn2eym1N~S=+y!J>y@H zZT7V6-NUjsYi~}zy*|CP`u>@B4bhu-3+L^h*E+W_``goNI`0nMn<c!{G<r9v_<7qF zUA%`q@9e92J4~DRLSpNC8YH%AZ$um4k%z<<<G!2IqBoZ;$X~{HXzk63(VHV68EYXV zW6j8aR{sp-uF1-^#-RrxvDKdhX<XE7$xrJ$xb~(U-}d73^8L}9wYR5*KpPjrpvHyY zk+qgKlH1dMia;6{%QtNY*S?dZH~-T9cFpHM8@LSozyrxx7MDRD-j=mba_-~VwmVI` zpZ@|?#jh&&&9s#VRYB`F7fl4^Js-VqXCDYbYpkIAKCx}ln=4uKp3mDW1gWuhcR@1N zy0rZD#`U~;XaBt~$ey<D@LJ0$(Z>7c?KKVFe;n-F8s_S3HuJ~V)|^~B^B*&$%DVpu z<YDRUztYydoh`f5bg^|U$Y($G?w|Q12&#tG8}B;~iq0^reV_#RQT)EnpQ|9JUwi-k z>=|ZAA-Lf*G-JtsIs3+Whw1KqQ0t;X1X2hlzgY`vNL@|Ne;!vQv;El*%{#NM)<3w$ zGw;~inJ3oHtZjpM;MbeAlKUD!X)^7d>;0V5Ya@Rx&tDb?iK-u~^7)=z>xusiuCA`v z8vkztS6BBwoxO4!QeAzqezW%G#M?Wk|9?As=KlxRa`w01-ue9g@wGRnMjQWX*lP-E z`P!xAKacytvHe*=PxR(LoOx$A-kCMk|7NuDXGuuw;{45MW7~t^T=i!bBv<YG2+A^R z^J|TN9R%m9=AU=%?{0ke9+b5*Z`^);#;+Sx;a-oNIdSjabDei)o%Go!xugKxfIs_Y z_R7eDY_s&^YiG`721VI=V{>&#vA^`@?bm0{w?=Qa*Uvk9sb&Ybk@0!+_OvWUNLeWP z?HZ5RL2x5uuLdN>^ub9~y8Cxpp62~GC)P^pz6DoXr+=iSMMA4BR&ccyc@bP~g?&1E z=oGk-v2Rv>TG(+&BO`kQD0cVHyJ}i%yjv{qY@Xcq`16N$?J)galr45WIUnrfuQzY+ zoNjhtt>rz5?P;cm)=K6yfvc_Enh^Kr9=kW|VHl{;&wj=Q&Rkoz<}cfJ5S-k0>;=bI z`3G=}Ey{mZ{sq)bT^oBd{-Moo>AIz#8~<p%esk_$*7Ki2b-kaD&HJ(S+~eB9*E~<J zt$cs{o>gE^bh^%VzmE?0Ps%*9=G|G!m3n{XiM5xlrtB>ZYX9x`-{Zb!Six%+_lMuA zZWX;g;=Xyi-}i_+=T?UueY+<}{lT@DC)NhrP25{*r2g>Q%6UD}>c2$u=B~SAH8p<o zcE1X#yt(`CSnV{fNiUlAKIHtdwUhtq=FM%qW3{#TMs#|KT;BTSwTgM`)yoC*<}SQr z^|kwEwE8ZV?S7w)?pQt5E_~hd<XUFT(R)^{s|#QIJh`T6TC4tN?|YM{*EH`vus+zk z@A9;0_1ewvdGfx_y_CD7G-&(dZ&g-B)wWNqZ$vLISI>JMx?g(xXRrT~+x^1x=AN>B zvsSpQ;I&Hq(Y3)l<hT3z=gob^{ATUsw~ww#o>)7%{ziGz@f!7s(dySfgPn8yW_0?W zCGSh-eVkh(zx|v?-umSqb*f*B%sagHauwtD&#QO}tk-Y6V|8}=&FJMnxbo&c2)$$V z@%4_<P5F<mWu9CcT-Cj|G${WuD3m7u?0?U5|MT1*wRfyu@)x`=d3tSS&53(ffBOro zZF~I-t8HhVFL?ds$+eYr=k8hE)Gv5_W#6H-!GFZI`^D$YePaFX+R57f=yZ+kej+*# z{z<Z2^YrbHUaq#?ua528HOZ4}FVC2`w^U8@{>yXEtS`RVQF^TCwaN2@{JD?j>?ple z{P0`Vs?QI<RjC!d-tsIxf9@rw9i>5%cdVYa7QB8Uc6co)AmirjExn`&j=hIL`;4Qm zm7hBO@Y>0gLa?j!&fl|Y7A>r{JsVnBZJWpkc2<h%w`(WY_C+t>r<ON2NN)Q%hrGGB zcD-3UIi4RBTyqb#?VEh>NcpRx*DkYvor}nu`z-CvTH&0R)_d31F5keLH@EXnoPT!o zw`-bzS+@J7SKm3OeUo$hXRfkiU>DtM-CKHT_oHho-}FVR?-$RT+jqz6>E4^s>YMrV z=FYogb@N8~G4@}6PZjQ1J@hYp{pIO3PrkJLxrvh7uV1#3*?xVpEfd5^=Wj-<*Q?~s zJr=m5^ilkSYm%qd2HW@TEnW1u@O97AYnt<3SkDZuS+2O<?_mfy{d?VrRxh3P{>%9f zb05vzQQ9Pxw|=?qcE1|2>enUp-M1^Ro;&__Pf+O{E7_f;r^GjG_j~4a$LeZ#;p-Hs z9e?X~Xl}p1J54`5fB*5klW)JTJF0!-_WO;^+J(RW7DVUmFV~HEJ-c?NskiTanG<Vg z{yAq}eEsLMfV{J>diP0!`fO_3ug}cd2r0+*-88>kf1v)4?YG<=bF1H#feWqA<r}tx zG*r#rJGZ(-J@4$n`32d>${&N;pppNKtFxEAKL{$4H(vq`Rn&sY?(DRG$L@jpbBll1 zg1UR38SkI5e*-Gkxa+^4op)!}RQDR=8gO;8e)FG}{Jy8x*4VuSHN|K9|4jQRzfaPp zH+u6&oxJCAcI}`Fr1-qu`Fpc!cmAzX)8DZD`pjy<?P>W9(VKr5ff_-xmWJPmHm(o_ zdE)F3({I=2{Ndi7W^`oj%qrpSX})=9??k@=)t;OGnN??}-9HK*b+P{hYIuIE-Z!&O zemkgHed##3+3*L_N09%W_N5c-u-b>SU+NcTn>{IeH*@_BP(z`3y-$ttAF1tW&#mvA zv;8FpDtXTy`hNr5wf)Tgt?b=Vg~$I+KEJ;BWkGh>vg6?D(u%n{yUqB~wKu2N&OCGE zUR-)w*qvFvJ54ul-Ux2ZJbJTF@=PPBZJm~wcmK`lwUM)qo3j^Xt9|~JmS=Zg=G5BA z*bC;(t)S6_Z&tzFn?b&PE~o!!_Dk2o>}^hW&e?8f0rv!ds@?=Q>uNQ>mA$)oX@@EM z>4(>PHtzt{m(!-F=b!z;wZn9GQFd5ZO8(g^s~{0p^afl-Mx6!MG2!3O{<#Hil5gnC z|MukCnkTi!G2)==fBoh?3&FvX2Og7{E&V&q@YC4@;XAW>&lXyLFW`o>Y|6a9U3+u- z?RDduU3>SQi(%ZJmLGj**3tP7z^%|*eS1xt-xg+XdwQ*>JR$$=NxvPYljjy@FDrx8 zjoY=WL4#jw-XFU+>!vMO-I@1KLG78xduxsN@`HP<OaFo!<v$s~m81Oy^To~J0h6_F zX1{cX#@AtJeEm6Ne)w=<c3Iz%w|5qEfg1*`i=pwA0gA8Wg6zJ&!)qfg<-mhnSyT6# z9u_VDHBEi|Qu5E9(F8S&veW!i^UqGZGwbLP^XB-6*Fa60AHAUdlg?alKVh<Qt#LTG zMRT>d#@Ip}l=#*gm&#RVZ+lwy?&HsWGuJ)7mJ{1@d*^e#W1vdaxSkW@)A&>O&e`tb z*`BtsQU8U_<f8ut^?z^vy*vM_``?S%n=AX%zT3@w^w#pvKAYv=4c^uo9DQB<v$k=4 z_WvLAML(2Icp&%vvCQ<Ttg_E5?ap!id$i}o|8m(sS1YRmzw>{;zW3ex&Odh1I(h#& zn)dJ9@BZoc-0#jH3Fde2&k5OQT`0}a>$HCn5Y1J8V&N8^yuaU0ZT@3n^X6Y<JnR46 zpKq=Dp?vPN<)6Uktye!BpOYSby!u>l_Je+F`IGYt?nO`jJNaC6_Cx=f>wEtnuH3Tb zcl+<xncvH+cFLPp99yXV-unIeBlrGQuUY$M?_RBcYn;EE{IP8RbMwb%zT}_W=c4zg z{$J$$TjtMvzPZI8r_1bm{*bx--p?I>pR1L=|H-|l|Ed1&t52N1t(VX{7GLTS+qD1L zgnc6QcNL2z|Ft>aIPm}JguOEIvu?|$+>QSx)fT*`@N55T`R}g3o!9<8-RBwmd-1;) zu|H?$nf{#iH~N{e@8`!Kl8eRu<lcVr^ZirV<saLA?4Nsm`+@(DZEkVZU+=rgCV$h= z`oVk4_wTy)zqi?L{jc$JLHr-*=PxDxpQtQi__y(Mf@|FQ`nNMeKWzM5VERY+c78+s zE1Mf!|IfUvaQ{>M{P5n7`g6YXAKYJZ&sOUHsmkXmHIHm|32cv_{3!Kaad<_3+{?Y6 ztl!^!y@!8!#p9~|`v2}#zhZlTS2J$!#rMVLmGZtH(@wwlHm~;2`zUw+oo#XZ_kSYm zxBjgwTK@f8?7h?VU*!Is{GHIXeg4<q1utrg-h6o%KI#ALod1Wae_FhM`0*Zl@SeD@ zzYlTVYZl&HzO3S@%Kr2JF8wa(GWYxT`_B3g>+ilk{ZH!uvC6+Ccm6FmvSj{$?&lAm zKh@8dZvOb+^8LK#{}1+5$;s`AZ>o`<f9&TIrkbedkG(&<oHJeO$Cq>N;SY^1{7pYf z&b^*@D81<3FXnkg_x7;PzghV>?9RXRnEkx-uU5V-t1)}Nm@n`D_Pc+&zh8>q>t6pE zlze~x-S=_9_eak6g88fE?H}#^y5jo}!}qP~d)WP}-urxfcDXLT>R9!z_qCtqeE;_O z-f8^`{e4etO+Vb5`)F<WU%R@q)z3=bAG}bV-W7iD%kN9p_b&hcc5n8hwG;0je_wfi z&wJY+CqQy`-+o_Gzjt|l)qCHM-^A<U|6Qv7G4=Zu^Lx94_xxIW|M>sU^L`$zuJ0;e zHuvD)c=7+$>wTWvTJQb-#phmiu#M->+RCu^4|71_XubS?_~m=W#g*sJyxwbnH2d?< zTFb|_F&BO(bd`&L{QYXtz2ff5^EwYfa^AmcQzhTuOx?@ByduAHzkk?=dGQykcXWL} zD6)S2tM6A!_dNe}&pmk0#<lmw>mS)}o%{XFyL-Qb_k?}=eb4dU<oQ+S<=@!aT=|{Q zb)En7?^lBNitWGK&3g2A@t=KVTfV=Lcz^RTIK@=#_xJlU&;I1^iY|V?kH3GdyvN=7 z{Z1yx=*nI9ub=xOcXf~dvL6q&*Tvf(uYMTwe&??57e(^p9(>>0z1Mwu#eAQSiHF}y zPp{UGduUs~_4`MW{Mg6ezuvFZk9lsp<KFKVZ12xX@136aN$&5#-zi<&^FRJR_V!+P zd4>Phz5VY$-TSrp`w#zn+}|tst?pR|?<rh=U;O>&dB0|V|HynVI`;j?=6l@r-{ou` z?KM6++jhUc?K|7QE#FTD-@E<)LtNdh>W`7{S3ciUzP#eK>;C@#FKs_h`u;%l{anqs z%B%0a^DF%SpRUgD+J67j?@zby9WLLq{NC4lb01xucz?Rxhk5@lfaLza{{D31_YcDF zSDxQnzP#cq_x^tMd&cqA@BKfXE3b?Hd9V6g{k_YV&;0oR?|<`N&3Qhrzdx1S({5ZP z@AL89nY#G7N2~L~-p{n$^L=?muHXLkXWrUc_kVvV^8W1m?<bk=eU^N`)%C;8yYJPb zyB`-@yw~kKpSk~h44c2Tzi$8er#8EV?6!YCwCab=xznp3f3{k`w)On;JyVZAFTWQp zAAjIyhO*6Lo2{Dr7JPmq_QUBMH)~De^QXBVUC)#sdHemqL%)0M!8W^h-{)UmvG~dN zqqcjNfBSN8?xVA{H}qfqE8cCmZ^q|`jXxfpYj*x{p|V0^U(4qg95tTL3t8h&RTlB= z+w%EY;SZy8yVW0@wvzuR6o0OA!h^`?4>Ny!I=9&PgXo;&=?}lTzk4E6qx$^p=Z{n8 zmg^sR+yCgW_lMBA?9(4xK7SbcBkSDa?2lLH9>4xD+rmHZ!1a=QIS;cf-`{Im|F)*W zdSBn?2YNQ|ZT3jVU$4A!@OrV_{qFVeZFb6U-_ACR|B<xS`+u_cPyGB~Sd;wx;N*%Y zHZ@ZBk5qoq*|+lZ57rvv=O?{?JUcgg{ex(W_xt+tU)ES0*<K{Kr&)YX`};@H*8TU6 zZ!eUqZ^?gcBl+0A@ZKMu`{ydZd)HJyKfL~<>>T#{k6oW98cdF6IoNk@@yic$=NvbF zWNq!AcC5Vc-W=ZhS1Zf?YRsP>-udzFT=vh8Ri8g>`XP62vFiuhxyQR7S6li|JMuPn z`ZJ>+cIS3S*2F(QEc)^99QM_ZHhwNBt*L*0G3p27xyLqj3qGgR{!w^-w)ew)tM_?L z@^5N(Sk`qEe^-tE&i{RX_49|@ek?q<`0dBTbB<d-vM-+Zrb+(Yo}JcpEuY`b`*D6Q z|M!FaC30{2!{@ZKKXkY3=R4S6CMVw}|JcTo$^Kg9=BEFLD!)tov3P#?@rTEA+0`F~ zK7V-f2j{uPEcO>GYozKXeSX68XZpF>=?}ks3;QnfN96h8&mV*5vWq|L{QM#4Px!gT zr$5G9_pd+XUpz0Sd;YsUm9qb&pWl`KU_6IE`p3+3&Cwrceg44rN9Xy)*dI5~J>LEC zzLme#56g42zdw3z_5NP}{O2`46zcYUejvE#oz0tv;uih$j_8-j+4sgjv8fc>f1~m< z>mQ-#cc*{wp35J9P`_AiPow#q_WVcFt^4mCTwfr!zdQb=O{M()Q<a~U|71PCYgpI& z`C<Bxt>>E8e^~bUgY=*BbBo`9d_CtlqkKZldz+bj|Mz}=*7Jk++-~E?=2r6O+U`HG z@p!EJ{GrW{v*#B7{Ah2{FMcGyaGp-n{a1T-TGY+{{BF{Zx98eVKPb20pLYEAxx-UG zSkHA|{ixisKm7Rr7dDaH|0jP=liPp0vb^@s`E%{k55HURn;o@2cUbqsev5vtAMtaK z-+uJn%75GO_r>?_2>ze_`JLX6zvtR_KjydK|8{8ixx=*|+2^|de#~##&woh1Y@STh z|GSl+4gLr|zpMPgeJ;QDkK}XB$sgx^{!sC!@cG5gAHwGzPyVPrSDo|Ul+VvHf7s6{ zKYw6;nVk)H{jtgdy?f<y*FL7tbr*kl-m+i+==}0|F@5_V?5Py}x9Ib`tv~GN@~=M} zUnF;@gMUuD`D1<S{=B2{g>v^B_rJ2)$z6Z8@^jvw(&u;i{<VF6xcA5YIqduooS#2* z{xSR9V)qZ*=Nz~Fll%PS<R8}OX8S+>Uv{rn?0^5~hulAQpKE5cE0WvSwg0(I6?6Ul z%0FuV8b3dg{p0-nZ28CjIpz0{+n3q>;rPG)^8?|UH#UD{{vWCQBKmLT=O5C4jGv$U z{^R+%*$2<)39!Uly!UIbe_3Op{BP>#1hJY&HgjbE->RH)Y=5EL`R4l9HZ$e_pQ|i( z{8Rn>uIq>YmhyUi{~y>yaPcoI{hRtRbB_Dz$Cs`8!w)Slp0}pU|NWkwR(8#w-$niC zIoDoUqxSqDTg9o$9fo$BKW7Bjh&}%(_G8Vt$xL>$KR?^{<ITC<w;vZ<$iM42|I+4$ zsJ}J8_m7x!i}!xmIrsSQN1rYHza4*Gde4Sq{`t!90yTBd4<G)(I)~l<xbeBei$Ac= zbwB=y+p@p^xOmyTIUV|s_EZ|}Tk$!sN&i_*h19+spC9<xl*H9A#2>1Bs#xRs{Hyzi zrE|7d|G0GSxBsKl7V`GJ`mb&N%Iur-`A&DmwaUT<{r7u5Y3*zJ{7d>r*SXvIkF+h{ z?{8lJrsj{{zOK(-*ng}#S1kY7^7&E!4_D`e+Z_)tkNeLRf4K6ubdB%xzwbY8ozu^3 z_dEJ_WmQ#;?DL&7KkWJZNax3{bK099zqVYz{NvZT+n*maFSs{f{{DrZ6^=E^&tJNJ z?4A4E$)+eShU@;3%GAi3;OAeTempy8d-x&qa=WkVFEYnO7`!|5s@b4|<JyrghtKdD z{;@9hK4W|6j^JYVnbk=j4WBhie4pW-^tA9<=M0;JbE<@{9X%6##@FyS|Fdl~>SyiL z7Mqv&u3I(M!%*b(`A?rWM%4CMR>)mD@`d{huVKx^ovP3NowT``V^&wWy=~>&#H#ce zng<KyXV@}4<7@nRII%=TM~0nU{m{de1tnH8^I6rOAAFEBW0%ab^^G%MC*Ekfc{|~` z-;KY<Z|-vcj<u*@;hWzucX{Hw$QxC1ZU0+(8GZJ1Wv@ScPT<=8#+BuXpXBD$Flfsk zz9?~Q{=tLd8!Q_4=08YjyIKF|>$)WmGQ=d#^RX8ndUR)lxqgpW!(7(HJV6P4zR%tV zzZn_KZapiSkd_(|FS;zO{p75IO=fG>aL<-LuuAWSWd5m`drW-Wo0jG#{8!(wf%(ll zj?cRfe9PNV%+XWNc3L#zC+nJd9Fv0+-<Cw!bDN!OKHHtJj`4H&;TKjBJ2=JiTbEiV z{z;G6CmyzI!!Hh>c?~bq6P~e3?Bm&-f8fq-1N)|n?i)U6>eR7%-#=I(rB@(zo<V){ z0R`UR&j-Ia8Em%TncjBuX=0w}jCf|Z%80u{Y|#g=l^JZem3hPTI5zQ1@C{+@c4Pk| z&kWDpOiujo5@E&pte$&!(od&n8*l8GW4VWkt-it3uw1>^y~p~D^2UD*#ml(W&mUOA zzghp_xBnlDpWWF~{O`y9^-)~Q(%a@*CQfNv{4+6?aYiJM+0jErXKFX@5-Q$cl(Uwx zIQ!7SDuX!rWoE6VhWky6!*#@G9#2Xxf3|_8`uCwnbp@Y-XUOnuc0aH!!fINj#x=hr zzyCsIb-ar&KX{=NVJV%a*WkPHLc+W5tJyP~)pG7~6q_GBm}{_4cG<hOQp0-r;&2`N zGd5z<{s!&-hwg3O@Iz(}Pr@goGkl5BI(tvsh-AwfFaOVN9e?13x5Y2Muzl?_U+&zo zK~pR(^<DSXoim#&6S5s=M04<!EKJ(NQmn=OI;k%7*}90h*_AqGW+{B;O?%HK7IItM z6jQTryg4^9TIcOa8<Fha#>@E|<{I_zwJugpT*_p8{P3~}i`Jd`VfBY)<}gM-KX7Mf zL4jb8e%ngz#Mm?O$M1-qHaG4+>NeYQkMOs5jYs+B+~J?hp71U3hM4#N1i7xQ;xjJm zA3C?%po+KeUena=iO*_ph;Yw0_<r<Ni{%fgZFLPh6V}Q9zd!q6kHI|7WzQN)4edc* z*FR(<Chcw5?tS<k*M=QRbJnm;zM5DTdqd1y@N>YL+@o{!4xIaDaEr08taa+$gf#gZ zBHZkT-;cgJKco3@;_HkV)@*!!4IeuVzG_E^e>Q!#F2ZhprH+|iDxZGy-qnd8r7V8h z`s6j-j82T!`8%;v<J!MdbM}ds$+cW`F1XS>^?2ek{@F<nRiEvPsBO0VA)fPB`q@8b zcKJg;lxO^sxpwr=_A{{?ZFI$T`;Q(wbLOGWhUslbcRomAoRN}HR(M0q`?L}N(Oaj^ zybMi9_mx=7>i+uhDK>-6k~v+ixifwzmAXGmP`{yd@Qz%;2Kk=k8CERUj{Z46b9d5D z@iWOAKYBm=kaEMCSIobC^5(=((KGf6Y&&}C=^5vZ*HZlc^OfZ_1#<W0wNJH9cy@k+ zrr5t@cf?oMr}N1*u2oNbr)g2ackKM(E7}|Mo_*c3ZC%8*;_JtfoX`7kf9=_FZ~C9# zuC}jpKG_y8dOjsJ{ejc>Z4tA2D>be?OY}R>ZOwf!Bhey?DQsHH%%_RLI!|W&P6|E0 zi0jjvXz7D@jumWR?b+V4@@+!wnfHh8h@P%C>_6HzuTn(r#J+M3omY{rlevl)J?BYX zeI|EfiPq<UH7|eKyfnU9ey;UmWkHGInin%IByEo`(-iw+GizVO)y*kQ|EtS-<}pvc zTpZ6PTeWfC{YjUM!(S!+GpxHOdb;Y}$%vd6GgTMP3%pd_`?$O6+KDH*&s@%Po_uvv zE_dUS^b($<v*xXzc<r-^6=Qr`$Fj!7GZR!<jf)R0i<mKgr+%1tDxX;M+NFtkk`@ty z$FvV#k=mg5?0WLMuBW~;jwdZXAd|;iZG9j~(66ld<U51i`Z?W8=Ns`Ko%ODNzFkx8 za|sDqZg=%VUGF^SU#xvHJL#cL-97QurOzHP-MCbHqnP*EK~sZke=i5`dR`fO=5^iE z*o|v`_w^rbdnYOPO77v__7|e1>))Sze5Ui=<+)e)ZoQr4`Tc}km+9U6R%?GpmY3we z^7y_~uGVG!qH3@768RU)A};)$^E;{3s<tt$>c%YAXKAg?I}>coeRMfqC)uUE>w5Zh z#_^<N(PssrH=?=4uD4C*P1vM(CXerSQfBnCg%P(qE%zF~dBeEa_Mt|b=v`s6#lLr$ z9X&Mh&mR4-QvItBD!%F7Wj8J7`uw0o;`P6!D~o5mPWq?xY+b~@rb->NlJn;RzQ1_0 z^+#pk_gi!B9GvsQZf4}&+naQ!mGqxl7;*Wp>g8SYQ=V)7`|I(&RmS#w%tc#S<6Zp8 z!8$MMx@tGBs#awCU;f_t?s0?Vzs30WEfRnIuUYf1@dmwT>2v-czpq|?bkn=r=3>&H zKYcE+yAdrRR=R%A$w_BU1{v&T%IRKubw+!V?)f>_{ywnUwLMy7qkJx>cJ#qB-!^FS z=|A>PO1-;SY3*+>lOrDA+h25w-o2fuWL6sAw&va83;U<Xd43P(DB*9JHRJMMZmXm< zzXf`Z?0Tm-;i4_`u3!J^9q<2@|KDC0djB^6*Vy0FBCeD_V^3Q2e)sY(f4^<pw|d@u zyU&m7{SQYbMBX>_e_j2M*Dz|r{O?D)_RY2xoc$}V)a-QO-_Qil`|W&RWS`BNart|{ zb<!To<NZf=?PH#EvAk~guYY-t_wUNSKlm}U_PyDa^3>?0!26Z59i98u&${$I`1Y@L znQ`}ZW#{><U-Q0W+1I`2+9Y27o@u*r(fcsJBl7ivckP4tKU=y*_xwLMr;erU=&8kL zo;G}c`uXzfzrQ8F?EBkOwZBeH;tW&p=7V2k3?{cdyklUkf95RzXGsZN7Vho?kCHZs z9IEh)h?5GN)_PUPC#+%X)P!2m8z&Avd$nP!TF!c2>&h9i^52f$VmmXrH=#H=qAMXk zIN~Nxnt6M#ZsHcvIUP@PXI#F0Xx@zb^C~sW$`btEvfNIpOn-K8oxx4sXXWi{Z4(Op zXWZfr``-9)Ux9+yw{*Ggwahb&?OS6vRvCO=!SlNP(4oW)#TGsN4KwE-j$(b**QC6$ zM5p)z_YJ|#q0jb3SU2vh)>@-t@MmhD@Z@;o=YGx38|$o!|Eaz``l+E($1FZgZy!^0 zcw%%<e6rtuzUrh;Y{l>53pB2^pSd`@K*#KUlHNYv=JJGSo&U2fKg-_`Uj5!E-Mv8J z+P&m+`}y6I9y32X)Lx*p%`Qo=mM8dstL#Rbv~x8~)k$B2pS?*hP`GBF-nXwIdE-yj z;*Z)AJ_*r1`_ugDnRh2WjehpQ`iA)A_cM$CH#=|q$Xu*=;dw+-w$!srTMSHP-*h~E zIn&tqz`TgL6D_TUWjeNs6xXF$2uW`?5Kn6r-e@XaoLM$w7IWFrQ*+KFN_{(;qWA31 zodS((&lCHewI*-0lrJu<lh9$foir!$oDRqDq+G>ke-0I>n29Co>2Nh4O^EIhPxouz zpdB_Xfp2<Ctzl%B<#rR9&fe4++@}w9-iYekIi+>w(?oZj^#|re3Vu8C%InO{sSg9z ztUn~Pmg{(u@$r}#UbfYTw@Iu&I44fH?C7beGY@ZV&{unH@cdK32F5kH9G|5RPL#+w zIOi7Ivhs$Ru?aia&%EO<W<OLT>E?dm(8UcEHZt>A+&N|bv-zECd$;hd{Xa2<Qcj<E zM&s*;K72Q*Ha>HgZ+H2@d$t?u<z;L*+WQY(oNQ3VR`%s***n%})9mi^#i!-H`26;Z z^qITniO=qDSa*JV@Oxu*`9mj<Z}`M1^YZiCAKuRz?e6Q<osRj>=*Bp|y6@;SlQSoK z3KpH;uf5r*|I5$vIcah)KEHodbH=vzpRDeYI~Skde>!33>ht?QpElb5^=EmR>$7Ec z|Ia;{@%q;v>#btNoA~Cu`27A_+nIxE8+J*`y#D;YsIhXz`TgFv4ZnZ=S)Qo=Y@OZz zbMMc^++=V2{i?$7X1}HQ;e`?R=UZAi=p6p^a6^&E8aejKlM{3szurG^?B<3_yPQ~_ z&(jaTkc!wLCl<Y-M7rmF)6?pNr*;vw0&4w-ZcR40-2SlJ;5XNqzueF6H(spX@WD{0 zj?H_QrN<xJ;>swy`*Y85G~Z0f(wi|)K25AGkz@1e19!L#_G|TAYiTV_tW=(HQ{vl^ ztRr)@4s4UiKRf3h+q3cp=Z$eGePu0A?<Q20-w@SSeRk(wfx@+KiRa#PyC)^vKXc+y zPs*-;_V3_^DvmSL9y;*Uox3CC?QXPO%iue=tp2sW<a6@;kK+$rIk`c3nt$TCe^TF$ zJ~4TA=VgJ$wfe&{a~Y2(efD{F&vHYN9?$va+QK5i;?GGDdsx_}A9^!&gT7k0;q!HE zkJWPi@QJN!7T#EDTm167K`HZ_j;GQyUvEF~XKjIsSzhwHd#tDHTV~oHoM-WmyZG_? z4F&phIvzG##jUYBGN)FePp<Xr@q{bQ$s2!K6o2HDu;IL&^hc!lZRCt<KE9*BKA)M( z*c0FOGCU!i=ikvgVviU0RR{n6p73YiH~9nWB7RP={KN6>=#%7U=fn#>@UE%l{(S%7 z#2NGb=088rv^yy^>{)?X#0>7fqtEV~Ia--u%6ynLG2TZkDNmQLTajm4LvG;)o#IV0 zXHp*q_^e&@_-IAwvl6~%*Drlcs?B|tAscazVVQYL?8Z%k#mUAJxqQcyin*WNGuyC9 zm#3cT_oEc|XV3gL>@emjYrJWj5byIX<=k6VZ$qAM?YV|_4Li#?&b&<Xcivd1@%!BQ z#2OW!@Pu%gzfC*qWP1AAlMRbE^@(dQH)vme=+H~6xSW4&J1f}M{1a<?eqi5>Ij8UJ z5)jjGI(T%0E}vey-@1mK+7APK{`wv7{#bhE<?F<KOCKNob@t3f`GlW*Gx83vi`Y4N z=f`x3yUfRvHXpw8TYAkM{=@7E@pJyn-T9M4$BwJ{c)~Z$8{(7e4W7?yTlzfl15-}- z$L=#*!xP?xT8O+}Z&-e><zuwLU$Zw|iv^4S+Dh!@Kc1Af=JC-}>SrD<-|%5-pZILy zXOFIL_+>BgkKuQc(2HOFk_m-w5@%R$KT0rrmQprjHZ$MRD|@WsdY&iyo#+3|{kme~ zK91ro8an!%m%R_Ji-?@HbE8d9SVQv0ol3>}?Aogj7L?u)SAT6_{&~X=J)UdLH+vJ~ zeUzTvQQNRZm1kR1uA!d6^=-{N-#!dj^Zvk{o2<unANrEFLA&o;(z^G&i)$Z5oRL}a z_~<FeGY|a}9~#K&o7EqSv5^VuZ+dufgTC5vgYBy}R50=Qwd58SXcbR7aA*C40H3)l zA0ORuY>plGv-6ifCjG5>wu0~U^aEd(ZqN?XOU{d7UA+2XL{8k{JG<q=>i7>!gF>)z zXC=4JJ+9{43E%W?h)=dRdj5~ayT0*Yd}4IZ`_y%%iSHaOL|*e7mdiC4@-LHbk1hOS zQv6p^;_JhRGk=1QcTb%@<1nMno^_9pK9fFkwEo~LYlA=LZ@N#`&xn>k@TlG32gkOf z2bZ5YnQrjiQl@KVe#st*`qOj%@jYAquxjDLi22hjXYox7Hn25*(=|16hIH{E4c_BP z#d^=~{n@aIfya1*zMoiXo*r9w_hE&Wo2d!$KGRaq>9TukA52KSA@(?QMt1grJF^Nj zu3b-hw}$=k*TgF38=~&B4X&HFUQ8>{N!xbqV^XU0vx4XdjaL;LcPbYbsz=<FZBxA= zKG}9gG^i(P(2*D|^Jj{srNlG7MrXs)mOk;v^NpAHxBN^l-4pSjwYWq^XFkj2{VlN@ zi;RjNUpKJg?K}GH<(a*k6Q1Ts{1f|j)N}66N=BVHj^^%!ZH&K@iY$xYaYgJBWAnWs z_V~Wx^}LpyvmXSk**?R1`@tV&Gxjl;9lbbz#_RIKFU}X}q`kZRG3jaknTLNjeAv(@ zKD$0$&yH!e|A7ylH^e6|pAmij(4%653b}1Z4>nj<N<NEgbT%}-@-gX;TJbO48TH}E zyT1w+f05Iv=ehj9HFl#>T3!v~V*Q5^Idw<x{04P<AO25>pW}1<YueeDrLwnp3}y(X zrMK1^&g```x7In!+gzCt-Sa$koi0Z)_rZk`@iTS`AFAM-5hql3^kUJOuR1-`+LH}8 zuj&)mwtQA#8W9nFy!&kFjPA;ay8>;}2lvg$IdkWhjM(;ugVQ$X@@-4?t79s@eQ@E7 zTam}RKkA)%8Jk$=FROp;ds5%Gwx@p+e=*z;)n+#`KV@Jg(s%S(;+eh5iA$LdFHVS` zBXj(YjhxtO0}IA9|HfKF%c+*r4_wMmC}7L!{y6i@*5ZVBF%}}PpBt9zHGMp4P|NwI zYq9o>*Wrg=XjzCEUw>J#vB<XgM|H%G$m88-?G4298?T!2yl<&B+}XXelwYST;e+@M zG4}7q>ih>{#QBb1S!@;8BcJT|J>j3cRooi;vof~Kr}Ynf>E56n_V47K3Q@Q92RGiR znY;75*qS}OhszV<=j=Itr$%1PzTsfI!Eeqt-6xly*?B%8lc{-qVsy{`#C3leigzV` z4p`%VxU_GsWTK6*&+>$DnX~6&<}<q$AKEx$rvLHosXu2PW=%*Gl+`yAPu0_7TkU<Y zAoGU!<kT6_+6NwW8ANbyJ9;qd%*j^<+u3BgR+g6Tkyw9xPMrKRWm)}e=81h~txwk` z>|)rRl$!nQ7`S_XG;QL}?b>VJupPde7(eID**kaG#lE*5tTU(<c+-8-e`YcJ;Y;Te z3M_KEKMJ1Nx;XKjgoViK<3{D+&VQ}Yo36zzJO61*{FUlE>f?L7d+O#Hhc_oatdZ3> zdwu38cf!{oi8!|3NtY7xVtKo-A9xUTLyUcYx?f(yPU(jMK6h6=KKjG#*_XEl|J`Nv zul+wFbC>sYee28W#PBtDj^C*eaN`FB;*6cwK|=;VSl)C`PM<NmHljw1?fk(v-W&AQ zn4jG_z2OTR&p+1NNj53#z{3dbNq=LXoohGv$Fa=5Ew=EBWbxl(iCV_vNzbBX^~3fh z^X+eM&D{B~RL5-j<*(Z=AAWVlU=HiIqbXs}!lcBWHyymQL6=V~!OzHGyMj#D%A=)w zB-+osR86Rhl-0jBJ-KhwhCN0;>p_)g=(A(945C1l=dCk)zb2+KOXP9<ev}gY>{;4| z9hN-X8gAw$#QSVZJ@=PoT3O3MzYV&_tc|aG8Ej{l>H2Cr)B5*8i?)}46T@X>&&1fX zxTRW%8QWj~nDo@+*#;)={TDtaeYSY^=;MZ8-V*b8ekT=i6~8u#u#sik-<VriU{?Go zdWJPS-_a|rR&hQ0Nq+G>pQpdB*jUF}T%xLDp7^VLgLc@u<h(m9i^XKR&(5A%z5Vbh zS%aLz`z&_O-uW{<Vh=l;?2NzCZ@N$ZJ+qTN;pKaS3YKk0e*`|eHQC_1h)mbldV}(L z%^yD-{AGI6wRrl>*Xs|w2(=J1&VN<0@sn8b4>O&fl07$S`gi`YTk}u6E%}D{Wd9k_ z@rNFT8_YPc&Em&A%b)UR{xY;DWwEWBp4a`c=giBQ33X-`BCj_ayB8n$WMg2f|E6o| z&l%F-VG*&}-3H&MHB41a+#&L&d$LY(ab-jdBb)Z29KLTyQ%s-Td9`5+-=F>L`CGm+ z^i{-u+uB?5=U9QpHRWgL#0>5UE!*A{Tlhuz*{?E#TXKCz&*)jjt$A}w<{cY%^~;Kl zJI#v=<s)nv+Sm{6n;~=Lj+KZQfAhif3DGhkGbS%ic$Z-z5*#Y4e@xwAxqr*g;L<%2 z{xcTGAN(X~P^<Q)YpPW7;~0s#?8lQn*F3v-bVCs*kA7=yVUcR_XRU}mQf$``y!pC8 zUu}Q-xw{PB^$iDgH|QSQZgl-!%g)^o0@nPWxbt%3$M}R_>o;ik$tA2SPyC`F(>?Qe z$)1RphVA`_4_&s3%dtBg^XBlYZi7GSZ@N!<pILi7;bW=99>&{`9^^iIB0J-^xlGqe zeo(!4V$MH~XZBY=CjB*j_M$lApVYGbjj<a)=@u(L_|0?Cq(H}PdFs2fEZUk9=XicU zO5uC<Y|e%mZ3klvtnJ@)pJY0-lSfAv)-zhC%UgW<z`}_5X*)M7^@KOQoSGOev-Vs} zJhNN&p^Y;lLFLWYnTL535`|^;&CFBv^4M0hO62kWPTIs<oXsAgb11?6hS>4lM&{+0 z3wOWXA(Zo$+xz#y5FYEK8jj*!JiC*g20Zg&{dVM4;F+6?6Zdlo+s?NuSNa^V=5Lqf z|7;5(>E(v*>4!Jo_@i4Kcz)vim=kv{6+iM8H(svS_*Oe{&GY@s*QdSfO0~UhTg?1> zUc}ysmV0==9eq;vY|gyPb~|S8T=sm!^RGPLCPrL0zNTy&U#nxb`%g8it#z%^wyHPY z{m$jVtL4|<&*}cS`OM4b3F!={tIkzE6MZ%>qH?lj4fnUBF6VX6?>k?oaP5oFr#<uB z?fy)efBoy8k1vX!ZM<<|^AYZ<z8@|*FMmFCwN<v6(fFQq>(L6gXII{AP@eWY;oKR{ z-JcJh`eP8o@a^cUq%${<K6F^qdS>U-2LWr+XD)vFFeCKFiGzo-3Y5$~pE+8ZxZrv6 z_M?;Lwa&dYWq$dQPibdf<|c%%d2#dF!g<+uFBh*_U6of_Cf+CCaWd}A&b^=(-QhXc zH!pKfdc6D%H~Zm@^VIL!H0}IREFr@gy}x1U<AhfgHv}IC&$zt&(7FiE8OG^{=0&VE zO#k}l*}tD>JY}EhH8^i9vMhExAO5cMs`gFu*&7z5tNI_gR{G|*`N1siw6A9#H%i<& zxKDN3turgF6W^ULP`LIk@mzVrAM+Wz<janHVm?!9t8MY0<FiMO&8a(Ai|bEUJ<ES4 z|EBs;#QD#;FMjT2wcTB=)VBUb#l}_7KcvMc$6wi8s-EP1{)+C)%3YoFCeN<zf6Sir zw)WY#`ov70;Q0soEdET|`AzhU{{s)7|Nh6jpUTfLX1pKWop#~-^CQQ4&a}=<NHv>r z@pEEzQYqiJvy~-(_7o^>dzSo8pJjDbUUt~|9NCV>d3$dOc~||*%F6t=ch0<(Rsz{o z)3WMZ>*Ss%c%65C+wt`3&Fj}2D{Y^bsF+<#y??dX`*c-WrdrZ{;p5Q;=3o9?Y?^0l zC3yI4$)1S2^DK8W>%3zEIhsZH?J>KH#de#kjy-o+^YZ4rT-(i?mpm_B#`oy{>kenz z?#;{6^Phdab9Um4YoCp+lYVCW`+LUF;8L-nd(vZ;Z>+Z5n-`_WqzQeq-MH#`l-buk zrw+~hQ=_!)OV6o)JKwCXdY2M*evV8><2>1$Lf+GhYP`<(oiUm5|9$nO|Hp4R*YF!% z`hLOc|C4HW)!OsQH|M+;zq0MxciwI7y>k;cvqbNTPmKPq{YK`{zSX})zx5@(lV?w^ zoxga^`{Ix<wSBAhou4@8eBsva#J@#1cCeYPZ`ZxMenYKv@sjt&B429z7VSG9c<H-k zQtkZ3f9mf)zwq7Y(l5EA9^b#8e)XH<@!p+sbxVFf?=Ahmr}N!>@fW}TPg(ch|Mjo` zJ?sASm;S8}yZ*nt<o}*`S4*mQ)yKtuKQH~_U#0V1`+TS-&*}C07ry@2jQxNA!r#xc zcGbsue?Oo7`d_8&UHkRcm+IfSZ}_h%Q^VT6tNvbw)Vj}W&v8tSO!WPJe)aj|cOp2< z#M^c6+OJ>x`*~?t;{90WZ=VXbD6V<=uX66&t@lO6_}fkjZm89fna9HY{J^Sp-ubZy z=j>y3+r_tM(d)glW4~#}me0NZ_3BCKH^sZ+tk%Dt=e_IQPGjBc%4TV8drju(Fl_EV zJZYW%y0vHSL<;v^YnP0bkGW8KAT;*<ZLN==57rqheO>Z-m)!O>uk)n$KY70Q@`EQi zGp?3yRE_-~mA&cx9TxZPhkMrf>%OqMvTB`q?#qAq&Cf0LmcDlRyi0D&n%8#HyVirQ zSD9VIzuCCpZ;0ph_-oG+-|4Wpdmrdo*RS)!>cpyb<vF=W&a+1!n6fVT%<HNno7P$9 z$Q(Fd-G0cEHLWx@!Snk6tLGB#TZ;GTwMoX#55G`a5gMC+eb?mq{8k1_UqAV@OK#no z*Y~7$t&e<f+BbU-@8(^4YnQw}pS3GKQvJcNzKcEv#_Bp}_*d_`w|c9|=LFXomrA25 zWBWs|m4^2+*}s3wpuYRynswb;FMln+_3(}L@dFn-4Hmzi%(aVe<*L`cQPV$uK4@yN z^mWUpU2-edysne_{^|2Q=YmfbXI|}^Gilxal`rdJ=l=ij`J%hQ;@8PsyZDx^dL0|J z`NPgH33@Z41fG@JdPQEJYZ8`tKbqUmtRXU1Jm}I_`K@zrlz(ejERj}H>*jbpF{E^_ z>+6&{I}6!mC39Wkt~*aDz3cqA{Lh~2O_Qw?!mg`MDf#Q@`+fB*o1^F5&mZnt$FK3i zs$rGg`}~*xf}7V{=q)|}^0V=$osp6Acbh*;eW%N#?tN&Dow)wX&+nt=)uty~+!9Lr z^0UNozH|83%Hr1!b#@j!%f46^#?5D+{&i>mW1qTv*8F~Yjghr_(U+dD-x__R{9V)H z{RevN<aJ*ZUsz@LKIi2>@8;{4dP~o1e*R^%Y0Y_A>0RrC@}=%wpT8u*jMw|upY_`$ ziqj=6qBzsO{ETs&e>n7OWps9Sot?&^DR%0oUw_`P$?kiW%)#^3#}A$KG+2Fpsn@SR zE6W&v`!V;eYn80E3%T&zA++}1<z4;r`Q_R)YyBo)e{Pss`|i?(+SaP~MR%Sg&$#+r zNwxN0;Ou$dm8~})x_HN6vw+Tv;*M2z`59|Z)on2Id0E`F$Btj)b?yIS=l<;3u0H1t z|K_UreM`RI&py8S{TwFu{f&})?c*-|eh|9%{@q=D^ZE1IH23<?z5e@R>fZNvF4UG* z{eQ6IB)7rp@2%cd|F<vu{j|jH;q%3uXI}ih*>tac?W@1nroF2-j%fK_9aJ^nWc_#N z)2lb<zu0HCx1(~C=$TiwC%f*|pLtb#?BT&*-}_oz_u9|6^t<8i<{RN(_r>|Wzu&tq z{r(=&X<zn5N4;PFRW5bD4fE%!_#B&*yuIwnd*@HR_&e<H%O9V!a?K=O{#|x*(V4fY ziIt%eul_D@JQ8zXO6-fB--7SiR_vSBzxX?S&AoKVd1v+&vgyp@Kh7)h>Tlnod)Gbk z((mt+=6m0qx%a)m%fGE%_uMmH?X&r-c%1du=X$^TbNyeR|NQm+&mL>r`hSw=xt#x2 z?p|RZuI{}d|Mfr1!=W=T|0>R3Vt+sE%kvw{-gRHTKl3ru-=D_I?bDC_`kWH`efP^( zcIL@<@2g#z|Gn#1act2>tN-8GjSasl)Z4A@)cjkiJ9DP}@~dgBUvDNR``wtsz3o}! z+n)*Pj5lHhzKON{b$ysnXc5EIH?8S$>Vp(Zi8TztyX1YVM4$D%a~FP9XA*h0Uv2sC zi%&kwT#w=pGjC$Oo3FO`_vxN(Y4>mPT`Ox@8k<<gZ*f!lTha{+j%lU#)Azi)Kk3rn zD7NDtK41H|;Zp1W`SIWW-<SA1`M;c${-KZD8x+jur_Zxt?@oFh`OIOB&A~g>GHpp) Qmp}e8|IdF0&*meK0iblrtpET3 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx index 767ff91..c47a8e1 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -1,8 +1,8 @@ { - crc : 15220399525024311436 , + crc : 7215961660177901660 , ccp_crc : 0 , - cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , buildDate : "May 22 2024" , buildTime : "18:54:44" , linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti index f67eef9777e56244b3904ded502a22d164c2495e..762e85083fa232045e4ec2d5a045169c5f059386 100644 GIT binary patch delta 248 zcmey#a+_s>6yNfKIXe|II2afhq?s5PCf>Wo$;iOK0K!ZY`^6_;VT@HUXJB9uU|?7f z?CI|3>cYSTmR|r?15;P8z`(%3%D}L|(=Wu;-8BfTh=GBj;s5{t^&mx_P&0~B(=&@p zQj6R&b5g;I7#S9TG=fcIV_*P_F)%PN@PloE&>;T*|NsBb{r~^J28hGJz)%FG_kw9H Z28O#}1_Of}$T|iFhH5Ci2})mr(g29zDX;(l delta 294 zcmcc3@{?tPRJ~3D%Qo2zP6h@BLna0WEd~Y#W(I}@Ir-_C$qcLv5WvX5z`(-5z+k{& z$PmR40TxtdU;xQ4C{9kyNi2%b%qszL85kKD8vg(PUk}n^$iToLz`(EoB*?(bumG$N zBmu(=3=FPd!GHh%|DXH+|9=apj?BE0)b!LMu!-0-%7b+=F#Lz=o2YS(6XaNs!EBS+ j8B07gpell(bSacx2Bq&oX$_DT1_p*=C_NcUUxCs9neZ<B diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type index 360308b6976beedd970291f39bc83996587fe718..c28c84d68e27da5f1de4e8577d991ed1a8af5b02 100644 GIT binary patch delta 91 zcmZp$?l2cJVqjp%kzim@Wnf^ikYr%!klrXHC&6ej`Jkk{3_k+{g8%~q10w?i1BlH4 h<^TWx|NrDal1G>fBsSlYa$^#3kN`<BFh~eNXaLOC52yeD delta 238 zcmeA$Z?G1!U|?YQBgw#^#lXPuK#GB(L3X2%oW$f0f*P!R3=9n1lPB^EPc{&WU^Jd= zC?P)CK|q4l4lKS`$e7U?CdL>8VTnjE#-gy~C$A8ZnVchWL@Gjpf#HM%1H%sq1_lF3 z28IMl28Ice3=9V(HycU1F(urPU;qP<IuL_H5=1gE!1xYOK1e@ErU1%^$uB_TUqIsn E00*ijj{pDw diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg index b93ad433060dc3354e3984235f92372ea9396db1..250daa1e532c47f56846bc3388ce5d46606355db 100644 GIT binary patch literal 23424 zcma#Z%*o8FP>2Z5%vFeRadKBMFl3msQ!&GVfq~%w69Yp-Gy<D4FfbfrVn6|mFkWn* zB!~^d46%KZ0t^fcV5LxTA&@$#xG<CrQmc%_1~EZ;LE<0`Vv}Qz3dkU+y{brTH6*q= z5?cd_t%=0eLSloMAoqaW1;Q{k%wHfeTG)#mKH4BhK>ekI#0D{8?g6<2#6}M{YJ~&L zk0A45@yNi)z@Q6qL~NfVm<@6SG_G|)i2%yBg|ZbG7#Mt^Y>;`mNbHGFHpu*~P&UZ8 zqfj=;n*aa*{|EUI#AamZlLXNq%nTx+_yRam85oe|Sr`}?UIh0^{;y|Xr~pSaNM4r< zs)d0K#$aGzI024)h&;%C5XL1BavBKZk_Wj9gmKA(+y%n8<XIUQ7(f`8JV+ddVO~IX zKRW{h0|<lEV3X%yU|;}YT=JX@3=ANQOCA(}AdE|%n}LA=gmKC9FfcHHFfMss1_lNY z#wE|kz`y{)xa9d67#KhpyF4QOfWjCQkDxG8K#G45AB1HX7#KV%ctKue2yg+3pr#L8 z@*p!o7?(UKZGbQ?c~IH_VO;VccY`o4d62(B7?(UKZGbQ?c~IH_VO;W{v;o4n<Us)j z!nou?X#<3D$%E1c2;-6mr410qB@ap)AdE{Mlr}&ZyF4O&2txBADCTFFfk;sNBjiOH z7#JLOxPZLM;9-v`5Aq|d{8?dyDG%}^Og_LEQy%0;nEVec@*qFL<QJG=>IeBTA0o`) z0jkUyP~8tIb7A^FV37yKF-$(f5MmH=`3mwlOr8PmKO>MZSTU%sJOC|!6Rg2P42%p$ zT%fWQgmKA(@(u{&k_Y7-5XL1B$~z#8OCFSWKp2-iDDQwUE_qPi0byM7pu7XZxa2|M z3c|SLK>-NDxa2|U3WRaVgVGfU<B|uZD-gyd4@y@cj7uJru0R-<JSbg(FfMsez5-!f z@*r^-#+H6S?GO+Kslg^M!oa`)!nou?`4)t6$%`>CFn};Fd2t2?1`x(2FTudT0K&NB zK@AuX#w9Psz`y{)xa6f77#KhpmprIk17Te9vJ4CiAdE|1j)8#zgmKBsGcYiKFfMse zdk=(h$tyB2Fn};Fc_ju01`x(24{9TWFfMse8xw?a$%ER)AdE{M)NcS`T=Jm41PJ4j z2Q@`O7?(V#DGI{4<Uvgl5XL1B>KA}8E_qO20fceMgWB#Oj7wgRfq?;pamnj5Fff2H zE_nk61_ltuB@e1fKp2-is44+rT=Jl*5QK5bgQ`Lh#w8D`>OdHmJgBM%VO;X&3=9k) zj7#2vfq?;pamiaUFff2HE_o{k1_ltuB@e1fK^T|34FdxM2;-8sWnf?cVO;Wd3=9k) zj7uKW)B#~!@}RK<5XL3%$iTn=!nov}7#J8p7?->=0|NsH<B|t8)j=4Syek6(0|?`i zcVl2+0AXD6?hFhJAdE}i1JrL|U|_%{@5#Wx0K&NBy%-o6Kp2<2Hv<C$2;-9XVPIeY zVO;XQp#C@>_xUj}Fn};F{r(IL3?Ph4K7fIN0fceM2Qn})fG{rkAO;2o5XL1R%)r0^ z!nou^7#J8p7?*q~0|NsH<B|_!U|;}YT=L-z3=ANQOFn{ufdPbZ$wx9UFn};F`6vbk z1`x(2AI-qP0K&NBV;C41Kp2;NECT}r2;-8EV_;waVO;X@3=9k)j7vU&fq?;pamgn# zFff2HF8L$|1_ltuC7;Z|zyQLy<Wm?J7(f`8d@2J20|?`iPh((U0AXD6=?n}EAdE{s zgMonogmKAdGB7ZJFfREl1_lNY#wDN4z`y{)xa4yf7#KhpmwYY*0|N--lFwscU;trU z@}NE?2;-73U|?VXVO;Ws3=9k)j7z?Vfq?;pamg1mFff2HF8LA$1_ltuC11+GzyQLy z<jWWs7(f`8d^rOH0|?`iuV7$c0AXD6l?)6FAdE}Cih+RvgmKANGcYiKFfRET1_lNY z#wB0Nz`y{)xa8{?7#KhpmwY_~0|N--l5b#OU;trU@{J4(3?Ph4zKMZ>0fceMH#0CW zfG{rk76t|e5XL3n%D}(?!nowy7#J8p7?*rI0|NsH<C5=SU|;}YT=Ja^3=ANQOTLSN zfdPbZ$#*j_Fn};F`5p!a1`x(2-^;+j0K&NB`xqD)Kp2;NKLY~;2;-8Uz`(!&!nouo zGB7ZJFfRE?3=9k)j7xqp0|NsH<C34kz`y{)xa6lYFff2HF8OH;3=ANQOMW^70|N-- zlApoAzyQLy<YzK4Fn};F`B@AM3?Ph4el`OG0|?`ipToew0K&NB=Q1!bfG{rkc?=8; zAdE|XJ_7>-2;-7pz`(!&!nouYGB7ZJFfRE;3=9k)j7xqo0|NsH<C0&(z`y{)xa5~I zFff2HF8O5)3=ANQOMW>60|N--l3&5VzyQLy<X18<Fn};F`Be-I3?Ph4el-IF0|?`i zU&Fw_0K&NB*D^3LfG{rkbqov)AdE|XJp%&+2;-99z`(!&!nou&GB7ZJFfRE`3=9k) zj7xqq0|NsH<C5RPz`y{)xa7AoFff2HF8OT?3=ANQOMW{80|N--lHb9=zyQLy<aaVK zFn};F`CSYQ3?Ph4em4UH0|?`i-^0Mb0K&NB_cAarfG{rkeGCi?AdE|XKLY~;2;-7J z09s3cr+;~nfq?;pap^zAz`y{)xa1ErFff2HF8L!23=ANQOa3SW0|N--l0ODo_khR! z#~BzHKp2<)6QKEIJo-;EFff2HF8!w%7#Khpm;7l41_ltuC4Yv2fdPbZ$)9ClU;trU z^5+;B7(f`8{CNfj1`x(2e}RF40fceMUu0ll0AXD6mlzlrKp2<&Wd;TY5XL2cg@J(q zgmKAVWnf?cVO;Xp7#J8p7?=EY1_lNY#wCA)fq?;pamn9gU|;}YT=KUV7#Khpm;7x8 z1_ltuC4Yy3fdPbZ$=_vQU;trU^7j}R7(f`8{Cx%n1`x(2|A2vk0fceMKV)EF0AXD6 zj~EyjKp2<&V+IBW5XL3{gn@wpgmK9~Wnf?cVO;Xh7#J8p7?=EW1_lNY#wGs(wC)5? z{(s59zyQLy^uJ<YU;trU@~;^f7(f`8{2K-a1`x(2|CWJ)0fceMzhhuv0AXD6?->{v zKp2<&2L=WP5XL3{k%55$gmKA#VqjnZVO;W`85kHq7?=DP1_lNY#wGuifq?;pamjyU zU|;}YT=L%;7#Khpm;4U~1_ltuCI6FwfdPbZ$^T+tU;trU^1m4v7(f`8{2vAe1`x(2 z|CfP*0fceM|6^ca0AXD6{}~t<Kp2-iWTu~i0W?P&kmdxM%7#ohfY%=&=KpcYgXaE0 z7?(U~?jMA4$%E$pK^T`jXzm|`amj<`{y`X*JZSD8gmKA(=Ket#mpo|hAB1tqg8~eM zamj<`{y`X*JZSD8gmKA(=Ket#mpo|hAB1tqgXaE07?(U~?jMA4$%E$pK^T`jC|!Xt zE_skR3}Z`Qpt*k#2C2a&51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY z5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY z5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY z5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY z5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY z5XL1Bn)?S~T=F*1`F~vUpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2 zVO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1BoBzip51RW2VO;W{xqlGG zB@deW2Vq?Dpt*k##w8D%|HmZ{n)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?D zpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51aqTB@deW2Vq?Dpt*k##w8D$ z`v+lM@}RkY5XL1Bn)?S~T=KB_e_ZmQxqlGGB@dhb$0ZM%`v+lM@}RkY5XL1Bn)?S~ zT=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~ zT=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~ zT=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~ zT=Jl~e-Oqc51RW2VO;W{xqlGGB@deW2Vq?Du=#&n@}RkY5XL1Bn)?S~T=Jl~e-Oqc z51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc z51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc z51RW2VO;W{xqlGGB@deW2Vq?Dpt*k##w8D%{|C*X!p;Zy0GcpBoBzip51RW2VO;W{ zxqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bn)?S~T=Jl~e-Oqc51RW2VO;W{ zxqlGGB@deW2Vq?Dpt*k##w8D$`v+lM@}RkY5XL1Bi+|7@IV}DcfF^{{;vbhhDDFWR zmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$ zK^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jEdD`jAYkzy0GjYai+^15ptuKNT=Jl} z2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?D zptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptV0Bj7uK0_6LM<$%EGZfG{q3P`v}fxa2`= ze?S<QJZS9?2;-6mm1`i3OCFT&K^T`jNF0W-rGL=c9}otq!6pw{`vbzb<UwnHKp2-i zXzdRO<B|uh{Q+TI@}RXpAdE{MwDt#tammB-Kgf?T{|SI5^wIJ^E_qPi2Vq?Dpu7*l zxa2{3AB1tqgYrHI<B|vEeGtYa56b%>j7uJr_dyt!JSgvjFfMse-Une^@}RsA!nou? zc^`yv$%FDf2;-6m<$VywB@fE`AdE{Ml=ndxmpmx%gD@_6P~Hb&T=Jm255l<QL3tm9 zamj=7J_zHI2jzVb#w8ER`yh-<9+WRY7?(Uq9EP#w4^Z9*VUQYZ@}RsA!nou?c^`yv z$%FDf2;-6m<$VywB@fE`AdE{MwDu2#amj<${(&$qdC=NF5XL1BTKfmWxa48w4=4;_ z;dcW|`GZRyRQ7-{E_qPd1H!oEL1hmJ<B|uJJs^xr9#r;#FfMse*#pA3<UwT*2;-6m zl|3MgOCD7AfG{q3P}u{*xa2`)4+!Iu2bDb_j7uI=_JA-hc~IE{!nou?We*7Bk_VMN zAdE{MRQ7-{E_qPd1H!oEL1hmJ<B|uJJs^xr9#r;#FfMse*#pA3<UwT*2;-6m<r@&j zB@YsZVQl#aRQ7-{NDVf5P}u{*xa2`)4+!Iu2bDb_j7uI=_JA-hc~IE{!nou?We*7B zk_VMNAdE{MRQ7-{E_qn_0}3Np_$&Y|SVU|8;F1TGJs^xr9#r;#FfMse*#pA3<UwT* z2;-6ml|3MgOCD7AfG{q3P}u{*xa2`)4+!Iu2bDb_j7uI=_JA-hc~IE{!nou?We*7B gk_VMNAdE{MRQ7-{c6r44prA4U9{->PwG0dl0K)eiTmS$7 literal 31264 zcma#Z%*o8FP>2Z5%vFeRadKBMFl1oaCY#~Fz`zi|!@w}1f`LJy3W6CK85ok785p=3 z5P%WJjP898VuLV4bnkls1_lNukQ`K82+C$)WMB}6vO#KvK?GEd2qOanBa|%)WrLLd z|Ns9#$V`w@F{n6*Esn&NKw?Wm*~ofF*^n@#bvS_H1!M;-zQBAk(}NU9Gc-L&Be7+W z*s@4$IV83`5?cX@jm>Q!X;}IMv1ws1aynN8SpxN!5)xY(iLHXfRz+fi+z-nmYDnVh zNNf-jrbYwAf!YPik1%mfByna2M84BP5@&&mgYvRAk~k|=93*57BB188A&J`{iL)b# z+aif`Ac@;S#X)x2L)jpT6RHLz?hg`x+QkJG2MNW42&i}h5<3xzorJ_rMq;NRu~U)Q zX-MpJBz6W8I}?eWg~ZNAV&@>SbCKA2NbGzhb^#K*5Q$xc#4bi+mmslAk=SKO>~bV_ z1roawiCu-nu0~?lAhBza*mX$kdL(uO61x$J-Gsz$Mq;-hv0IVYZAk2PBz6Z9yAz4s zg~aYgV)r1idy&|ENbG(j_5>vML?rekB=%$^_7o)cR3!E^B=&S9_6#KUOeFR!B=&41 z_8cVkTqO29B=&qH_5vjKLL~MgB=%w?_7WuaQY7{=B=&M7_6j8SN+k9wB=%|~_8KJi zS|s*5B=&kF_68*OMkMwoB=%+`_7)`eRwVW|B=&YB_6{WWP9*j&B=&A3_8uhmUL^KD zB=&wJ_5mdJK_vDeB=%t>_7NoZQ6%;;B=&J6_6a2RNhJ0uB=%_}_8BDhStRy3B=&hE z_5~#NMI`nmB=%(}n}>mc;R=)uq8@+<XgT~4iTwzP{TPY;1d06=iTw<T{Tzw?0*U<+ ziTw(R{Thk=28sO^iTw_V4Q{kX_r3?!bsvz#zaX){BC)?AvA-j+e?Zxwdg><<n-f&& zLfb!*NNi0cwj~nV6Nw#(#Lh%wS0b@Hk=Qel*ej9PJCWEYk=Qqp*e{XTKcQ?D1_lOB zP~!j^r@Bx!$d7tZHb{*flnrj1F!a6$(I5;8QxFZp5+^}5HUk4Al02v#;StpP{(n6K z!vVN_wG>D_2!q-UAR2@PPQ&z9gPUidAjTyRay|&-k_R~#gmKA(+zrCG<U#HRVO;W{ zb`S{Tk_U;yFvxEpjO;&9y9k6qYCv)zj4Tgo6M--;c~D4!FfMse{|$t3$%FDW2;-9H zWnf?cVO;Wj3=9k)j7y%Mfq?;pvCAXU4=4;l@d)yN#wn1GK&)r*^a1J@ZgBMm^DAsX zK7z=D(gq0Qk_VXy!nou?X#<3D$%E1c2;-6mr410qB@gl!2;-6mg$oGdk_V*?5XL1B zN*f@IOCA)GAdE{M6p|o}OCFRqKp2-iC~bf+E_qPe0AXD6ptJ$Pxa2{x48q9r9u6QE zgG8Uf%LiQYptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl} z2Vq?DptuKNT=Jlh1YunAptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DpjZZBWO)e}kc&a0 z*yA5r9u)T=j7vW#?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xr zJSgr#7?(UKBtaOLJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSdhy7+L;>JIKW#QS9-L zEDwr%5XPk+6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*W zAdE{M6p|o}OCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA)<AdD=(!yDvckSO-}N0tZ0 zJqY8{4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY z4+=>T#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8DmWe`S|@9+n?7$k~4{*mQDaSy_{ z^n>CagmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%8@? zgmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(Vi|;y<s*VYE(VEWkAGx&P~3wsF8!dm z2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jlh1YunA zptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DpjZZBWO<Enkc&a0*yA5r9u)T=j7vW#?m-xr zJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UKBtaOLJSgr# z7?(UK?m-xrJSgr#7?(UK?m-xrJSdhy7+L;D6v)LOQS9-LEDwr%5XPk+6!##EOCA*W zAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6p|o}OCA*WAdE{M z6!##EOCA*WAdE{M6!##EOCA)<AdD=3A`awYkSO-}N0tZ0JqY8{4~lyb#w8Dmdl1GY z4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4+=>T#w8Dmdl1GY4~lyb z#w8Dmdl1GY4~lyb#w8DmWe`S|pOFM|F-R19{3FYQ;vR%?=?BF<2;-6m#XSh)k_W{- z2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_Uw(2;-6m#XSh)k_W{-2;-6m z#XSh)k_W{-2;-6m#WDyZ%V(s4TnrM$9{<SlptuKNT>3$A55l<QL2(blxa2``55l<Q zL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2`03BtJKL2(blxa2``55l<QL2(bl zxa2``55l<QL9q<N$nq9hAQyu~vBy8MJSgr#7?*xf+=DPKc~IPgFfMse+=DPKc~IPg zFfMse+=DPKc~IPgFfMse+=DPKc~IPgFfMseNP;jfc~IPgFfMse+=DPKc~IPgFfMse z+=DPKc~C5aFtR*H9>~QYQS9-LEDwr%5XPk+6!##EOCA*WAdE{M6!##EOCA*WAdE{M z6!##EOCA*WAdE{M6!##EOCA*WAdE{M6p|o}OCA*WAdE{M6!##EOCA*WAdE{M6!##E zOCA)<AdD=3qY&g`kSO-}N0tZ0JqY8{4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb z#w8Dmdl1GY4~lyb#w8Dmdl1GY4+=>T#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dm zWe`S|Ur`EjF-R19{3FYQ;vR%?=?BF<2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m z#XSh)k_W{-2;-6m#XSh)k_Uw(2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2xF5+UOytu zz`y`oKl0!j$VaH_OF(XX5D^bv9}sW^Qy%2Tf=Gxw!%<9mkQ)o4A@Uor$b;O-5Cf4< zI0n_vh*-Y>G9SFwoq>U2!C|O8()t(BIvRm?$od6=;}CfUhBlD5Kna-v<o^q$$HDHe zs076bs{cfh{Quz+L_c!)h#|?(xQr<;jwJ7J1yf!EN&W>Ec}XPs30E=ogB%Wv9}6t; z0}7jXuumBn7F@*CFM?#g2NwT<!so%q43ISp7HpW|1ClTJ1d-n{1LPaj@B_&+e1^z- zuw&Oh0V=<M3%h(k4J7_&aA22zPz{k^!Gm3%A<hQu{tbNC<tISpcL>1bA?iWF268`m zJuCwQ!vP_LJR~F;!087je?kNy4`HFoUl4<cGBVgmf$|Ny`8OmG@}P8$F8@FZArHzo z=<+XQ5c1%B!+>i42RVd1XzdQV{vQemc~IGnF3+HhkO!6B=<*z@2zgN1jV>>sj*thH z-RSZXnh1GN*^Mr*ppB3RmEGv_8oCI1P}z+xZ=jEm2bJCE@)m|Lc|`dFivJDqko4eS zj9uO#0V3~Vie3H!R6f8QyL>_-M1O=ORGtyS2e}WFPQdGg85kHYFe2nZ@dv`V<U#QV z!nou?@dv`V<U#QV!nou?@dv`V<U#QV!nou?@dv`V<U#QV!nou?@dv`V<U#opgmKA( zLK1{=$%DjU7+d&*@+Sy`)L@eb<xddCB@fD<AdFR>0TKV8^am?n7`#9xg34DLDUjbl z=>u8bAP^xB@*BE*LIgq{WG}k>i4251$ZzQKKk^as5Eg3rqEQYJ1(k0gzoF}os6)tu z(k{CEfj)#hDD9%lf0&Ap2c=zfd4;(Mc~II#m+x4MkO!q*bom`y5b~h3i!T3SH$oni zcG2Y}4kP42X%}6-;W9!Vly=eOH{62Bqn1Ac$&mE0qXQDF$n6hM{4apYukeDzD!RNu z3Pk?~f9&!Hpz=FHu*(OeLi8Vq#4i5;Dt{slyL>?!ME`|k?D7oh5cwM!*ySfc<sal? zmsiMu=zmd!U48>p{zEx-d527h{*FfM@)w};6WXxLClo;R&*;W3Kf&1+-2PZF0lU0{ z3q*d!RP6E_pz<4LVV8Gsh3MZgAG`bosQiH?*yR)4Ao@?N#4i5<Dt}=ecKHT(i2jTn z*yRO0Ao2x!vCA)j%2yo1E^puo(cf?!yZiyDe8(B=@&R5D{U3f~mwy12S73*fdg%G5 zz#F1}1}AoT1|NvL01tNg2~hbCKJ4-ez7YKkg4pFZK;<ihvCBL7LG*tR#V&sVDxV>N zT|U7dqW^&ucKHub`3PC;@&eGpE<pu{d?3X98*13)7eM6$G_lJY1VQwl(8ex*04ndG zhh07(7@~iN0e1NZP<aDm?D7R65dAAmvCA`rLgW=Ju**+?%FnREF0T*<(Z9eEyZi>I zyo3vOd53U_{t0f_<u5?xIXtn;CqzK>H+W;0{{WT$;fr0qArhj$AOO3(Koms&MG$uR z1yK2fQ0(#s(GdMN!m-OAfXY9}z%CyU1JTcsi(UQ!R9>J6yL>?`M88BicKL)XNcpc& zgI)duR9>SIyL>}7M882Bc6osuh`dENcKHQRd4~zu<qdKn`aPy%mp=fNe=rZbd_W#V z|BJ=g<sU%hKditmUyu*c|6?t7`3ISh@MYMHUA`a-BG0h{yF5cSL|$MocKHcVd5J^V z<rQ)u`W232m)`)D*EoY+-XRyF|G-u3@)w};CvIVvPsoGlzi=PB{0FG~jVIXU8}cFg zAH2jaFHiuHfAJ2x`~s-_htJsM4GJOpfBe8Me*h}a@DICuKoLYg2Q&8e?E|R%0v_!0 z1;r5kD+IC2Gn7E&H;7@Ep8%ELA&p&Lp%kM3fC6^;4N&<Ls@UZn${_kLXknK>PzRB} zp^shOpdKRszy!Pe0jT^7OQ<~3_$R1E1M7b#FhlzXIOIDB$ZsGZe}jNL0}FopH3-Oa zz{fWb{U2oi84!?<ARymBKz;=Q`5y%26`1h*--CdB0Rj0L1mq78kbglyUVuRSTEOHP zY@s8OsQv#Bj-c2AjZfH0Ve7vya7D;t>;E^@Aml-PV-N=QZ(-vf37Zk}*v3B+9wFqh z^{-d3z{)3EDQJ@wW&D;y5h0JQfA3+3kjFMYkdcOv$2PujV<ti#+xWze3kZ2^;~O*B zq4^(aeghOf3O$hWqrn*>&j6JNnE{d)=({$}rILYRMk1;_D30*SgW?FEJS=|D&1Xa_ ze~v)|;|7lW50htL#h!m)@)}TiT>gt#hTnha@-Y8_!U+^U=<+cCB{MKEV3P;w1BDMv zKHv;?`*F#`?8haKZa>U?Mg~ZH!{lN4Lx2Y|>IRYrnT;;*AdHX)#XY)wffPa><TrHr z1&T0vMEMNz|AuK0_eeZ}_#eIh955Xszv2^i`43R}h;P{CC(MB8|L`BXyunO}{0(N9 z`)r{;K`oyioJW)o5R*{lBQ7E2AvqCM{=yZ6JcNZR?{OU>3JQNnN=B7Ga1$Yqt^BgM zgOJBoer>pikjGYj?Rbii$5wtByg<lfE5BB}LdZk2EK2#P@D?GDt^Att9wCn{{Rn(Q z$YV=?9bXXg*wQb<cZ57BZGtc;{lU_I#ZUb57c>y*16%kr7$D@a#h-yWLLOohYWybH zA>^^8p9!uAd2H$LfDb|*!a_CwLoh@Xl)kWqk3uv;9$WYZBq8Ln#ZN;fLLOWEZYV&= zV@n?o$`SI|(w9IzLLOWCbZA4!V@ux!y$E@1`D4Kpgghv1fG~3UxG)<bk2U@mBjmBh z|0;w$*7)CukjEPTI}q|%<9|Ow9>PM4|6>qQobi7SA&)iwuOj5J#{V6JJl6PsjF86~ z|F018SmXZ_LLO`U|3t`RjeiEvY7R*I5^MakBjiDG55g$%&xer58vkMld93j-i;%|} z|0)Q1tnsgnkjEPTMhJOGNT9{PB|;u+{&z&kV~u|gggn;x_eaQMjsGx&Jl6P+MaW}~ z|5SuL*7(ms$YYKFVuU=__^(39gW?{9QR2T5A&)iwI}!3&<9`A|9&7whN62H1|9J>` ztnt4TA&)iw*C6Dv#{U+CJl6Q%jgZG0|A!FrSmXaBLLO`UUqHxXjsF`6d93k&A0dx5 z{+}V_vBv*fgghwjK^P_ezaZqX#{VCLJl6PU76ci|04jg6#y=NA9&7vyBIL2gzXU=a zYy8V2<gv!TIzk?6{Ocj)vBtkCLLO`U+aTnz#=kQ{9&7x2BjmBhe-J_*Yy3wd<gvzo z0zw`fQE2O*E~F#mvBrNsLLO`Umm%b_#(ynB9&7x!Amp*ee>XxNYy3|_$YYKFSqOQo z@xKruk2U^RAmp*e|9XTx*7)CskjEPT`w;S2<Nqi^9&7xcLC9l`|H}w@tnq&fA&)iw zA0gzi#{Wx%Jl6RCfRM);|KAbvSmXa6LLO`Uvw~011(!cq<DVBHk2U^95b{{#Um78g zHU5<l@>t_v6CsZ^{tXfGSmWOUA&)iw?Gf@=<KGP-k2U^%5%O5$KNKO4HU47|@>t_P z86l4~{<9GBSmVDCA&)iwD-iNn<G%qRk2U_=5%O5$zYiggHU6g}<gv#89E3d9_+NsM z#~S~u5%O5$e-lC;Yy9s-$YYKF0|<Gn@qYp#k2U_!BjmBh|22d>*7(1RkjEPTPZ080 z<Nq~69&7x6M#y80|6d4stntqXS}hLgUtx`Z4um|`_~%E+V~u}tggn;xmqW;7jek{y zJl6QvLC9l`e`AC^*7&zZ$YYIvCxkrK`1eG}V~zg+ggn;x4@byjjsG}=Jl6P6L&#%| z|6GJT*7z?$$YYKFYJ@!2_-{hUV~zhVggn;xpNNph8vip8@>t`4K0+RA{4YbuV~ziH z2zjjWzZD^mHU9S?<gv#8VT3%^_&<e^#~S|^5%O5$|0Y5nYy3Yz$YYKF=LmVM@&67X zk2U_kBIL2g|6hbW*7#=u?M8u=KUm|R8zGN1{)G_oSmR$3A&)iw6%q1S<6i?Ik2U`F z5%O5$-wYv-HU4c8@>t{F1tE_${(TVgSmQq!A&)iwqY&~~<3AB0k2U@?5b{{#zW^bR zHU7&H@>t`)4k3><{#z09SmVD3A&)iwry%69#{X=DJl6PMgpkJ?|0@ylSmS>KLLO`U zZ%4>ujsN`!d93k&3?Yv-{?8)hvBv)uggn;xzm1T`8vl<G@>t{l6+#|s{C`BqV~zhG z2zjjW{~sZbHU8N_yZs>L57zkSL&#%|e^G=y*7%n}$YYIvWrRG|_}4<nV~u|!ggn;x zw?xQejeiG(Jl6PkN62H1e?Npg*7y%Y$YYKFScE**_)kH|V~zi8ggn;xFG9#;jsGfy zJl6PcM95=}{|<ya*7)y7$YYKFX$X0&@jn+Kk2U_6BIL2g{~Clm*7)CykjEPTyAbkN z<NqK+9&7xcM95=}{|g9ttnq&xA&)iw?;+%|#{W}<Jl6Psi;%|}|6dUDSmXaULLO`U zGl6ysL&_Jd@z05n#~S|v2zjjWFM*K98vpVLd93lThLFb^|GEfytnqJxkjEPTHVApF z@$Za~#~S}$2zjjWABd307XKNuLA$Xb;g2o;XDmX<V~hV2D-rV8;{V46ggmzR*VuuO z#}@w)`w{Zk;=kh<LLM?xfjU39<19iRGLncY|KbWl9x@VuDlc&dArEP(qsn_cM#y7J ze-*C~@{pPqRsV{Q2zf|OL6yJp10fHINmO|b2H5^`TPcW2RCx<_ggk_WDxbjz5e3cP zfcDjc(g^bW&<s(8Jb1$v+Wf%@8H7CS00CtEKa>&jG9bgz^lNA%<YlqQM;IaGvE|<m zON6`}7X3RM5c2X^<X^ZW<Q1^UOZX$?6|u;BgdyaWu*g@$BIK2^$gfC2$YU#iZe%0m zv6Vj@#Rz$9<&Q-bLLOWBlhKHf$5#H#=s?J0D}PS(BjmA_KR>3y<k8m0r9jrFY4}4@ zEhznAGyg>bq*MUOgUkozG34}xEDtKbKxqV*JgEEvg)uI9Y~|O3HiZ44@(bO5WO<NE zbo-IzLFE^^{mAmr<bkrjY{gQLsgUv+WH$&SyB}E|WH$)ok_Xuh!nowIrLP625%z=9 z7rOn(@}TsEZa=a-D1D*Zk1UVP{~XbfQV$gWp!fx;L3aOvWQ05@e$nMCauD*^;@6-Q zArFdQbp0=C5%Q3bKrKHPv?1g{@r$lMq8}lTt$q@ifsn^mKb=^BkjGX(HLOI)W2>Jm zHX-Ceafj}{54#ZZSnH?52zhMvQ^Fa9JcNZBJ`z_UqM-PL<aAW|3wIInkdgvbzT+7} z9$Wq7@E#$Lt$zCP9U+gce%inQaTUmY*y^VYPJ}$R`bj|uArDIX=;3<<S}TLh$5ua0 zP)6vN2WdvDA3bys^4RJp22+GQw)$y@EkYh!{Z!zFkjGX(JqSn0gYquOiOBhHMgl?} zTlo=?iI4}CAL#lyiV*Uk@&jG|KovqBRDPh#S2QE!vDF_2JqUSF{eiCk#T1A<NE{i% z@(N50#5aX;pfpGf$^=s&z6qFxAV6XW9;h5=U@$`#0Np2wg%3+hurdW!b|CXDu;@b; n0@-bhEP%r<b0XA$%tBU%jSq`|WH*BJAoGnt0!SE}IUs2OVT4oe diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini index c53458f..db62464 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -26,19 +26,19 @@ INTERNAL_PROTOINST_FILTER=true CONSTANT_PROTOINST_FILTER=true VARIABLE_PROTOINST_FILTER=true SCOPE_NAME_COLUMN_WIDTH=117 -SCOPE_DESIGN_UNIT_COLUMN_WIDTH=103 -SCOPE_BLOCK_TYPE_COLUMN_WIDTH=162 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=162 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 OBJECT_NAME_COLUMN_WIDTH=188 -OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_VALUE_COLUMN_WIDTH=55 OBJECT_DATA_TYPE_COLUMN_WIDTH=75 -PROCESS_NAME_COLUMN_WIDTH=0 -PROCESS_TYPE_COLUMN_WIDTH=0 -FRAME_INDEX_COLUMN_WIDTH=0 -FRAME_NAME_COLUMN_WIDTH=0 -FRAME_FILE_NAME_COLUMN_WIDTH=0 -FRAME_LINE_NUM_COLUMN_WIDTH=0 -LOCAL_NAME_COLUMN_WIDTH=0 -LOCAL_VALUE_COLUMN_WIDTH=0 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 LOCAL_DATA_TYPE_COLUMN_WIDTH=0 PROTO_NAME_COLUMN_WIDTH=0 PROTO_VALUE_COLUMN_WIDTH=0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk index fb3114c7df7fc25be99be3291cb0c7ea840507e3..2bd59d6f0e665afd36dfa63cde4199bd7c495572 100755 GIT binary patch literal 31912 zcmb<-^>JfjWMqH=W(GS35O0GLM8p9?F=&)R84L^z4h$9yybKNuatyKzYzzzxEMPH+ zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&FA#x<!e|Bo2p^=6 z6~t5k5ey6rX!Kt-h&YT!)(5sP03y$TMq6+~#9=hDK2X?1#6aXDa!~0RU_%)g7C>p3 zK2UIh^kqQxWkB`8Xa$f0kn^E5EIdKRfUpHLJke=1?=qn4i-77wr(Gb<W`NNkJ3vCg zPfJojY;=2Id|dVgK=s{$h9f#{1BxgH1_l@nvIAsa;M0;6P`H5D#9(N&GXz2H!xaxJ zp#Fx@Q0p1=b25|6O!RY7baOKEN-K0LEX;JxO!SKL^^CyQgWLtO1C*xR{X!X-8W;|M z#9;bCY*11J84r^Ge|O7tXW!5ZZrNU(E1XqYdhYd!g4BS_0O<j#0T~QZ4~<$7mjT4q zWncj3Nr?X&!Qvn>5aVL%xm*y93r}WbU=YG0Y0QFM{4+Cl@m?I_vY@nx#r#4X>f0Hx zo8QHRUHlaeb4qadD-DPFpfHA|No40P#$nDo9PYV*!~B;x#C33lPaqC|t;b=`RUGQ? z;&8t@4)=TEQ16Dr-s3pz&B9^ME*#=MINYO#L%j|TdspBv=Q9rXFT!EYRvhMV<52$# zmpgIfqcR-k&%+^p6o>iTILx1k!@pKI)HCC-SC)l=0b11~XA1^~c+U{u_>|P5)bz~a zlGLIQU+0|syws4yq?}ZS`1thP{Ji+$lEk8t_;`p?tO_%;GvmuLQgY(cGILVn%Myz+ zLHgriY8l}2$r-81+3`h*dFiR~`DyXRIho0+@%aT{1<6IJi6yD=DXGPo>3Lw0)QZ&P z(vsBpf};H7)Z*gQVz4OKA~b_C^HNePU<QE|Wagz7m1HL7#22Mzr6!kvbtdM(Oa?0{ zPR>Y8Da}cZFDXjQD^5%<$pm>eGd(XcCq6B)xFo(fwInGqIoklNIWsjiH9oI2H?=4; zIlj0gB_8C=)Rg$lyps6DloYTUu(7FSsd*(}*~Faqg2bZ4+|-iPBCvdML1}RYgq2zn zUtAVnQdy7+3ABR5l8pGg#N1SfbV+VWe12X|W*(HES`uHB9A8od@=<brX&%HwVDqZL zI*_bRPRz*xxf?8=Qkj>Sn+ej2@FPeqShBbP8usv@fCqJaVo7{SW^QVHRccW_STU{u z$Sut&$t=jJ1S<j=9S=7ROTZTA<d=h07H8&`=AcD*MrvX~d}dxIDB?1!AYM;_hgnf- zPJVJ?2}GEnMJO>CUz}N$YRCZA1a?$fX<l+XIHpiSt2nhJKDnr@I6kE`w*XtjfKA8& zC62PhoYGY6(TppkP?AYvQBh)Ld~$wnL1Gce9H@7}78GR`XXd5Hr>3MsJPUGGMR8_s zN@h_!I1E53H8U-~C^a{+pdb~J_=;2WiZe@K!3K{5XpDlxJOv!z#hJNIMVTq-sqyKl zCE+Pa;YFFC1R5WolbHlc+MwK?o0yr$5Fejhkr<zrnU|OYGB!6gH#xU}AvZO*IJE>s zB^OjO<YXolrDVoKV>7=fK0CE2FEvN6I3GzW31S_JR5GZMWyav{<LTraZ=`2v0%sZ{ zm_~Z04Em+TMfw@}xvBc8dBr73hWfs)uKE#~IhlDC`em7Ai7EL;21X`&hWcS(jy{x^ zlbNKSlUHG8qL;6)rw2kM1;r2%{q*EyT}wS<Jp=uu%sh}3xD^O07#SIu7#OJlVWu!M zFfy<(fJ_CM4r<>qGnBJ1z`6kpQzlMh1NE#Lp<-LWd}f9oD8E!HlaqmgiD5dZ5y`;7 z0PA1C+VvBZA?7AP`wuYj0H`=e0Yn}q4&y7Ji63x;sMA0bcW{D;8=#3_fQnn7i6=Ni z)H|SwUoeD-d!UIoK$_VM0che6j3MeH(8LW)AmRyV;tf#o3^efzQ1JpZaRpO|ITdK) z1yJz@H1Pva@eVX`0W*j>6VSv9pyD&o#1~jV)Gt62KVS(FUx6krU<DE1fF`~GD!v0v z+`t;5{s5Y|gAGLd1e$n)EkyhRnz(>HMEnMtcmgz?JU|n_U;tI051~PQ7*L-H!ed}~ zfF#ZX6J%guc!4A?h$Q|2NgUP(0!jZs64wL?K=BKxdqC}fKByRoT95}K85r21eJco$ zfnf!bIH>Oh6W@R&4(g-9#CITxL$e}S>Hv~B$j@Lw1_p)`Na7&7Vd58%#9?_7BzFTz z96Hnh5@vXSB+dmEfe<f{#JM3tVDbZ!I4@WPLi|7y=Z6SENN9M1+z9HULIfEYIFQ7V z`;`Jn;=(Y+pm7}}aZrC4CZ&KRE(#N5U|`Td5*I@fH$V~>M-sO{5|=;{cR&)CL=yKv z5|=^}4?q%^MiP%e5{HdNfus|V#6f*vkQfMOAc@O?1faM8Nn9Q(2BIpE#F6`_4M^gO zAcauefh3OHZl8c84lUxrI%Xh=tAIry!~!I7RfrInT!ADG9TEeJZ$J`P2a7<69Z2FD z5Fs#m07+a6ECL};Ac<>3guvtlBymum87w$TjE2By2#kinXb6mkz-S1JhQMeDjE2By z2v9WyKJ&}{@@Rg;;nB@{(w~9BqxC=u)Bg(|%||#6gYEfmn&rp9@Lx5@kAZ<--htu2 zDu|x}l6(2!|NsC0Rg?S}7&1WJ>z5b6{3s9~)OCJ&0L%{p@j+eYmm9!*FAyKpRereu z%y$CuK|=yBCxH1@AU>$e{IUVeHv;iN-O!f>V7?ZJ59%VnOaSwhKzvZw_+<c?F9qU* zy2LLXz<ePPAJi3oX#nPPf%u@IhL;LpJ`;!!>Jq;c0P}zOGB9L-h6-LXfcc+5d{Ec; z<%fSD|Gon8L0#gP55W9KAU<e_;^hS}{}zZ3>Kea10Onr;@j+eUmm9$RQy@O5EBtZ+ zn12Yw2X%p8P5|?Ff%u@V@5=@-e-nrg>hiuU0P|OY_@J)t%LFig5r_}!;=T+3^Jjth zpswvp2QYsUh!5)0zBB;yyFh$USN5d>nBN5AgSxOU1;G3&5FgZaeaQgk7lHVoF6+w= ze?k7w0`Wmz)t3*z{3H+`)J1)H0nCpA@j+eFmj}T7AP^taC4IR8%=ZHEL0!?83&4CQ z5FgY9eK`Tlw*v7&UC);dU_MBF+5}L717T2lHGJ#Yc`?qT^N~mMlMewQu7(E;PkQv) zRwy$t{1;XBWnlP{rpGVe0#eT4(QC`;$H3sx%L<BT56d4Vq8{C>&wL<Bo%8<#e)$HF z!oyJ7qu2JPFG#&9DBe6Y|9NzN@HqI+-s9j8W)H?=9vA<Wh<J3fu7w)F@&7>@zq|_r z157{2eGRr#eHj=SO0`2hnr*v$7#J8lI-i#Ccy!xN^I>3kq51#+{}(C$|NlS6n(NEJ zz!-a&UmivO%kuyK|3ls5815M680r`j?9qAom{SBxb5#Iishv-EwL^EcM|ZJLcW_Aa zum7Dt_+5@Q|6+9P{N}>%^4;>g3%}n7m(GtbbpQVU@1kAo;ljVZIK-Fn-^+dm1_qzr z;s}pkXAh5V4v%gJ4v$Xn1dmSVjBe+IPUo0z?;QU12l>}~r&yk-Px0*h@7Z|Vz?*?# z0tE1HbB^%oRXO0(osDoow|C5o!+-w&?*?g4={%$z?7_c2IK+{ETZkxwFXJC4{%s;k z9l;TnCrX66gL4=!bUMfIuRp}U{*>j3y0wm7Y|)M}j<Jq$j`4?&IXN-#Z*y|+=w&&; zzs<|hgYjoq3uB`<14D<C)8Ppdu*+W}Ag}C$(C-K~zpKTf1T5%y7~(M~843^Z0FTbg zo}GWXof2FPzqKCN3rZ#Yo-d9&feX7%r<~5Lg5yr0bqEZd?_VtW{r`WbiwcWJ=ld5H zfBygX>^!;;R496M9{1>c_2TNU|NmXOs}o#X|GV;ge)sA8{(|Yx|NkDH&J`Y=$9+2A z`E-7I@d2#wu4nVxhU3kkR0%S`rE@nZCA)NP?qFbGINsa?9kBH11}pLCY@Py2VBO6i zX^+n4ISdR8-C(iKW>Bu_?q0yazyOZY?imaW43;PAlwZzbfJJEcWRNuD1&Cr;q}11V zbY};2S7&q==X3{`ba#VHdhy`b|NjtX=Lv1+4F2`bCH&i5SQ%XTx9wr61?7nD?27K) zAeVP<206NWGRU<rR)F+@9it6)Gyi(9FI+lmSQ)y(;@wcE@wevu|Nq~oJGsKM+m*qy z+n2+m)7inJ)7!%%c{ap@_g~EX4GOrU;Bb59(Ru5|j^F?Pch{(}yzu}1|G!J;_ZRM9 z6)!-w7(8XgLgE=Ue!H_0Tn*p0zIEjHc-#5@#r>cE|2uXb1I1y<FHjsFH~a>TK#`yS z|9596w7zxR14;-k{GLz$pY`c{{=)hf$TiLdVCVev>3sA;6O<IPD~>zYfK_%b1_j9R zW>A2DDwJd3m}2O5PU!Xc-+I7>-}6OhHz=HrgM$L1XEi8Nk2ixN45Y`S8<b9(K~aT> z_Z8rn28lsqy?YHjrk8+Yn!o=iIJ?_QX~5ze9Iv}U)^%?NMQZnCkR9FKpk(vn{|`_E zgP5Hsw83%2zaH!ca5S_0vtcdI=ihd~Gnu8`hw;b%2Oizo1>MyZ-NiNClR@5lu@7Vb zI0<SySMaX~`}vp)D+AMOcWC~?(9(@V3-fCckQPX2F@l(2+zmFpyBp%c5}EGFAWwtR zFUZ-j^vmBT0!l}{*%b}DLCJ=Jzhxc+0|O#aHE#iBn$B)eWOjo&oy{9S;n{rv9)>#@ z7#LnFgKb3+-IGBIK=y%@!|bbfM)y~DH%R`)+VB7WcY{qv1PK2&?~D!~P|^n}>Fx&E zV|k)<sZV!x0m6mwWDiO`9-a4JEcyXTXpm(850vZ={(z+RNHG2UMIcxK)>Myh|1l>= zMo{_M)nd_xv-}J8XnX@o7T^-_pHFX<gW+4lZ=j_;3_hLzUr2oa|KEnOG}xmvMkT<b z+c}`yJEDt)qoYLyl%hO(LsSAl1>D5{|Nq-Cmui8OaTtCB>Gb>l|9=-lhl`2_NEHW2 zRW?W!lLP;hqpz6^-*&$6XgmV4IvP|P#2%i2$gduaZz>o;Rq18V&VSum3B9iWJDWhM z4v~YKKyiJ%36#1)*{E|B$UVoKKzRw2i#nS?CLeDCr4)!fDDxa|0;MIe{QDPozaon9 z!f&7=;0U}35c~=%!@;GoW3M}-YwQ1=AXE4~PdGOJ|L4N*|Duye#UuG8sQ6I*28yg^ zP!<Ij49`H7fWY_v|2=xa3B#pxHz@LtgOeV}6qnA`pmcD&8I&SHj_Cpyl^xBX<aWII z1V{}ieS))8XY(0wU2p|j7c~F)=h5k-!olD7l!<|%*MqUM8?;XCc=Hjcw$=mu9ru_( zHGhhVfKP9ZN(3xLfFdUXL<sP21KZW%0*ce@fNpTc>D~+q%<jpcAnfi28TaDW7f_N0 zF}1-Z8UK24Z38YMI$Tr&eLBB`O2OhE|NnQisDMJ;qdPmJdpC&Hy%`kt-IGBf4mGYD z$vBV_{`JiuS%`5hDxh^vU=v@xQ2YTZosWBTuLcEcH#h*hH*W!j%4ATeK@GG*G7uET z{OiGC3a)KH9)<YUqjQdm0V4wg%-1a{1)#(Qs`YSr{NZOj9&b@8WMp9Q>HO}|I|Xd; z3#0G<|APawbB@XjP@w`1-xd`Oh)uY|q#Vg6EMcOFunA=Fixc0#Ho-#vCWs3S`OY~i zpfnCC^+CC#8#6*VLAHV87F6nk6rn_Ei^>BA1_tbL_~Ps*M3`W4=|fQU0|^t5GhWC+ zoQ4#sIv^2n!s`a7*6wal(zZNNV$?kuoV+h|g2iDaEq|Za-~az#iyUtTrEpO4Mx=6| z?#-Z-;nD4*!r{@)=+W(o+<X9KFHkue^%;~kA?4^dP&rx&@;|8gAOK?6sJ!3+s{oZm zpaKWA1%W7!K<z_lG31nEc(U~^zsJi?Cs30R<f_iBisMc-pp4x4{zdyoM5!YE30$h| z1ND%hZHpZrKm|*2j%#loqigFo$NeA!T=_l!IyV3N2XZ!p3%}nB&*b-@lI8SAkn_QX z1EOTv_6bz7Oa{drN<$H3kW1%ka4`tZr631&d*^6-XVjE*R~K{_mpFF*anwBH*?Fxy zxW<P$Il$HMfa6Y(vKI?K{QnOr1zftFGrGNVI{#{(MNU5+%&S3_6I6K-NV#*4wsS^r z0AuR`u)Fy^E_igl_vw80Lgdr`|300ce7eD{A&+h*k8UsI*a0~a6gzvs-h;#rxN&#; zBeZc>^bu6u{eO`KR)MRULy8~Q&f|`q=R7(;dvyMF>^$q+yTq1*9h66(yL29UVf^v` z|JDQiEptJMyLYdF1_Q$j{tuvF+`Geof#C%+gq>i+!0_Vxd$2fz9RtIQ*ATXa69dDG z`w%vRGXukm%kM!R)KT&2U7NuMvgN%;=RKFsPcEH5Uwj9d)p^*Xn?=Q=caMew*cH!w zI)A<R`vIg2N$DK0G0#0Z?}4Q6fiyNA1sUkkiBN^wuzfk>!~g%V^4u{jIKZRxv*$k0 zdJoVj2&m%y{=)U$|NkD~SS)C;;b1Nm^Zb7dBBTB8|Nmpn3qS)jubn)5>zE9`y$}bf z?yc4^{APH`@Z<}NcmMyl94K-0Y(B;e(e&*t$hhVUObiU&-Jq=<pz0rNh)3`42}}$O z9*u`Pm_e2GJ&0T5UQPyuRr3*!Xva86OB~{2xO+hhkP+^k3b9}Y*n$O2pmLgp!=w2J z3xE4MaD?&3%Q7%{B(pSnFrN7Tz_YiG+3?$oc!(}gQPbTGDhfb;<!_x1YWg)FV}ZEc z>Mh8_9xMzDj4wfzt7mT=ui>{B$`HLbK-Eb%sKf*5EiLnGKE?;p#{}2M{1TMWJe!Y+ zye{<YtrIu=_TuRqaDbrL%v9>{*?dgmwY+EZF<Gz~u&~(v=Kp`s=3`v1r9GREv4N#t zEPexX7Q<^D&*ozS5aAxUFw<*M&*o!N5aCj|FpEd;?h}v@H()^tam9E4|4#@8rT_hz z3=9mAz<ps2aVa8X8*DfjOF54<e_&x?V1CUGVK900?&bhhQ;mmjKunE(dGRgWyex!y zuU~_Gj%nTuR;YO(2FN@Ih<OdHU{^-JY($usjWBOE7W0@u?sH&+ng?Ql%mWq99*u`J z*r4VaBFqCVdV~7c8;f~h|Gt2k2V#KC+W>Lj1(<mc-@yF~+F}7U@AoTA|AO5&fgS2T z5Cdf13y66Y>`?bjM3@I!bOSYSH5T)jLH@PifSLzlfXoAJ{qbl#tiS;^&k<oBXz>Kp zyl5=uv4G5b05cE70GSs7Ni`>6=6!tq|NjJ7e(e0`u|J7{fdMpdYWVF1>#P6&J$twH zgF5-WyFoi$c7e8}ys!nOrrzBJ5TjPGFff3{Jv!m}z8YjIB(H-=MEUH|{6@mF^DAhC zX<s?qCQz4P#>@ZzJ-b~q9J_rXrBxWbw5kP3H6HKxWnl2^-J8G$wa=&X--}JJK~CHY z+92TBc+`W9fx+<7PLLyA4G;KqzVm24B7vyNUoHWA2wd7}g3Ro#g_U+*FF~ape@i+e z$PRG1!VXf=-3n@iJ9hVi8Xlm+fxp!SqJ+ip+lwbJKyGdY6#$Oiy`Zwk7vesTZV?WT zZWj&?&(5hJ_j-1=f{Js`UWiM3w?bVC8c}vNJmAq;qaxtZS)#)6f)V0GR>N;E+Ftzs z@38|k2o1uXovi{a3=EFPTO~lH7^pnl0U9a-Vb9K14i*LmP`LsM?g^0M!tKTX|Bl^r zK{EeO8~)!1G6me7>%94b>*fFd2OqPzb}!w*#K7R#y%wa(lX>n2CI$u+r7vIHfARnS z!KW;q-7GSm-99oLo}K<2o}KJFVQ%jRxxKqYg`@M;3+I<0E5VL&?Cu4**YZS(m?JaT z&5jUPTAtwVi~j%rKWf|{R}b*?xDT{(2$C2<Nu=~SC>MfSC6E-t;rajA%Wa@?9#Vsa zg5(i3SlM$(oPx`3NL^tJQqkQEN+8|cprQ^GrKN11&Bs_?7kKv8fr6bMqQ-&|RLy~k zFR&Un&)zyV!*4G>J_EU-`35sc_5m{kL$eJBf31OM^D%Zvs9!)=(9Oc}|3GImX!G4` z1!!e(*t7W<Cq(^%XaD~n2g`!mZ63Y5FMz7h#=|ez85nkfW=xQ>mC6f9oewGBK@Dn1 z;Jk=Nx3t-YgAbJdSHSW=hylv~0+38Q0iOS#L-W69=Qof2ppF{UNRDTqwA}{ssAG2@ z$fw;h94JZS=8GfGz^TZwyA70zJelW#l8;9>kAz3Jiv)*fXI}s(1A}L0TLg&e-S>cr zfx)x!7--kzE>P<6>HG!_kC$E`XMoc#hyayGVE2I9X;Al6JSFTN6S#XCKvpr&s{r{H z$vvR@(6h6RgA0_)_i-?T-SdKzfdSn;yPiQp8swS@6G9!sK%*l9pt8O5vnQyS1m$_C z%kDh^b%#EHtYU6{0irrxR1!RnyQpM<vYf{bkY7QKYmZJBm4fbW(5_bx=57H{Ja_u2 zRCpY3Q2{ln!HPRuR6yw!G*Tkq*}H9rDyUWY{Y4kZ_npVOb5tTcdP`ISJdU%dfTm|W zc7P^jV1{#ebUp{?@E2WAK%vFv+3m;S(b>F#3sie_?*Mtb+fBfuv-tpoDdExCd;%od zyW0TdoW{d5xEL6Afz0&iy!T?u)BpcF-@nLx^8dd_;}MYeqaEX5<1?TT0Tqs*wnpb) zkNu0`#rcafk3k{g05Xxe8MHYR<a0=fU^=>>yLkdcC8Y7_f(Q}N1d``|(6kZAhd!Nq z5MkrdyA>2Rpw5vV$a$SdUAjw<0tckkr?W-{5hUf0K{?lz!2>+N++4s74wDKH)$Ixj zlLiPA6eb<q3=G|_3Z2apKw`bSK^tT}8V^TsGcfD|IR_Lt)1QF4Cf{H9gUoF_0tyP) z_!Ve60hC9<)!Zt0xPZ#AjgLUCK$Kyg@LF#ABT(7dzTS_4p|d%H2Q+Zmz8o}*)!7^X z;mwEeJRrP&Kai6C>3$3hFIy3XU;xMhL?KxC2vP`w3Oi_nSr4S5yBRd}(cKMdPk{@# zVo)InDUx_0F1d{ClG6~op)NTL;Xz%p8RC-NV8Lz&9#FtGTY#wE-3_3qZ9J^Ng9tfr zD7}n$463=oCGOmZpfG7(0WNVt4KlEUB0<#|#6dL>1qt9nHv?oMxPC3+;PB|247R9q zGuYbh5Do#4&dDHmd31+xNO*Kk2D!M~g+l=nrY|%9|Njqm0CI%`X`h6EQZy(ddUk#X z<?-F1-Yg`KgF30-AAquydxm4TKcxBz_V|AoG){Q`#T~Fz+jVd_^xX$ho&6lVpt7%> z0Yvrg2W>U>Y&`w~6b_*Bz^C({N8=HYZJ_o^oMU|KOE*xw^j3rVV%;DEd+TAXlQj<@ zkq8<C^60Gw^?EWvD!SVrFoJ6Deo(6u6lnadY@jl#w;I$saf2uUg_>h`KPcE5YB)rh z`CC7M8V}8fSs{*>fAIhReo%JV2daQ}fpXeT&`hu+xak4y=XYV4<<aZH!3xROJ3z`I zrI(9JhUXrT|3Jq0bf%~j9CuLxr7;Hd5={dXr=5pUN;HreAWeu&d+0tWX)t?qdvkbp zLc$UnvRH%k1=B-tiM1P~4K;WVdo&+rhxl0cJ`p~iaUT@j?MR^qN>Qw!RvoWr=X7wi zcD92e`K9bX<jP{g1Ze;37(C;@*nJP=jphyD1iS;DfL*`|cmppu!|VW2y}La?6-eXZ z8NAR+$)odL^h@mrC^mqqqvkgrpe{eCF0x<%Z3<#wF#Pr+2yBtFLASRBsCVw7;^5Kw z2vqL;eDwc+NAnNRAYyN^hDYOJP|7j9v=h{PbllM)46bHC#ahGt|NnP^_&Y%aXlxVQ ztoMj^jC;A{9>f{2^37vEXrl^fP|NV!i|cnmeOXYqoyn)SIKiiPcL%6339$m)9RCh# zu`Aq%DPx9PZ{Y>D9wf~Omu7-Xueb-32It(DccIRJRL&D7fZB^5NZ|q6-2!!#(_L`D zg9_(v@bEZls62iM3Kb7#&>;C{(71p{<6%%m2)BAc8zXppxAWeM^n3sR?*j36f(X=r z>AnjI7^sUt;o}$r8Iu7;5NP`h)Il5XfRa_)b5I%4-S-|+Wc~o9h|cB@V0Y{W4XOI{ zE(W!{3@_~jWhQXw{RfSxJ-Q3l{vD$IKZbUw2FD#4a%`Y!8<3uL5IyYvp!%YZAGF}7 zvm41uxSkc-oG?9A5Iy1$J@UBqXh=E0^teIvs6+JV<JOa*?hMl-1kqy-(PNKW&k7Sa zn4Wu(AasZ5@yD&_g`+1-&nk$XaEP9G+<G+pePDXZAbQdvdh&7W$%yfX>2ZSSDTnB( z$E{~YW)MsdA4E?(L{C3%Juk{ap?V<A<`~d)*~{~{|NjRqKneEf{Jj&j1jwUT)LIL? zn#F|+G+ucdG>*A@fdT`=i)0A<28bPg`~Uyw!yujDrC`0LVj$IlAQhm6U`P^wIT;vU zMBMrRA5<YSywJM??!0k;^@aw6ba(#t===(r7;D%Cszf|`O;f<FN?Q>1LiQGD^!i=r z{TIa`(|c{NfDBXziT2ul0I{oY!wlbe^Z)<Q;4Fp!N04z0j$kW2dU<m}J;q*9Uod6L z1Ey^6fb_1v33iUc{uBlVh8G`i!b}4B4;)UhV26U73F1OlxPe?bibq3WGz3ONU^E0q zLtr!nMnhoagaGIS3d<Y+|L5HJ|9=h?!}zNn{r?~P`2YWBkN*Fcd;I^u%H#k4L8p!A zrDPWAmn6lfWfq0zWtPMzrDi0S>4Rm!N3noH56r`@uDA?z!VLoh0|9lQGgm-It`Jg} zo0`jzS)7@ho6C?}46+~8`el@6wg!#egBFv!od5qnhmnEd$i@Hvr!X=wT)FuFe+Y<w z>Hq&Vj0_AWSN{J84V{Qw`~TmBiGji7=Kueok>evb|Nq~^#J~`8@Be?$An}*`|Nn<D zGcbI4@c;iDW(I~O5C8uM4b!tc{{J5|aS{RwCl&^VC6E9AcVJ;)`11Jw{}>hq2A3!Q z|5vauFid&!|Nj&g28Jh3{{P>=!oXni^#A`eAn~XF|9@d&U^w#p|NjV91_sc4QV%Nw zgUQSP|5vawFdTXL|NjM628NJV|NsABWnf5o{r|rX8v{ejoB#ho^GPP}{{LS9;(z%6 zA9Sd}lMny@%dj&rq<~gUurn}x`S|~T0XqXj%cuYUXRtFceEstO{}pxy2K}%9|9=3@ zP=5XYpM!&eA^Yq9|DYL0&;|uiI5JiRF)&sLFiP{Vb4*}lU;v4OHV;%>`2Qait_&{h zpl&GxXebnPq{fA_kZ@z*6L8~`@Z#q#=V)NCm$KF}Rsrn^0P6=GZK!eK|9{XX4v-xn z3>vs7VPIeoxcLA72atdhpMVpegcF~F6Q717pFuNQ4{I+=A9Ftw(>*>7u&@MJ3263$ zfq?<E(#z%2|NpnaqZmkfo%jqK`79jy9GcmC*m_y}So)dUn3#_;g2W7*kc@KV1G&e8 zfq~%<0|Ud2%m4p>1a;IM37U1A35QuAKY1`RFs!-u|37HmJp<e<M?MXAz5|TSQ0FjJ zgZ!uf_OAfK9W5ZgT>t;S7c}<+*9&$t$WQKk8<<f%Rmg(fAs|0pU}Ru$x&8nD43L?a zW}$~q6Rz+9odYSu#K17)&j0^EK=DM-ET((dTm?E7B!!8AA>i)+|4TvUIPnQM@<}-I zDKs<pF)>X9F$J9XI9&M@TwrWa`U0&pICJ;^|9Fr;Q1!fpsdMF1V2XorKxa@eFff3Y zs(iWk|Gz)TE=N9rW+o<2P=tdv(!60}V9>aaT@IAzV{pi+Ff%Yrz##{k@i>A*E{2(b z;Rg=63T6fdod=k10p+hL%nS?(5B~oT1^EHh@18L4CNMBC>|thLnDOBMe--TM*M%<t zIoUAfV~ZbGz5wQ49EkuFcM2>F3@MNP|Chmxhg&eWIPxhJ!Pua14q;(n2zZR$Zv`w2 z3@wlU|8K+eTNTU<P#A#DO?^W^9msv4B?=)={{QC(xet;?9r+a8`8F^$v-B~yF){t; zQ*Z>8FVOr3%B!IA*Wl^@|MCbuP9Rkp?tCBMd6k))5k0el(xm|_1B1x3|NobQ^nwc} za9ReJSj<w4V4EPxnW+NC0hNOptPBi2&;S2-Mvp5;J_C2Y4UC|24^n0^<$+2vMA7F6 z${ZUQnS&TH#2xuSQzs0dFh9Y{z)<rNnr0yB-XE0iL1FoXm4V^F%m4qu`xGGQ$%QWg zod0rRVGcUegNKcQLE_c_|IN_2aRNyyfWon#iK!Ik8wPM4V8O<~Q1j~lf6z1(1FD&2 zAZ?&L4GL?}Qivz7{{Jro`5O{mkgx`o^{hRRaEoO^3Th{iv%!9v!^XfM`S$;R@KHyu zpuAiHmIKvIJJ=W)%-{b1584pN;K3&lz{dfub3m(lEZ+YA51QQoiAV8qFo5C>wD`yQ z?f?HPL4I@P6KG>{<dbM-cHvWC@&{RN-~`GY4uyOQ5n$&-N@tMbQ9K#~qalDR1YqaS z!Ooil6^kIXj-a*-blU=m4{95OXqY_c3_K7YH2(*p7em#9Htd4<uyeaX8+Jkb51@6U z3=9n5{El=E9%#cWNFLOt1JR)V0EmX2hX-oIg7`4`umApo7SS<)7s4_yFn~5BgXATk z3P2l@LHrY-g|g7qiXc8OR3T_`7{mvaxgZ*}p%+BMFjN~u2WaUZbdnAv2bv59(V(&m zM1wXugJ{r(Ul9ER+`47}HR(ZIh7(YaL!HF{nxX<paDoVETL;91xdX%o;otuu{$peS ztv+G^ZS4j7w*zY4e}p_pIl~7iUkxh%6Uv8$8(0w)3E27O=ti1>7N9UNFt|bKFese{ zrOTjn8<d^~rI$hJZBY6cl)eU~pF!zwP?`<2gPDPWK@3W(L1{B6?FOa8pmZ9PE`!o- zP<k4aUIwMNLFr>q`Wlpe2Bp72X*O{0h=D;2N~=L>Gbrr_rNf|f8k8=B(rr+B8k9y4 zM?!qq`H$%8VCO%=&U=KN?+8235q5rK1N6K`*!hgG^B7_0FT&1Sgq^PlJ5LdIej@C= zMA-R=u=5aM=O4n(JA|EY2s_UZc77r3yh7Odgs}4nVdoFR{N?WKY^9(PnpB!sQmSBR z3_9ym*Q^xGHL5c*(K9g7gbKrSV5dRl6$``1|LC%yd<Z((vj&>sp~;H@bN~Q0^`P|< zObq-C576Qbqz@!60B*1#YylmA2@;2ut1uZ)aG5H}@B!((Ly#P(ufWJ4$^a|(Kw=;a zYV(22ftAxBF%Sl=IAdfGfuAP|5(DAo;QJad{R=v;9Gkyz`4=>a$H*YeU=j&36%}JM z2efhmbTT~bo&cDRn_&Nn!^=~c_<OLp7z28FBFhZA@<D=u0UACq^`Jg1=&%MDA4Y=~ zNeMDYFu=}31ugmo$$?hB2{G_9z|tSMf5E`OAPqjho1X!ep27W01_lPm`R4o#u=EV> zFEKDM7=qP<Ohm<s;Pc1%8DRHsfX7%E7#KiHjj_2i28TJI-DsdRglakiLjzbnKLad3 zgWL3=Jr5vpCIJRmeG1bz5hTvQ&#(d1VPIfj0Hs%u+;XV+2dD$VV<ij>412-iAQh<i zCJyn}IK=;h#rYXv<qLR>095aS&oSp`fR!t-a25rNquL4L$>9*U1&i}Dz{(GBpAWPc z3MvjOcVOlRgT+B6qT&)JP`W}D1MxtcV6es4avbIyVPaqqWDsP4-7f-d_Ap$=p&m45 zfzAAHVD<bAu<{Vx9|h$JaQX+i3>8Z=gU%er%oiHabf^MtU%`qYL$Eli86Y0yJ_CLR zSp5L*|1mHyfW|t|iZ+mPhH|hu{0su1lRp?37{KFp3=9mo?wMEzRu9&SLL3H*^D|69 zOP@EP;;?!L7LQNB;wVOdIgH?Q^Z6NI^%!`3iGhKE2P_U&f<hRwFfa%*Nx;inn4~QZ z^|3g_YoX?#*S}q0_52L5dJjA<!oa{V6($ZnM;KJTgX{*~BZDnHtOKhDsX)a$aEKoU zi}N$U>QV5x1Oo%ZIj}gY86e&d9O5#ppi-Nk0apKl%2|+lEvPuG{Q&O&GcYiivVzhf zqW%J@1z|_9dJqd0r-8-!83dq*i-N~j85kI9pyD5(=>b#^fpkuSiZ6gBWbha>0|NtS zY#m#;Z3U|b=|sgZz~cN2uyzbAU3~_NqpAh*B-kL~0BaY))GLF<K`Kyj3|O3>VFA=% z;IUr@28JTAII3C@Z!!+?HDLGfGhB29sbXLNkCiYmFo4ERK=l`_9R*8Q%W;^q3oOph z0Be_l#}pYD7>=?*;_C-meR>M49%3glc@Hek&tRYr5e1J!GcYiG1&c$(kjejGaef9^ zI}Vo4x!57)<PGTkL9lXi2{;|{GjK!8D_DO}f*ln8$R<PB2J9gBW9DCTuzG$5Si2Y0 zP6Fw00*ixKs5l-h&d=}xdgwGPy_G`6FF?~FtlsPfi=&zW;w{7>z8Nge&j4#L!_v<_ zs5q>h4HG{J6?cb*19)5ulz&0uOgtEs6=)6!Tl!}RPA)1j)XQgxk55d>j4w${XGkt8 zDK05ZOVdkcK->!wUy>W247wSlm?1tsB|knrCqF4MCqAVlzo<Aqv9y8#bdf<$YDsE} zo&k0hpnC)|;~}>mq~?_rRWhW3uEqe}w2)iL5Fd}k1787wqO>HxASb6R7d$-}Z>X2d z5FhUr<ml@f@9O6Qx|t;2-7hrW)dMQ%;S$6U@9yL8<meOc@8%Zl8WJDk=;Y%X&k*kt z>F4O{=?uONp&03s4bXiMA&E&0uq#JQED%fs1k(g`?Ll#Bi39A~0?-8opb1HA7b;}J zZbd=9&H?RC6_|ZS<_zEfVgTPAQ4nvLXr7v8n4A<}T$&VbgjLiG><RFF5s**?MFGlP z5|}rtpkJ^7v)<SU?nh&Yxsa<OvNJ)Kpv2?2sRX9m#1gLC#1!Ewv^!ehm%ZS-at0J; zpxZ9cE;|AH64%8Y@t*$i#U&}3dGV#i(7Q0e>TzEI19P~k0iH{3;^R{iOA;Zs-!MS$ zw}4#70gfXqSHXbp_kbB~WPx^l25OQ4T_%GT%eX@h<#rp)i#@QIWeATDV{>qX;JgL~ z=3ZlCusU2`gJ1FzA0OiD49#|+$O4Bn_#zeTccFmgaa;=&AD>d3AD@w!my(kTcd&^W zf@uVH6WYZl@cUq(x8J~gU}^|f4Ym*-NZ>SsdbJPy1{z#`h27|btQS!R7+EsJ$0rpR zLlXu(4DenGgC&YAux4r#tfG(`h`@_3$h-dr9;C(=;6MUpG0>8X6kHd|zyj6S1gxH5 zpklji2OPcy@g|7|X=$m+mf)hy5^NmEdeD*&P~ngDnjP@PL@+m)n1f2)<lF+3ECiP| zW{6MCOM#e+ey0#5+)-R$2nkHcwL&mMOpO@)N^^st7YunqOaprwlC+ayS1QG)f(iwA za2lC0U|gF6cO@w7APzt&&CRh!F(muJ+ylL|C$$*t^MXpanT95CyNwa0uCXZt<iZ}% z-ARy;fZc5cHz^+FLMH~j;>z5T#3Tm2;*uf=odIKI=9Q!t6)@=K<(H)DrRSCE6%^$c zq!yJ_A_*mxX6B^mW~M-e9GyIML2U=H@{Gjd3<kZF%Dm#rTnJrK!~m8lOD!tS%+EvN z#1}E>6{Y4Rf;2!`1vw=QdSI{U737rYrRSG0=#`{alrZR}W#(lv=oRIIBS9}U1KMiH zNGW1~^B`Iw%?F4M7#ms$GU!3HClwbn=q2ap=BDO>mZX51XB1=5d<1CB5H#HhG7Ply z6PZRIR|lyD$-^+H+X9*!fawQqr3A^rX!Nlj*!%!U4G4p}i^%#x+ZaLOFdEuS1qp#M ztX&P}fVLDeK;|G|_QS?EVKln^==#GM7#P0&|DO-j51VI!(J=dA^DOA@PlWEEg6W6N z!@%eOsD&Uug2E1_A2tsHIspSTBn#6Io7aKSuz4Jqe?exz*dV%)fdO<JEZqIDc@Y>5 zn#%{NgSj7O4~(v3fXunT_^^2w7!A8G7v23JGeNi)JpKyV+y&yp=7C@|Y#s<^Kf3=x zXP|(B2zose18iOiM#JWzK>9&*Pw4s=g2s>-7{K@ag6xOQ!@+1!`UZ<b36Nb-CYS<k zCkKTo$b6810yM$E=muE8fFwcdz|d&W_%pKp1<*ky7!7kTR2yWHivc>>1zjJ8tRFVM z3Zorh2@q-kblMHdV_*QCEr6^aHckqoq0?k=_2~Ww9Z&|+5BDat{|X!Ly93t=VW9g5 zblw`We%N?8jE3Ep3(*a_ISj^v(3ha`2eV%y2qF%nL1z*{L?9%({UG;&4n+p#A&?5# zePghDb<x$s_%IrDP#I`W7p5OJACUmfI52e}vq2cfhtXf4X%D6!HogzLCmD1&3P>L) z|A1(iK3F`ihWa0-A2tu$5eHJuzyRKu3Sojtn0^@j7fnBW9s#uAih+RvHl765jOET( zX6VE#%zoHB%?GG{m_0E4pty#yK{RMS0we}n+XUjnFib!4T{<8+kXm#a7WW`=7*+rk ztPBhcKS0OFFfcHH>LJh=2FyLM@)I<#1#>%0FNl@^9km0}4-0sZAT+F?OfUsHARC*0 V0cZ^?2y-x4H3R5a4k!yk0RSdmVO;<K literal 319288 zcmb<-^>JfjWMqH=W(GS35N|>%M8p9?G3>a`0%b8UI51c+@G>|s$T7$=urV+&fMj6m z(CHgY5N$A;1HxrshU$|5F&G#a&}kW{Iv5Rd6G#X|gX{ybvEoRmD2x_>@Im@mLCgdY z!N9<PMjvH^I0QyBAn60!R{)V`K%?JWgqR1Tk@bPX=7kqnH^Yl4R60Q%qAvqV!}NiI z3#9J{RNoJ%J{YY4Qoz8#0Ha~y2{IjoEuhXsrxhU9F~DeaeGyQ7=(Gz|AB+as0TK#+ zT9N`{quT@H!|Z|4F#7_a`li%DEX1INK&CS=Oo7rMJ3u0VPfJoj;R0e4gQ3yK5CpXk zS3F3769oeUj0U9>koo#KnMr0Q`Z+1OIhlE-6}lA`X1Zo3dd2yAMj&Z$ng`hd((djT z%D~jXZ~)|1n0^pjgn<E^&O!3x_CX#23(GdI(L7S;xz;n5*WqOmNDasgkRFg4kino( zfkrWi%K&1>GcbVjB*g!XU~!Nbh;cFXTrP;lg|9L*FhGM6MM(z-cJWRw?BZse*u`~l znDd4OyLvVp;_q<S8-~MPSx_E8@ezcxjRCv8tc=*jZE@Ipi4D8@3>@a%#^FvG9OnGN z5pLUX#Meo7?CzP3BRoNA3YPaEAp;>>aHu!IVSWY<@h&Fp?g_#nz7~ggFb?<ZV#RL0 z4vu&~jl=wY9Og*jP=5?ZJgVbJCxJM`-{CNS4vzGB9!EHs<4AAQaHNx`ILzOG!(WSV zh`Zr1=N^vm+<+sUnBs6J7Y_BGarl=VM}FbK5&nfZ?EQnoUKJevT7yHp5=Xx7!6BZ3 zBRsQlg#RfV{+)@#{0bcI%)nvJLLA||0*CtBIKoX8N4n*};V*S=?D?7lhrbMPh)3b@ zZz~RW`r$BVI}Z2H!eP!{9O>;B4)fV?`1dJ}^vQxl{0ENkyo)0q^>Elb0f#x(ILa#( z9Old7aHlnn@T|gNjv0=4%*Np!32p`kB}i3<O2&JJ_{OKC7Nw?V7MG+Jh4?z><maV^ zBqrsgGQ`KH=jP|d7ndX!mBhz0fR$oZn3<g!UzU@f9-p3C5?@f1pB$f@pH~uJTvAk; zToPYUQp5n3P0r6NE{QM7C<d!ZEiR5vtw>ESElG_xh)+u_E{V^}k1tA12T_UX=?rjF zOY@2|)ALeO;*&B<;`36GWKvRdQcF_fi%XLVit^LrGxN&wlM_oa^Yh{})8f-I^D>Jw zQd1xXWu)Z9r)B1(#+M})WrDm1vI^{HuzY4-W=UpZPG(hVd`V()Hj+_bX|VfC^Ad|H z;}i2zAl@m?%q_@CCEhQ|MX8A;sqrbPAm4yZEl$ozO({h(qo61=zbLb$GCsF7rzEo= zCl#y=Y&l$QNl{{6abhyqmmrf8b0C3N2J&H9Voqsld`V_*YP<nhFD!&Xv65O`oLUSP z#cd)e{6TR6Q3f(HIU_YWJH99}FFiFrKP^5pFD11iKED8>02JxT`FY8SCGo|{i8+Zy z5MhwM%)HbhP>{wKrDmljmmnkxit-B*(?LOj<Q0fC$hhLvlK7I0qSV9`&y@JI{G#~M zyrRUsl>A(T5|jjyoS&PNnFk3eXb>mmBo>#Xro^WdWtOEDfzn}WUV2Fe!hn?2#9~m` zfzkj%6qJ0jQ{&T0^T45tkbtBlSWF|tvBpVWeqMZ1PJVKBW?p)HN@`AGWjx5L#GLs2 z0%$0}yqR2DRFs+r$ugkqkdj}No0tbx1Pa5{GLSS>dqHARVs2_lDkO|S!BkupUs73+ z3Q5-mi6t5Fd5O7@kSH$6Es4+1%YlUqIL6BpGfP0}Hy)%pFSP=cMH5RP8o&w&L`_m@ znqyH>Vx>EZCWs@G6LWHs5|gv><_$wgQpL>YAO{sC$CngkCg#K^=a<3)0TfcnxdriM zi8=9p!9o6IsYN-7(6omLAh_M2A|s_TFEKY0WELWyfbs@`XoChaDD8s^AW%NY%a2DX zhjLSML2iL$EO^|*b5?v}2_)xLr55FbZ9`WR56j<RS#XFKmlT21W_)sfK_yrisU(7> z#CVVg!IEG-pzMv{LCZk6T2Ly66oL>npkRVK1S!YCQe|;YemPiWab|954k%k9l~5U} zi3RZp7lGA*-2l&mkU}vxGq03T(E`sDWw2r*CqErrB$pRuLQ`Q;Y7VFbhbBovP6tO; zacT)D-5JCeXI7;eB3y_yEn_KIA;lL$AHJ{xSx7)7vM)jT4D2=rPy&nx2R<mC;=x%4 z6saItBm<#|AvqVC#6ig)ROx{dN4!CN1wv_ZeqLE>QAvCWsLD*tK}bO2BOg&nBo~zx z$ETF$7GNtTauaiM@{=LDL7@#QI6;}MG!>RSP)i+f+~W%&P-==VE=WzzOsj;Jekm!C z90S%1Eurz$v7n5Yni8L!SezPPk({4fkXQsw4xrG0>W3EJP^t*IO@P&PD1|b}2568$ z{BHpX0Fe1ubwf17gZ+l;o7{r<P?vzzl=M`r#ZyscF{l;*$%Cx`6>}BEnYk&MMe*Q- z4sEIwrRF9U6u_D)Aa}rG9jYy_II|?P3Mm{)Qwrj96HAgaGV>tqA-Kb#<q@PDCYa=s zO4B^k3{VSnc;1G#LLlW5IQl>hJdo9JAAv=4OF@M;s1*QqE?5li9<URj?G==0K&~4R z`Z5ypQgTv36@PMSd{JsnDkvQ!=D{oTqSWI2oKjHh2cZY6UC>AaWm#x}&X8H0nd?-P z35u=s)ROR&q;OEdQ^XJ-pOcxC3~lJ<CT8X_#K$LBB!XIy;3gphq~r%v1(ggrnMp+{ zneou_GQTK3JGCe;HAk;FA4w_+Vh@T`GH4*wjKST<)5$sBNYBs&&NN0ajr2?z^h=A2 z^fU5vQ}t8xic69V^?hAk^&>KKGV?0*%QDLnQ}T@rj7;<l^~1m%eJC#{Gf6)uufohk zFJE6z4}?kziXkHU>B-5umU_l|2Kq^vc_1n9NG+%{z{oIoAQKk1FvG=I;4GMnLF2p- zKQJ?7b2GrE0~n@EoW=&4VJU@*Z2|L{85*GcQmIT%1_maEZf=ln2KYP!Y`nc74I=&l zI*$MoH-L(BB!EVt85m&VFunqs_<<~lIT~o<0yz+I12l04=%};>n)rb{h<XP!af3{V zxCfee0#rNzO?(4XJOWLe0qV{KH1Pzecm}#S)I9}g;uqpUBk>Fj6=>oC2@vrHH1P*e z@eVZcf<%b=325RMS|R?OfhOM21`%I?CjOuuBEAAm+@J#@z5z|V0V=)&P5c5>`~aG` zLMOzW6KLWEQ1J_B;s>DOH_*g4L_+-g08RWu97OyBns~q(h`m41#n(c_84^*`=LM*G z4m9zAbrAIeXyOG>b0pBj7eK`o(8LR%=4haa2dsyfZ-6Gg04i>QCeE+{qTT^bJOL{1 zfhK+cDjt9)uCNheP6V2G15`W#P5c2=JOfSKVH3oh0yOarQ2$n-iyw!mZ$J|dxBwCF zKod`Z&Vx=s6IZwdQ9lDs`~g&a0h;)N%MkS|(8Lq2K*Tqoi8ow>i0?oXceoA_KY%9A za04QK0!_T(CPe%Knz+L)i1-aOafaIv@ds$)2cY6F(8Lw)L)3pj6Mp~||A8jH-~mKE zLlSEGFL(wK=RgxTcn%R4Koh?J6_-F0U+@Z|UI9%!;SEGw15G^O14P^aO}ybFMBD;R z+~E^M+yPB|!FPzb2by@o4~TdGnz+JGh<F5=_yeeT0-893HE8CGfguA;d_x38yZ}wS zAQB>8fhKMc1rcvR6JHPw5$`}3kAa9!Kof6>hltNW6Aws)h%Z1BZ%BrSuRs%bNP&oN zKoh?J72km-J|PvN{s5ZzhIEMd2{dtm9EkV@H1UQ?i1-aOafO4BczJ*(9sm`8fhOJn z75{)HegG=|15I4v5X5|jWYqNE02Svz6F&eI7eEtNI1DjI0!=&tDz1Pgz5pt&fhI0+ z1Y(W>ns@_L+yYJf094!oO`PE<#2gPaaR;b)0-AUOR6GMs`~y_H08KpM7{vSvH1P{i z@dh+;2WUCpfhMkS6=Kc=H1Pw_@^c27c*7lt`UPm>3{N29E6~IXTp{Ud1Dd#l8$^5u znz(>FMEn4nxPu2o`~;eKfhR=#0-E>&FNpXJH1P}G5b+0S;tTvB;xEv|6Z|3KAJD`Z z0wLl*(8LphA>s_+RWpe8c0w3LoC8f<0a`u?pos^hLDWm2iEn_4E1-!dK=Zo>nz#dK zAp-*gg8`bjK^DY(3p8<uJczghns@_L+yhN~15`W!P5c2=JOWKzARl6W0-Cr3R6GMs zyZ|a*fF{170AfxBnz%qAM7#k_`~y_H15Lc32%>%hn)m^z_zX00fntdI1!&?9B@poy zXyON;;v3M!1xg|6cc6(UK*bNBiBBkls6T-wE>I2;zknv502RN1CcXhG{s2w<K?TH| z7ii)J(0btmnz%p>MEwsm@dT(iLn>-{egG=YfhMj{3o%CkO}qgrE`cUqPz_P9fF}L` zs$K(4{6H^6y#bnd!yJgX1)BJWoe*&cG;xK$5OEJQ@d^JR;sI#l227BiegvBM0%nMK z0-Cr2J48GKP5c0OWf%iP0h;&+sCWgsI3vWI1~l;pY!LAdG;sk=i1-9FaR;dQ3^ef% zQ1Jz5;tRMS=Bz*yXW)T|Z$J|-fQs)x6L;W+s6T)%E)EetfhI1X1rfi2CazEnsgE9@ zi8GWy#9yF^FMx`FKod78g{WspLrc$4aSk-`gffVF0W|RsP;m(~@rH7UdIdCbfeMJY z2AcQ+sJH=|xIraEy#<>10jRhGn)rk&h<Xn+@q}uKcmSGsK|Mq~0!`eZ0V1A&CN2P3 zzQ~Y)CjI~_UVtXPp$TG61)6w6Geo=rO+26lBHn=}E&v^GnSd_d1yMf(P5c2=d;yyH zf^LZV6=>oKJrMB?XyOf%A>upG#3xLFh#x=`510xOKY=DLFbyJp0Zse@RQv{-_=M>Y z^$*a*17<+PU!aK#%!G)4Koh?J75{-IK4BI_JwrNb`VW{55$8Y?7XU4MV_;wqKoh?J z6_-F0pD-6<jslu^z&wb!2Aa6Qe2BOKn)n5%xCNT{gar`w4rt;E3nAhjXyO~7;sI#l z4vQe_BhbVzK*bZ##1j@n)MucHe}IY?poupufvB%Q6Bk$t5pO^fUjP;FKod7u22no& zP5b~<d<L3$z;cNC1!&?IpyDgg!~<49)Neo&zW^2AfhHcX5~BV9n)n5%_z5)efK?Fn z7tq8nK*evMi3hBPsDFSaegP`}0!@4YbbRasns~!zh&eyd#0|DU#2GSB)BgjgI0u^e zf~^qs0%+m^pasjI{SRp34BH{<70|>lK*crC#24&<s5d|p57-G2w?GqT*aZ=HKoj2p z756|BPuLAnAAlw<um>U@fhK+cDxQEQUa%LUJ_AkMU>`)h08RV@RJ;OBe8GN*`UW)d zfCCWm4m5Gl90^Ef0-E>)X!~jgns@*Qr2Vh}O}qix4q1UF?!X68zX460fgd8i15Nw@ zRQv#%c!K~${RuR22SJGV1vGI6A&B@5H1Pva@ds$)4Z;xhFVMstL?GfH(8L);A>u#K z#1BBl88T7Re}foAJqMcjhH8ko0GfC~4Mbc5P28XsBCdcY{sAhkfhNA84x-)wO}wBU zB5r{uZqNV`cR&;W02TK@6W`DXQ6GRNUeE*)k3bVQXoiR<poxEgif5pSZ)kz2FF+G7 zXoZMZpotr_LBt!-#6LjAJJ7^8v_sTSKoc+MfQZjP6F2CDh%Z1B{{R(VfhN8Iv>||j zfnfuhctJPBoE>Q520ak*18CwOpyDUc#5eRp)L%doFX)4a-#`;L=!b|uKokD}6@P&y zzF`7H{RcGhf{76EA86tRlOW;@S*Yp%15}&?O?<;-h<X7u@q#H3aS1eWgQ*a41vK#w zP;m`3@eR`;>J8Au3#LQFEzrabW<bOp(8NDL#XZo(H_U{n4?q(ym<17!Kod8Z4G~X3 z6aN4e&p;F3FbAT(08PALE=0TnP26A}M7#k_`~y_H15JFxe2Dr9XyOG6AmTI7#0?fg z#2286e}IawKoj4v2%>%ins~uti1-dPaf2lg@dIe$AE4qV(8M<^g{Z%PCSI@%B7Or+ z++aCG`~jNy2dMZ9H1Q29AnHG$i5IMdi2pznH&_J`XUIlN|4?xbH1Q3qA?gLt#0%Cy z#3j(g4L}=)Kzn`A#6LjAHPFO2tb>?ifF@qB9wKgmCT_3+BJO}D{sAiPfhN9TBSd`w zns~t`h<F5=xWQ(KcmkUE2dH=kn)rq-5cLIU;sskF;uUD(2HPOw4QS#YpyC~9;v2R@ z)K5SYFW3PQpMfTBuoEJ_08RV@RD1=R_=a5&^&8N{3wA@qcc6(I?16|MKokD}6+eL{ zzF{v!{RK4ff_)J28))JN`yt{F(8NDL#b2O_Z#V!^{{c<B;2=c&2b#FSA&59b4qEz$ zigTceZ#WE5FMuXqa0DVQfhKNn6e6yGCjJ2`u7M`L;TS}{0h)NhafrADnz+FUh`0lq z_y?%C2b%bXlMwX*XyOH@AmR~d;s&4%d7y39XyPBB;u&b-8_qz?DL@l1I13T4Kod7O z2N7>T6aN4e??4mZa2}$50-AWi1&H_zG;xEA5b*_Q;vb;mE6~I@T!N_IfF@pW86v&| zP2AuLMEn4n_y?%?2{iEyS0U;zpote;gNWZi6F0aH5r2Rt{sAif0!@4abc6l}H1UF) z5OaQ@i5uL4h%@A(rGKb62b%bX+Yt2vXyOHTAmS2e;s$pi;tFWuAE4qIXyP00LDU<d zi5J|5h+CkE8$5uBJD`bwfQoydiEnraQ6GRNUhoJa9)Tuq@E9VVfF}L{DxQHRzTpW( zeF2(y!BdEM1)8|QGl+Ntn)nB(cn6yJhUXCV6VSv9UO>cWpots2gorOd6aN4eUx6mR z;T1&v1~l=4*AVd?XyOKMAmRtm#6LjAPoRl!cneW~0ZqIBw9%G<f#C+4xWRjf`Uhy@ zAE4qd(8M=<fT;g~CSLFnBK`wS+~5;LoFNY_{X@k$(8M=<hNu@n6EFAz5tl#{H~0z> zS3nd002S9j6W{O+qTT>ayx==T+yYJ9;0Hw90Zse^RNMnie8W$O`T#WXf?p8v2sCkn z-w^QxH1Q8m@eDNa4Syi&3(&+1{zAkn(8LY?LBt!-#6LjAJJ7^8{D-KYfF@o5KB0ku zVFsGG0V8C+;sP}B4^Z(HXyO}~AnG@ui5D<K#CM>H8-O-?GB7Y4KokD}6+eL{zJV2D z&IL5_0_eFVH_*fl*dgj4poxEgioZY;-@pM;{{c<BfD<DA15Mn33nI>tkCy(S;v8t= z8@M6r1<=F`cp%~uXyOLE5OD=G@efdO4K(o$d=T{pXyOI@5OE7MaRUK}xC5H_2dKCQ zn)n7mi249D@d6=;cm$fbfiOfo0Zse^R6GMse1ix?eF2(yfha`00!`dN3?kluCjJ2` z-hn2*K^&rf0-AUM^x&NtXyOKv5cLbt#6LjASD=Y+kb<b+fF@ob4H4ggCT<`D5kG(? z{sAg}0!@4aXu~lB1H%P0@d7!BIXBS64dfx>575LvK*e96iEmJVsQ-W_UZ4mO|A8iM zpac<TC_qd9P;m}4@eRrl^#W+(1u7762{ds7RfxC(n)nB(xCWZ|1~rI!12pjhb%?kH znz(@mMBD*Q`~y_n15JE`CPaMzns|X0L_7jb+&~*5o`5F)0V<w>CcZ%jqP_r4yg(Ns zUV$cVpa&6eKokD}74JY3-=GgsKLJg=zyKmX15Mn(5F)++P5c8?d<B~L1|x|24QS#8 z#t`uxXyOJY5b*<O;vb;mC(y(<fDY0CrGGT>0yBs?H_*fl%pu|r(8NDL#b2O_Z-5@$ z^Z`x0z!GB44>WNDD~LElAzJ!}igTceZ?J}_7eEs)uz`q6potsULc|rLjAHPFO2 z*g@1ApotgQL&Po6#0?xE;tpuyAE4qMXyO|jA?gFr#0#7t;t^=#2F?)i1T^svQ1J{j z@eM8z^#y3+1+EbB3NH;8xxn)nB(cn6yJ26u@1325R49uV;vXyOK*5b*_Q;vb;m zE6~I@ctO-}Koc+UhKTP#6F2aIh#x=`{{R&~fhNAe7oz?Gns|X9MEnMtxPd=J`~jNy z2dMZ9H1Q1q5cMC>#0vr;;y=*D4T2!z4B%6|5bHTVK*c%G#5V*()C-`A7lc5>CD6nT zLLuS`XyPBB;u>h;8^R#!4ba33!Xe@oXyOLYgWep_#6LjAZ^T3LHR#MSF3`j&6hA-` z7lVp{s251$@*n{y{(vM7JF^TV^#e&f5F`M_FQEH?K&$6$pkg2@0J@$QB(4b(fZ_-w zanPAyP+^7((Dhm%^`JA>VB$ZJ#3f;ZpmngZ5dX46&su{?Ss;l+dJqs92PAPw2t&j@ zki;P-Lc{}*#6f4qK|~oCB9O#EVGR>cKoSSJ2_~L_Bo5oN2a+p566XX7Kyd|<I5$)b zL^U9Z^MC}PxC2R?7b*s#CLoFPfdrs<29h{GR18EdKoS=O2|)1*Byr?(={6vV3q#d{ zs2xb+p!4)VVjz3~Nn8{p0L3Se#9>D(f}}1WiA#V4p!f!oxD-?jL_I(fmj($y@e3qz z*x8gIsSilvav%XH{(&Tpavob8Bz1t|RuQTeL~$UABcF34fF!OAQV7KoNa89`F%YGI zB(4e)fMN|KaW$wIh%!JDR|g3|u?3R222>10IUtE^fdrt~14&#PDh8qgki<b}xPin# zI08vr7bF112}t64P%#jdfh4XE5`f|YByj_%7>KGs5;p`1Kyd?-IOIe}h(rgHxG_Ws zOin-&Hvx-4h#5%YrVt@8xd2Jr3@id6Rv?L+LxjNO1|)F{un2_Mfh2AT5dxD3ki@OP zA`s#PlDIWQ2uxl;61N45K!_Vi;&u=rF!=yU+#W0fAzmPf!_ImI$$UT(cLWJQ@ed?% zC#V>hf|mcF^zRH7fDjx=;;=JEK{5hJ;;tY8D3(AH2c2056=qOC5_g9QGB7Y`Ac=b* zi5nn^dm@QjAc=b+i8~;Pdn1W^Ac^}Ri3cEw`yz=)Ac^}Si6<b5`y+{GAc+Sci5DP= z2O)`9Ac+Sfi8mmLhaib}Ac=<}iBCWh4?_~4fg~P|B)$MiJOW941(G=Ih+dHN1|;z) zkN_0#KoXCJih-yDNa8Ud0VqC!BpwSD15p={#N$8$qj)p~MnhmU1V%$(Gz3O)2z=(3 z`{mL6hQp(q_2hqM29MSQB~1S>cr+j3fNX^RZ~E&GGsAz?KYy4R_~jiK{;Pucpwny_ zUOxE$|Nnp0Pk)#hz?(^5UI6o7f%u@V^2-BY{v!|{)J1-|0nEPz;)6OrFBgFMmq2__ zm-yubF#i;Y59$iPYyk5Qf%u?i;>!Xse;0@k>iWJ+0P{D2_@FND%K$Kc6^IWSvUuqL z<}U*AL0#XM24May5Fga#eW?KEPXh5lUEP-gV15^f59;E+WB~J<KzvZw_T`6vApcf@ z_@E($mk+@FA`l<c#eI1J%+CVxL0#LI2f+L!5FgZ~eYpY5j{@;QUD=ll!2BQ(AJm0? zIRVV~0`WmZD=!<sd?yee)Rlc%0OnhP_@FNA%LFjr2*d{sWxNak^R+;HP*?V)1DLM_ z;)A-dFAczaDG(pjb$zJ-<_m%NprMnO0$@HDh!5(*zGMLNnLvEdkj~2we?k8L^_!U? z1Jsp$`2fuS1mc6burDuw`L95HP}lY40Wkj&h!5(rzT5!j-vaSLUDcNh!2C-fKB$ZO zasrrt3d9F>O<y*E`G-J!P?z*&0hqrF#0PanUnYS0n?QWfkkHEjFn<+@59*S>bO7@g zf%u@V=t~1Ie-?-j>Vm#h0P`n-_@J)mO93#y3&aO?IbSk>`Ar}`sH^$%!yl0Ut3Z5E z7xU!<Fuw@I2X!r9UI6p6KzvY_^5p?AKMBMKbtPYJ0P~|jd{7ti<pMB22*d|<9bZlW z^SwZPP?zy#1DNjw;)A-1FAKnYD-a*lMSPh6<{N?dpswM|05D$*#0PZ=Upj#KN+3R{ zEBMj?%$EZ3K|^aV6~KHU5FgYfd?^6tbAkAvuHZ`sFrNv;2Xz5oe)tXY|F2)n3>l!V z-^&MJ{wEM0)a8450nC2|;)A++FAsqEk3f7-7w_c;F#i^a59->zTma@@0`Wmzx|b8c z{8J!4s4Mrf0n9%H;)A+yFAKo@T_8TF>-I7M%-;m!gSu=l1Hk-MAU>$8_R;~&Uj*WV zx@a#A!2DStKB#N<QUT1L1mc6bWG@B4{4Nk5)D?Tl0OmJ=_@FM>%MZUm{;vY@L0zwx z55W8)5Fa#z`SJpop9SKBx?nF4fcZ%vKB(*Uas!wj1>%FcTrU@Z`5^Ua6JS*ks5~}& z>)ClR&ZF~@NAr^p0U@r22MkYo^x7scFf;rYb^gW7@Fh);Ummj2(WBS4k%xuBqnEV` zL|OhQ5%uV1W%~sw$~pf(;FkyQ#5@e8J$h{eK?-|Ky+D-aKab829tYpqdmQ}1?7?`< z<Kn*(5sz-xvp*pQaQuIe#xL)}zyQ+^a$kcjFAoa?L#cMCN3-p!pUeyl9-U81cs#mo zxBX;hc%k|K|Nj>$|Ns9##`=_-g@G~lFuy#C{+H$d|Nn=&$1&V7%rVq4B-o?#@-e3f zmgcGe#!@?<?rMkbYLD(>pYGt0=3oCifAG5;YyQRP*!j(c-{rgIcNc!Y4=$Y_U&#Lc z|KCNs*u#Z?eQ}5{<G+{v3=9lDy~Pn8z0MvU-5eg>4jdkx-U%L^&Kcd#37yU{-QGF; z>ksm;_fD}qQJ>=3`QNkgxW^euh6xbBzs)(qr&r~GPj@!L1>N2;FE;=B|GyigJ*D%I zcCZKk`rr^p{%s+m48DwiocOniD0Ku!Se_^m>JH9fywK?!!@vFz|N2vwC+gNZcCke} z#yG}0#yQ3xKIY`ez`xDOp{vDW<{3$b4kyRM5R0MYF(*exsQe;q@}Zyr3-)My1KP*w z(Rtak^Pf*|m4o41!*9DlW8FTT|6f%6`v2dCu{7ADGe#xAquV*4+dHC*g`=ZI1(eJ@ zdP7tKd^*3snE3zye;ejfEs!z}!*3v+_kR8V-^I}3qT&Hk#Q{>44N}GAz(3{aYbL|D zoi98ZkASR>2KgrT@C1-^D2Tt<pVWxI)kMVK=O0vwKab;W0bG#lSUh_7IWRIXcr+ff z;9_7fykvOtW!=yJ|Dh2HrGh;=KR4{Z4r<?&2zu-XmD`}UhT*pto4)=3@6+83T7T-; z&CuD*z|Fwm*zLg5+04Stz~Iqat>Mvl_yyEtkIsA1FE4!i{~ygfP`M8^F9~8EXdMsO zybW;kwm{5F@Mt_dgB#*fuz3xb=7H)BsChyV^FZ^VVDl1qVD3xd0l9BC=p-<Y#={;w zNJi>@Lkj>j_k!vnsQH_|g8g5?3^JCXvsr)_!~pqUgcoc+2RLvK|3EhX>{m4N!Rap~ zIKZRxvuEf3eV{Dh(Ru&HQjp_&H}7C)VDM<({Q%T_bnI#eMMFtZ%K^_lp!yBujuIZv z-WnBV!*4H?zyAO4(YqV8{Mn<|)R2{#!SK=xzi<ElJHn!m&$Iam3n-sFfAQ_h|NkD1 zhe31Z9=)b4V8tM7<v`YUeg`$5L0LY|G5+Q0pa1`(*vJJjVl&7HxP3cW!1mqyiew+y zX^e*7UUYzz!fopVD+SrM0a-Q3bxaVWBfk9q|A}9KRfC0@!Kas%=l_35z(5O#?>?O$ zkzKg*2U3`#g(s*T53(v06j{BSEjYm8nE+~j!@|?0<v@w3$9_<a4GK|@=HpC;-(Fn# z3=SdC>N<~J(?`r8?|}^Z^X314AJh;6*$)aKm<c7&5SoZ$#B{h3JHH?W60hg~BM@IF zeg6O7r<XMkRJVj50H*{T9^UaC;bAoYg8C^SXQqOj*}HiM$iLmq4?tAwffAqp58$am z)MLL70|Nup%PfZ9UOfB+_A=;#Fppl-_e?M^bArr5_42mw|NndLf$4{v3HA646oZz* z4La}{tH(1xL89A{2^`&^D0>}`#dL6b0>zC5iurbM^AnKG2c;)wNXT+PEqK5Pw&3SK z{OKtYxeP$d|Db*kD9AwN-$!u%2c6#pE?*A7^ZyY@{s)~r<I#9{1us(ha`q!4>cAx| zDE>fpfGYBRpjJD`^nIZ2B`6x_eL@N`aOi%2VfFF<e~<3w8>|cr-5vqWHH;h#B~~8Y zyC1NEN*z&iMrH=XZ{X8jUhseU|G)J>iK9pNZjf@1UQ<bs>?MdS<G26+U$cAkva&ET zGjww_KV<aio(wXjldIc-rTGD)M|U@v>&OC+VQ$afI#8D0`T^_+0X8&8NU(t&v55iU zh_26YM}U-j^qNiq$wD1b^A+lddIp3eK&Bu$0?b8o1SlOCKpf$L?uY=0BXmL5KtqBR z;Ruj&k6u%LkSx>@-@iZ|@#{YmJS0G-AUOieMRNqG++F=191;!aj_80mV#R+Zcyu&; zf`<f1xks;Q7f2TBh$5IHN|77^G6l&IU@n>?SPj3uP=h#P2f8B;KpdeBvIZIwzdynq z0aEVKYsw3fg*xKxXJ|<L_=gAykSR!x0CUkC!DjgF#lm;skobV^h#wF~Ec=HDi86#E zK*~LOO*=rcP)B6I98rwq2#_gAjsSDf9Kmk*?S%})5gP1h3DW>nllF=#f~<jt#K#Zt zkN_$7=rv^q$wD3R_!BfF-u*>{1jrO5M}WCVj^OibJ_c@yet$9LEjTa|(A@#LkIbW2 zbmm_~U}PfP0aEVKYg!GGg}Nge=8iNZcYsVmatD~}$O5X-IYFJ7`{35?OMy@S|AX7x z;0A7hYv*yt&T}4}pFKMNI(DA*?OkG9Ak4tv)A`(`^U#aKU{~<BfX=J&=-pd!f{Wor zKPWJJ_cC1MVtCOEVP6meSzx2$(Rt6M^OH;G&lj&ixv=xFXYU@}4zO|0d^&%<2mzOl zIx0S$?-5Et=J|9!_vpL_Qep^F(%agg%f*1AY9^?FYdi|E385QS<%9bnu`i21fJ;({ z|3ZS1J=b}{r*{ea0ui|1p1%jjbdm?uFWcY#|KGfK1E?@BkpKybfIJ2BkR-%I2#0on z1bX)}fK)XeP0-_FfP2Za^98h_6#MewhyVX!9C)V)xBFIz!rkW%abH;T-UFb4G_adE zM3LRp3d-P}hY@c20ut!m3s%*5G(sPTn|#sTgxfs_#NqDQ{tg^oVa<DQfClcs?okj& zcF$K(YCv{R3dB8NRgFh0%y778?|ZZ`!tI_6Ik<b&A?^Xi-wIG#{Qepge-)rXKCs(P z$RfKf65VYlKmxsc!KxaMR+!>&n<Bc~KovA@_Z7&)-PisW7G4dYfkv>KF32If=_sf; zKn^bxh?~Hw8jo5S;BZs#JEFrYLILic?{8r40S|P7-Lpd;**&J{?pXp7=-mre)p%6G z5QlsIz9rf{4vKL1q{H1402*ioyJvv{vU`@k`TySoIebJQ?g6W6Jo*Eddvb|)kA@Q5 zJ=b5u!p8zM&<l1?ha$3jMA6;T0ut!m3s%*5^o9|R@VWbj=<pFxhP%fd?j8luKr`4q z1xm>7X?=|rK3_lry?eo`8jtQU#^D}c;@$HD;hycUVBy078t4YQCqfz7Jzrm;xhDnU z9<Zv$qcco!xM%Nc9O2{AdDyY@oM-2EkIuh7y~_pkxEPxEE9h}CFqFu9^zH>!65v*= zi{?khn?9ZYTsl9!aCrUyzh~!h7tPQAK_#P0=Qp3uU!6B!NWA?2zxfAai5yg;;YnA+ zlMq?Q&KoZzA-;1275rS>3@?7Z{QuwZB)A#`830nU4CKAWqaa&d4G(y9zK7`Uya_Ac zU#h%B^9ZQ0m@r`ith_+;&j+x781!NO0aYRx{wa8c-9H{L5dHyaMDvg5OK@y~{IkP? zo8g5frhl%z1a<AeRTmEbguKA#AJ712fJ^7m&eNWq-@!w6%U|e&V(16hN3x#1`#@C? z*hiW-8DIKz{_DK;V!=zWe;hmCI%?i^{D0J^^EtTYcj<ijqU1TmPl`~jU_XInT{~aA zD0>0&5`RlAGXq2G?NU`&!vn71C;}VY`SL~RQ;-7C=*K<<A#R2jrl27D%~-DA`nE*d zaR+D^#S!cppU&qnJ&FiD5enQ4FBrjkn92>B|1uS6JAw}qa0DL@fTZco6SzeQO56-D z&Vbzgn;E2u8Keo+;da~!B9Sz0M`+4W;bwR-9ju83q=^Nj3DmuF+zBF)G&LbK6{vAD zyhs9TVg+ep1!)2e!8z^(kw}_+5t=GAxEWsPf;F*$G_ip+f#x9{cY;VHO%e!A4O-j` zFMd4x|G)V+J4h2dNE3LpX(xz8()9Q-JRmxBxEWp?25aH~Y2pBB0uQO|1d&LZ)*&=a z(Bo!!(FfMV3DU#~(gd3JbleFdku+5zG|e#JW_S?^*2D$U#0Am>nj3Z82_lg+xga!Y zh;TE!PyuV=25I63X#!24I_?CKNSgQ&nheCa8D6}93JM4wkR~3GCeSRa<4zEXr0Lcp zctBW4a5KEv1=hq1(!>kW1nR&$?gWuYnwBCoIY@Ccyl4e$;sa^o18D-y*E;S5kw}^f z5Slz>xEWpqfHm=hH1UHpfhKhwcY;VHP1Xoa0dm|7FQlJBOE-AtI{Ebff6vBapbYD3 zc)+LgJ6Oi0^Cemf+wsZ&|ENNTah7i<3_zv94Fg#D25QiN^B;0~Jpp7fmhw8|F{CU2 zmDeDR;IaTx;(`j}Oh|GCm2WFdxEWq}K7slOq2wFLsgPzAj`FSKF~0IGBp9u{USSCG z&kjSFe?Sc)4F4Q>0xsV$>Yo{p5dHyaMDx!~NU8(*M}Uu;;RPtYg9|r=f7BrU0cpnJ zpCyk-@=u2m$UifTVEzF$s4)EV;W2jqoOp=v4@e`Le@;SD9LPT$JlqT~RzAXrpRmW^ z`Uj*Lhkvd-B*{M+#vuPx7{mMnYLH?0M*)X_emp?<2c!|rKR+LWGdRdU5(3-|FK#}> z@K4(#uzx_BarlRYH2-**fcz6-0`m{3L5Ja=fJfNlM+3<}AdP7L(M0%XgBdr&3r<Y` zY<mdy4@ff(|Cl@=DSk9eLH@BYh4}~6AjI%b!$a);iMWr5ACN{g|3p53$Ik*IZiW|@ z4>02A*#oeDK$>y*C*?j#{^2kK`A5PG<{wZe62m_m9$@!R$3299KpN5f(|I54A5*k4 zKndapkOmxnSa1*14>;PN9Ohv6o5S62#>If){tx%DyZ^*pB=?(fF`&8s<UOqJPr46| z1JF<a4);H}i|KyHFvwikKF~}XsE!8>;eUVOdJ8n1=A6;(UC>~|!CWfl`TrP1M*G(P z|HqmafLdU$ojiN%Km)_#Al1Fq8iwCMvzjkDZh@w-N*q0#kAY_dzQ6c(6J#8C?y|cZ zbaD)6dKqkpNAGUXNqQcQhdaRQ0`5U-z_^#ncVV?*9DHzR!h{KM_kw1B5bm7{u>f=! zU3WL=h&KLhEF2!qKUnzNK^GQz^zs(JWMc40W@+?bJn{d5XKx*7EIA&c3v{$^cQ@$Z zS&(1(TR}%5do~{f&)t20VRaMaVGkAt2F915!$LiK>v%!)e-OQ(>n*ywL6`4<^p=)+ zHXq}I1QZioAM;DlC0d@%$3$KidiK_d8-9E7^aeOUP;6!@_4jN(Ch=O{v-y}T*bG=$ zY`^jUzi0C?uGi9@&BxflQZE+YfH{ldwT@@=F#(8h4_uh(wWw$FF)4^}DO{KZHfh;- z*nkDx#e~_i@%I1!6F_q#9{WL;r9lGsg*C*bh>&ft;b1J~Jl6byg@J+jH9LgC<k7nu zI{$hDVruluM1*;u%haIey}l0iIi`6tSfS>D7$EZ?ljVmSK=Ul1>1;uSdD#pM3{dlC zV=<2j<UR*BsCgg;$UNx$kOpXY9Aw_XTX6q^E~SH-=Z(cYuzz2`%mXn%=52t?H(!96 zSBNkVbeSF0yx-R_{R?*A1a_$VKn#$1FCgYsfJV+i?o&jV2fB0)YTjxr<}ri(3z};M z#|MZ3GEadCwAkRV0%$T4WZuP_aR25b!Y>+&c`P9FK$DnY^FR!cc@YrvPQc7-1epgZ z|DgTV!<}a#oz)F$pj9>d1=JWATsmD;STs+AMhyOUp6a~$;@2%usR!<yzVqlj)Oqv8 zjT_+dt~A4=*Y?j-CWd{W)q*b`fz&k~+W|7F^LytzpUy{}FG20o%3IL-2PF0iB$9p$ zJe7Ok850An`|#hV^IhkwZXXpENb-iRr-^;34f1dE5f0GE0%T|#xp;w&CxHFq+Ih?o z<e~2#oxgp0mp7<0Ff{L<pw7U+P@>?`yZeC}1FT4Y>B9ICJVx^Bg$&5moyT1|zq)9? z1$V(+K+gQt`SQib>kw}`Kr5biKApcDJ72t52`Wh-=6N(8eh?2Dp8x04`O2m9qi5$0 zH0SeNg%yi1AE7uOkNYh&K<@Vdt%L--e}+0X_s84>#ST{Y8{%;POHc{XyBlPlN8@3J zaJ=sKxk9x2T{^+(2|E6vU;uKy0cas*326MIK_BXT&6nT-s?Jw07J!OL(4@;<N6oj; z@efcSgU3IvK>|O$^=)Y_%J9ak&YLgFu7Io56qtmH3bcm@lFYpP|G#7B5!a4oNzQx> zj^B<Hlo)vS?z`c{$ME9rmH+=;JC<4Zi!pfi?%U8O#_;0QmH+==i=jr_VW=9PZWWd0 zzl`Oft+z`8u?(Altb27C>=q*&(zh@D|L@q*_IbJ(!-@j%fK0}8F@_f*SN{KR{>xMz z*8H2PC=kow3drbwxY3|Vf0VHokaPpY=+z70Mk_23V|elNGRSCVkkQN_qd`?a$`A}l zuL;~}tkS9wqmx&{jlQu$jN!#Ru+i-N?eiEJ7@B{x@Hb6mWMFXI0UD}tL>>_VS#j|a zC}8+oKsV}m_U>D7l8@oV+N&V9v6KhG^ni3C#ob)6o)RM*(tQ`ffhoNS?yLzL#TZ_& zgPp|+@-ZvO$DlzVl%XJybDZEtW0f|B7~OpsZZyMTF@_gwE`c1(1~QrrWHe}~2xY(s zWc2fkAfJL<jaB-_1+c4~&%lk|aaxSwMIgxN-|YNNvzb9*2pUhq2*X~u$ylZ9ASVC5 z0ynwfiWtL-?-xOi=7vQR2P~REqfaP<JRrwwfvtc?(~CGhh8Jw$)X4#gCWsyoi4;xW zF2Fntl5j*G)&d#%{5;shoA1J%rEyn`;Y9-2S)8D-<OGE!Xj}_rbPJ?+Hr!~e(mfEP zv!B6@e(+R`;RP$$XfBY^Tp*)Cl`qN|7|3V`xY1ap4IxG=zlR$=<DD48i&YmugI?VH zO<%xC9W+FS5r)ss!@>ir^!0P#@VNaQZgRkPF@_fbAd~;{^S93e=O!NhrioxHKm%$h z<5nQYH-oL<Zvow}<=MOMK?@(li+E59`pW}~CWsyoi4;x#U_GF836g+Swcr6?kdY1$ zXH8}hhZRj64B`wgcAf{N9$rvb@`Az=G*pK&U<cCs<s8h%Sf!ty1^d{W6K?bY4snJT zabTnQKt}U{j0O$pp$zMRjGhTM8mn{{#As$AxX~4Y;tVgCK}P@P2bl^Q@xur|XSk_Y zrA;8F7AwO|eW4`I@M7&bq_)d1(9rwpi~s+7_U;2!XP`mCGH~tL`Kt4#Z|4WpO5~-$ zS>*Kv9?fqgTsn^;HBq)3w1DbJ2hd7y&@9gG3C++t(na$nc#^=S^VN$gkcFMcJ9AWc zpiPwT@Ft2MD9LsnF+AzhS)wA~(+QP%!3K)i=0A+3dXAmPI+~N6`9Lvj;L*DqTnk=5 z`~Sa7$L8iXF$Ry`-9K8z7+xGX3#|nukQ!Q0HHIfWx@%MfAhv>9K+7+H*HVI<>(O}l zLkTzxT)@pY9!L`i$&{CzXTU2FAQM1H?N7A!+KMhvVC(?h%L8ujaC9L81JPc~xq!31 z<9H6zWI%7P{X7qLEyz5N#=`>cFxO&juf?1uy1j$u{)BFj`wKw#2Z7yx0IU1goX6?@ z#<SSnZwYZf$UKk6!x4}ahpW9g=M=f_m*@exUjuZ{5!n3|-Ppqa%{iRzKX?Y>ejktC z-4~kp7+xGW3(Y);l#_c792X$7L2;3fH!d!m#Pkd9^rO%Va=$?@ti9jRgU$UqxZTeP zbw7Ig*?AW1W{`P=-7JH}&5)E2A#uB3qYvbMi$0k9J9@FXKj$pY@OL~734e6=|2zYB zKgc}7?vFWv8Rig|LrC22H|Phs-vP8i1Dt*)^kH-Vnlm`v-*^hU`z;~v2bo9M{d10! z>;8mEAomwcf`$KqiP+r#<}^<CA3O<hKPdfAI1Wnxr!dn$sCen!4KmxK@o+{l{`7wg z(=WKgKVve;{S~15$iU%$ViGp@>)>`jBh>xq>1QXXNa@`TGLNvEWw5vzl5!v<Zub{V z0lB{cbZ;8i{TC)<bAQe$oZ;_y0uuh{?*9qOlD)e@<`H&(%u&oRhqxR<;&y+<RFL~S zK=-?W-G5^WHutYNiPQa!$FaNL65@W4d4%0R=Los(Z<q#h{{+x|b71#Bn2OE)Z%*KJ z|G{Gr_k+^^jTlh+KaQFHLB&h&Zjjj?jfWG;@u&a8n0~<>{vFdn?w<h~3<kUZ#WZa0 z*TL<6MyUJI)6Y&&k<z;xWFBER%V2RcB;`Oz-0q(+1LXb%pv_lc_kWm<&HXvYafZL+ zQAqfsyZ<LBOZM&tnMc_DF^4e29O7~aiQD}%W`f+m0(74s*!@3dU~~VPV>sR4cm%uq zEg|j)nMc_Da}JX0euD)d_d9@w_`&X<Fdv)y-yFs1{)30HyT1}tr1b6vndi}X_<}j! zG=Jv+x$e*S19E@EA6Wf$;x{(;>)>`jBM$fP1QjX0yFuoG!rvdS`(=o7KXg688Q6NZ z?E&1349)v9xEZ1A**0)7!Uk&}f`<!TIv>3#I06ZK&Bvh8>F+L`pq12}H(z)hf&{#l zN8`~925ttA&i5}|4nxWws4B<K8=!tooMU|K%a@0drqv+n8S0+%;Kg;@6D+tGJbL$o zVhS`64ju*nau{Uy5pWmlA7mK(;z5YDP9D8`9UgKsytn|$JB>#(K-xh|;{N%7SAc^? zyh{<z`RCL52-!JN$j*U|2cx>@fGyZP2DV%baQCEOy2la8Js&{sae%nz1V}rYd!8PG z2M@Y?P8~uD9<1*9;0kt6f-91Ht{lP$pN$6);j`coH^Yk!puE|5^an^gntMWVyT^*4 zdltBZ-2>{`!NbP|(>;nv?g@Cz&G142;+_>C?P%^fdJt#$tU5?2d=7Yl-DBW|6h2!H zVua7c{fO{kc*4!_Vge}dG#)(x(vIdHQ{3*6BIuqE{$Tec_#?ST1=Br@NbcDHat{N< zJq!V$#j5XJI+4=z(gQfdr|STr@KFc@yJtcul6$5czzCnheTeWm@r;|{MZtdXjJXC# zJ6iaN;&#uk{e;{zAsp-;&`bzC{jgxV=iy$2dn7(`GrV}P5A2>9Anj=GY2A-Ae6k3- zry&mPo)57|;Zw37BYXmp+;alto&bn@IzZad-1BuGPWRl}M<{#>62R^O&2+)T=gB?{ z_Z-}V2p^5l+zc-c>;;EU1xP!Zds1<`$BUqQ9wdR?6Oe=yJ|URyF+_6D4Ul^bAny49 z(vIeyt9x;V&#t`~;S(GHo9puF-Cm##s=6AqK~<NmN8|AeTF|NsG10YsFDS5%YrY28 zTafw1n=dBrhJ=?4X!s61VfxDvHh&1Ns2o7<1y6l~xR7~6aD9bhe}f*#{t0?8`yc3H z*l&*2enCR^AJ_wHi{P_=f+5KM1%@#DKNw)xzkLr@|L-E?f1>PfumRaW!3Jjk18WTX z&9T}qNXY&JyNU6Cfjh|l26veK7u+!H-@Y5G|92AdKT-B41cB@?2!h#vAP~cTbFB6Y z60-lmE@J#25DT(DAr@x;h8PU{x9`I0{~d(<Pn7)*86f)uKu1)Sfb!phbPW5=vDz<4 z$o>O6iSfTdG01+8VwnFY6k*uEeJ57`Zztq`qU_hG1KDp;2eZGU7Q=pXto92Mvj4yi zO#cUT`<3`~yJd8qI`~We;45jyQ=Qij{%1Y-oY~_z3urS8gKIaBMdKsT3M<WvE}f?? zzv>iGaqO<KVCnpE`GpJT`Q}HAogyli-#0&C1T8h`Y;yt4em!UGgq*g~Tc_dCcua$l zfx)x$0eE@Z&dvY-M?gxy2@_~w{~wtBKR^q5Aof2%vfmQfe$aZWeV_?s(DrlrE#Q$= z(3-O7mxs24ra3&0w}IB(fR6j|=-mhE%zHE*b6|pOaeryI6{H5zlZKGN9?fqAJUTyj z`;~a02J5wh|5y(`g9mH3jR7oFFTd}!QR#LuV7dIF`8i{!jS4g<J-R1@daoY6H7Xn) zozGre*amXmX3&i{hLGK|9-YTutlIqlf47SYM`trgqT5A<r?VM!ISuqgk{?jFdUW1< z;SVyP`G`O?Ec7RU*PHDF&2oeNzZqn~ZqUN7=$D7Kf&Avv-3AH{(2oDM1E6_r(1|B) zM?h-@;lZ(j85$h-H-fZ40s=}R!o$X=+syzenL)$Dv75!fvAe{81r{0}mtS~Xe%Jhf zvH2OJ$H8X`9-PNKI!jbIJbE{S?mqD7ogPpHYA@8NaJ-1y3|{GI2=YHD(3(M~lYoK% z%&7spvKn+QO9Ir1pit-p`4Sci;P?m4#e@C73G9FHLb_hly9by+XNvsV2o?kdibwC} zA1olhf|o|TxBy8m9IOls(Jv=Ml0tXi5m2h@Zrj1gz<}giXr_XBvRlNW+r@(A@~g`) znjbNOTssZ4F0Qjp2eg{4cOPV}+%eDr0-!we^6DmN$aeRcfR=%Ew`nkuX#Nd028PbI zI}r0BYu%24wzh-KFGZLSy3p36yA8BJkR<nau!GF+0j-|v-3Q7u9*xHe*g>IXc=Dwv z!hCSr>}~^{dqa}>pk;NPZ8o6QZ@v4#$G$Wk1FfwCyMHSz<#qS9fMUJ74U`f{GXDh! z$o+31=7Ud)X*_m;1M2=zg!x|}=D%PjG5lw6g3O--+OXcc50qs*8jm$_Le2lW0Up08 zp!J*GZ4oRan(x5{GT(;_bRfk(36LR;$3Xj2z~M6$Vg416RCn76QqBJXHvbRA{0@*I zjmI89k2`v43Ns(vo`vnd2k)Qz=Gpn*qjx<+BMXB^=l9NQou^zn4|;aKc+t2PTwn6H zfQEQ|dzUY0WMOb@-v6PIg@K_&3cOld^QH^qOOMX?KAqn>Z@qBX1gdtAxpcnOybE61 z2inN<sq^Iv@eN>;N&}mJOO)%l8Xj=m2|92QymI1O=Pl6sGm&-R^$q-<jmH;=@-To7 z&-%Cl(nkPq();At`2uaae<CR3gPZF}OZ|}i2i`(+#<TMqc$8`V4-^mjcD{JAU=7$= zC27rjUo^3RR%Gs-(Zs?~A`SMNizav^4CFV^U|8}-i02@KVgJE{VV^p0zOY^oHl$P$ z)T@9B!@CtQhrN8d0amrcgrG${Zucg%AV&zuy&qPCtpd3>pcUd?hE`ne-Mj(2d%M?x z4I$uOP<lZPZXE7C@7ejyv-7V<@A?yM$nFItAP1;>%Y1v6X0(G6&)yyFpu__U;Gc{) zA&JMO^VbWe4NwRF2KCyWLxw*(Z@#z%Nrt5co{dKX#6U^o{flesph*Lw3NyS**OMIH z2ilR{4+`&wRp6ikh4%(fhVtp%o6vzPyq(uWoev%?g(if~n=hoH&c~lVj;<rwy&7HU z?!B-Q?p}#*NcuR@h0DE@*I{>WHY8IJNFSoax)+f?CiEb?7nD8}AnxUF0iCGm+q=}D z7o0{KdU2+aduySNMoS~xAStcX%CTXu$8l~3#!`OI#-k^Waf43scoDIRKx%PcizD=5 z`wPMAox$Y^!ap8;=>7><0S<q-e_r%~{o~M&(?5JT{PPTw^1%N2a1!R9f|C&cbgU%c zpYk<C`v*}za!f$>4><iV2m1$<{uw4h($k6wxYCpJ8fer!24!EQ^bhq4f%Lx`hl_ES zk0&OgyZ6E}xO)#wg19$h5-#^nUX9(o*^op@DE*V}-UCz7-K&6b?}lj*_a;ok<=)4u zu)B9RB+(IYZ|W+N!&hSlx_b+j!oyc$Cd9obX5ezKF%I`~6Xo8mD@k_mjydS=J+K7s z-W78p?v0p>%e~Djv4?LoBohz_UslrHdt*Mjdj$~gJ+T1d-iigd+<SQicK5D^Bq{># z4P8NU_(m*8cW=UCc=&p(fVlU^a$N3J#^K)IkVHkmy-Sx9>0U(nT(Jt-y`cJL!y<@# z%Y1v6N~{K#%^9n4md!=Wp#h9m*LXo43~G-kC_&mIZp#Ri$WND%?EZq)=<ffp5aE7- zHDLEAtikF2P0O&mzYCJe!0joR``eZhaK9?C?nl%o8EcT;52{ZLpzcp=-W#zNl3qC0 z;z}={mqMM7R-c@PBsv1=rF1Eg;f-)_!di6qHY|XIcUtq_fOQb}GOWYpUS}Ncl_tu) zN0$)kUPStiScmLhQ2M?wAM9Ru`{Tzta2oMgk28(*ErA9yS{hG-<P>oGBjN<C{c+<s zr2WCMkU(nrz8HsZCQR@+-o^ns{F?#X4F>g3JsOXF@Pl@<`xZjG+0fCc2@?W5y4xf~ z7#O-aKxaTc1P{gVsDK7CJ$m<@Fa~YVd<fcB`yzQEc+?U_{l%Bf4;ecTU3}I2h_Uqm zzsrSA9+l1z6&8=)eGDcDtr`%mU^`*sVIJMnB*4dJ)~Imo10C%3LTC|e9PdN`0|RIf ztF!q`0LUBQ5v&aXAV2H`9sCd8UVQIG;yiFDAdlvO#`7TKj~?CAZt#ILgUkorZt!B$ zLYVm#fiUxH0zpcuK_?9-1cJ>6U5o%VpAnn+9^GvUlAz$~?9&KhU;vK>>I5+`G(TYE zJki+(5<`rW3Iu`71Z|%_@N)B9aL^<9|AZvSa*+E#n}%Kp!QFQQWH5Lf^G*;*3GC$J z17PF8htEKr7&jL^2t2ym7KlRJ*AWbLUr#XHeIPN9-hJQ^zQ$t(!3g&WL)_=l-4-AX z(Qgp~)o&95*AEi&fS)6+5Q5Nuc@Db&I0QjS;Q2n#mbn)u3;zG_W>M*EegQJoMTMoa z`ArA|1OIjx6^^vd<_}OdPg-a5mk_Y0Awz(NFM#dX3A)e#>gmimNS;OtPZax(%!k^y zAQT+gES=3uLJ{_@fU-gMtqBF&2i>mP5eo7XvVA{iBiRQU85D<vPed3rd}6}j;R6!$ z=-me$UUUcpnFWpi+2HW;=x)0J)z1+Q)z1?S*AEi&=-mg|+I#E+lKx`2{tjsT?11Ut zgU}BW^MDUOE(k~1Zw%4z)7=I-qZ>S)oe=>#!P<kRvo9xtfuZ>!Bj>@+K9HbC?>-IC z@n4O{0wN$LykvoBpAZ0>7V+rrJE6|N0Ll;C3=G{2#*CdTDjwa_AcB1gAVE(SZZDQj z9~G7oR?ZWhEGnP`(6*w4fuXZ+O$jK__gR2WscSqop#(ZT0#4j7rq2SGHJ}0m***ph zuze9Aqv7^J1pB~(SnX4&0NJNf0k#ixh@VH}F^&qTeTFArXdx_{04fim(>@;EeID9i z`zD~+2NCQ83u3jepb2DONfX$<9ZH}*(8nU05cchw3HKbDeLEoboj|b<BG?BO#A@G# zW{`bXn!)xZK%#9&Gt@rtp;Is15mq9HCulFRM|T?o4|4oL1pB~(SnV@t1=(lP3bu~{ z5`Pk{F#AALDKD<ifO`>i-mzmyZ~){Sv3;QJb)d1wtusL<3heg)-4nv!V$H$8;CQTk z0f^_>-EN=^I?R>j`$ga0<v)ZN7+N{_TXfjLr{}%snFZR;?!W=M_=o+tLjh=F>IM5u z@ac3O$3Z*>k6uxw6`<o*4NpS$u!3fZj?DT0A5@QlMiHNTcHVf=Jp2ED-`?d8{19_Z zu$jAl2Aa92mcz^i?J@<Kn*%m?7ZU>m1Kem0h|vK;5TkE`TnD<>q4hus+{q#6M(4wg zhRntse<27l8Wf^%qtDI)yV?VC*6E8nY)1c_j^=6+xY3}B2IT5(vq7P;3*-s7(M1rW z7w|w_t&Gj+dFV#(Tm}meP#q33IvQd$sGf!!Ee<jI2Gr;iAitvrh%>s;aTrE3U@>~n zOmKiC@IhRii_Pd4)6fEh8E!PFWdL&Z(plgD0oDF+S0_S@o&hyl2%FKp=ti$t3JVaJ z(Oy`LW`h`gLIC3G?I6FS1;~ETl}#^nL6&wN_BieU$~g>(6ut%S1yJ=0^1`E;U@w3w zO1Ky1%>ajvg&@Qpf1LIl1<7Eu#|OinDlGPxLF|cu`sX<)RIvId7MDFw;Nb?k`UvD7 zA&5O%$T{oWbg+Liq#*vO$70WmUsKRxTXYF5N<ha1f{fli0~A!Ci6Ng(aA8meF?Rvf zTy<>bE<!hV?_zXw<I&BPgP3~*YVP@|SPCyMbaPWN%w<P6_t-RWI0#5X!l4|Sxt}JZ zg##~!x$CE+g+m_1+y@d6bLFv_I}6?1t&7mZAspRYF^IVdkRy;^90z$BEq%OjLN_-S z!`%PV(A>LkDmWZoKnwAFZ05e2gcc60aC1Sokb%;}a<I9(KyzmBtdk5eIza{!6e8G+ z?ngIz)k0WMz>N06Vl)TD=muVh(K|sNM-L4Xbff*@MnhI>9Dng~DkwB|fy#8atJhBX z|NrG1(49h^&tH6)1lroWA5_J?cmiRA>ZBJpAZ$=2^5P7H4J!3t9DuMv#q^6U5H_e> zeX#<<1{H)a=0Mn>lIz6;2pd$oyl8>2K_$S83J4pNOJC$b*r1&CA_2k%<%Sm_5H=_U zzwm&tL8<PA4TKF!DK88lY*6gJP=T;P(eXk8!Ul!k3my==+f4)19uV;8c5nbO*pE9% zfNErDn}X4!mv!!UP*1!a)Zl>4yMeAZ0^bJ&>qG1V?a&9UgAktxI?rYE3Ul!7As0Z+ zl9D8k?&cq$6T!igm*A2_nZu*`Cu1qEM|blF5eA0liWG(tEzkyH5eA0iEGnQ9+oRW1 z3KVgdKy4AwMr~KalOP+mPJ&%4A^<tNJp`1#dUx+YX!Yr}y}tmo0s~2_Pv^fEToXa7 zP!BgCRDudJ#~ldML8_iifLyZiWF8afP8ECXcd9@w51$O~n}FKeu=A}t|Lp@EDgbgT z=LAsyXLkVTE})W(W6di-43F;S8LH4`S}RA1xJU19P<$Y~J%1h(!)sNK?&bg`gfcCt zGLP=f8OrcLGQ0$Croz4OV*14Y|2=U<D#QVxI4%4S%Gu2zhe6^r?lAm(uFik^Kt~sV z-0#^BcK;2KvrA%*H5-5~A@b<n9RM1C=$;I6h~YQzL8~v$O#sc3gJaZ#7apU%yFnZR zZhkxgubV-Zg4|pSaWlv<a5sa(9fpy@A9Th6$o-vtVD~40oDFjS4iLkmd$$GXTBGjC z4YCZN8z(^RuNQm>_Z#paxgW&w=-v!c3XLJdOW>gtM3^h%cR$EdB=>_HL&*K0gA_pS zKiLa*{|k__LGE_|UE1W)JsINu-36fglD_Q(yWg)L9{w4~?gw!^x;KM#;BtR*Ki=>M zS&HO-kYfnBA9Pv+$o-rU_ZNVi4RZep5W}N;GRWZ`-Mc}<ScXvd_w~Wu54s)-S|arB z25~&PH-mKGa{uB!yzU2CisXKfW3akE6jYdLfG&OLZUZH7@VH**sf({Vk6wJ+{1iM) z_oDM%^EbxUYbAUh$6dh16vN9W4B#<{&O^<g7+X)3aDq!Y!vn9GIuCZ9;yhHM0#XV( z7mLB8d-4h%28MnA|Nm#~wo?4AT*T5@qoUARqN37zpp>QcWN8dYEvPaDsRj8NO|3*{ ziHc0?ff5tY%02<m&20)ky)G&e`#_cEP7vV;CSR=Y{{O%AZK<k9w~LB^N2iMl$7}o6 zlO^mRzQSu(5G4T;7HEB2a@(U<RC^W^1ISDckZ}SS#wB#aM*w@lgF-x=%{9HCe(dfY zpt7Lxa6&I=m5PfBhv6mg3L4OIIj$~H@;#yv9Sd5bfs{W%1uiIkyaX%Q{R5ONK<Og@ zbd;e-_wFAcGrK2$faFh5ci=@{4?KN*KrUZE9FOkJAf<@(0qTq(@@I1o-t+;o6e)dx z9D_A|Ah{pZ!2r2m6XN~`kh4MVzX4)+bWeu3f42c2xO@S-e;p`74uH!?4L+pu1;p{_ z-VD-#%l(JD@wy*mDU$m^jv?fJQ22n{pV<kDpxqpxJ3>M3PXIAIx+jAi4!Q{m$^GxT z;NgD(+5I4nNB3rs4qWbM#_xWRrAY1vIR>lyLD>_uJ{C02$_pAt><(Z#_>!gb!oe4y zE0|g@@w*&?WkNBJ?&b_WkfKf>70~#6r;7^DYn|2uB`H4L%^=5mbWa8;0H^tVpcvW- zA{@cwi^2|Aat9s702xr%=>sSC3o@W#slx(&h~y3l&3iAT+d-iTS|jTLvEy|EtZo9) zNa+V;6)5~)f_3bk019?c```tL0csPeAS!-P`vBBtJkFv5PIkSfpQl6H2NsG5WuW!} zNSR0XX3$L;P}PQ)z{9bKyineWH!Xo20E!D}`vBxHcw8X4A9Tkt$o-lS_e+58lm(@q z0uaNad-n_h(D2`6kV6c=?F4nRU##nZr=JM|Na-KMA>ii29eCXgvJ~WIX!`)<7`U53 z;SR${?gw3G19E?68z{zhF910k6#hRz43F;S1hn=Us2vSW-5$NB|EEC1e+63jgOq{t zvJ!Ip3{;vU!vA|aUcZ1G0P+ho{6P+b`vuAUpsQ~{?qAsocE1MbjzduRH-H!(-Mc|8 zT959@ANaxL52#vrq1O%%{|)>|;Sb_~%1?gWZg$4+W{{;IH$%f8<QTY{VPOxVLmk6F zS=a!jh6QC|W>6MpZhpXsl7+ck4ZrOLbrxQ88@_El;J`oisAK0lm(CBIFZf%IfhOtT z!_AXH7s`2bK1WnrFYG}nv%48ukArMs0@=cZZVRZO0EJdJN2ia91ZYmmMMdVddFz1^ zEsyTWAQ_)tR=&xQ$`P}g^=SG3|1~F~(mw8@0y?FUq1#7AW(TO6=k`%y*$HBl@^!nY zNHDXgsDgNgCp%qKG&+4$bXwn*aJsgB<8NUFFaE~uV%Y2ftcWu?&Y}Ve9&mHRqqiC4 z*5(=&7KT#u)|31#LSW+<!2S||_)FxqW9tF_mJgt?1FbP<0BQFC%{@SLdvpu<^s*{W zf_N6Q5fI({|3Ap9(6&rBDAc<{R74;i4P@B`@>wY_sKvp|2=V5nP8Su0&JYz9*Vb<( zYT&{a)M(KFwK5bC(FJX^{A&9D|FvlATSzlS1JX=U05wxMK+E(yn{V{N8XtEcrSS)8 zaA|x1sWb-VH&ElFw-J;#ju=Ec#>F1)=23Zh;~&KNpi-3KrSX4w#|@M?Cm_xz?)<k8 zbSFC~e|a{6%-FpFl&wJJ0|)4GY%JvisBeuZAMQ_pmJbDJ<pW3=sC<ydo!726<1HUR z4glpfX!!tg7(A~bl@Fk+s6g)T1c$+H3y`xx<--IJ161>YDzWa}3uF-G179<|e3&7F zR6c+>p#GZ-ZZ|9AcQeRRkei|91IRIOH$#ISOd;0Oc{IQA@a+8J(fM~DC^mgMpTF4O z0GjpKqjCV`1OAo|OrU9x78ME5?c|=_Eh-8^pvoSU&QCQz;_p1x{EWZ(6D#K@{+6Rm zpb0wW<_FBp9~nCjeZS;t__p<;Bmb0Rtq1t09(GaqY<QscBLBAA{H>sKq(KQBH2lEe z*}Vm9st;%n4S1FUdg00c7e>vH=0UfQ3QzL`W>D+8`5|*Bi1&f9^VIiCtrrm808YaL ztta`X9_T#i+VK@`IwTigEEQ}$P$B}df(O)}0A)zW<Ln@+J4A&8WPaxb7sVHxr%QM_ zZ*X38QGBU*TJgkdCd1o~op&9-Uj=9W{r~^}|L@p(l)u#!l<7NjR5aj0BGB!@()@_I z`5|-jC&tbb-!FiRR9D0Qt%r7irhLHnrk29pc&PJW^GC+kQ>CnqoyS2Z)V*`%{Kwxi zA5>)Y)~E=8+z-w_u+TvSj0dPI^Fq7{95e#mJ}M%hAb-f*3=0zeDTlxTp>P3iiou~$ zv6cfRvL3xIDk7kKu5jE%MF8Y|PzOcfIJkuB_E8b&c2N;gJnP7LyoBF{^DpNK7sU^b zipLd?zGikc{15iOOXrX87ZhKtU@YMT`^oUYYc9hBuC4z;L4U}jJ4Z#M^CIWX&SRYS zN(4DSx^TW${QUhA=LN+Z-!F6?Z~e*NG6&?z<1Q+oB*y>`Kv3hc`5}Mv1Ab5i(dnWh z11iNVKJg2%p6+2{NaNQy@#0ba|Nr|yDG?kRpkYymde9hB9P5T2CWcS^f-Wis9=$Ot z20pzZDiR*OyperO4EsUe+y{;W5aGB3G^GTN6W`8<Sq{NjMOiG4L0M%lrqqK9p(6$! z-LAOC>L3{bl*yj|0S(r*fb)q*^AQiwQ5Vp&k|Fsk1XKqnK<eNGIcTP7e#qYZk*WCs zJ1Bp&UgV#05K`efD4caLcv&Lr(ap-&$HV}NApwtW)?d94HpffQ;jf_B1cw=@)holm z0Iu%31r$#yd$4qds7Q4BsK|749DFGOTJhqeq5;aJ2O(V0%9j(MTF|5U4TncB@5Wxx zkXwz4gHNxIiUGJ;4vtL7WF+VYmj79dFNABr0U`hnO`qNp6^?zNnU7r{0-Q%7t$1)) z`gDHx?fj7?=@|544kR1}KsUn5fINQir69yf3Q#A3xG*QFK%Jxpb&>_hNgCiP3yYH) zs$ot_Q3=3s4X6PIb<J~-YlQx9z9GZF@PZ5GqTU)62e7W4AU`;QNuSP7zMT(VxYhpu z@6hrVRC$Uv^+2j-h=0MVAZk5354_l0{r~@KL62?@572;kC%D@W>idBA_gPGURh%{x z7#MaifNn_dc9_7xpm=cCf6&F7B`N}n*Er90ZUzY|H@i$=U{DTG5#c=4IT^(E=-s^o zQq?L<Ky-XS6HK70R;dc?`vg#8i*t+z_x4fhQ&0ea>Z6}vg}XgKc?DFTE&wq+x|=Vc zwYNcI;m{ro=&sRjXnop&HXaI6=Fz?R0Hi(zl}m<~c7hy*Xm7XI;H^(V4gh5vXnhKD z7`$#ms!ydrMMLNP7nTtBUjR9~#Q9jWh9gL#yIFuAl%BxLz@Qn)qj$H000RT(i4snz z3qkh>n;z%_4NHUF_~HzHr-94{Ic*wb*b`(Y+-czY61qOXqxlVJ(8{y(-#%%OYdY`0 zm{bXhkKGX<*YUSRGchn6Yu*6jcyu>IE6M<t&TE|KK-Iz{P{qa38KT1D@a-5gf6IOb z28Paa&EFU~ukpA1fO42Xob909u^*W^FY>ptgLV>vYAjIE1s*5^8T9~Sl#2=vsEm{V zm66Nap=IR0D)cf^rV3I<_OwIGNN|Q0@ac^K?bzf!+KI1>^z6Ku<=_~URg}f#7@Sr1 zA|D(xM+{!(f~vso-4&n}RK21nIzY2ZkRGT`6}W{X=+WI=AP8yU@VD53l=toi2|zNu z>C_G;hL@Zm;qGP)0Z<2<<KRm!NI@ac?ZDG{5W<BO6e19PysVv&vP%F|P)L9`24g8G z!plKXb40+WH$_DPyuND}sCx`8wm|b!$OVP=|IHvLym$!loqz|#W6(aePv@f-;gw)d zfhJNwegF@f=6WD|s6<8KwXH|@Wbhg<6#XxxuxK`bY3|+(*3tZiV;3lrQO6Oo1YYQZ z6WI{~JhKg;GzUt;b$`LL4WRVs(R@S$T1<e(1i=XzN<g}Y5+0qOL1|eAnwF21fdXvz z15oniZ;50CrDY2zkR+(70CJf}_hwL{1|>NGa0Ltw%on|t|NnP~K$;Gn7a{F40nS5^ z_L|7IL(C-=ou@#Jq7W5<P8U#7SCS4AVCsYm@V9`DAZ-2!O4blH2l-pCf$Z*{3>o6s zy+IJ%ga*aoi__)cxBw-v74QVM9HhK=H%P#vdoxJa>uQf~us)AoQH?f4`s{&d2Sp`F zH8?7BJRn*Th2ghWCWhAzFcZ2bN9Z9O;DyBm(6|uDIFIhlAf-@)Fvf-A%kh?zpb!Ve zWCN%V)!7V+4Ugs{648!GH@qOqBM)dCf>yGCihZV1a2#fUqK&^r7+fA50C7BE%`Ipg zf*QgS;AvU#YBtbvr(@;d2Bie3M-6JAxTr`pKV%2BDZpJz9~BjkUeVlUNX4kI57dYP z>wV!{3|?|v>gmzF`vs&l*wumvZMiadWIcdK7I@)g?{1I)G@v|sO<P)+7+!jU3WQ$K zKTVhxJt=}%<OH**d-4o9M5Jsj#bOaZ*f_97pj08p!0-}uOoB(R=(HA08=4?C@V7LB z6O-s7ENbE)YD(=r;As=&YiLpNLLZweI>E-lT_M20@H*C`SM)y?<Np_eJ;~n+I@A?W znjl+orUdL!Q2GT0AUOSkQXh!p0Vz$Ofr^p(9+u!ueW0`gN`1#5<p?Mp!P>T<nP`t* zQ3fmtzz5>wQd8tq28x+opaI*RpzDW02`shr|Nn**73unh6czb;(B!Nf1H<cZk6uxB zEaC9I02&UyplTT@`GN{dRD*fY4F)Oc_TV`9k{?o=Xh3Td5EoXP=s-txJbHN>nn4vx z3aHoMqoT17<Y=@C<@pPaLeONmjS8qL279QtM#Tc7S^;SVH=aQa%Bdxwl{DZi0ZkmB z8^-yI!I1|lyFl>;iah?7Oi*q|%MK+f7B6l7fyPci`5n}m0FB9mN^H<HBq+Cw6oPa6 z2T%s*Z#m5XDzQDBK}oH<Sp%t|7vRym8??XzI&k9CYrCu-+&TiUKL8D9F@SF}{rBQf z5%?Sh&<YHwV#7<|n>yj`JfF^guj4(sn<bE1h#^q(J-Ro8IywlQDCWONMHmiBrSiz* z9H0e+a64XD7vW8%AU}dqDIX|hbT)(h?*Te1!V#24QOB1+Q3VRBm-*nJssM!!Xnf`Z zh~d$_8`Pfk=$;I6w&6EOah6vIjv!F(;y@n11#t-Yu(=SgyFr$M+zlO{0XYV>p$V;@ z!Tm>2gu|Mfpm9KuFO(s^U~pkzVBl~0&H{??2oT2uTg#a91b^#g(3X|%<^{?O436Iz z7)#h4zd5ilmg<5u$by<&ETB;oWr1&p7)!XpV;qJDI1j!Sfe)o{9(>IX6-SJVe!m2o zeuZYB*FvoaO87ib#yPu1R9ry!3bkG;5p-=mS<2J=h|%zE=Y^Nx@eOF(*rGdwrTKY% z^LLNtr}drhzF%`Sywv&~)Fpn)KlOmZ3B$Lo-}$%wC}DLqy!6`KvEvlT2RlIxkrH-C z&}hp;#^Ww3pcM!VpaCZz6%I$mQ?36?xEwiuDV}#!JoTE%@IPp<0_=X5)(fR9oqs!j zcAnt8(D~kl^L&Xg=a27~962vKD*pU_k@KvJ;@Q_shHpDxe!r-A5Ikt$2pVYp|Lq_n zf9o~|1_oEd+pTXM`KKOq1a&|jFnV-%g95gj^ZP|mcV6)nC=@xtI>EEj#~nNWgGN%E zJ-WL$$TKi>Yjzj1G(Thfezo({_e+Ye!0!5Xh|v+;5(SL}edzqCc;1EcKnWk`aTm^m zE{Z=DPbi*$&187Mwc~W>!S5Gae<H@aK-1pmT{!P|9&+KlRU*N8-KF#1_iHYk_Y|)+ ze`Mr5q4?qZ1;@@q-><tUUU&F*oDs4o2-JE5cNog5p&f?aJoFC3>s&~OA-WpYVSqHf zbHHONnRU?q0%)x=<Q5&U2f#gr(5#{tK6#+bb_8?*6o)KmF3(i28dToA2+jeOh~U%+ zN{npav>1%&D><-027sDBvVsD%^<oL<KG5Ke;icD{hL=F2h=)3_bzX1&&bWaQH2Cqq z^<Sy9;kVXH{8JB<2sv~d<2dmBTIa{t>`-YFM*eLFS`U_F!9Cqr1@-idTy#%=%Yk@0 ztqSaE7U;M{4!Dn$O32R<xllhtG8@8spseOWYE}dJ9_({R(2)QC)>EZ*u-w<Z*}{~8 zp}X70l!2l78)N7B@7G)Zm9T+w+iQu|lh90A^8UDs3JWOgz=^E$-1lqBCMpi!jxm;S zfkj*mPrl}HH9XmR5|p4_I!|_<<2>fld7krli7@9s&O?g7nm;nSaGq4W`2C_|=ZWvv zTojLiw4)^=!%Ap)dgP#o=dx@_c#2hm!_!5D1D1#cJbHOw))GiW?4Y#L3{FH2kVN#d z0-T8WvhWtNpz;t@$Ugc7?h1j*N=R1->v)MGsJ869|KemODEfAHfC^Jk{qO+9@aWzR z?(cL@=8y+BGeN7~U-)Ii>jw!TNbj};)cOK(2-IuI*?64}vJ~WWX#D_k4A%MqX}m-U z>V8g$`vqJ<ClO~HYt8^MJi41b(B`*6>nWl2vq!J#{Br1c&jbZ<UGV*4D+kywAY~ri zn;lT<Xi$qEQAbb59}OS}fcyd-?*Tas?iVEYD?{DynE?*}86ana!v6z^0a~~OTFux! z8RQVdZ{QVpFV1Dc!(RZgqOo^3h(o~5k2CRxKgd#$o1x(katz$f(5e|sf$L}R`8giV zZ!ExFu>bo&=dgk5==yYU8K>aJz~BLz1k7V+VEF&wWi&ej!^<!b5eOoDK!iJpZ~_sw zAi@Gf7=s8s5TOYoR6vA0h>!viq98(m9aQgEfG+n0m1`QH(Vvy2(0q6x<NyEe0v6EK zG{;?3K-2FGyZ-(E{~w&`xeIto#hWWQ7)p5>Ef%yfGVr%aaxgG}Yq{1_{4IyrKtqn3 zf4DL*AUEYfdoMvYNg#FlXJmpq{Sw_SDl)K6zXYt)FZ1mXGk*)H;Rx#IGa~x=CBkTZ z`~c8VSKZy9Y}U=#>7pXh?Frh9B?DT|#oq!7s?I~rpCBUzJ}M%dr}$gWgU+xz)clDV zY%Emp6;x0FSug-}TGXNDPeRCoTcLu&$buK3f}j~xfljEIhM>cs4mE#LLRPr|DyWPs zxEm^HfGns8I*aL0^Cu%@!8WL%F|y!7s9*rHAUih$1IX_|$byAX!C+*;9;jdfvfx{& zU=p%mI1j|kWMsi2s32%$67KiAP(dzal}@}6?cB(Mu~0z?WWjw<K`CTGRX&JzX=FiL zsGtV2;BKg(7P6okKSaAWvfxyxparrZXr%=x=&X<h#RMSQt&s&Apn?&|g43XaQOJVd zp@PxKf@y*fGc%9{YoLNz$byeRBOf2KL6ZgG<aL6-#a{@tbf>vP1YY#=w>UC`GazW) zG-x6Kw1cWwwY3;j@A-5-dm)_s|G(iSpU&?f4qGy)uU4Z1$^V70{GWne56h)M>fydZ zXgw_8(VL?Js)IdYjT)?zW3c927W;qjuwPc$i{cbeDSgD?<xD09hL;^6q8UWgfrv^F zQ34|JK|~gaNCgoIAObWt{xS^23Iq{8Ai^C)IDrUT5Mco#j6sARh|mNPDj-50L`Z=M zQ4k>jBDg^W8;D>85uo!VUw&s~V0ifnM7#wNpbf4sAA?x;K*UWDaRo%22N9=0#8D7& z07UEt5!*n-Mi8+EL@Wmpi$KI&5HSNpOa>8sAfgjQw19|u5K#ppN<l;ch{y&JX&@pI zM8trIa1a4HGxnt~h~)tyoIwO=Z2YAqh-CsI^g)Cch)@L)3LrunM2LY1K@h<MB0$sE zFPT8BzYGivFF{iXFF%7=??A*$5CK{;`|>`BbqhpX1rZlO1ZcG2<uMTJAc)ulBDRBw zO(0?|hyYE}zg!Gr%>xmjOD0}|hG}1dMy6hZ?hJd`3X%Yw-1NHcb<OLl*A=hJUYEQs zdR_23?{&`Wtk)T@(_W{%PI{g2I_`DM>!{Zeuftx4ybgLD@Y?US&ug#O9<SYAyS#RK z?eN;}wasg**A}nMUYoo&dTsDp@3qcrt=Af_)n2Q-R(h@QTJE*XYpK@~uf<-AycT*b z@S5*6&ugyN9Ix44v%F?{&EV0!+ry24;e}&7sD^jPK7D(v8B}S4YWTfBz>Pz2CEa|) z0yItzox6R#_4Vf08(**Yfpk(qvj+;`T?V^ATMfVi-jE9;!CfAo&gWUo|2Kn15ne1y z0%r~Z@Ps;O0+++1SM+KzWRQ^qY{pKI882kxz$06w+8(`|B{ncH?3dWU#jp!>ZMNab z7Zc(@xtjN9IjA7u1+DFQ=>R^CQ1nwSrcsw-Ax33kGs+cW)H;Y!4FCTBfB6-Baw4X! zQV^xh5T$W{{{Me@3f3?%JlT4RzxC<&|Nmbu0uNJ(a$xb42gD`(t)RQuK*xZBJQlGY z;j!(pV2>3*tnm8#|NlOa^viUJlRVJXw?ouBK-9nd22*biQI8RD;Slu)%D@3<4)QHK zSgB}Y5oW;6jDZAPG&ZO5K#V#HF>3E0nALm0=VoGt-klh**IXg$rGNkb{}OV<rs%su zOsnM}R`a*Ef!e-Ul0YxSxNoIkpS%MZ2WlT;b7>MpsWimqx?eDt3gK|65k&oLh|#Bi z{r~^+5vT>$E9!&Ar6tjbK*9*`=h0wK2SALv0&?Yauu+>aqH+&JX(>dhGdw(V!0JVB z7GMU_&nU3f{H+rpfrMdZ2E<H7h?#l6VLq1tn~CWL3yAuUCE!4ng1g}b=;riZO!XWP z^#>v9pTW)F0ah=ni^a{Z5I2{GVatp=qQJqW2r(}Y6!IBhqcD?GFGT6LVz5&SK_P1k zRw}wbA2X!RM?ymiS8}q07`Gf^TrkMycc7C&v3Ob-qVx<zY4~SYpdN;($4CQ@Bf*~X zfvD&E@&EtJ$zY|TvRGWI3UO&E);u=@VpJf+D4Cxyt3ANxVFpqLME%7ga3Gxrl}_OE zRC`5N<zWWWst9Nx;VPXZA;w*T7^exh{v_xiSu7#-G6L-LMG*Dh;HA?{h<c2W+6hsw z1W_Lja&9JAsVFlR=l%`{I~N>M7)IqmjJjS3_W5p*QF36TFcYyeMCl)h(zqY6K>Z9_ zRM0Cr0ZWb20I?cgj$>H=J{;`x2#A@PAnWIYjl;D52t;WuM5!m-dQc+~TWK~0qTUyx ze)D&jb6vpZi@wakjM$4|h>*f4BU~Wn{Vf28lq=jk(51rI@~8+zeJw<NHppw>gQ9yy z%dl7-2C*7<Ies(@98!7^<6eFJ|NkYZeTdEHvmi=?AxdAohxyzKJbQ_m`m-SFFXw}O zHWOsD6j-U~m2AvFS`!KhB&-FKJjAFDh*1YYMxBS0xfoIZB^2zTScuZfFaQ6)Tmn`q znuf(zGl;GHt&sE4F_Xtqh;eZc<92_6dir$)wh*d-C^m#BW`||C*H{}--VnuG^1#8f z=iUGR`#^0hpU&?dorgR-Z)7oKF}z>{Wsc?}0xy4k1$j$!RTgH*6@@@TE*6`Uo`!(* z@y>(TvIXw`8Q2u>fG946DE|Hy>e-hWpgF8wQBy3Ie+`CMo`B7~42XG(5c7&*mcNt$ zn}-?L77+CxbHPC}6>k3vNRw`FCZ^|0A@;+I0*w6iBp4h3b0KC*!`(0iY$m1~wm{V9 zLex9L)u%wzW2Cnhi2AoVU^mbH`2YV)6R=WIF)YqK7KA6bEFngHf*2(Oui0LLCc3ed z@0<|zhal=p-@u}4Cs@7cybR3Xa)a2<-`WVy6PTHHYY;fN<RE5l{Ph3-%T%y&m`b}K zN<U|Vea;C|YK22-JVfaUh|*6WrTk!}qKsG^xiAnCNT50xBfLc*M%6-$vIQA+<PXTr zm{IvM5G2mK2%@y=6D)jYg4K)mrDKMV8pLYc$!RLYOh1U3S3bhbbOoD<8Az!R_2;s{ zfi(Zs|Nk#VAxbe)sue`(XNb~W@bdN5Z;&@cpQm9uw>$t(NWBUG>EjKCm{;-P|Nob> zz(!$))P9K43W!pUS1@1YgVl?cV6pm-Kg4RR89yIlo(9CcA8@b8gU!RV-yWj=M<zIw zN?yY3e-D};=@tExis|(lsQm`m3ZD1=V6U%$m}l_;X5M^=d0ViUr-RSD`4ICWAm%Lu zmDS*Wp+CgD94zMD@q>g9*0SFpV&0Anuzx4K|NsBx|DPZyi)vyqY9c<PSRh8_LX2_; z8MOss)Uy=KP;<j))J{K;6L^&%MqPOK|NqNOh*68N81>s1j|cN0MqN(_d(Z-8lpMsU z1T02vz-N>)#Hb#KQ8pl>E`vr!dPQZi7?q08s2jdu4?04OVtV`k|H}@DQMZyY!%7OD zQN0kOzNLXZIOWa%|1WJJMoq_J)OjB~VdVrdYBj_tZIDr)zJnYp8iK{B7N}8J)BSfJ zkUriG5Tl;HhNb)E5c7Djm}i2|yyXz{5+LRk!Oa8p4Lo{9k0fD++7oYxSFyS;9AcgT z#JqX%8jBs`z8Wm%O@W$+H6O7<%qvI*$AS$g=(c|Y1%ap~7Nb1z8MVh7q>on>VwBSB z|Nmd+K#cm9i0R9JUJzembyXq6s9PyuS9yVqQiK?_8H-Vy@foECF{&S8R3gZzYmk;; z0T!b&@ELX63+zD`h*4QD|Nnp44KYd=i&3)pjG6#3>Sr?8gBw6bIY5khm4F#mmpt)= zl`F)kbr7SHK=tF-FCd4CF2`b2JJcwwiR+gqNFVQJh*9D2N^BLxym&0;nd38W6~w$` zh<Pn9V3{cjVxBk_^PYP^yo%L*Q4sTlA?EeL&EtZYcRn67=%(W{j|*bn;UsV@q`}SG z^%)cnqU~7BbH``iE)S4C-eQP(rq5yi&4QR`kHx(2?hyZC%?4Qz^DZZX-FNxL|Nk$g zAV&R(!}RJJd`8JbjOu_GRRuEY{3noWMR#H`DiNPiSKLARcx@p@EqV6;|H~GLQDs<+ z5`!9rH9|WfMma%@x&jX{ONe=TSj;=-1_>~%=2=3_`;-6<us*nXFF%5uEcz@KGt|oP znfKBSq>pzI#Jm$vVG%kLV%|I~=BeQ`ZzjaNAc%RFp25uXgqRnG#k^~-c>L=LF^>si zUOU`<KR<xnEy{<*yf%F1{d5KC<J}Yw4nH%v`&L5CI~Ic(erEX0TM02Q31Xfk+<lP{ z^Xjme_sj*4e<LC02|>(rgqz0+G0zN(dDHNj#|bg-P#oC53~=*yz6XVa=(}i4|GMEb zZ>I}LA8!%FywoSK_|1fvw+xGU-<<LIHxpu>62!cFk74FXLd=W9V%{=*=1D@#yA%ud zFE`wMC*OhGEh>h^yfA#`opc82<86YN*ZK(NzDkIB=b|vfj}4!Bl@RlcAm&Yho2Lme zuMLZN+nn%(pC-h-M=@ak&Vq;E&9@+Ti`ro^FAbl0H=RKGcqc*3yY>*~-%f~m-y$*n zD~8X!PKbF<5c8frfSG3rF>f6f^Nu;<@vkMsyid_!|Ne)Y_wo(M-J)q&%qzoZ-b+W2 zKHfzT^K9VpF%x2*92WD`@R>IgVqOr$ydUuJ^MsgpEdn$At~ucGuP4MjCWv|K;O6~& z4RW_=9~Sf4@R|410i=(2QxrJ-?%s#R$4ZEKZdlAS!)M+~h<Qm6^V;F@5eYHxUpS_J zpV{N_ZzRM#A&7ZL@4?*12{CUQ7W1azGmjHu-l0gaf6L(R+xZF<4x)Kj%yYwM-cEav zKHegTc}#HkWkSqT!(!eyJ3Ri)gqWuUF;5F_o+QM)dtsR2w+w0?)&`X%#Jr{muzw5g z{r~^+<V%o~MQ39%DjJ_r=j=fGc+DY3iGhr&gBTTo#V7%&QCM3o%@Ct(AVzJz1B+E- zh<O57%sXI<C)A7~=Di6A`_dI|-s2Y_CySm4#SFCqeC9p21?l6R12K;a9t)Ep<~3k3 zPXV8KlOg8$K+M~B7v^7Qh<O%R%)4NN$G^@H^ZtZ^{ksfq-sk5acZ+@q!Srtf)I6-I z<g*P(A1~-EeV<<5+S~vCzg!G4YAqI{Oz|1D0%DXP#A&K`|Nnm(0Wm5Ii&3wv@%S<p zVw4!fs2lKD<%O81gvGpBQ1h_*k{4oLStvNvoNoR9|8nm$kjF*u2V(}9KR%-lS%dWP zYD0`_0tHwR#Hcw~jAFrOR5`?`2O(fry}kMW|4Vg<QIS}T+Gzy|FsvTbffzLvViW_& zRd=3(94ab?#i%@}QCM^6Lo1Lz-dPZ%!fwM->_mup=YlW;Ob(xU6Cvh#LCkw{17;qm zMeETk+J?ovbCwXVVs)P*#Jpd@;7~hq3ufNOCm?r=+F>!T4xf1+EkXKtS3%6%b`xga zLWp_a0x|uo2Q?3CR4jy;#|1G@2b9(VAx3S+V$@>`h*z;16$UYCe-PNKXF&;s4PsOQ z7Nch1Gm0BxR0+hWDv(jTAA>wDs*A-aU#L-7BjA7qNFQ${#Hf(#umCH7nD;aQGr<0u z<MCwy#Jrn<U|-(8@&Erz1&C3Luo$%oY7|zdsX~mp2QjJ#p4P5D0y$YU3X6G3Q1h@x zz*TdQK3+SBdAisB|9=T;_<Qt<ieoWK9BLF+r*%P$a)uan<SH!GtRPN1?~fU3$IT$2 zhSfYPh<Tp_z@f%}4QAe}hae}5wqr4`9G`iw%s~2h7emaO19#snh<Wx{%u|P&hc)PC zLCj-@n78}N|Nk$&AV&T1!}RJMQ;1iw8s!f$YKK4AtEbL`=06^QTr0X0i%}Et8N~uI zDi>l@FepN|K#VHGVw4*`qjs8toWQFDG3wP-(EJC)C}S)}{Wihl!90jj*ZsgAR0V~V z9K@&(zL;UP0iRLI5Tkk^MxDO=|NqO&_dyO7U5mx2RD4F=Fahb~b%Yqj3i4nF#HcJR zMoHl_suyC^H(#&^FM&L03o%L^i&5u|Az_6z-8(^y`VBFv`Z6rtf4T>9t>}Fp%mAy$ zXWl1ckUrkk5c5D`4_U;y2x8uJEavIsGj9>Zyl9Ad7vb&;f|%!z#k~7Q5dUIL{6P@& zcKd*XZo$R>|6hXo8Xmo(JXnmHg3l;+h*1R)qarW;|NnCPU6AiZPkLj9nkPP^_85Wm z@v1_MI&uLt{{b<o4U19#4Dood5MtCVZ?FeXp8o&;r6R;AXDmi-#%Gio#HfCVQS~4X zUb_Qws3-##qcZRrb=wf6kJklaR1+xJyCFvH^}-A*S*TH1Q|ttYQJxT^HeZCLSO<uC zrC7{6YXAu_tmZjD%=_sD4zSf1VCH?e4RW%mE*A4@@tOC*0Hlw1CB!^Yxce4B%zNsI z>0e!Z<}HAj7YQ-%@p+j00wCti#bVxFeLVgRfSAV#F)tBr9;lV;(JLB?#k^jqd02B4 z1H`;cPjL7JpZx#-<%V0JAP^P8Vw4j;qqgdU^zq6;jFJHvl?pNHya#5eeb<Bd6057S zAVyvG0K4ilsFIU{7}bNts5MZduqF_Bh*38nM$Lc+*!i0v*NVDeF)s$6dFS;&`gl7a z=G{IEi_m(Ad4Jq7y~=~nyn2Xv77+6;oP(LC4>4~G7W4M#LV^yffAt~ey>JHyUFaE@ zdG~LC+%1}e#k?GR=H1r?>EoRNF)#i&%)EYxc`8`Ulfh?RKg2u_h<QzL_t`_tyW@r# zerI&>_}3m{-VZmhf3Lvf<NbAzyG5s9F|P)ndGB>V`gm7B%*%tDHy>i24;J%u@R>Is zVqOHqJO;RV{t)w6u$Xs88;^heA?9&F%=>c+79anwf!r;+#}zaDdhnU|UmK*4cZVxD z{1o8vu^wVx2^RBg@R_$BVjgIJuum`VpVKh+#Y4=~!D8MUEj<2>hnOb;ao+>Dd7wtV zN3ZA;7fk=o!Dk*n#Jm$OVE?urfw^!0RZuvH&cR}y4?gquYk~CfRzS?#eiCM0KE%8b zEav^u#N*$5h<O?i^H|{im4}$egT=fx_{@`sn0Lb&?B6|b_np52a<}LaXUy=6!Drri zO^`m`4v2Y0Ct&`qhnQD`#XKH-=G8;Yvw)cQ0bai7L(DV5V%{DNJmIGgG4F*F*uTf& z?z?{(<ZjV7PMH49!Drrm4Uj(G84&Y=;NjN~F>eVL^JMUu*AFqz17hCCW3ceE2btH6 zx?NQ0B=`;>l<lIrVV02XqVHZj(SUCkefQ$J#{d8DO>HGA0^kj4I5xF?hi_`T2+9r3 zM+D&8!FT*;W&j<!EueU?`6H9Ei;4*7tcuo)rP8ejK!-Gej+`jrKklL;17d*>g?Y^a z+9VD#0d#OA1LznNWgiuZZwDDmxIjnCffT+LZaq-Kk9>%Xi;4i~2pItv(81TPoflj= zPw=<&Fo2G}03U!c8GHc7N5;-;-><cP<ezc?bPC8pg@Yh_K<DK!7@Q~-Y&lsX3fjU4 zI<^V4jgr9;dOk*Xjfz5dh>A+*HO_w}&Mw`v@0c(!xOCqAe%0`R^6DEV3=I5J4!E}7 z=AU{{;UNFElZwYVPn9Se9%y~bKji@Db;V<fpA^5p7IAET$mrPoi1D>W^K(WJ&186~ z^TPKF;IlgnPqzNp4?3=<l%?}}=QT&gkB*$bN(5awFLM5KQ9S0T_{mZ6qe~~~fQ{CZ zCAJ>DV0$5lWbl?aK+nfO-kS?LPYJy55VSW}AvCM}#Y0eP0iTiq-3YwE6uJ?3y9WA3 zU{MXoM&MRc_(ovJVX%L&*#)|?3vQQ#V^~%ZEKy{YA#DjxfH)xFW!o{(QB~mc5n$(4 z8Gw&Q__t3Lv>>|k{)-ef&<@GnpsJIBzlDVhbc_LmJ4mv-8Fa=#w+GAji^?4Q;RpCN zLHD#agU^=io(wwruUkl2pi@M}qkA$$uo*1qz{2gs^6en#<Ny}_mdz{-46O%B{J2?E zKxeIYcY~C62eNPrDu<|mPRL~e9mFHZz|dXD!fmK{t+PZ0Bm!5ZcnE9*#Apu|ZVttZ zojfWY-B5RQgRS)BQ1$>FVb9?KG2f#bqTYdr+mQ!!`9(<>w~Gn~=%{L_ita!j?m!OZ z5EUNK*`IJH@NgG$fZf1@qE7KpXNU?1%v28^ZV!+%d_Y2zL5IMB4q^}hA3O#*Y;(Wr z|Nr0+b5T)%>0(fJ0Uxyp7jR(V2IW*26_pYfZqPyTE-DJ3gQK}YCq9R$s3@KS9Ubq{ zy&3GqIu>p_#p|6lDk_QxJADww2C#4ofK?*Q<xqA}kpP{r53|~VhZ}Svgo}z!i5oZQ z<b4+v4bX{#+&(H2-61MEVEsC9n|QeEIKWovfUVMi856+69l!xrs{zW;;BzcMg$gJ; zb^E9&bi1giaPz2i`ltwSgDz@zQ4uLo<aALH=w?y*egPx|Dw;qlzhC6`fqF!w8+0hL zi;51nj|wOXCAdKsSi7jmlqhk6j-GH);Q;I9QFc+00jUM+g}6+{qZ<<Zosi3-A%X9t zf*kme^d_Rx?V`e>EZ7+W3OTSCw<Aj@DC{j^VGoaSNZM2Zo!-OE1CIu98t*P*;Wkn{ zsQ3{Q1z>}^LsU3Gm!v2Mf=+ADfEoiycseCEumFdO!xNqk=zMdKr@<kr1I<L;MLgU^ z98ep;!3@gt46rk`K!FDiW*-%SP97C#0%9pq<YWQ)PyifMBFZi*EFhKOpn{|q5MQGk z63n18sX3r2f(IPTAoojv^~xx_sPLc#Gml5_?gM(Di+Z0!&n^UA6vwR!I#UH4{)m(h zI#TeE5<L7tr%i)%GJ`P-=x%s8ADs3<;V)9c0!ks!{M_xpVGPNq5^xbv+6Cod8L&zT zaJB*$MBr?}U<}Gt2tL?g5zsaCCCr>i!XR@&c><n^;dz1wY%YgK@9rOZpnJ!kz5G0^ zi!5*ex~PDn0u+KC-QA!PteZg@RA8fvI<P>piAYHhHz=UN`XCyhMYu?3h>8fj{Dc+Z zAQ7;!AXVVf6J~NZv<&ybaDfAdvLmR721Pxx%V34L47d;nMWO`E(Xc{XrZYrE2JQ%W zAr2CPxB^tzgPj30yc<!7OMnV9&`Cj{T&4pp%)n>vLkcqmP{{=@DnNyq0&-ynDkB8I zxl9CHRD$^6(|17my3<ER16r8rfO8or{~-!9Q2v(y>y-iP)d5EgILU&t49tt1${gVO z4qViDbT@<j1B(_G0`)3bG5&fLtOOb-$n`2%980|l7KcR%4>(Fdkp`=I;l&%QUInW! zghvUEdKIh+5hWa;C_$}XctGVF3%8Go0<?Ysl_#+J#YIH{TzaX1D;ORXaIms~gB4W2 zfQmOBZXfWKGoboK2Xv7EI7&c~r2*Ee1Fc^;z<PPWdS##mpGR+riU73edm*d<E#5Gq z3U;+TtZ4%F6e6mi<q>k^I&c_+lRT(YLJ^0zTR^2Fr11sLdXQ!fQfUgxDBa*f54ALf zh=WQ!Q0%}{J-F0EDotUf9<m3G<Uz-E;J6v}STm?`2s*CgDrg0KXEUhb=+O+mXvQ%f z=}>FXQJJ8#Q9Zibz|BYw@O@?2uOZ@uCjHkotp|L%n@{L5Fq9~I^onxmfNokqs@okQ zHT(tX|NmbLcy=>*c6)GmfbKX0-Q&~EqSD#?0dmwoOK0<!2@DMU+g(&R(mI=eK-oNL zoy~tHfKET$ZJ-anJLkp(P`&m58o8k3{DY-H4PwweX5e-+jKJ<6X3(`t7?(FmdO$pZ zbR7YQM<<WUYpvD;CFLI7lR-Z8>278KxdFND0`=bqssI1MzF9F5d~pFwXY-ng2;Xdg zvO&JtG7;>X43J5UhbK%#_f4xL%r_no`(CC)uKt0ZH}2W_&7-^Rf-&gWqkSAE3=G}k z-Q_IG0^JcTu7(FXKXTqI;p05TEx~QBcu(=8;-}Y4h9^N6sVH6mpT@g_v6ROZbS|kQ z|I`C6orgLvfDXzu0A*irztXe2t;2wU0er&*<k(*k&+b035a;)coChId0<NtGN;G^S zYC&yj4$w780^klC_>441F!|z!<p2M#Ra!5V@PN*9fnMw=0<#0udIa590&4GrE=+2E z!06c7mM{q%ggg*l$|ME`&)$6t^cfgD8;^NR0*yC-Z*2r!g#7JAxCA(~Bv4NFhNJ+x zg;$0lMtFgRu!R>$0#A6YkiZdMAbsGwu`t6+U@~%eiA+WguOG1R0>?ch$W+9!2N~VM z%fkpGyud=(!V4sUC%h`eafBC0ACB-kfD&FuP{M1)WN7*VpV0>iuP>ql99|m67~usL z!WLd22|VHDA%-KoK>BcmSHTqI^i?tiIekS;frS_7SW8HF9TCAEUXb%1LxMdT-+<1# zf?VkXuW2s6?7VRC1^RV&T&{-y!8br5U#j=srSk{qQa#YoT%dBx1JnZa=<W_MU|`ts zA9S^epyHu!Pf%+jL<LmY_<&S_?m%Ji=<YTE<yIsG4xK(KE+7S<OQS&w1VG6XNr6SD zkBSXQ0qD{Mkb)0T1<H<~(GY`97ZnrG)jl4en?M{u<75_~OMVJKcg7ijJ6ez{GeF%! z$DN>qmcau;KAqpQcpQVWcpQVXcpO7stc7Gt15oTGfVF|EPM^+CFO)<<m*ax&-TM!@ z;soRx@V$Mwd=0*;52Ok_hKI%1pf(x!qF?AuNZshZ26Z`QKqi1|1&{7-R9}OymjL-% z1LSK3kcTBeE)M|t8Zxkg)z?T~4tudn44hI7TwDK_sCaZf2h|}7AOivr{)bku{lfqM zzgBL23#mvFJi2{UGG23mDwO~bI|3BV9Pl=U!c=gQW$A2InTn`|HK1%zEvz#YTnlT6 zg4+}vQ_*YT|AL^a*N=b_D(F}<#HqKCx&=hS+ZV@Kz<m*q?roq0I6XR_BW{Fvv0e24 z|87W27<3H;j|${Y2$trbETv+t2TFxJASWHe^WJN15A=IncvQLrctFh-E_n6;4c350 zUqD@dQ1`pJV;b1UJe|!w)4)Ev0P$JDG-w6|-5d{UEUAG!20G^bFl>BL!L#$bM|T^@ z?a-TXK(~j%503_&Bo4ZGPT{n{%@QtfJ@OKCk{u{*Krf!#4$8%#B)|bKHo?cc`E-7N zu}cJe7Y6uVILJLF9FTiWctFRcw_ZS8eTKNujepx|xXGQzK$lm4DurG;SE7P+=^Ptq zyt}&uGLX~xiSrca!4fXcQ{S&~egI!McK|d%u6PkNJTB+~9zX|a039eN@H)`5yMKi~ z14Fmq!B@=9k3c7@f=^661>v@y<afE)dH~czEy?rf72U1`namIXB~1y8HqI9TurCF` z?Ui<m>EMVEf$(gmgG$T&H^8lz;|kLuks+`PR$BghF^wOb2Na^g$L@m?w8YCWaDxwe z|EPjT=U1Ym2i)p<ISn)z3{RC?L9yf6`3yB~<b;tDCUYk!VS+9OWP!{>z+&d)Yfi(H zpcBIncAnzjb{KYgHu%1!)=#AZttU%_K@raaoe*$54v7~LmF^f7md=Zu2RUz)aB&{| zeu?w4;$hG|fSotKUr;=;g0VydHC8xYzGq-y*kxoG6ly$m1?X(<-ZiG6o1MO2Gd$4x z34Esyco4r-f0w@-1B3C@4Io8(w}2G=`+f~Y36J3=&hz|J4}s$fe9}2MU|Ro`vUFbP zya+lLw?y5ednz~)7#)1a)clOGvqVLrJBX$88iWhF+w~LV_HzCf(4mb!y{zjLpa}s~ zP+=y7*L?r~x8CM&=>-LUuV@2QHS~&dQ1S!20@^g*%Lh(29L*0HJ6m5sQVpoh+u8bN zIw%M41tl7f#-kUYi3XCl3{QG=zI&0#3r{q$hhf*GOT6Yn4N`&D+x)G?pp$GsSDe0_ z2EGLYRB}Nu8R?71@HW^BFQ<dNNFasn0p$x=(T6348D0XLg(HQ5=A+J)3bbCLUIOc8 zWMJst3rbrq-H^of!_>scKima$t~=<~gb(0r5x})`sX=!uD1o_jw}Mhw^M?=@aL%}Z zq=eV-BxpKq>OpYv0zRV|a`9j51+YkIP2+b^dIlN&9c(IO9?M_{I01vr@7@YB9(0jG z>jfkwyoLu{IM4A<JpjH20dxv4BRDO$UI*Q8aH#WG=LgOcE}hpo&zFdB{s5JxoTn6j zeZS_&`N>i766lu6OW-Ln#e;?iz8z#N<py0X2|C~3vGagS=RwYcC8{3Xz2M|8c<~i- z5eVjiOF&Th2ReEin)o-$;!XVTxFLzZ50o-`MVq0jaU}i&+(?Ok#td+g2}%5OW*{g2 zh8d6&lLK7uKoWln7gFK}^+Vagr6$(YUj$G6KmWof)*#7$0vYKaa_B!%<?BA)!B)OL zVqjp{2}+N~y`VhMJr!KOUIUk}AHm5QTE6ZCC(m9`^6y5JugFSx3{P@i=bw5IoF+TZ zH-BdY#}BxCJ<)jzRPL8Z!4jAutZ?;YfeaqVfKnBxj`Hbcjh4ons%CIPQWfZ!T#sH+ zU8rgtsVa*TDOGvQL`qdYGm%r3!AxkXf;5WWy%6L;N>Z(tAon?AFHg(hDQX#7d5Ux% zzZxhLbl!il6Kw8o1JLYjN$RoY4iLkmyLkuNeF8s(;J5gA^qTsML+=xKfOH?TI%wbz zeAe~m3gr6)3{N616Y%1~I}Ql49W)MD0`fv<GssoQ2lFGjUmfcHpX{J;*}Vhg?2@=+ z%>tmV7HFsp?2vAdLp-`UJ&ZY!DxTJZrJzfH4!|yT0684Q@#x0w@QIvw9S*V<<nU^U z!$HnLb~rRYL&q<mv*n=f5$GZTGl&-)KrZKR;RfHwHv`0Zu?7@5sBz&4x@%FGfx!b@ zGl6Psk5-OS&?QqD!jL;E_*+hZ!lrjONC0sK#2is3hL_+AdApmDZvEtMnFUha-E089 zz`7fBiz@%N0A|qW+OdQ0B$}Twf-chf(9PKG$#U?8VCVUR?**HmGlI(BpN1E{U*P=S z{D6`32l&AA8qlmwa{<VCpeu&4hC0Yq%@rvOC8{21P6UsKBPSMF4!mIw3L{XMp938g z*VzmTKeQY4P{Ugj<k8OiFOIQ-lgtTF5c9Vz1mC8m;0cnXW++(T4Fv;eWPw8g#DPZ? zMkt80;|&Fn%R!-F0ZDlvU%^8G)&HPtTtVsW7z^0{0U$5)x8#BE4qO4^cyxDz4!8zK zHK+j!xg8H&wS(?s*vkMp1fL1Sxd-JigE$h55E&K_2XsC<NDnKBvlc4D2I8y%-FEtc z9dupQ56~f&-OcFtw}Ea01r@#gObiSMU+_0SV0;NW`W<wI9C$Rj`vf>!W9Da22AE(B z%K)=LruXg!33zmG2I+a32NLRT23=Oy?ZMIQz;o~=Kj=26gRl6TAAytj1<<5p^Fv0? zi=fG%*ZBxbL039qD@0H&1s&n;0k;&S=jBgGqJmlqzoic3OVFLC$hXvqz^wyKVxkvU zs5b2e84IxqIoZ6N2ompZo&gCe1xW@5Y_0|seV~v5XToBHi$UsNdwD=2<>hryrh=OV zNz9nRj$#(57zG*Z(Y+a@9_lnu1%_1qv*1n4pkxS2%-NuW4Lh4bNfeft4G(zq`lyI> zGQPY7uhme~H|U~gQ2Ktx2u|NOKuMav#S~ng8hAm%2Gr5)2HoHe8mwUMJa_ONGpK|+ z$?x*9^Lz7m#@6GAer34ff!1&QQx6<`#RMw#m|&$|=fCD}jF4uu;mOu(kR}PY;kVZR z4*XM(Id*<<={&@FsPjAL@e&cvU!YDt=Rw7vj^8i1a2``U*ZC1L)v<!Hgc~%B03N)0 z?bLd*M9T*n-o2vl`JrtNaPtLR)I<AZnvDPdzlJ3i@Q{oEsHg^A_TJsR0&khK0J+Qo zaiB2-ory#)c^5O`jV4fNf}&{&D3NqFgF+e>P0*SSLIuEj;~vM`et;V3ph)Q5w?YCm zq;>4UOz4o7;mH?9|Ns4ufRFcp=J-JOAA%;%K`|=A0FKcFP$crVoB+q@4iE=)@whSr z1Lz){kDwK0;5HuQDlJf-BGmAI>o*7fsmDFKCvT8oVCZ&Y={)xRnz9k-f~yiPNRS$S zdku=b1CYr3=+b$L^H=8w&L1V>oQFC=_r?8GJm~oSq6_Ca#dF`Ufugtd5UdBiJ3tcN zEb{5KwcrD-$OPXm2v72$Wi3zs|NHOJyZeCzTro<n04X^LQIa5vTL~X1UH9&`5Ckg$ zr5lf4Q%im(hL@l^3^a`ox_S<@w62wdzhx0PticZS=-&K5AFK&98fJJ2)qyYEAXbB1 z2WkP~aO4Ylh%%51J-RpFz~Rsr8KAg=xYDD0vjIdI$g$ArP<X(+uw%fR$UqScN@RkN zL<Wj{574L}coYhfzL463x*+d%-hc7(@4x>Z&AVTKQU~aMDF<(mMEC9q;L>n1C>&t- zOXdCl_rLW3xGC5n38^~3cVdA!1cI#j|G)p9-8iSSL6(A?4!vIr<QOciL6rO4^+3+- zy#GQI;{F1VvrF`jHJ<=6JbHIafZe~j0$1!b{>AGekTD<^t%kG`K*nQn5mI>SL)|m; z4>&x3fSdtpUkCVrB)XdyfCg{7yFtkSS0yt;6dI_YiUGvImuw9G;dMXAT9Esp?Q4*8 z@Vg&069OtaZvF<lzX9azlK5lIH$V)J?%kl4he!8hQ2K=4+4>^xFFZXkfNt>tHBxmw zdUu03c#<-t6nU}X4_*&|>;-wC3K9Vz_h5P;z@xhjlu)}l4!&dt^+b78K!y7u=%DWb zeiu+9g{8A^#ViJf&S`6Afx3+Q4uFzI<FN^|z{M$a;P%CXpP&*Il22eHXgu991T-rE zx)a=|*R-AobRlkyioib5ievEbtKk8k&i_80AALJNywLgg?>}g^hy#2HG}vVD$hjk! z1P=!JbUyd({E@}z81|wMoOF+XRylEi<`9}AX2bfo?48Xqvq6sC4RP#YhuI7ahL^y` zcy!(aU7~C76BJQLB%pH{;8h<U-E9u0p!IWY9CJXEByAq13=C<VZ2}OU4}>Q&2h>-F zsO;Ux0IFPiw|#(E07}L$um1S=9~=Zo>Dv&Lj6wZhu(i86d_ni=86Im+05LpzcUwR* z8mQp%=rzq21sCif(-7qs-!Hr|4l)T8<0~LB4zd9~#zR3-0lM1Tqr2?@=z1uQ?hKaZ zr;Oh(cD{g)K_e}de;L5YzyMy=;-X>zYNvsG+T9IG!rh$4pp^;+9^Ks>V9{o<C~TdO z33xdox*kssWAFlJ3v^x3g+ex9T^67@GX>CaGGwL@JmvSo=;uGkVr1}wB?nO5$^c&T zir|A65P=p{v6N^+R+1vtQ-RhMfmURLH41*e#2v^2UP;H|(cKIda{#R+)qt$6hKhjJ zY=Rb9@swzDx_~ED5R0rptB*jdz`%L~IY0*TfDPmUx!%E}^AV&W1u7L@r2YQ)zx8b? zc)f=MXu3t>wVFq-XfY?G%_RYvLvetp2lcOE`TP67|J|T9(9H+tfRnXMXY-Lc;57XM zoB<E70H;G26$wz~4w}e{{RU3b9?`Mj)I4DVIKDym2oe|H=CJq%2P!0D5z!4=Scf&X zSzxPFk#)c#8?>elA`VR=(8z|aScN7p(85Y^STcZ?*h5x{f%)J_1;w67i6&@`E%L%e z&<a`58cnc5q(}v|R>5N6NQEsOgNT446%=_g;7FAKEwKTwoCB}S2Cb;`=<WuqM2=J$ zP^21wk|cCF4>(%;e<GsQ02Hkn;Aox04vAI`P_!CgMC;A3;Aqw8Y%Z7!j#iz{=90PK zXf==mHB%2q%mo!ME-D(ZXl?rfj#dkJw1UeP5CJM*L0KBsel>y?G9h0<I(AP0l`Z@& z=fLgP7a-2SwO=QLoYuQLLkiw5^y#&&U<MU)NF4!C%XB&@75DC5fKUkv2zW6FQc?m@ zas!W&K!_3nX}Eppro7w;a#DBm3-ng355$=s5I1;qZ!W-L&x<#paPHpBfI}X%ko@FV zP?feD<W!H|-5}?HntC`iyqFC!&;o}%$iRxPcxwSrG=pjZZb&N@lmrm1SfB1T2NMPc z$8Ltswg(G93<s9ZwkHcf$#35SE>QA2c47gjrZhbHlK&I576YX;2=?f1`(XmEA15pX zB~l2lcb^0|$b@Yb3qe}Jt^Jo5KmPka!K1s40aBkjECQ<s^LqCMK-6n20;xAV`Em(J z@q`H=^&AlOA0X<%yxx5s5cM};>Pryn1t97dEC!no=JoD708!tu7-T;9a@UuRAT6ME zoDlT^OTg+SK)l|4KOpKYmVniRu8e;v2vQH74>15OFg)&}0_x2$z}7VM)~IkGt!jAq z1)Q%rx?@y$K#dU>6^`b|?42Oq2NuxwFU6xJq8{C>GkHPFL0wcNJi1w1d6^hq7x;Gf zfiC-oP8WOh^45Wr^wy{-fR}tgyO^Mw!SKL~TOa=YZ@pcj)B3hl!>5<k8LCtOR5(G@ zgX>G^5|Nyb|G=Gh@D3gy6%Ejm5D8FG1!^-1ICi!%ECrWAB95JHEK5Nx9v2mfG{??1 z4k$+k#Nk;Anz7#(0NOp#c<co@<XqruiG4c1y?F8-RNjEs&%&x6aDoA~FF_4o*a#RG zWCV;0X#@;30m2E|4b|DVV=1VSxo0UTE$!<7?PF*>wg6;z=L1mQeNp!wy@d%X`xHQ{ zra-&>AWNRwEc6)|cK&B)VCWW5Jf-XbT8{+2d=ylMgR5Er&>f--yFjas!0kcDo!krz z4B*CP7UTcTpfQaX#-G6+67cBmEHVB7^-M5P?a0vbU9Cxe~;<rx^bLsVpTff%Ji zsvrf-E-DhLAb#gT!%Ltgy`X(ro!uGB7#KPy=PUzxY`2F2D4QJ)SO!Xf;ANZO1yG>+ zd+s~1tqRf5>U#nx{6PNk=<Wlp<Z|f-EkboX_=3Ch_`&zwpz)yt{4PJb8M^~n4!+>& zym;^>PxC`Y&^-Mq7sdmiaq^$w<O6EQi-7htv2;$8SkA!E*(b9c<d}U8V8<L|SPpK= zgF{*39U_!L_Jb@2^$$QMcf$JzJjhYl*>?hD=(IBsLw7(7-GF51;kRHzLFR${12T^r zrN6<AYF@<(P*SK_0e0jEh<OPs5RNQ{n1>jT1H}ud?F`DEAR9m{Fa#iR42oUQ(i{7a z|Nb|os0e`4Mq`SK2#EIRe2zOc_;!8(C5Rse3=A)nK7ykLyj>L>|Db9Cv|brp41m)T zhydr$ouH%u+E4}F6vd(Jqr%haqr$TTlrXq`R9L`CXCH`H%F8UG()b9(?7V3B4L*9+ zz59Rx0|R$C3nX#zF!MJ)1SvAS)On(lMWqw8>9AR2B`8(ttOSM8ZVf|lsuEZU4I_A( z@_Yk!IAk?2Ec`vX`#@{{;OR*ml%B-NOHVgI&gi=XcE-L0urrPwfH}kP<ckxp!BGz{ z4?q@!;va8%>R1Je|DIJKzwMg=F|=S6)Nh6-Ulc<Oh4}|$o;XT+5=Tu>7OO$#*{lYe zcL8Fa!fJ$h$`JDir6<tJKyYaRN>A_Kk(Zus7&0)txCF`SIMNf?9&mc<diU=?HPX`p zLxSn)1t^RrzX6BQZVn@Gdb$7$BY1lH`4UTda_M#eO>sCLe8Jp_Jb2*I-3DGnY0>S$ za_~J*=ZS+act8vGPV&1PbYVQ+{G5^V0(h{Q-{pKK54i8v**9Yi14C!qoHgLMasa#I zSi>4bT$R6sCn!+-gDv2IlzceLCy%wD`1e^0HnRd^romc-naU6|LFR!<k8Tg-0TCV) zKY=q}-w&{Xe;@{KfEf4y$-ql5K#nAoo<NHv!66GuPxIeE(-S`f1Gs$R2eq`G@8bh4 z0^<d(Q~-^cAT5`LmQOp37#LnOL9#iH^aQu(h1DB8>B*jf0a8BMGcfG?Z_mI0E1&Ec z7|_b61|w*C0;z(OPxhe36H@uKVjZY_TC)xm=DS~jgXr*tb<i;13GxuA&Rh8$Ej@u3 z)e?%&i1ncOj9Cvdd!GVm{;Bbp!+NOMh9_SHKL-Urxc-Eh$BR-v@S>Iv92-F9@oWH_ z7XdNv1Cn{n5c8npIs1%3J%`TwFW!J0-@E$*_&ROVRo@sH3@?G&Lq{OupfMefUQ@Oo zAn~1`!JHSfUcpD^AxdXLl!As^Ap#u`0nk7{bmSalViH6jhb$<NK>~>oWe#wG0EoZ` zUj_!~u+PiCuh3fX;Qpd#=QU81sdwKFu(NH;zk{3y>Q?bWi~ybb0CLN}7k{4p`|o(H z4K$O&Xm|j!9ur)ULkW<1(D6NxsoiZTcaDRv@az2S)4LC3q6f@ERX(VN&tA-V_78mR z_<x_yXD|Anfr6$+h2s;y0IShgMus$gjT0|CU;O*O542DnJXq+_dHlul=l}kH;*Vn$ z|H{bliC>UK#k2E~M=x&x6P9xgK#NMjEj!=Nk68|mL0LseCk*@pdAIq90m6rnNu?P0 zh(D-(3F=#S``P$(yBTzzI`~We;45jyQ=Qii{$oA(jM=f9#lW$<#DJyo(E|ns2F;5e zmtS~Xe%JhfvH2OJ$H8X`9-PNKI!jbIJbE`9fG?Yzexa6&0n`@dcrowUzyB=<N(@1R z5TGTG%@IuC);Oq$>}-w!E3ek@Xgut|1d4UgQm=b2PJ%)SG%W#YBL;hPe%@ySO^oqR zLE*O>bP2aduc_=8P^`YNf(UYeW}|yIGcbb;0(a0}2)qC*7hq;!h<<tb1vsU2_w|4j zcDFTvrqL0;y?*dN>%r&DFi&=iSaiEsuv~t1`9<?1Mv&8|8L)r~Z4(x7266xy(s&GX zZ7Qfq`BL;5*z^e#y8A%ase5#{eIV8R2VnD`K+N9&G5-V$$Tx;3Uv7O0H$MjyYTa$1 zo8ggSi?I7Au!7t_1$-OsJ_V2=jmIijq2`Ao%)bMY>TbKh#K1t3`3`I#^IgDq2=AK! zGNkdC1{>7;uTP-vhwKLl1!bwmHyMne^6Z;O=kI-{3=9mQDx&7`zyF@iVD~Zbw@8ET zV(y%y0$P#pc&x1gR6V$M`;~Zhmu0wgo;dhJ{@@E~7seBv$05-NY9oWzpfGfc*fl?R z;HY`frSs(FSDhg$9-uT@;L%y565-PAV#nfm`9<diP`tXRc(`<eIue~ODn5?geQOvP z7(Bc8fiB*JS-^P0vGb7Q!C$P72OlwmtN`z?aOyS@Z+`GV^Wf!ooh2#?AfpqUx?RLs zI(<|!F2Csf2r7+1`(UBF=Tuy~*MY8-_v~JGgMop;rSs*%pYjJ^O1m(=bnHCic<>La z<H09j<3VjB2B+>CRfgt=4;(emx^%w0{JyhBMWXX(^Fv0D&NV6>3=9lT-8rftyCgu; zZ#!Q?tdnu=o(DQU#-;Nn%=nv*o#(*@oi@Dgc<?`~<H6_5p55~VKuHYNt90rXv3BXa z2@Wa&!|TnD7(F`YsQdt16JrhTVY+Z01*v$=dAIp7V`q$th~an6qs`A5J8M)pnx8Uu z=BV(LuyKOi3EmbAi3ZRZ!2?Fl7o{A>T~s_kJ$#?eEh?ab1RjpxKoTC^H7XG$AVuJ# zW;}Y`Sv)#hR9=80qt^+{{s3m@sIYkU#<RF|yQnC*beE{8xV9cB5qE9<Rx0G!{D9E~ zG`{WF{EYGCL8$k@feBhGTF`C64oVH6*pl$*?g1O#&BEx?*`sm>93dwWu_n<8jWy)m zFd-@lKAlrkKudERyKRI(yHUXLA^-|2P@H;n&rtz6vs*^^@@sHpf!4ir-h@Pr2*}Ie zo|R8`4>$@vI$t?=>+nD#Fh_;MqjQQ1C|aDlMYt}%f<-Au=S7GCJRk!=OWYWIx~G66 z$Gw|J+@<rT<K>qwpl$4iUqSu?xx}g4M9{_XEa;3KungxRP~5%a{L~Cy^R8p~m-7}R z(hMN$fK5u+IIni*s2G6k0Eb!YrBcCe7Zro%2aMo|0ePDNBn$3@esfWgV07t*1RXf` zKyCu50R;lc2_D^Rz@7nX2Kfqn^ienHER}OEoX0>_M&}_H&QG8Lgc4~^(1|h}j^8i1 zavpP3y!QPf=Ltu}V=kQ~Dm<V=JHB6Nby4BqZ*hUfY5-&?Bt)g4n?)QHt1miTR02T0 z2PK(q5kW}S4gg6(S2~A)++G3Gm*CjV0*OJ8&I*t2Es&TK5rgQgxD3@+1JVYnof$m3 zr+{P4v71E%6lEZd4&5Q53=ka-FdfMI${^9?2zKPn%OD*V-6Fye4HhsBHXgko$Aiw@ z>8(*Q04>U~01d4>`1JayRO|z7Ap;M?86J4?_Tj((%{3|}3_jhEY*fk%j-wy^{B81} zu-O61V;~F~1JeM-1*q)w?A-^hQF=>MG+u&MmxDY7F2!Kxcy><%)rj3(&;=c7tshJH zLGDt3neke(^#F`rA`Fs(pA2=J0c0cSC=*bQ?EC=npU?M;oyWglS3J)NEebtAwt~}^ z!?)v%CF~$GBwmB|`GAd+ft`T`Hx4v;Ap_cN(t4m2w6Y77#z70%j)U3*u%fHGh^5<# z^Sj}t#{d8SGcc6;w0;9gfm~E#bKFHm1jGW55xq9-JoNom>j8e(3m}((bCPHGHjuwT z%@qxBKkdb@`~UvGJpAwff6(DsAa&p@+j;H#HRTc&0q`;xcF<TP$7?~*J_QgHzC-Ca z6Uege92Jgk(2+&&IL~#KsAzOv=RDq7qoPwH#`zD#2PJOBAK$NXzEZr<>7t?oI`0LX zz%@$pJRvQUZVpJ6H|Ta?frO?BQXCo>UV7nt=ih&iZf6aT)&nI19^DQOAO`z!2MJIe z39TzZqvU&k{QvLS*#@cbkAP}i&~$Jtr~w#z*td5bXxX4oXO2pOZ|}Yc76yj>CsuGV z>{<b?j{m)|1=-Ts0#5fRGCrL(Dg`g(?)>}j*?9yMO5oH4cRk424?#Q1KnL)E4&`tJ z*V=9wNKFc80~4efT%>f{7&JoLn2=(%+r@z8@(V~6BLE2n5qJ&V2CktI4LBszz!8RS z8V9mz;Qco)Dm)&&IVuvM{03cH4hlPPE8OsaN9X$&_4oe$_w3#G0(3=uXN^h*Xjzv6 zw9E2h(_OHn0;s_TYF0pZwt#l(Ouzf@KWv{&uc#$EWE@n(6|`0My-(*epU#gjF5mt4 zALMn=L>q%=_p}`#OF-G*@Ed5h2$YUqyubVJzfb4$7t?S3`;QX(FP7f=_ur%QD98YC zQ3y@Opp^vy;IaZdB>>W^efQu0<`k6x{^k^w5Ptm8050ax1I__C;2a>);6h9^fVbA7 zn`VJ*ngzr(8=u}9l?qTaK#D!Il@l+1+yV8Wz-@Y;?i`g2pH5I9zA%IcgF*^Y0d@X+ zA$tcN@;<#SDhZ4X44_c&P61Wj&pkTddGv~|WCb-fKfVYArS0P_DxjVNs9JzW!XB^? zQaq%CRCd09!G9Au9z42BR0>{5-vniW;~vLbR6zX_h(X}qMYjiIw*zZ4BpdXAWjk9` zt}uWmr9e$s?3tlO<p{_O&|!Tj`C$)O91(gDJ}3ZNR6wiK3CBo_${rjhgT#?d2Js;# z9{`oKy=zn=Ky^!R57-1yz=GU}98I9y5_lWb-P!{7C^VD!biRMlbqgGOplAZcUW8BQ zdr%%JzJ(G~poJKqnCg7))A`S%mz4#atqy^5%1TgLKi;AOu4F)sKv-tk0~SJxr~S7; z`Q`tM^y`@MRD2yCPg|hD0L?TWy?azZLXfd^P;L9-+s%Lfo0ot^`J0!hfcn>XvrdZ& zsM`QC7B#)^0gEFCEl3?CXg7cp0<^z@vovc_0gW+WGZ`d~Y%+)sF&R{Nd-SdWx3@id zd%z}uA`N6WdZf*{3634mD1uLS3Mg+q_vqaM_W6qoH^6e>n1e*xz8ff!2I>WaBCR_I zl)c_VV$KWH_3H%3-1{4#GOk5M17rkp%&h?nAz}`ce3))RB5&1IP%;9o$LVBI0hJ6M z$Soj{KR^k=r}Mu@=S!d79&lcLarMT(|Bl^#kp5L0sQHPlqG?e9?bg5+m>_ZFzy$Fj zfeET+9J~8KeJaoHHc&ejyU9~P#$w5}AaP`qL41hGGoX3P0-Co#G9d4P>_(5Kuh+p* z0gfhc7I|R|5dnoPxby?%Df#Ot(PIED{W{-++Tx%-BcuQXr4LZX3b+o59&ncn5j{S= zdsIL|i0A=L$|r*qcfNnYeFa?Yftr)x5ww>@-;wv&fyZmiKtAid|6=`RP*m;)B@>Tc z)7(ek@tQ7(U<KIMn_n=3MhJHDGcYi`NVo=8-oOMJuTj1Z9x*@}F93PLv-6uvcOPgN z#;3bYg9X%)J_+mTo^<Ry?RfAvtK-4P%-|mHN#|}G3Fqz*2^LUC;Qi%Sj?F*i>P#Jb zojDx4O&E598Z9oGCoeza{O8!~%;VTC!*KaA=jF~DppEM{FM|5ipshM5I={Xa@4VLh zjJfk7MDQEG%el^fotHcRg4H%Z2Jd&c!Fjq=^x`|iugy;xIbVZMXa!d;uk*q7DnRUI zLD>6%^Ekv_7KY0YI1hnFB;ZyY;CDIE`S0R8upn$K*YF>t1INJ$I&~7%;Rg4cpyO+t z7n(nSj)&v$?2TrDoki%_J?#%OXmlGAN{kmf&ma8H>e8$77nEX~Yg9NGe7o0uU}j+8 zZvkyV0ktW>?J<|m2aeq(tPBUAvugh8ym%SZaTf4sKEdMHox{p-`E6&8ib(S>nK~`U zUKbS($8Hx^h{rT9UVgy&0knI`vH1v(bGM5s$aA2+k4SThiU6n~BEk={1>694?lxft zMGnYx4X17qW=JG;hN$S&X<!L3kii;`&4+oMyICYIKj4J5pfx~I=Axp}oT8$`%-_Nc zDuqxwLl!SZL1LXTDg{2BB`OYJ@8z(9##l5@bzZ#u7Sv;^0QnCz@&M{Jxps%JGB{p- z)%;7wrSo#<yE+x9PfHL!ea86?B*^2~9mC3S`LPS<WuMOD&5!xPJKjHldlZJ>R`5IS z0|hqd5T<Xfw;lPX9&_pZ*!+>vwey4H_Y02O8C_Zrmgc&)eskoXdK?s{Fq=@q^eEIO z7gmPL4;(oUxo}=>e#+ncm!E&i0mpBL_`wJ6I&vKEJnh2qxkS$JTk~Ij2mUF?__rPA z-*(cK<GU;8hws-o&nX^rQ9S0;U83UP+4;k#J4B_xk$>Cq@7G#C@V9t^vL&eh1adEE z*rdX#+e8B%``s<zu{fu07LCg<nt#c3x~PbN5`YgV0o3rq6ToxM@0~R&0+0j{qRMdj z5hv(uRuRyibkO0corjtq@pp!(fSdKFnjbM5ertZn-|3?QTJgrg?+V(4&*RzMwgGfv zvPVF34I>8we@hYzcx(>RR1Q($F#HA%;1}O7fR-8ax18bx%Y!t5n(7>{lTkW+;07tE zr)L3@6@cjFz64rqgkn*Nt!MW>m?ai4OC~`rNkz590^06>(RAtG|Cd^vpy6Q`6^oY& zoD2-k-8$g3{nokLhUxNqP$AIyuk&>sv>=dy1Q)0Pdd&G6QV^IhfC?i}FSC@p`7xs- zsI$&cYVO$lQ@#eO4W;}z3eg5GKaO+0Z+_0m-|EWDz|j1i(XkWU!2tJ$pfRZfib+t$ z<?w*Vq>0Amcc7RoQQ-l_BxY6y<y;O(OhU3UC=2p5r>Jm%vN8`pJO?{>i!ecQFU*H1 zPCbT{YavBlGk7pc16H_!^1Mecuj?H~@bm$=GoXQ76TWzN0X+O+!vG%kuxS3l%-^OD z8Y4!@=>m@3eV`)GvwIq-SVIisb{>PwX@CkJP|?rOZDRx(!UYXmDs<-<v4D#;mFAx^ zb*jB?9NjWZJ3tCGPjnu<{Fw81XN-zM^D&-o5u?kGI6=e8D$OY>3ZP(6;dg}8N}k>O zK(!K*rAH6`Wj*+m8EjFviGFv8KFj5ImtTQuBH3P7j&2!tWIOIPALZ$`(ZBqh^B1J# z2ZvDtQW&Lp^zusHhJ;ZDXq+ekC5%p;2ZvD(B#bh^VPpmxl}8C9(D^wY#y%<nkfQ=X zqewo+E-E75L4)Ehtv~o%{zKZQ1OpO0po0>S67YbO!4r@YC;=(coT4HD3P>5+24nzI zK!$kq^4_=!3CIXgKnA=7P3VAzr8r(Du!8z&ZJ>sRZ+9O!N)JM7(1WPW49yeH-7=ES z-64`Jod++!zWl2Bhg|2i&bxK;j=kO-j@>$(;C6=Q!OKrM?>hDt^Eh^!7+!wHdClS5 zF{V=P=BG@K;5D?RHqAfeYoMA;;F_Lsen!&t30!V--U6T4z~2fQnrQyS=-7G9x7V4) zqdP>!p}PwdWj@`Lz-b>bKynq53_)ohTqHPln`kvZdZ2j`T(NUFcE@P3Tz(B+&i7NM zPQ5pj12o9J3!MBx^&_N6=V(3(a=+H)XPoysOH@#b0U2(P|DC%<IKW{5^FOLrAT_rn zyt#AnHDo$L1MDMEYYVg?L&v9=)%FG?YAiq_1E}S|voqi{j#3Uh1P!2|q;UaIsprt$ z0SXU~?g`-VfX*2)o}g)20JRvDcs#myfD;eGV#>;ci|-(%W&%ohrTFx+vR;RTR|Y7& zP|JjEr@`TcQYL`s`B1`(K$-9Xob>oxN*F;ogK!BuR0;-Ihz6j9XoycQ>+Y+N5RCwZ zXaGtnn{o;qqA`$CHUeDA{swh>P(suItqD_Nh}5z$fVC_vPC%M3+@R^u?tQT4i^0o< z-$D0dxTqMsyaeu^p|n!?Teg7(p)D0rwi}ELFcY60hnNUD;KLJUqUn?Cj0`V*zyJS_ z(kcSC^+By70Z^-m1JWw`dg9;zms@|JDFx5zfs}fHlqx`!o&qUd_Y+Micvusp)BvPZ z0-|(2Na;(^vh7~h@av2W;8AYquv;e=Xw3Klqi1&?sOi<|$YOZFqwxr+%ZD^zP1xnu zAUl}<pg9Mt%LPD6IZ%&?LFsSa0CyNZdv^ZWXAX+n&igO!904un**ya^Qq12{!3i2l zTml||JJ$RGB-rg;;?W(PfvEo-JCDO=T|t9{6F?1X1_lPVZW$|Zlfd!vYnRSBDj;#t z{2!=u?$+&M#nRcM0v;l|{K5q?gV+OB;{utP>`?&?7j$=n#&kToH-kngkj5pD%K8M5 zeJ<T1df>9&@$xH|&JdLZkIpSBHy9ZhT)KVqKsJGfF2S>i(5c1*m(G)r8HkkbZqTR- zXj=v7d;&y$0$p(cs!zZ}(A_2$U@O4YNkHc(@EmfB$_Y@1qH~W5$b~N5IToO~<bY1d zNTTEAw=S?*&XDeI&=?CM+&YhggU7}2-ogK%r5PUG&7jd2kbU6T>=v;APgi>wUISaT zM+H2f(%GVN0CeP24cI0RSI(oIJ>UVn%kLdI|3W4cd|bLWhk$(F`2n_s;DaM*5x@gS z&0~%Sf3P|pe8B9{JsC7%4YCj1ad7HpVRGsG2${q)Jl6bx5fojZaS*5O5GK$D9*fJb z967H-=2LB4x@Ut{nz(e{fLU?FvGWLcQNm&PWPkT$@Z{5R7Zvc(yHhud5@?<l)Z%u4 zTLCIjoVr7lKvp;)S>fVhc+HjbETlS!aBP0c=+c>^5>vwN!U^h3M<A!RZWomZ(DXRx zi&F06E#QO%9y#iafz0@VQ^_}|1Za916kVX%K2Vr~XK+D7=?p%ddsH5PN-604{0ea7 zu2H!FqI+vuJbMdSJbJTPe0u{}e0y_LSX{bmR1&&fR8m}9|AW$;2RKLVQ30*@DG_Zw zP%7xy{G8FHvql9p7w|G0Bz@dP1)QKj^R1xV0GibWSqhqaUjVYGbB)RjP!#J3c0=+A zxX~Wq)44{)f{B5_r+bYG$YGA4x!KFFI;W_B0vVRh0${W0;Q3&1N&;B~$_yZjKt6Hl z2Ad4Y6K_F*>d`GC4mPO;oN7ShLY+P;9x$W8M~#9L3CJi=ig)a`0XYsdkPe>9vgj5O zyZj2aB)|fu(FT;dz)|nnc?&cL4NAH$-6F=_kTeU;t`3Ia5j?P`OH3JD46lPO&H-g) zhs*D{A^w9+?Sp5ZBS4uRH0TFPo{rrn8lZ#=Nfg~ZDxg5@*3krsy*2y}O+TEkAnO5Q zKu#)v=?A4f$8HlHP^tv!F93xRD3ConPj%~P!gLpa<ln-KC~+}-&pky26jqS@mI28V zIVEhI*TM5>uqbW?tugRX$!LBESz`d21pu!x0LRNW7nJ};^u*}fc@>-<L3xv*yF>-l zXz}U%<ih!@GepIr^E`N})dsW}0pv|k7=VJUL;@o3`2D&I=MTjn->-3gR6Nn?qGHqS zqGAJ{e6`?j0iBV8<oziskmT0_PV?O?0<h!;%AW<G=mI6PZrGB60@!?h3CN5LNDxi| z7qg(=HYjC5%m5`Ua50hrG6R$q;AUjN%*X-dUeN3d%os?jVu7cs7odU;R4H|DQ32&D zs1YqHAWaZcrhuo6Kn8(oQJ6uXB-YI$3{7E|LFRy@L74?IE)C+r3;<mk1u_6M90oH0 zlBQS$K<Njh8zkr0Eg}Tb4dTIcw}9Mt+(iYt>SBrtBsqbWPe2w!RDkm+NTZ7i(u#{- z7nK6gXq*73E0zIbad`AXd<Hu071XQ%H_0kM!{s0geR?4aYW9IPHthlth6i38Jb>0j zv*&N)1(hElSAgaa7(gaFcy!0882EHUDshkA-Qbz>-Vzmumy<z?L9!m*lR?Y*K=vkp zyJ|Z@dG^JGJ^%i9`=}&zhp420`s$3GE#O-D`$f=^7>egO!Ideb+6E;FaH$3w+JUUB z2!L4%T3G>OLsnLVfHDZEfQB!H2xvW7BHrzy5`tI?0h)__32NaXInM*hc^CJChWA}m zzy(vci;55E+!SRW6%WwysFt9hwRr7}(x3wQiUAxR9^IQ^K6Ze#-5&4z_y6V9fB*lt z9)P$Jw6+2?b-@62V~I*Yw~tClcaDk$C{K010x#`x=seDOzOzQfr9=#z10BFQ@8|cc zoG-xn&!yW%#RZ)I97^&$x|>0^f}4e%J}MEA7GbB0N(@rGL>OLr;kFwzXy>AWef0yR zB?emjknrvQe~->)P;1Sj@d&6nht!tz=$%~vs&7CGXgqpXTmUbAsDmzk08OVj>;_G; zL*{k&pFooF?0ok^87v8&fd^Nfpe~<*M|T6r1N_@K__sNL7Uv~&x~QaprMf*zz_drV z1CB)y;4%+&{;)>H12K10qv8R{DL!C>x;r3kKhR8BcLS)tMrr^et%iU^KgwKk4Je8b zYb!uiFQ_Pl8VhOeL5#&UlLl?Dpt~09S^=Nl9F+i256S^F#$o|l)Z~FU{Qz`0!uuDZ zdqJyNTvQBvdUqs%rha=%R1yp??PLe9L-`MCcYu8O!gdc-ok#DE86b6?kY*qB-~*q| zcQ2&&z$d{xdPUy|LKa^{xEh}H?7RzFsRwFRdvx9h&4qRQs2IHP1etl<MFm>vfjvF} zwAvSx-wP14U@sCt3OnDw;MfV8UWTOypU%&qHI1OE0<>zKdnag~`4}j_L#qI=fzWi} z!N|YOfwj{|C8N_tB?l7V1QG^h@eQc(MGfse;6*uz<N@J>Qb>ymxY!1zYvK|KWKbWQ z6`;Zu*$NOJVg<Nthgd;ef&o_os9va1sX!QBqf!Af*+r!WG<OZE#XxBWvxo=Hfr8J? zfUMEEy$dvHSfY}FNG&hKcY$Y1LESN*Zb$<00nHb`d+~QCJaK^P4$vZJ&;&MULCH6d zUe<l!4Jn5}Z3=@Ih9HxVx2S+twS&S299tgU(4?^kERK{qoOXfcufM-|wGBCSfHr{q z*al4!Eh-a0g*vEK1ce;DwD4f!-{!#9*#njaRU+Vu$3?|}P@&PH0-8nzISw^o_khI_ zVGH4dg11Gb25vY}1xSlZ0o2XtR)EBjtpM>MR)9u`z@gRMK}_0cQ2{MW!{!T+II<NW zKE#R~kS}`IsGI<m;JrOy6F@Nvas;R~016l6LI$+LV8aejQrQ9yWoT*vb#Xui3+P;% zhuaaUrt`mV=U-4AaArG7f;qqhT~M?K+&KrI>+;Q`SG1KEbTS;MH2J+9RO_~=Xn;~5 zBBtS~1|*J@YIt@)Qq7hvD5<7<3%Kq1V(%7s(&>Ok12|w2Nr#z#n*)1ij|xb-vqc5e z=LD-DoOHlFIxH&|K;p=O4&p-sJp~l#pp;8&u>x8)ge_h{;>cEj_z){%5LOVEbiiE( zEWQAVBU=IDL#zPx{6R&`4rtN=$$*j$$Pu8V1M)9=(ka~rNjjiVh9#ZO`!CjQMM^T@ zWleLoqNJA%(Dc&z-=`ClPGY%X>E!ZONIC&EH$g!Jjx?mw1tgA?PM&Oqq?4Xa$ms;! z)dg3P?_NyW1WGE$q2|Eq8&E@pr?W=|v@Eo<MMVbWXK)M>taZR0EGz*F5=RbL5FZk- zK8Uy@rfJfm0$LaX@;iFT0uo2I0>p<{0bc(Nc0Z9N3%G@f#TOuPWGg^?h!r*<U-Yg~ zSpf>F-X0Z@3@CYk905umApfE#kFYI}<N*q0q~y`R8J;{q1r2B{1=8?%QMwr=nJj=N zlkP3hV&ywzEtMW8XoDoQK3cdLl41mKlq?`|q!hDhGbqLUe-X6-CB<~Udy%mLlv0kO zr4&y7Z4SJhJt`cajXy0aJRt9bLz-Yp0j)E_7L6cr<S+*DAz^F+3S+S0MAkvD6=dis z1tgAa1&9x^LI+_5aRmuz$rCnTfW(ol0P!JKsDP~KU84f-Ui9{W7fpdu3dj+llmhZE zdP>pR1W75NP==-yP!k1I2Z2^u#co8T7;qEyh4)63lmgmV1#Yo?_W>_v<pIrpgPXBU z8zISq0Y~xxi6bSC$s0k*<NXWkbtuULTJeBdvX1LO-Jio~$%Ko4n*(2Gj|ynrcxQ_W zWVahpO%>4Q5NrVq5=RbT5FZl2paEg9^~BaUpaEiRR)EBjtpM>MR)BT~K&>DynSd8` zVJT2R;>cEj_z)|2pvj~Il%t>v7D34b<OonQ0r?j_nXqnvBok05!;(qo{}*=a;mHG( zYCr`Fv|+2V9wnK8*3f~HN%tCPf%4v?^PWeq=v`2s37lMl*F%yEsJ{vdGH@Uu)juF{ zq~wwUQr>z01<x9k<N~^uE=I-Rh3Fbkk#Y>$f#=`m!Og$Tfxojy1+>1evqj|%XuK9Q zGvbW3^NU#Ai=M$j<21;@3gSb8l?7xZbpE3mlz*^we_O!Yq(J^dHyE_H4cTB2A7bzy zQ0(HE^nmxPTU0<By|5V!5=S-|#D^IC1=JkuU84fp#t2%M3z7jP1d!FBgaGmzdP4ZK z7LpJ^;RsC#9^HGuvnekO)*?~^q<bT`79|ychBrW|0NT9~z0U;NZ+YFL^X7{Xkh#ZO zR6rYYLB8<lo($>ot^o@nVjk3RPG1WuE55(rUj=dubZ|}qG$aS=8-YgABtRTc(-y=L z0C7O=7Z3+LatErKKqGfPy<5O@5BoqX5O#qGQ1|~kcqMO(3Mk2e!-0u`;RW;BfBzv< zLePmH?9mI_?ger`dh~+Ckz)bGhs44gaP)#3f!)oZ${f4FpgoG%3<ilK8w}z@3<i}S z-QAD|cr$p)0Wn#Ilx{#nD%cDLi6a{f;zJC+15Gy>&;kS`14=g_t3lxf@*8@(IkFm@ zHbCPiu=D~d)Bmml3xlc%kT9qK0rhg8twKpRpuNSAbORbc2Mt$ybiVWH`~^xl(yJlm zMgYhRki#Ge2Nbg)Aw<Ff?dH`3Deip#;@Wah!T}Ghf))*bdujCsx@Z`@UKlh)1?qv- zLzICAm_2$;ZMT8f3r9f&K^efKcQYux8eZCI4_Ys508Wm(e}LBue_n;TUKkX+;Pt}u z*06x)h4w7~uNOw1kvjmJ?t{$Cxp#}OJ9me$vw(M1z5=ag`z>2%@7U`AS}@EGUdZF3 zdGqo!7tU*ry$+xi!|bpX!|a_m558mq&6?i72wI2-TFY{R-{r?^MbO|dJLv2pu<Ugd zS(nahotJ%k6IehCiP;egi8+6l%6EP^_=3ss!^L-o$C{rpa(*u1g>8|0=?_|j(fnJs zP7&fZ7KGbCOG6=UV_}Cavtd8@iV3#z33djLbGMBJXx`?1r;Unpw~5W=caF`!Wjjk$ zSnAX<4dwjN$)bW}ENBrRi{rspOx-fzD}O<A)a;!+Di>dO9s{qaa5X#$IVFt6k>BMb zXgmzM-j@YzD`*Zy!@1kW2DC2nw=8IX9B93-2WY_*KRleDavtr>QPDuM7j!KGXvdsJ zw~vZW=key}?430#8ZL&H4G)8I%lYQ#jG#N$K$0#h5{~>XKRatwWFTvd0ywXMci@GT zuyKCwj8O^TE>Q{ieho4MCUM+FMFx~H9lNKMfcD~FI0)We&Um5o;K84)y*g(>lFc<L z5}@_c87!dn(xBT_Kt2PH?03F^ESG-B3SLhPnl1|fEhl#Du3=>W&jN-t|B|Rv?{&qt zSULc_u*yZ3;qn9U)ej;3+gwxvK)YH&jF3(j74U>5sLW(=?nZ<mhjX`x4J4g{cGAMa z&;${N&mfy^9h(n;)=Yzv1Zd4PXj?4@B!W1aQ&f1^q0?U|lOrB46+yj$caGgLrVOCz z_(Po+FTVy&<brxd9-SXR{sS$S26c{HyL~{*rC&Dxl5puf*LlBA0qoNn#A4~^ocDWO zdBC9r%0cHmI&)Mad^(q?fLh9+McEk+{M(L!CQra~4u)?XTE07iF98FsoW9h0z>$CI zA(zgJ;FZ%?9KT<2+{WnA`mnUbwe=EcQ8g%dRXw^(R3dua(8Ks}uNx0|jXG%Q^g$QS z)BM{`H~s`Ia^#<K*zw!J|NK)ADBN)5xYv2uh2wIGqTySJtONg)qx{=W^KU!g%5lw= z^UC+DoJSSUx+p#cZ<lf8-*)W#)z%mMEuf((P*MP|dIHV&fkMcs8@_g00we|sCfM3( z&<*J_9^E-A5q0Xlz8sF-7|W+6z)2!R7q)s@26R|zw~vYp|2EJXISEjDhpe4$d<gPL zr;mzECyNSX^)!oTcZ&*W<ykj;`LrZx=DvH63b>;0d<0u8v~w|JvCum{(CX<uU`-yK z&t7igV_-m;9s*BLfCk0E%YOpEarEN!63|o(cqazTrV=a9?tNfOdP7t^VAcddt!W2a z170KxS{md5TR-iz^xyy2te|Nr5AXz#XE)@?4aB-2kdZH4`4|}7yG=l4h~wpVpg;vB z9;a>@i_5P;<yV~@r0g<56o}7UIKMf93u_zp%g;IQcm4pS`=5}V9N=vhUtcSFbUt`_ zhL?c>Tnu+2?fr1+{MLEjrSmIT7wiBr&hw>`u#Fz?OZZ?X8-il<bt%{xSj**O5NCkP z<-^!)y7&$(3abWO48MU2CleLU)15IYEa22q!peEC(?q44MWy*Oqv6RFjQlRAJ9$8R zu|Zqcz_aJzG}z6evI4%zT6hCv%YjmC+pIl%TU0=`BPbVx_PP1?ZczbMlOS<WF$ikI zMSwV<5)Z`5=my=H2TE!uI6v^WsDYMU9(Pdz7w(|wfTVjH&`NXAs%j087$n_8R+>w6 zmZ<1pD+NI%y9PMPLrOtV4Wk1p{6L2mYk(M_vx;><nGCZO1g%SeBm@ylP^I<?6bYmk zh~1!*><%?QV($!5;pjXCVem9RU<O@b37ID8>`?*Fee|vYZ-wybWu3AXvP=+ZRttLU zB524K6sQbYOrb9hE(9$dJ0j3rqvFE=+6tKgUd8Uw{DYmpO^1hp0qH&^P`MB4;ej-R zdS(&b9G=~w3`nb^ke0H8=O0m4U?;#=V5c<yl)$x=Jpp9}b_ytjLGzUfAVvyk;?l93 z-?Li`yQR?S9HjO06>v*ynt#gTva|xl(wc4;m5Od3l^W275Ky33zyiGn9_XO?y3QW( zmPF97Er{#W%PPJG66g+ymM^wI_gMfBbQj24O$TszKL;HJi&WROfV)(nv<039?1u1- zT~q>AFqVpUL$+ZWyQqY$U<99K0-9%F0BxOVe#F@AqavXQy5dHmyF^8%vqVLu^;?NP zs3cS1{HO@pprxXC@wE&{Kn0YYOH>q^A2PzC7n~A0Il5g`RKWA#3j8gg(+UX2I;hc% zx`Dw09sxFZV%-8I0&GB$ZPDqXVgX{<bh@b6;EQ$eVo{W&CIGio1W&9Bpjaw`ybTBx z>jJP?7lFpQi;6*~kBSLsf4+fFFRRfiP-O1`ud~D--!b!`@x2Fps0%}>KsRK6G&t6$ za-in27Vs<!W=#p&OX~@%DSIL7fME4l*BnT7xt|@Wy6lC_*SxG_2d#}}_w8l_H5x(3 z`!F7K>^ucKJgE8M1I-ie-8=%$-5~-jod+E+zXUCnm#uSl?DYb*d^x}^U(i+o7tXVe zy+xq4_6DH60-fJME!~fh4o2&L&}oA2U#o*U+MtQ&mqP3e44@5)@LonMXosRp=h@C{ zE}iE)KQ}*S2VKf@o8RR#=ebhBgKwD(L0uHif8a{IG^hE8ENIUT$PFfNH#~FX{D|a+ zPuMItckmrk=k@02?4aJoe}0$iE{5koY1~8wyhD+tgq8DJr-@4QCq~1Q-&j-_`CU$R zVyUb^OHx3m|A5BAK-o$H)XeET$9avv#gK)8!ME3o1vDue(aq`G9gBP}6})2&t$`f7 zb&Mg)P(j<AG#tBYj9I{KZyivUQ11=naO{>5M(k>M%n91^pwWB`6w=0^y;q>>iGQ1q z3g|X%4G=@8(?>-Ic@dOzw+T}x=-8(%;2OZWTZ9oDi=9)zHA9^)Br<K_0SVeVu?MUc zDLAinZUHOr?g7hmLwKFwI%f)42-KDQ-u#@=@KW<LcBpF5k-PjZzdCDFctB19jeCK* zC7=yvod++!hc2{r?lxh({I2<jZ08p6?r)frbl^^U%K5i*4Y<s6Y(50)7YKkiZ9>X9 zXzAAt**e~N3}QXVu7fX_K&?(ttT#UcceJ2tTvQVHT|m3`Ksyndmw~ctjfw|o20sC` z-~;Kp3&R61)MtZNX8Ax0WJm@0nGZE<f=<K%ty;xb@qyPXp_E1r@Y2Wy6yG@Za5<oq zMlPU|&!N*r#R0?sogn4n*v$^A_~09UI?q8ij)C)Uw~P_U7SLW7pI$eX%WpxMN4nRK zquYiHmVKXd{_d^g>9(=D{G9VIXz3}aXAjzX+WZuJ&)>_=92M{~K}adCBi4BkyolAp zvD-%A@_SIs)u`CiDT7Rvftw0Rtl$Ei#R%F@1TA2+==M>ufz_ZE&^S5;>GFd%R<eUG zv+s0KQQ&vE))}Ir;?v7|V+kmV_JBu+L9wI&ilqWX*=l&;MdQqW|C=F4BS4B#P|XW2 zMvFkR-AI+Ei%JD3F@j1WP+|nt>F}D<MWqH>ZGsjagOq?8MIhy%Q!7Bqzd=f2#uAVM zkRV7wca4e!s5b3h11|KT2TgUpQUopY6zDt+?!SwaXn-nJ0nS^T|2SVNepCdtl0_6R zz6PIMBm!A=Dgeqxpz2iwT)hhLw@8A<(Fmn2@CF8yv}FK_b#N@1;7MBsC~3<Cl%xzg zT~rJ}43kb56%!hztvTQUX?XHlqw)nb&Iw6a@I+MyPNv8S>MqE+;KXzs+`&2cmI<^D zcn$b;w1e-#H?)CH;O^X`0vb5=>1AEE2$FI@qt>8NY|!W<XcY1T0|Ns}`f;2NPCuaG zbV&LE=>Vr6@GLk|`hhI%#H@ykkvputQ@~4GVbw76R8TcsVgstCLET)?sTH6_L$L1T z=V|}`L;I0az&&2X29q8LAG*T?G)RcFD$uoC-nW|#_4qvG`rA?Sv~#x%qyh(ZG+sl- zUikYigZ4}II)Q3&*uLJIkR5+cpt^+tT#J7I^*mv<IJoQi{^cgnz6!*_RFK>N${3)7 zNkAC})J?VkSJW?Jr~do@(g%GDPXI)94QQENi3;=_E2F9Z{=eMu8D%33q*V)14Vgp$ znVkSJn-Qep*O&kQL9Gf<P`=#r@Be?yO26}N=e5rJpi{os!2^Auan28%=SqbSzGb@j z9@4J(4mPqhAJhv2H7r2E0^baL^YR1E!;Zb6U;*!225$yNv|PZ!a^v6&Ca~2PUqiNK zedBkzW_TDhjwgd?T3qgwA+u?5g7boJ=U4ugKxSC$!bK&bn*-G9&hY3qK<e`xKKPsU z;A8N?jNm?Qw~icWBn(nsYjoGhA+;}5d!0GDS=bQGiwB(Gan9z$Jl!mE&=MPepVov4 zl&V03hoF%?Mo8*{b!0Ju5!6TKK&rvPBYU8&+3eskJy0<VTCxX<anJ}ohz*Ky$j#c| z{t{@Io_#X-xEqixbMq2#tAyF3S9i}mP)@H=!R*(9#{NNFQwHz>&7m)zO@g+%L0wy< zUhPuQ>@-qFcToxO?R)_WWJFjCAcZyEI<+_~rBbK1IYp%c6ah8tpa=j}o3ID~u|W|~ z0qWv0z$2h-A~*s-vY-e6okj$T0OPrk2*B*)qDMgLM0f<CbaVaDHhx120PNjd-_Cbr z^>md$bue;I7hL<QKx$u5PZzaw>kFC=ht$5HgplFUjUzH3O(WzT`a`FK3p(folmbN9 zL17JUOMvcc0B?pAK#lvZe((Wp2F%SVDkh*<cbyH2bsU{t)QEq{{qg^Q$8L7tZa(Dx zCh{RTSazq&61zK{v6Qv>DPyUA^A9<K`_egYH9unHZ{-K~)<9d)LF@N9K|9hje7c2@ z=2+o-6InoKwlg4aLpM=D>_TS&wXxK&?n1Xw0gn=zz&4??@NeT$>EuxXb=E+O?!i}> zfCsCcyG=lQ(m|!E2W(%uY-fmy4`_x9v^U=dzRe!gN2^isfSyqS+Mf<8OMSXspgWA9 zgM#2C_>e(&$TX7=Xs8a-t_Gba&@mGfc{M5;p!ReCa(l?L^TZ3iK5)lJ2h#D;05^v6 zFgiXGpnO5FfCP_$qBKxB;0;tBP~hR{{BVF;eDDJ-ctGt^&;?=~AO`5(Fdls4KLmPQ zI7j`^dR*NuDh}N~DlV`-3ur3~sOJkl1_snyap{DVRG@&J0`9_k^z!P=U}W&=WtE)) z3E~7$s}s~IMGoZ`r+dIboB|2r1aJ_Wp!HWE1G%7_2`TU)d}9|C3Fu%SXn@z)MMVZW z*auon%z#{!e{)f(fb>^DMK-v<(gN;EbwkD}LH=Uw3{ffQ^ie5kJ;~p~&d9*Pd7}9t zBS-+$gLY9Vc=-vn;RoCH*o)eZ%j*93|K-OIDD61dmMX}(i6tt~Jv#OvrA41mltS9p zp!x^YoCG!NK=qFSxPA7552T^x3yKCD&UoDQ@Bd4C)E(iFID(lCiVBd~(B|YOkcLS= z|Nn3P1P+ShE-ED;rQI$nCD5Hn1)yOcZb$H*C0E1$uX$Vz|AQy_j=OgLbLsrx!uf;0 zg&Q=%KumoBI;jG6KvbbS#t3qzg$g`F=pdcw0vZI#K|0ap7N~O!$_EPI&hj=F70`tp zDxet*P-_R@Pz1JtQJCe3fl*A$5d)(j%P|K=L1_iKxDn}u3}-?z2I$;sk6vEksh}LO z2RxVw$`qhZG;*c@6+N3f!M!oi!dysi4Aip#=MHzYp>P)!3!(}jaIJ<?02#o;8_`3? z^fID{4DvFT9x`%x*CNk(K%3&==6AP=e0PXE3*;mn**aNJ%UcKOB%P<6??A0?8+q7C zI-mj2PRJ?Gps`Bu&976yy=0GG-jkETMa!wlkbngBR6zlW(WlMr0EZ%$KCKRDsV-7e z7n1Klg$AgD3{LnEA!8R6ixrHeQr(b~K8;;eY*sLWcV&YVLdy~m(^2u5YwQ0KZdcBK zj*7<|6_3AWb~XI(()r{21(((jB}n;(87aGfE<hk6`dYxd<-yKEw6GvsWuTEb2Ygr} zDBoy<PC`PD$2DLB;C0EZ&M9E^{M#TVcS0x-7j&LsC*<fwn#L@6VeTXFL3|fFr>H<y z=kk7^2#Hb+M$ksE9`GVv^t>^>4IHbWCBu-s0a6Uk8|`Qvm=?&{^@NKf$X0rc@CBXn z01sc#2@ZI|7o-*?d_n3#0Sc1ngjfLLf`mbvhQN!lTEJ%=fJ!Q==N!;_RivB)UOC&# z+dlykzMzqNP?iCyLJwc_R&e-&mh(cw7o->*zR?){6VT$e?iLjgWef@J6^x+5m!VX+ zyF~@G6wbIs1+>%-d{Pxk9y#u)cnq9J9KT<8ZT-jJVoF?B19^uXd_EJhoetWfS_9ru z*Zfn$ryCN$b>KXKemdA3@RmGyoPmxW0PVyBMG|OJHS`>95Emp2+N}c`Gs1{0oCgGf ziaA0DhCz!t&{|TY$O0{v_2}h&)dz_z(BfoJWPwznMb^tYDbP~6J>YZ%>RNQZ^X>L! zphfqB3Dmt{Dm5godjTE>;%|)|?j4MaFTiy^*t@X7d$dl5axW+v!JP~j6|_#q$rmRZ z!7X5vPR6m(PKJsDwvffz(Ky@<Y7Ovi>4pSz0%)-<sCA2+RbC`DfP)#OqtQX_j)tq@ zH*jAATmqH|9f$NXpp693FcI`f3UKEM+~IIhDQP{x-vS!d<~#^WNiHfSkbXyjW9PA# zpfxfmi@LyTg+PnCBs@BwL3#y0>i+$IS@992R{-fEf$B3*Hv%+L2x{s>Pm4MZ(y$h_ z-vK>$0Aw=wxJ~G3QFA~_=YB;q8`Ld<nGIrt%!YPRvOyZQenZm$TKWvr0Ahni|3QnH zAs#RX=?O>gtAMH$m>v)tqz6=$Li8|$^fY63S6mIhxpaPL{=nGkqEb-84LOk0)$rSE zX4lT|E}cJIK#QP+De0piojQqgsSetylho^@z${1fQP3;37!^bx1$5*kW*-GxzQWp1 z$?gDUC|ExQ(vd;VQ=XkCUvSrg+X`6vDKS*+r@*|8=%-+M8_`bzd7G4e3S|{Icv1!0 zQvufkpa~XGixxDa=h4e6+YT<B<k}&D3F)gq_M;#N=8J>X;E=@9SFxo*U*))K>o-te z<-4OItQ`i*IH11D4_w)XgSc!1=}LgIE>dq3+E;-@<Qj0_1KyTKkIOlzeHBo<4AdzB zHN-%L28n$Y>clRnw}KM8(Ef^hD<oP$T@X;c15$?^t)M*eyb>I<So$kdXwhGRg)yk3 zfhUYXWhqMA7o;8(#vln2`zzE5V^CiNC5)kcmfOvcFa~u)Kw%70haSew72q(&(q~Db zxX*%=S7816Z;s!uxwd}iZ?PPSeHK`e2kMWYL>RQ+V$=kQFi5|p1$^%ZT7<nk#!Ih$ z3k#^<!cuBPT)zdf42QopakzI}u&+Bp?z;H&vSu`ZVzNd>1GMM}rR(C`dGG~aDY#9I z(sj83+Omo?u;!v7LB#l$i^{N?AHg2Bn4K4&URJhxaP#1M9VDO=Kx=d`IxkC$!2ylZ zd6@yKjgbNx(&VRLmSjLWFV;o>{=ZZpq4UB8(hx~h=jDFkzyB{gNa(z*18G=7TIZz- zq{oZ2&WjsJPZgP+mqVa<Cp@C*qJnLL1=2RiQ9(?wpf|oSj?5oiofqsm3$y#;)62T1 z8kD6V-4{@<LZ4;<m0&&v;AR7)`@+)=S<Kb^gS{*db$5rwOHd!0P)|HB|KI<Ye815; zzaX8U)-aZyxGhM7C;I8f;FT2UJ#nFY$WdwpCz`R%^T48gF!rAgzGDKdK!;5AKx$v) z9+Xcn>+MQ#5qYN)5}%+R6evD1W_#-Mz>x{*L4hI@q!JXFBFK>mnbvu&gA|z%Ay^!t zjcClwh3udP&GCSgbVF2=FfWAEek8iDl}21HA69)WcuD|uqEJ%;sJG?Q%X+gMk_14# zEl?5wDMW5qg0ggF4mcG+dRw4W08)%46@bQ}UhAWz0+0|Wia|LQ#0CvHfqI;fA*Tu1 zMCCxpOb^Hyl<qI6R|TJ*0Cly7MAr-+C7?bRYLtLFXFk2Gc4d$#0d>wmQ36tk9wpDR zz)=F}oPnYQq!>$-fQI?dq68!a3QJIwfY_iY0R<%_O8#d4`%lX36H-pZ=*hjKdQXmu zvraf?Vkzr+!=o3}lS7SOP*={Umo>cv61||V94LB03elsNJrf+gpo4Q5N<q;JQVfdT zEYxxrbTS3{s__y_(28)-R$-6cDUiD;p|?a`OarYL=Wk)V^#4Cd4Q$mo$ds2yFGAKm zgE>boqSd${QCOk@u|bIjG@}PeG$+#k{eM~VA7m=(mg^F8kUbE$h^Cbx?q_g=I;Hv| zNE39+b+4&&86(3>Yp_dL&0*{bD8Ml+*rWN4gh%IR&(44QEJ1U=o%dh3rGS=y?gs5a zXW(z)XJlYF)(kr5nE}_~{YcxUp#3D&yLYkPmizre>+zCk*qsA7&)jiQfi8wYHBta^ zxJeD<-Y*vw5s%*8ptuE%_Y1rZ2H%~4{e&QRe-719tnNfIl*91S3&~{A4s|zNX9a`8 z33OKQDbT$Xoz0*y^k_aJ5$zavc*2AUsNrn|4ex77qv2hn!U36H;z0}Vm5CIDH{$+{ z0GH0gj-BT{JHL5${`KfxA0flW;L-WL^IGRAm(GKpoiAP}B>el|{DZNi%(r*x4;eNF z$L75rvTO_tCDP!0>7x0Q@umm(>?4=XUoRe|fRCth(fs}Yuutc6m(G7aou4{yzSxxn zHl@_Sv+-zxCm#do&f0Cs|Net6Ylf<F?7ZR8e1rqBOXOuJIKv?effCDv2@^byw+XO< ziVDy@aQncA)-)db!NR~`c**eO%Xf+3Lu!z6G$=O<KrSxz=tjS|6ne!5>{e_S(6Jxg zF%~S2mtQ!7PCIza=+Qk5G}G5D<zjfaJBY=F^H%5e@7Epqryk_ItoXb6F(dz!1BU-Q zZ*pFA;GcTjMd5@C|F#pZou?c*ewA1{Ha}o=Z2rvX%6ZsD@qX(iP?WlK`l#@fsJGrO zRd(dxcF2YEysP3(u%L_L4aFawE-D<aIpGRH_fB@U9bjc(=yp-z>1;c~3idYm?5D<K zD?k@5gYJd|`TfPBI8dHBA`lG?DM%oAbhm*{8|voh>?>enVCZ&W>1-<j4Y2msX?Qdq zi(rF<oa2rZ1_p+g_b|fGG2AiCF(fzuS|D0OGpK1i$g<r6pu>XsTRIs)1!4w>13jUv z+k@r%#a51zD4*Wlpd*DqXYUCnfSO|t9MFr@!TZ2p^u&Qu%@GgKmGdCKO$Hq}i#X)S zqx1L+&!m6<4Znf>=mR=7_Q4B|#DD)^OL%lQgAT;{#=uzW<^i$gg<U+}tO0T%s3;2f z4?eaW<a$`Ti*t-W{LO)dvD6C5#ql6tcB8rYRxGNEMZe}SGQ9Ns|NlQoKYvTX|NsAy z%#g-r#x!&@R^~G@yk!0V|35f?f)XvP3bKKQ_~BS^h=T@^82DRmGl4=JbmJC-M|U?U z9J(D@IuCunq$~)|n~uBwgB)~-k-rtxJ90I=4PN(o08(H1bngZ=&5$;R96I=m_246B z$8H;ORZv4(^PnTxoV}o_@XJpPzws|V4>}5;0X$J&Z0V?+BhG>lDADlfZU(0_fo>0$ z=7)^mFLj>yenIiV3dRyn@Q|0`$=6JsCpb^Ib{y_J_5D)op;Fe)bB>)ST{=&?aNYzh zMZHlX$$8MF^VauEE}XX%4>^9n=)!qU@!I!mj-8)eS}&GJK_mG%3wY?!qt~=1n~~uq z=mJLqRVFNdcZ(Qw*BG!|es%eMC-|OM(6sYLMpwg2trtqQz8zxZpK`GE8|a*xgB%B2 zzm<qP@J~JV?GU3Q|C9q92OSkYI2s&q<==LJf7?Nq&THSVfkVh~2PmXlkMXzeWnf@X z{N-wR^4lRsaM0`rl~W}pxK3F^D}B0kEV@H1ST4T>-|pbi-3$shV-4;A7S5~C&;|2A z`#vswzo7U6bp8_~zw5=$tIb~-LBYUa`J$Ai^J3?9&hwzq2XBLD{ZY#5*m<(^ROdw( z&WkRcCtNr$l!$R2bmaWxsCel6B}dMSu8J2Ozh89iJmJ!MkiVr7w5<_*Rgg#bWKiD# zDV0FC4?$B%H;Yksh!M->7nff(KVSsi5rnwcv>WVr&|SF#+<~Agi9|}+z&Df%pvPi~ ziU25UJMI8oQS54X^2Mzfym=Uu=0SP*-yd)u2IU86bHXt`_V5Is?l#bIp^n`Qoox!> z!va7ho(gE%t`}BaaIixPy_fls=+y<NJpwB0_Sr(Solg|_WHrzMD?YuZT$!LF(?AEk zsX)X*E7!p%uDuY32!PI3g9tD|1b%?V1;CYC+{^W`81{klyJIM*h()W9E9BAZ;|USq zniO0gODKTr;|v9y^>Glmj5&r;A8SQ}O##=(69ORhv04m)`uJ!JsPw^7ALDla3?+2; zUkC@=40gYTGT8kc$~fIW36u^xG2NdP1vUlj{sZ9<_oqb@a6c<9_j?>~TLG%KK+)a1 z4-}mqjmIW1Llek6j06(U4Zcy{qZ|E3dGy>YV$tnl!P0sC^83r61!w$=PZjaC9w_00 zoeuX}xAS20N5<Bl5Ft=G`&z2?0RPm3oh+bL@hl}g90&Qgo$Pc`Vd>-n9Y@sJ7Qq5; z2(Wav#egPldiQ}+n@8g@2hhE}oex0y=*8@?fBz#8O@II*+dkd2a4!d>;lk3{#sg`% zK-+5{klgz^6ve%u_6Df>Q}FEk?$O-_Dn`0JSU^>VvcR`Pj3wNl`4Ui<hT(Oj;epnZ z{8JBjcK3r$w(JgM0Ts&1h7RA3F_v(GOFzStuQ?4*wq62->Z#7_;Jb@BI?sU*Y~v}B z0AHfa(Ruv)b<X38-@jktyr}pS)IM|U_P6ovcE_?y6}&XwvD-w#u{%Tpx;S2@P9A*U zxeWv2p4sP||C$f;ICk4efR@KMKVt`-bX1}u&=~^ilf|fTfOe}%fbQ!M*atdRY8QwA zZ&!5O2}+LOYSyRodlqBxi<c4Lye9xUoy@VbU4k8)2xJ^P+hssUr1b6womuSJc$@)T ztbw)rbpG@0{P3bb1gujb+7Z;e0XGN(VCm1JyAM=hdqB#|ZU$q<P8Jo9?r9LgK2Rar z?a9LJ1>W#g!peD~lLe9pPk@d>={o~1QR@;s8jo!NxdN2>z*fJI3PFz0U>_7C9lNa@ zLG9>nPDjO`-Ht4-h6fxw4?A*RE)j9%yy@62#d(>VQSpYO;$cU{gP^s>x4&O^>~><| zJl_1AzxfeAc%a#%yA9mp;ZXe9?ZCo$0n~8dyzbFG4J;bP!Y!rvTk(eCO~=jyou^zl zPq}m+aN)dBBFYJBRJd}Uc2qq4{h}l130K7%AS(`ZPOIPm-E-eo13JD2(lAco02KjX zA4R_m4~LiAKHY7gOD(|liv}m?Fd9((0^XbeFFph~L3#~OzT^*v>jMY=gb5RHmzO(K z(aXyPLEw@OTwX?~fy>JkYB<Zwq;OEX6tldv3I&@2E-y7QAmyc57=iNgY8W`>Aa%*0 zMG9{B-_SsJ|ARoV&0zOeXoB5;LKCO^7lmPWe^m(B6tMdv@*(ao3nk!wQPSQ2LmS=w z3P|psp#ygR3mu&9e-w({{kwt@?(ZmrxPMy+0r!W7k{tdL`snUY2msp*4*wncVE1zv z;B>zd4)_0pq(X4`@2G{i|64Et_fLhCJfx%_3nO&*FYrgW|ArCR{TjwN-JcYKJ^ZbZ z+^^6FalaWM_g@VrIs79`(cS;R58?hFreODbfNlye!8(4jC>Xo@t01Wm9R2}QA?_~= zA`t$fq`SYu0^R)zNbZ-g1iL@O5@+~73c~LGU651=c7MYhi2Jt%5^#TL5Xs>`!y4WF z3BHK%x3B@bzrzNn`;~CG{}&_`g5CdO4aEK50tmQ&Y9Pt(-(iRD{sle=_ea=+-M_*f zr~8uvv4_7ElKUmLK-_Oe$o*FXNOu1XM|Af;@J6`5!U^pD6HYkYzbF8^`>P<S5FGv< zyCLo`^CuAgqNKb3hYPy<6_DIN!xilQ7p^$n|HvP^`*%T7A=v#Dham3X=10K&q5dR? zzk~<6`xCqn;lINJ?0ybUobFe`;r?HcR0wwegG&(ifAb~a{;7T>yWhea-TezZ5$?a? z4R*hV4^H<d`C$)#D<t;|+=95@jF9`U`Vw@%N8=k%@5Hn7i)ZKGeRkkmf}X#S@%s1Q zvw08rkbegLmV6G-1=f(goQ}s@R6zF?F*tVnsFZkim#84$)o>Yc3=a6bQI~EWx#mX? zG%td$jrM_DDZPRLbfvV59H>!V;0U_88hVR#0`%HyAC(lx?j9A;@)*$67-(G@wxc1S zn@74$^ubnuuavg%=-i_M8tnGygq*zU(jBAE(%Az!#P0Iz&X167E})ZvAuJn^RiLec zp51G}8w!!Ex^VCZE9jEv<1Q-T^)0@gk6gM-SQ)_fIbMF}(pjQn-~u{zkr8AcXnX~9 z_-#dZ2rElxjfz2M4|q%9<yWw)d`(=t_o#p-q+L3H9{dS9`O$^(r(@@t=7$d)HP5>m zo`YTb?Ag5wG;9UxCxXuta_VMLcj^2Iz7ST!(GYU6^d9h$*iPL!>Y!VnHC#9kft0{+ z*wu0EUIn_rz@_sA<dAX~#utvA2f=69A9XzVlhyIyLuQ}O>%QGh;1B~H8xI=nbM9v0 zaOr#rwo3wHmveUx2gnYI%W%_W91Xv@aNcrke#Qt21_jWFUWkfH35O%+HLw84?chYv z?V_U4{D6`30{Bc+(8XB{pjZOU>4MaN*ByOxQAuC~-6H^6w&c?tqEZ3AzZY~&Fazj1 zMi9fJ*O>)$05NF!g-35Gi)U{Xi)U|*3X5m2Ba2ISiHe0wcZ`aSYwI_VD$x21kIpUN zJKsvgU0ZLL3c=he;n@6`@ue{6fHzpof@Zow=@N88FxalnS00^ryJbMfwia9lo&IP5 zax-WJ0q9BukQ2IX*e`>QQUopc0iDMT8YeUW9ghZLn1Fl+jyaIYpy2HmVFKN%%)r0^ zzK~p_+lKA(d(fHxAmth`ec*$OA-k=*w}7v{as-V~LemlGm?#O~&O@NY1`0=)ZWmJq z$ICAux4rwQNWe3Y49I@)Qan(gg7$MkE@=J<&Ls+lH$WZ*`KDV&)9?dmB~*!u0x0#o zcHxFxhvLY219Xm7jEV}#DA++Mf}n%}J}Cus(Kg8IAcH_*?bIzJ2s6n8r0O-?1Rui- zj+{Rr^MDSZ`6Tf1i6!hVoCiP$DuT=hXD?UKRo|dfKbjvvZh8i}8+=wZI3&Nhs2DJU z(*|gREyV6UDj-jQ?#%*)2gt9W1O!eyAV)ED`>1GiyQt`No^#>+)>)z=(Rm0o{S~4j zQzFd?I&@b8w4|Q%v!mkW?-w~wI4T}?>5NeUouMxC{X(mYiUfblQqT$w*yZb>tGglT zqy>EE7wE2LAC&@dUU2|j)C^7;u*;quz@y-uAu8bewxKtDP63xs-7caGu=D_mR`4y) zplN0370oUxsJA?WR-b?}C&&Sya>lWnMW`E|EFi0Yx<!ORfehh5teyhCYZw&5(A&PJ zfXfWWZqW70km4kwTSOeB%|#^wq9;TJe7$!7$U5h47DiBHf}9wDh-wi=h>ie|+$%`d z4*{L<<NzxFI6$ln&{Zy=<%Hn#Jp(|aL=m9f#2}CC15JMJ0uhD>Uf8>XR~aMD`IP5x zQwD`OsA2*Y65tz{6+m|%gLt6Z>_DrdJbU+m?+pU23Q%}?6r|xev_^m__3WMkzD&B? zi3N0)NGliQN@WX}5s)jDA@v&e>xz9;EV@fnY(U4@fwF1J_lux$HpTOt&}t+B6tdv7 z>+tP3^c;1A*MhCk+mB5^#S3Uq^f<`npu}j<?V@7Rda^_qaYHkxY<zhZG;|9)AicYY zrQ3@0yWyqg|Nl!vTfc$CL8g?r9(PdzuP_6T3%<5OT1Ez4c#H7*7O>Yr5ugB`;(6ij z`tSeCegFRd?+yW-RO_MwE@r@^jU_4?;PFOwkKPa!iPwUymq5W0q9OyT5<oT|X9AJk zF)9+>Au2MR_c+gWmZ&H|$0Aiq#K0G3D1dU1;*al_Id3U~jzt39_yIkwx}*rS0tXbK z;L{d6eN;T)*Eahg$E1hhr5AmUpt%!Q4bT*;fJe831Bk(X+yQiN9s{iX0h&F@`2PRD zXJ-qznc~rS1Y|s7_WdyEjC#<`L!f(iL4|@R=vpDr_02anK(B9p(c%cYybQAZ79^bk z8sP0LQK{J9uo0#v0jvgmg)_LOf_VgSeKY7_Y#V5E$OWZ2gtUMLT!NwAKU4!Mnh+N( zYe2G;4yZi>Y5G7;&OvIAAeje_JaqFUkXO%uZ+>u5kpY<pY2UP<w{MWl1J@Pk<|!bX z2TG@%E-ES>y)h~Vpp6*{pgb)BI>Jh0A85E2e&6o>7unAL{`>asQ30)K0A)}M=&e-X zt56|lO1_xx^zT0?>J7kwUZYX~y`C9#8E&-`{Hh_)ePm*wQ#o8zJiylteFtAN^zg-Y zC(sI67ZvbnQ=qJV0z92iqvC*=d4F*Uq^R@#iz@qn{}GYu(_Nxc0h$17wg)8uaO8qZ zXGG+p-oZ>LcEN=!dgw(Uhh79Ec4I)XK{$57WiPsU0m$YBK+FpPnMWvgA=^F~Ky#uf zH+=6=0WD@mm<r*83~qrQc{2xm5f^9$9!Mo9z5_t<9f2O-)u2q$i+aEEb_e(^Qa;@^ zDg{2E+sE#`Sl|GUTF|aK(BzM+;Ym=V3Y1Af_iguzz7YX+I3B*Z;sA=`78TH?t03ni zVtEf(3@M^tf|Pc?e=*S(C89gOy_jzcjpG&-P?H*BP7Ann<lhFrR2d}I*`fkkUWz}m zTfm3jf=oe;pFLo4<iG?i-G&4v=ulixL=lR3$WbQP%myuIKsFo1hnNkDe30278kBs& zYa*z6r`Q~o6`<O+w+CE&fFccKJE$%P`4BxR6o9f+?-p=a!*YH17I6Oybc*OgJ4C90 zB#3@HlmxMW5q^0xC_#Wz%{z}?)*C_$3?7|_d^#V#*lPz#76G72i2-!L{uC8R=HH_N z5<^NBmq1EE`QI8jS%7Z;sd&+54Nn;0xj3*%@Pq-oG8rV**`fkkW=bewfEImXi#(7x za^!*dkjT3NKH3G=)x}-jLw3PqGaIzM1lep5A7b_ysM&-Q254~&HnTzE$Yz815VMbf znk&6?RAxXE21o{!FhI705(dbJ=m{enln;BisDJ_#mM}WMznEYHPY@oUVggkB*Vv#W z3DAA$u7)RlL5uPJLrREK0+147lMN&(fabhGUO<!(bHKNoBa#B>>bN5y)t&EO<XD2% zL%66ofZC`&y)`Nci1|yy10aupk`XxR-2{0DRQ|k}Zu9RyD5HV8@1TqZE-|oXH1ND9 zmeLj^jvSsKJ|sN%K;sJ3T?d&B>M&xf_aP^HfINy`_<)WWL^d15hnNjI0K}tr4*2eG zP)QDw0eKN*`##V~p}RnY;Q>$pZMKHg$qFo>$v^PKp-<<(7jLW}!l0>p=+W7rXu4_z zk0y^^QPAQykh!2s4WSiv_Z;wPa~_@dK-rcJl;4gcu8If66=)$0=*(fzO(}@D0u>Yz zAl04sUmP%p*bSO>2d_zadHXB$T0q!z<OJ~jfS}v{Kz@if2Sx5~P>S&AHI;P(Ep~Zf z1rY?*HXgmEGlD^aJLN(50}5Dym4oj2j(&OB3Ov_|m>=|Ld;?m-4Za_+F9&=-U|RzC ze!!Ek@tc#5oybS2I%=MD?zWL|22HKIbe_EY9&|YKPq{i%NAUc*3GCSKlb~xP9DAL4 z9J^&0E<fhH+<Bw<0W<QQQk`F4i-S(LX70QQxrGXJTSw==&dZ&D!D?amKXINe6@^_h z^}2-XxC=OoF}%)qZ2l<+I#=77!?7EFuJ*~x4>*rQ>_wcb4YvY(toFZ)??3|+%&?=O z48iNnN>n(&w{`H8uz`Ed9H4cb;BlSi51`Hwhi7jz%W)SK9#Hah?4D)<x*qQ$B$OC0 zcAh`@pVg&T<u6FKxkiNpbUz+waXo*_Jy2l+TXp5q`2c+N+rj6on!h?PUIvXd2!Jk- zbL`GxWdI+nFVg%=rcTQdv}P21R~zIyg^S>;DO^+p9Gj2uICs0Kg6_xzbz4P1$L#Vq zr>KbVgL-S=hPZRL2{R~iK&ERrb&D`VBB?V(MF(^@9!7wHPSDnXp86_r`2i=Wdjq~- z5ENxDDjLlxDmu*kEzF=HB~Uj6+}#6pg)Lr+g2X_VI)JXOa{zlUhm`?zN5rYli<jSm z6jgxy2O6^iRd}x5A)pKLUN!%cap}C=`L0d{>eCX$6?xA%-+=^q9J^y!87@C|;k@kA zdA#{Cf9H+w7Zg8$yL*P;R`5IS0|hqdR=jVmw?S9mxpaPP{>bRs`N8q~1;_1-F0BVk zb6s1%Ir2|E4hmD4O)dzV9&jFo+T_B@0J;?KkPGM4=BND4fBE^R9B}-0h@XGzL4|{k z9LGCPyKsCiku&_({FmQ>f66ibZHM`{opj~+?#lV$`!&vUipN|OkAWKA4xXJqK%+PX zj{Mt>f4|oHfxpEQRE@w=0BGNKg%jdVJOR)M8YpC7cjC!(x~PbN5`d2iwhQr~2_Qrj zb|an$@<l@Yogt8Y1+eon`8$17L_jN+Avfajcy_nFU}j)|T~o*3k_1}k)4dN=Qh~b1 z9I(3umYYC!ik#vEwLtcPG=aK09Ium6y5-<bBd8k=KHx|I962v`n}WKyC>E92dLk^b zfLY=MwImhQ5>S5%)cAQ}WcKg>OD#^&qGJ~oi<b(V3=GcQI^eYZ7IfVU=-$kqa-IJ= zU&9Il8AJi}nDaHHATR;lJMa%Q1W?M|{Fo7Pd{L>nWAjh>8U;wXg;IVTg=hnpAICXC zcLedbx-v5`G=FDw?EDYPW#IiH(3sQ#-SP&yXomw4laO29WI9V!ctA1f0*XnLWCzN* z9LQN2lm&S}ht`9#G7mpI2RnC*FhO!J%!epWJ%*HP!B+?{H$P+SEK$*b6>gwB@6pTq z)gH9ts7A#C)XC96t`}d-GXW1!*+32pwrKvr%-^ODS~-N0(*+#6`#?pWXZJKvvDSG4 zGUm>Bq7%B{6cjAbUN-#vz#0_==<Yo5=4csQ_o1Pk?9`m1q5uj86@JHVaINIoy$@6? zAz6xZhn8HOY%ihX0ij`(fD}e4pz}}dAYqgN3Zn#+Fsd>JhfxkBj55Gs1X?A4ycG*_ zNVbQukBWfdfo}&H!B+tI7`v#5fKO?3Y5l?90=l7+n1F;1e;@^<1Uw*Rnt$R9NC~8~ zXF^nDnp0FHKmjR3+kgx}3dj(TUfvE{NI*t_0y5yG1bE=WMTO&K0xM`SRvW0H;oIE@ zj#BvbLa5CQEGM?hbzbYdTPN?>3p%r02YzPxQ_j1Ny~Uv0MGQf=i#U8c##GAP{FDiD zqH?KC^ACA~=eC2(ZO&WZQ&;#~K}B8jCq~E4Yrdc<neGr3hwd&=+V|<61Wx;iRx$h> zcj(qE$8HlX@a!45V&_1d<jw<%H1*z44##dAA;i@fkOS8_P>*s)DF$RfXSctGo!c%4 z^S=q)|Iau-L(2frdF_xg;Nok@+=d3&N8t5%pmM;cm-VeRBx)=`BV4HEz%&DJ8b>Jy z9)cD|qm~1pQqQ5g0~8(}-4np!L7%b!YB4DBcy#XoCmw{wl$8gNqjySF5>Ub`#iy5b zs}&@?GC<*lS|+6FgTo7@Ot=GT4WWb==*BiYWx@w=(&KL_0bONHL<u`o3I<q+2B3s! zh)*wTwk0G)BS0Y<fKtke=z&8t22#pKfJ@ompw=@=h#H_ZVM+{<S{4Sdmc<KgNb`jo ze0>tU`C{;L;rIXlK@&IzFE4>dL{M5O{4LwSg3#uW=r(J_e${DE6G7WXJiDPLnohB1 zWO(WO9c8a9bn_>uRU`mzGeKHKOLhMJf4LR)P!ksw@YEwnDfmKR=wwC}Na?ztXr_W^ zVn9m4S7=B;O!WsTeF@sP*~`jq&By?rf$;2}25QhDu6ybObD_6Afx3K1GYKf|Iq<es zkR8Y_f35xR|4U}{6E(29`~XNP$6u5#EJ}Y9)b|JXH|M#4w#IeuvtR|S>m9w19()tX z=za7!@1qB8Pw2#ZA3X!>=za8~_t9qz^84ryfHnwW+(!={;78m?uc->DzF_y!gESF; zAHB62xc?2(1ncO7@1qA>(i?)fkN%Jf#2V;*^dJqO3JZQ8{U$Yx`{+StAk`}%?&y8= zG`No*R6BwO%|PSWpt*ifH3_<c9#jm1M%5xf98ie|;*8!$55BMubTv9?D9xvrl|>)Y z%*4F64>Yg@3RLiY^oGjdHKe2W(ereR7+rqE2|DGO{QKzJbs>R{c~>7wpzl$H2Rh0{ zeWUl$Ln2^k+(*Ai8x+|%uINLF?=$ky_$Ki_dQf!)tH1bLVE563Y8=q9Mxb?yu-@J; zSx7wwyN@2E6LdZiNX_Vd^rQFDGr%r?AG!C@e^v)&SKRl}PnQ7?z981Avh%l%-bW9b z*P+{e^d4%UD8hRm{YNSAsc@i04-BOO-H-(n;9I0d@1y^T^X3qOw^$7I`{>0~ASnmD zYoNCWyjIVrw+Flc5_zH0i;WWC^aDA~6_kEJI>6}%_kHxB$`nxz!|tPpRl}gQQLt*b zSPWDRL++yo=>k>3VEIdsvC3o#r2FVW1|T|;J>Vs7ozM|V&>$hw2<70ukN&I}(f83W z0x2csKKeqChSB@zRYu-@^yMPp>(D{nS<rRppxbys7h~%vfO0x9_tA%mKwI6T_tAHT zsML^rAN@BWa0GzX6M`ZDRONyqV6hw|0x<iypmm1efd${r4_S<%FRlu~BLJnFJ9;1e zz;tjy3+0HskN%ec+I{p}Wk9ixqqB<|@uT<A-|H+<8BF)l|BwPj9{8$AAM~ptPrR7N z5AOJ&+_y4%AAN`lare>Bkpx{Mz}hPb31aN`(OdI@gBazel_7l}{Vkq<#NJ209;B3% z`{?UH8i>1(J{Y8wl>6wFKpIBxqwkDSLEQC-eyMj3(p`_B%h^%Z*RrFpuVn|XuZ3)2 zLAj5<SqzjTAQz>8x?-TcBgkDb(0%mE+~D3AXx|j1HxC-G1oy^9@1yVKwG;&xEmoqC zfCTkaK>-Qcs)rnqFYa=JLlH}#7JTFz(&8b=B_pHv(L*kxAGrJI(}f{X3Od686s4e3 z1(2f@lsDKpz_AM64-Ovb0x1UPjdrxf$&hV@pyh!CuJM7K901xhfO&~Xj1kM_*PU~~ z=Lx_s5kW5+)`0hm!q?c|>V%v>K<539hasoQfRC%}Hj(cRk!QL5?((bVpP(zwojJO7 z*b!${KIMGZe3+-(MjmujC202wJ7}FZWE&!U_3ae!{!Wix-v5G-@C6+n0SaHxUM}?T zUCss$U(j|hNZA8Y3=ZGX`{)@Q>3AP~lmH~MKpO`^kp<dZgBDq%_tAgvv{6A_B~8~3 z2DaOseL7uK0zg%Mhze-Y`(!>)G=e)BSni{@WCpi@Q92o;_tA%ta38%fFQ_%ZtHKKj zX6*OTUt$CYGfGEec-%*y!SIi?`{>O<8i>1(o(H6ql>6v!|Nr~{C5iXZF9+!%@jm)u zke<=|=sCJE?~|n3ee}0EK^Y3xPl0q~P^+JlFRK6j{olL?d}1A>tpM+*jNV5N+Mm$N z+sgqio%%Q+feGoWKn^BB4$K#Zf59P%rLQu2A3gZ~dQe*$bpF+#x{rQ48zfpmT@cV2 z0GR!ilP|)5gJTv;e`WMO`U}ku*daTDAUzhOO+oNJi#IDIj6vNHP#9zOSx&zA{1Y6; zSo$oZ_t8_m&jO1uP=5p^!dO7t*?V~xF+(B@(r+2Pk6xlq4eS2S0qwY8zu6hN>*CYP zdV>)Zleq4qulx4*e{+orO4nudKKc+92kc>s^*;JC25|ErodFWi*zcnk{R$3fl+FwI z>LipAOwfV=&|dor@HkeB3W#s)q9U<^u~fXf1-uO2*hNKV1ta)4TF@~u44?r|&@?tk zhpXYYZ!RhojNpmt5~1Ue6=b00y4@`*pgHdD9u<(<PS61oogpd(oh~XRtq1s9KudBs z4}#9zaZxFOoGo17*m>+F=nzws9faU5s-PW&5+0q;AnSfsfBs9_ee_iz4aD6??+;Q+ z%6;^5APpqmNB`>6-~TU3ypMhxNKe)1ee`5>Ua;pZtoPB&`~@8j0_nbhj(<X*W&vGZ zz2_si*#Mbl0bQjF?Y@wAAN{=#e@VNKel17?are<TefayIh=FYAdEH2(*flB!@B<=D zK;ze{y>8gLjRaRhg3d4jl?_xL0EOR)44S<;_=*W>%=!!HRQ6t0@86(PFnN7`L*f(E zg961TNIgmq>iv6gWI}pSpvVNNZ2rMsM$vurobUhs2Njc`BmY3f<mi3$475%OpiUHO zN`T%+@A(sw1VFtlP!a$sL{0*rEdBB=I2Ay8TcA_`Qj8@PfR1uRyZjs^1d3wN<>w$a zXpt(Y#|f#b7~T?<1My8I<ruMCe%rYQbt(yc1P5~TD10jE6=hRNIFG*oO(hXJ4u>6{ zIYE6c)F=TR80yo@y6!t9N<f`6P?UfaqDM*C8*r3BI%l9L0V&23C7=^L(V_$-1d9?7 z8x$p=gR~)0lKcAa=za982cI%SXA1SZL-dhm3W<+iP)`mudO=+|pI+AMUm?*8>dJwl z7o-q9ddpvdqZf2gC{g#(gVuk;R*ggMqX#Yc25sB|ot_C>G4A-{?|)G15_TUwNEayf zL24+vkKW?tU&MX%AXDLP0pCXtaf|4+?+gqur)+x;aSH4{dXOfMUenFr8Q}NPFNd+= zM>B#Mi1RJb@9QpzMZYhl;K^V3ecb|a;QLY%;&9%VV)XLwe@`s;YjQmYn*zS?rGT3s zd|x-)i@*5q>t6ce?|;};%CVr^24e}{7dIgR-TfOLgKY-iS7wk1c7H=6PWKnR!0vvp zXJAvn?q47TalhMh0`7nMoMiWJNJe-6heu$W!R`-80lR+zXz&R8eP5fNV|RboQ?Myu z_g|2NxWDZg0r#tt?*0pD=<YW_a(_WO*!>66afbh=XV~3;3X%%J?q^Vhxc}Hw0`5<J zMsoOn$V7L4!9#G!fx~}77TEm{vT(ZJ2#5Q*klb&e0dYSYA@?tRO0xR}a?#zt;Q_+^ z8*;(!XUN0p{-USY!`};%i@@QZpbv4s+Y<ue|MUsT?l&kvcmId`2=`wo0J~qI5U2Y$ zJ;Cn&E=Vc_yMKZi#QklL3AkUCboU1oqr2Y#$^9RS!R~h`!Rh`_kFmS|6eJab-G9Iq z;{Icg2)IA>G0EXyP=@aQf_sSY7bpk2KcO6_`;Bn8p9{(TA6y{rXCvhPrH@E<|Ab0( z_iwn1aKAwn*!>MvINe|L2z&T@L2?l|{1tp4?st1gApD;`B-#BNYS7*P;SR$60kvTF zFQ~=o{!I_DyT1#P3c>CV2!^=7?EwMztCH^i3-##kH$ZZKK?B(R2O4m?|I-8P?mq=d zg<$tLL_yqt>^=ear#>J#{693IyT9NzBK#*bgWdn28K?V=aJZif$^9D=A?{}*<o>1i zNp`<L8@l^9+(Nj2LmSxr4DC4GUvwXP_<KQe5jgxGWI){Sc8@^#KfOn?`wcqL-T&bx z!u=OI!R}Y+!s-4^_prOa3z7=K?iVP4xWDZ#0r#tt?*4!tboUz|x&K2C*!>Q@INks0 zE_U~yf}}#Q`yI+5?mu>ifcsPLk{td8{pjv5xPb_NfeB#uCrrTUej^<2=R$ISK^?^X zY=qpu^bX1HpD+pC{Tr?$+;1=$?EZ$yINe`#2YdK?L2?l|{1>!B-0yaqK=?nsO|ttp zOhtG9hieG;2TTLIf59}I?%#A9yZgH!sSxb`3q26`x7{M(epS-le_;l?`wfuXUoaEw z{sS{{y8qKH?Cw7WNrhndGfaWF|JY3e?oYi%a`=Ckjqd(}tBCNQFbC}Z2Xk<`-w22M zxscp%FdO22HbU-SdXr@L3(QA%|As3F_ivaFc0a=cobE5Wi9P(iAh`$}{t1g9?svOE zApD=+Aldx}i_qQw;WEPg7Z!otudo=W`#0Ud?*1-FDg?WK!b*ty+pZIEzbfhO4_J!s zegh=;e^?53zr!+|?*DWhyZcW;QX$y=2R1<5f9x6o_orSbIs6M&pu4}|5+eKsR)XE1 zuo9>Hjc~Z13(5T-wnN;{M#%k3uaWHj39HfFzu_Xn{RV5m?r&Iw)BQ!)u!p}FBo~3h zUtvGQ{ccwYg#Xj4B)fmZI&}AcxPWkfz<RLz7p%wW{!Lf0yT1#P3c>CVI0kWl+Z6)t zS0&y37dE21-vG(|1)IR`Kd=d>`#)X5?*3DdR0wu|!&!*?k6k9<{?scZhyRBy=<Y8# zj|l$>Tfy#suob8Kjc~Z13(5T(u0Y(+M#%k3FO%$kfgR}X-*67${tY|8?q}GE)BQ!4 zv4_7GBo~3h|G{mD``s=P2>+*-NOr%$Zgls5IE!%qh23EHE9}AP{!N##yT1#P3c>Cd zcnooW+eHHIS0&y30sGM1Z-C_f5BtFGci4~9{huykcmF9!Dg?XV;T6RF$1V_Xf9ge& z!@uAly88>xAi`hZ5ZL_*hj6;z2#5Q*klbJJ5#oL}LhfIBfn@hjID+o}4W|+AH#iD* zf5TCn?k~E4J^Z~Oxd<Ho3w}V{?{=O*_&+^Qvimn2M|b~+QwaA5oB+Fj!3mu1-*g_k z`@0~i5bXX7{~_*gJ4e9%s-(OB!YOq38z8yA;569%2TtR3|EF`<-G2&_3c>DYU>5+- zA00bO!2PM`NDluGXVKkXa1s&z6V8F%|KJ=>_Z#7GKNphw4fr7LXCvhPrDsWYzraOw z_is3XaQ}vjVD~dz!s-5^v)IGm3zCb#;h!K1alhLc0^$Gk49V^{xPtEf562Pizi<WY zeub+z-M{G!cK3HdQX$y=6J#LnZ#zxE{i>w9Kj1pL`wfuX|KU2={SG&9y8qK@?Cw7W zNrhndA5ez4|JW%4?oT~Ua`+eALU(_`F+}(a+y=Wp;Wke98{u$27n1uwXhGc1M#%k3 zPm%2Y33t)mzu_pt{Ra2I?r*q<)BQ!Ku!p}FBo~3hU%?3Cez%hZ!vE<>lHI@I0lND? z96`80;33%k3m)Qh|E81J-QNXCg<$swSVG+2c7lNWRY`aMg~#abH$ZZK!4t6i4?Mx? z{!b^cyZ;m<6@uO0-~e&|vEu~XpL&Ai@c-}(-TeiJ5#c}KIoSOVp5t`C5f1lrA-R8p zJH-8LgxtUMILYo8c!lo%4Tli!-|!0TeumdL-CuMZd-!`nauGQEANWDs?{<tp_&+^H zvil9*qPzdYL4^A+yal^o;T=x*Z#stE{auh$2zI|f7{vW;M+vxJm2~$9d_Z@<0h0Sa zd;q)O;UiA>e>#fY{ih(Q5bS=3Scv<N9U<WU)T1Paf5B&T_ZJ*MgulQSu=^9f;B>zc z4)=2*xxXL<;(j(l?q7O@WcN?_hVK3i`w{Lp_zre|!*`tSFFJxf{JkK#2ps+kvLWtw zJ4_(_pB^UJ{TqIwyZ^&Jg!==2f!)907f$zYI*i@@U651=cK?MUi2K_P5pcgM>F&Sq z2i^S!NbWEA3wHm3zc}6h=@54JpMs=9u=^RRAnreQkbwJB50M=HAO54ezhEyS{3kH5 zGdMQyeZauZz<_=Il@Sj2b0N9kpb_GJHbU-SdXQxI3ox@Ycyxa6yw-WjrSqU?=ZhB` z_8{E9ff?+61{R#|FFJ@l{JkK#2ps+i9T4}s9Uu_?PY;mnegigi_kY-paQ_81u=^F* zak_ug0qpMYf}}#Q`zQ26+~2mJfcsTRcYgpUy88`~-2Z_S?0yF>obLa$AG`ZcK~f>u z{RgH&+<$Bz0r#iwCpr8Jc+lNnunQ6X0=!`NC-CBQzYz}ib0N9^!(52_*$BCR={}O( zKY<_J{Tp^7+;1QNc7KBaPWKn>!yf)#kX!@~e}$zG_q**S5dKg1lI;EsLg?=Qumj=# z0AaBE7YO5Y|E9gz-QNXCg<$swtbw?{Z4UwWtCH^i3!>=mH$ZZKff(5R2gGo?|I;4q z?mq=dg<$tLY=*f1*lq&uPu)Xu_<xW<cYnclMEFmT1iSx%Bu@7m;c!0}lKVI8g1DcJ zko%YJCfWT0GU)E#unpn<4KiT&Gsxm}f6;F2;qL{>Md0v%a1i2tw_OCn|LHE0-ESa| z?*0#35$?Yr4|czT0#5gD+J)WyU651=cE7+$i2K`i5^%pN>Fy6uLU+FblKVd>f!*(* zjMM#}c4Bw`DM%^=yWimg#Qn#15O9C$PLjjFKo#Bn1zQl|FQ5i?e}WoL_Z#7GKNphw z3$8=l&qm1oOLvg${s|iB?%%K(;eG>6u=^V{ak{@~2lnvyg5)A__%FBzalhMk0^$F3 zJIU_fppEYS51SC~56}U-e}N88_ix&c-ThsVR0wweg{Khrw{0WfepS-le?br3{RT+x zFVF|O{{ZN=cI@rvPusA&{}dz@g5A&X7UKS6TM4*7bsNdy|G^O5{RJBl;XlC$?EVKv zIK$rvhx@sZ+;8v&;(j(l?q9l<WcLf0qPu^?288=Jn1bEUV20EEMO(3lzZWDIfx|!H zH^lvJTL^^z(=8;s-@pRh{U6pN+<(CW?0yAHobKPW1-tvZAgK`S{s~Ni;Q6Dr%>>-9 zO1k?4tkK<XfaLxU)?oKL*x+>kr_I>ie+rTc!R|l63330iO$6MZx|!tgFR(**f5AFL z_zT#B-Jf8O)BQ#`+|PyN{tp5W_p=dl|I$q)yMKZsy8Ab*MY!L<3GDs`C!Fpt+Jrs) zy&$;=9R3Ot5cj)nBoO{jH<IlB4KC>J|F8z({s337`xm(4bpNJ}*xla+Nrhnd2gpO* z-?o8(`&CJI{{?q+_ZuL&zrX|R{sSI3-T!F=cK4rxq(ZR!8`L1~KenEL`%^cN9R44? z(A{6K8WH{zyut2&;EmJ$MmXHhh2;JXx)ArX5pw_1^(4Drzz^O18&)CQzrhdeeg=P> z?k`%8J^Z~Oxd<Ho4@@BLcUwmw{GYBP+5HBA=<ffp65;*}fnfJ51mSf5rghle-vvp9 zVD}5yK-}N9mVo<JNq2uh2)g?Xklg<v1nho?P@L}nv=+PjPeD>4*!>R95ceNjL%{v1 zYe^3Of^c;A7py>pzd!`o{Rt5`-EV}${ai@yFYto6pN)|Fm#!h%{S%_l-M?Wu!u<x( zVD~pf<8*(~8tmck1<6I=@Lv!JalhMY0^$F3HOcPZ5R2~q56ckl4~PT1e?c5h_itK_ z-ThsVR0wweg$RiI+g1^9zbfhOzmS0Legh=;7bJq+e;^U3`#-J1?*3DdR0wuILjuJ8 z$5s+>f9fid!~a7vy88>3BEo+{3fTP*QgFK82#5Q*klb&O4skylA@?s`NwWI|($U?& zVF|+h8`8n<XUM?m{-TxG!`};%i@@QZkOy(U+X@2V|8xb(?l;IncmIdQ2=`ye0=r)! z8>jm>t-$X7E=Vc_yMIC{#Qkl{3AkUCboU43qPyP!$^9R4!R~j+!|DD{%dxxv6eJab z-G874;{IdH2)I9WImzK)P=N0Kf<=h%7bpa~KcNt(`;Bn8p9{(TADSWVXCvhPrOQZm z|Ab<6_itE;aKAwb*!>M9INe{g414%{L2?l|{1v((?sr>CApD;$CE5KO%Fx~aVFAMZ z0p(!#FDS?9{!L4<yT1#P3c>CVm;`Zu+Y$oqS0&y37b?-+Z-C_ff-1244^-iF|EDF` z-G2&_3c>Dgm<e(JvBd=3pSpzP@c&SQ?*4-Li143K3wHm5TAc1T!r^`{B=>Jv0C7JX zA@?s`OtSk08qnRpVIIQ$8ydjwXK2Lf{-VX$!`};%i@@RkU^&G7Zi@(n|I<YzyWgN0 z-TfctBHVwW8SH+A7M$+iv<SQVyCA6$?0$ju5cjt&B;bBk(%m1>hVFg?B=>)41H0b= zbRi6m@y~_W-G2&_3c>Do*amU`u>}O&pSqCb@Gs~@cYnbgMEDDIf!&|bg){t(aJZif z$^8X;A?{}*<o=}#NOu2(9(4C_n2m71K`+?-4ZS$sU$g*w_<KQe5jgx89D%ssZ9akU ze>$IJ_iyM&cmIc32=@m}0K0#|1f1^QG#|VByCA6$?EVX<A?|ORN5K86q`UvZBy{&1 zAi2L_GT8kGCgXJfr+L`je+rTc!R}|c3~~RlxdhywI*;V=|1cHZ{RJ}-;Xh#-*!>Tt z;dH+d4)=2*x!>Rx#Qki9+`n`#$?g}JiSGUlGZ60IFca*4hFLh>Uo;nc_<KQe5jgx4 z9zxvjHitm?Kb=Fe`wixxyZ^&<g!?bd0lQyeE>8DvnuFc_U651=cK?JI5cjvuCg6Tm z(%m00AKm>1NbdhIAMAdI1vuURX*PEEpMs=9u=@|Zhq(XPECTLNolSE17c4?|f59|F z_zNrsyFXzuPWKz(a6cE4`#*exxSx%X`<Kol+5HoiqPu^?RD}BtmVw>hunedBi)LXD ze=kTb0*AlCKZyI?W)cYhr!z@*|ArOl?*A|a;r@V?VD~RriPQa?W@2}L7bF#e-5<az z1eyPuLBRd0q`UvZYIOG-Ai2L_4cPq$*5Gviry1DYe+rTc!R~M1fw=$JbOP>Aok4Q= ze^`g^{({Mf@Sm_A?EVMqak}3Khx@sZ+`mB>;(j(l?q52cWcLefLU;d$NeK6E*aUVz z!)BcBFPe@${JkK#2ps+oq#*8hn?@k~pH3s${RUgn-Tz@C!u=Pvg59sM4X67zO~dZ~ zE=Vc_yI(*F;{LX&1l+Gmy88ompu67y$^9R8fZgw~6Q}z>O~vm1Q;<{$cE5ur#Qn#n z5O9C$RFcELU^lw^3nn1KUtkZ|{Rw+;y59(g`?-+ZUtj=nKN}(UFP%cN`zP!}cmIZd zg!>KlgWcb-AE*0^reF_$FGwx|hyMa|i2L0p6A1sOlSy{}hJ)zt|ImkUf50KI`xhL- z>HbZVvAe$uk_y4@zhDP(f7>Ji?pGz<{TGg)yWarG{RKzC?muu8r~5xm!tVZ4kW>hE zKZ6^@{l_K}aDVC~lEeSQadh_=^diE4!U?eZADqDHej^<2=R$J7fiJ}UY=qpubRx;_ z7dVaX{tZ0{_is22c0a=zobE50h&}whAh`$}{s|!v_q$CX5dKdmknDbgbLj5>(2a2a zg>zu{E1bva{!J6GyT1#P3c>E55DjsETR#E!tCH^ifQ#tvH$Za#hl^nMJ6yu){!jhb z-G2&_3c>C_kOXo6u|5LsPwgi;{0pw2yT70d5&i;K!R}AEiqri@INZ;L<o*wt5cjhY za{tmklHEVyI=cHebRyhua0BfAh8sBDU(|;^{JkK#2ps+jg%J0<^%4mGr@bV*f5R<w z_kZX>xIf@F*!>G`<8=R~UhMAgf}}#Q`vWQ<?r-ZM;C@xo-GAXOy88`~++T1H?EVAy zaJv6f4|eyTf}}#Q`y1*Z?myN|!2PK`B!~Zp2k7oEXh($qgoj}FKX{1K{YE(4&xPdv z4Q&wjvk`Lt(r%L7FYpB2{Ttd4?%(hP?0$x)INe{=jXnImAh`$}{ttQ~?sw}V5dKfQ zNOr%$b9DEAXhpdH!gH|u6<*+U|E4bN?(c%6La_S<rb67`)=9wqs-(L=;1#<24UpXb z;T72Z4zF>#|5GP+_n(5KLa_TC=0Mzktb>62Q#(lx|AM#Z?k{LTgulQ$u=^9<;dH+d z4)=2*xxZjB#Qki9+`qJgWcN?_fbRYc%?S4!d<46{;UiA>7j<9{e=kTb0*C*CRS@^P zwG#;cr|l%Wf5T^V_kU<YxIf?v*!>H>;B^0{cI@u&f}}#Q`!8&SxWBE9fcsTRcmIWN z=<YW_a(}^hu=@{u$Lao0ZP?v^3X%%J?q}EuasRPa0`5<3BRTv({6u$uK_epkC;S4t z|G_Vu?l;2Wel8^U8ytYRpN)|Fm$s7Zeu2N}?%&XWaQ}wCVD~fp!|DE_R_x*L1<6I= z@J~1nalcy&f$)FYLbCe}7&y@OFMp^<xc>qJ2WbDb0wV{m{nwjXu)Dttk_y4@pKuQ1 z{<dZU?pGz<{Q=DA?l(Yk{|9EU`yE(ty8lx%cK4rxq(ZR!4_t+~|5y_N_op_K9R3At z=<YA5LxjHoJJ|gR>^R+Tgv0$@Nbdh|2jYG<LhfJMM6&xQaH6|^LoLGn23%nGH*n!} ze^C?m@b`k`B5?RCJb}31t&u?ZKW!x0{Tq1D-T$Em;r;+#u=^MA;&lI}M(pnIf}}#Q z`vYD>+~3wf!2PPEyZ-_|y88`~++QF7cK-nZobLbBfZhG4AgK`S{)SHw_aCb#;QrJG zlEeRl5W4#dsuAHoK^W}*2f{erZ-m4BTuAQU@Dt*GHbU-ST2He31;o(Zzo81@{taSa z_cMs&bbnDj_VD+D<RWnRKVT3B&mXzf5eWaMbtJprKoZ^kA1V><zaR;Azk(D__iw7h z?*1-FDg?V<fCJ+Gwps%2S0&y30W#?BH$Za#2N|&Y9b|F3|5Gh?_n(5KLa_TC_#y5; zRztx3skJ1Be}O!@`wJ=%;V+;7c7K8bPWKz(a6cE4`wPS%?q?(9{-re}yMKZby8Abj zBiwJG40eBmGEVmw)nE^QFGwx|hyMaui2L2D355UCYLeZ*K^5KoAIcE!4^RWUe}NiK z_iw7k?*1-FDg?X#f(pd_ZB+!^uS&Z6FKD2<-vG(|1)5;@AJD|<{!dld-G2&_3c>DY z(1E!BSS11Xr&f_1{vWi_-Ct0O2>%H>VD~@J!RdY@9PZ~ra=(Ew#Qki9+`qJvWcLf` zqq~1Y3Bvsw^ug|DFu>{lqDt)H?*++4;P6kdg1FzUf<X8`tsvR`21e-a|4@u@{{<tk z`xT6Fx_?szcK3HdQX$y=6C5G#Z!0I@epS-lA7F~^egh=;e=r5R-@y#0`#+UqcmF9! zDg?X#fCt3=$I1w}Kee3X@Gr1HcYi?<BK!p`!R}A6#OZz`9PZ~ra{mW^i2K<HxqoRH z$?l(Ejqd&pg$VZ>*nr*NV1v{BMP=B--wTq9z~QeD4spL*DS_~RT1v9}H`t-O|3d-7 z{Q>r1_b;%=>HbZn*xla+Nrhnd2gE_#-&R7v{i>w9|AHgB`wfuXU*H6G{{bhQ?*CMR z-TkK^sSxb`hE$0Aj};See`*QI;s3z}-Tejmi144_3U>bkSDfxQ!r^`{B=>K~fw-TI zko%Vwlk9#04|Ml$$V0e)g9q6C44ydMUsQ}e{JkK#2ps+oiXrZID<Tm7Pm4%)zkxTp z`#<C&+<(Cv?0y9wobKOLgx&pJkW>hEzd$v_{cVK=+^<Ty`vd&Y-EV;8{ttd&_dEFG zbpNM9?Cw7WNrhndJ2XMuf2@Fj`%?=^4*!BcboUqJAi`fD2<-lZAe`<u!r^`{B=;9| zLfp?r$o)$TNOu2(5Onu%$VRx|AQbHWhESaDFDk$u{$7w=1P=cN6Cmz)%O?>2PxDE3 z|AugM_kYMjxIZ8Q?EVE2INiS~AG`azAgK`S{tMF~?r+N@;C@xo-G3np-Tekg?k|W2 zyZ=BmPWOMx!|wi5kW>hEKf`>8`;X-kaDQqZ$>IMY7Tx^?nTYV85C?YugE*Y-H^Sk5 zE+qFGEQ7e8jgb47=927wfkbroZ^%Hne?ubJ{R~Ms-CvZ8J^Z~Oxd<Ho32PzlcgrCV z{!epAcE3Riy8Az*Biw%>1?+x>RGjYLl!M*<U651=cK?Je5cjub6L7yO>Fy6mM|Zyg zlKVfTgWd0tfz$n;va!4W6eJab-G5*=#Qn#z2)I8ro8<5>$U=92K^h|b1+u~JPsqmU zej^<2=R$J-heHtevk`Lt(kznQKOq<0{Tosd?l;H-yT2h1r~8Ytu!p}FBo~3hU*QzQ z{cf2A!vAR|$?o4!fbRYeDG2um6oTEqpb)3~H)UdXe-|Vbg54i*5#s)~3<B;~CEfiO ziqYL~faLyy60rLZl;Cv#rwr`wKLtsJVD~rNfVlryIsx~mW{@2IAIi|(UyzIl{|V(_ z_dh7d>3$;|?&m^s|AzYz_p=dl|I&1l-7ipu?*0u)2={NO0=u7~8mIe<(y@oX7bF*f z!~elEi2L2r2!#LBG?LwKP>b&V4~Yo(U#JDUU!e}C`!}UwcYhZo6@uL_@DAeswp0S{ zS0&y30S)NxH$Za#hX%0w9U5`E|5GY<_n(5KLa_TCzCzr8EQNskQ&UL}|AJ<8_ZK7} z!e5{T?EZunobETm;eIY8_ZR$uxSx%X`<JGW?EVRD=<eSTk8r<1JJ|gV?Ks_El!86{ zy&$;=9R3TKMIiHE$ppgxX)?*~-_VKf{ts~o_Xl)=-M^p<r~5Z0V|RZSBo%_)e}N0) z{<b6n?pGz<{TF)B-EV;8{(@ex`w#TubpNL$?Cw7WNrhndGYCQ4e=L!J`%{xh4*w7R z=<Y9wMTGx^31Ig>n1Iv$MmXHhh2(w%Nr?N|2)Tc0BFXL-n2hfJ4KWD!Z<q{rKf@H9 z?k`Hj9{ygCTm%mP1O<ru-4Y0d|I-AL-ES}r-Tfb;5$?Y*4eWk}={ViLDFM6tyCA6$ z?EVSr5cjvm6L7yO>Fy7hiSB*_B=>)q33k83ES&ED6p!8gry!{i?EV9K5ceO8BjEnj zc#^}vU=F(b3!)I=FEAJE{)D+W-EV}${ai@y|6mGnKN}(UFO4JF{S)S+yMIF@!u<vd z!0vBYfYbd&aoEG(3zCb#;jdr|alczEf$)DCOS1bnEJAnxhX{oG0~UkbzhE&=_iu{D z?*1-FDg?Vfzy;#|wip8LS0&y37nY*C-vG(|1<SzhKd=m^`#;5CcmF9!Dg?W~!5iZK zW6=cMpBh7Q_<vY|?*4*sMEFlw33mU3l{npRgv0$@NbcVd1aUtbA@?thCfWT0YtY@l zAq?UE4Qs&eXIP8V{YBB(!`};%i@@RkAQIw!w<rSP|1^qZ_ZzH7cmIb_g!?b72fJTk z15WpEio)*xE=Vc_yI&v?;{LWs0`6BO-TeWZ(A{r<<o*wv!0vb0jMM#}BC)&w6eJab z-S3bAasROh0`5<ZBsu&GwxYYgAOsQq0^7juPuPaj{YE(4&xPdvf_#Yk*$BCRX#~mc zpRfbn{TqT2?l;&8c7MZ8obE4*z#jfykX!@~{{>|b_q&A?2>++yB)fmZZgls52tv3& zU=P^+3-;i2|E6&4?(c%6La_TU)I!|f7DmARs-(OB!aj8O8z8yAU_aRX2lnH1|EDnQ z?mq=dg<$tHv_jl}ER=xzQ^QCO{|^Vz-Cq!h2>%I(!0vx=2&emvaJZif$^8aB5cjhY za{tm$lHD(G6y5zB0ub)sa1`u*hGRJ0UlfWx{JkK#2ps+ilOgVR3n38xPeVv{zrhJ~ z_kZw5xc|Zlu=^EG;&lI}5bW;nf}}#Q`zOqTxW6r!fcsTRcYnZXboUz|x&Omyu=^d( z;B^0|VC?Qc1xbZq_a9gYasRO(0`5-@COP~I&Y`=%zz-4r0_VZ*PdJa${YE(4&xPdv z4=W(<XCvhPr9mXSf5Js{_iyk;xZmIs*!>NcaJs)J2z&T@L2?l|{1rAp-0v1hApD;O zlI;EsSJ2)6!3W{~fU98lFSv@+{hI=@yT1#P3c>CV*bZ@jTL1y~tCH^i3)j)zZ-C_f zf*WA>AGm?j{htD`yZ;m<6@uO0un*$?WBvr(pBg}N_<y*C?*0OAMEFm*4R-&7+c@2C zgv0$@NbcWo6ykn1LhfJcPqO<3?xDMXgBQa68}5PK&u|~7`-}Xshrbsj7lFh7!5N7A z-TVlI|5HDb-EZ&^-Tfat5$?b65bS=1M>yTT$q&2xyCA6$?0$hO5cjwF5^%pN>Fy7B zg6@6;B=>)K0(QT{Q=IPq<cr<?ry!{i?0$#a5cePRA>jU0Uy{SW;5oYc3p^0vFYp5F z{)87e-EV}${ai@yFL(rTKN}(UFZChW{S#iHyMKc_!u<xX!R~K(jnn-_KG?(G3zCb# z;lJP|#QkpG1j7HRH_7hb@D|<uAKVb`4|oT5|AKco-M`5jyZgH!sSxb`3m+ivZ}TGH zepS-lf8hhV`wfuXU+@v^{sSLzy8n|GcK4rxq(ZR!8Gb<Af6SAB`%}G04*w6I(cNF* ziU|J+U%>8v@CB#)jc~Z13(5Tk{~_*YBjo<2o+P_p;5)keH@G0&zu`OB{R}^Fy1&R1 zd-!`nauGQE6WBx{^IskW!vCoU$?iA!h3@_j&ItEk_yu;q!f%}J-{gVa{auh$2zLJj zUWohK+zGf}m2~$9{6%-a0h0Sa`~|z;;U7--e{#p}{!@@t2zLJg5s3Sbxe;)GsyoTy zU%<eLw*R`o2@(DRjGUnT*9nZAxb|Ng;c!0}lKVeML)_0s$o)&*NOu1OW_0&&a74J@ zfCcRS1{R#|FLJ{k{$7w=1P*@%Wr+LTTnU8#Q&*DRzkv<i{U00<?hjxGyMF;YPWNwe z#qRztNGb%oKR^rO{x%l^?pGz<{TDdV-EV;8{sJzr`wwv8bpIz8?Cw7WNrhndHyA?P zf6SSH`%_&=4*w54=<YADM}+?bUa<Qg@Zxm85f1lrA-R8p1;qVqgxtT>nPm412%x)v zgB`;C8w9}aXAs2c{vv1W;qL{>Md0v%U=MM>n-hWXf9gcC`wfKA-T%QB;r<K4VD~GC z;B@~cC+zO;f}}#Q`vu$~?r(D>;C@xo-5(%^?tTL#_kR!ryWc?^r~5xSVt4;3NGb%o z-@y;!{$maV+@I=5a`+cWqPxGq1`+-OQegKdNa1w95f1lrA-TUG6ykn1LhfJcK(hNM z$e_D_gEhka2C`uHH^}02e~|<B@b`k`B5?RGh=I7@&7MH`KeZ>>{Tt-b-T%P~;r;*x zu=^J%;B@~cd+hG-f}}#Q`!6Iz+}~zL!2PPEyZ?d`y88`~++Uy!cK-ooobLZ*hu!_B zAgK`Seuiv_`;XZYaDS>D$>IM&72W*>mWc45paypT12vrPH^Sk5E+qFG6hYk2M#%k3 zZAo^&fF`>8H&`Itzd;l1eg-X^?k}>%9{ygCTm%mPgi46}-E0Vi|5F>1-EW|S?*0$v z2=`ym0lQy87pMC-*<g2n7bF#e-9MoL;{G;k0`6BO-TeXj=<YW_a{mW?u=^bhaJv7K zHFo!(f}}#Q`wz53+<(l9fcsOeNe=%4BXsu{m?6Snz!>cQ1Y?};H^Sk5E+qGV=!3YQ zjgb47T9NGj38v`o-(ZSxzkwOp{S9U~-CtydJ^Z~Oxd<Ho3ezC&ce5lA{!cAQcK-$o zboYNSLAXD_672p3mN?zN$r8K!yCA6$?EZkc5cjuP5OBXL>F&Q^jqZK}B=;BCfZcz< z2B-T!SzvenDM%^=yT4%x#Qn$23AjJig5>c3V2AGh0%Jt@Pp}8O|A9SD_Z#7GKNphw zH>`%ZpN)|FmztC8egP+R_ir#lxPOBa*!>L7INe`ljy?RnAh`$}{tq@m-0x;aApD=2 zk?ej0S9JG(Fhscjf-Bhl3T`;vzsU@{`@0~i5bS<|T@d%TnG$fnD(UVI@IZIJ0h0Sa zc!1sS;EB`ypG>j4{}dz@g5B?M5aRx0CIsA{YD#kW7kHz)zrX+y{sKN=_b2$^biWY} z_j4h+zu*MK{cMEXztn_e_fPOccmD=`g!>Kr!R~MH$Lane6YSyd1<6I=@LzBq;(j+{ z0^$GEm}K{F2t;@P2R(%Q1A@TrUl4@T{hN%jyT1#P3c>Eba1G-AHX{P=S0&y37edh8 zZ-C_ff>5yg4}{`$|0g5t?mq=dg<$tH+=ICPm>~i8ry7wQ{vX28-Cv-K2>%HYVD~?W z!0CP?9PZ~ra=*b-i2K<Hxqqo4$?g}3MtA=P9fbQgM1$SW5QEeGMTXeJ-wTq9z~P_p z2I77<0|Mdy)PQ978^ocz|ARKd{TJfE?pKJ%>HbXy*xla+NrhndPxuURf15r5_p6fb z{(wYu_ZuL&|3f0!{SHYu-Tz4+yZcW;QX$y=2Yx}^e@u^n`&0Ev4*!A_boUo%A;MoE z73}_mRGjWN!r^`{B=>({6a&v6u@Q3rQazI0KOr66{Tnn9?l;H)yT2g=r~8Zau!p}F zBo~3hUx5?iem7kL;r~>ZWcP2#LU;cM4TSpxvcc|Okd4#*n{=_ezYCHI!R`+bfVjU+ zhk*N4Nq7H+Ty*yvAi2LF5A6N}c{ttwNe8?8PeD>4*!>OS5ceO`CgA>59g@TULjk(` z3)B(eKcNup{s)CP-EV}${ai@y-yjEZKN}(UFV!a5{Q@QE?%$w>aQ}u9u=^QGak{@q z8+-VBL2?l|{2!=7-0!AEApD<dk?ekha&-59P(`@^LOIy|3Kclrzex+b`@0~i5bS;d zU5NYJGzqw0m2~$9RH3`y0LlFys=)4dsK)93Pny`>e+rTc!R~i3fw=#e1_Ae{YLXoO z1-0nzFHk{*zd#+>{RwqA-EV}${ai@yFR+HVpN)|Fmuisg{s|4}?%$w{aKAw#*!>NS zINe{Qfj#`aAh`$}{tKKS?sros5dKfqNp}B+W_0&|P(rvrpatyy1uZz;zeydt`@0~i z5bXX7o)Gu9sS$9$D(UXO(1z}Q10?qsw1eG$pdF|CKdE7N|0zf+1iPOh5aRx0ss!Ah zsz!47E9^&ie}N(*{15C0yI<e{PWKz(a6cE4`%laghqQkPxqqoD$?kVJgzo+g3JCW< zI0SaT!C{>4FH*%G{$7w=1P=cn3nA`zQy~!kPgO{Ef5K68_kWN_xS!z|*!=;=aJqk! z3U>E*K~f>u{TeGF?r&2j;C@xo-QREm-Tekg?pHVoc7MT1obLamjNSdGAgK`S{)i0_ z_a9Rt;Qmx)lEZ(&X>|7&$RWbt;SAXQ6VBjtzYz}ib0N9EV>`tCY=qpuREcEwA2^5Z z{tdDS_a~eOyMM!ZobE4D!XEx!kX!@~{~h}v?srop5dKdUNp}B(i|FqEAcJs!!zHl$ zFI>Xu{!NP5-QNXCg<$u;I0|upn*ss%tCH@1hO6lAH$Za#f~#Qnf4GX%{ht)DyZ;m< z6@uL_aTenKWAX&tpQ=D|_$%B%cYlF2BK!~B0J~q{CQkPo;c!0}lKVZbK-|wp$o)&@ zNp`=(ZFKi<kV3fs!ELbn4esD{e~~=)@b`k`B5?Ru+=jT{O^!hLKb0fd{R#Kb-Ty%n z;eLkuVD|^y$Lan}a@gJ91xbZq_pf*aaetdE0r#tt?*4{{=<YW_a=*eOu=@)h;dK8e zS?umV1xbZq_uqI4asM$H0`5<hB{}>TJVAGVfdnG_9iD>SKjA4(_Z#7GKNphwIX*(% z&qm1oOJzuQ|AFV|?%yDeaDT!Ju=_W>!0G-X8SLTj1<6I=@VEE@ale~1f$)DSO|ttR zyh3;X2Qh^E8(xFmf8jMw_ivKM?*1-FDg?Vf<3GgxZBhi>uS&Z68Q!70-vG(|3*Ld< z|KS}@_kWVY?*3DdR0wwe3^oaH`}vq80r#g$ksSUCAJN@kAc_e810TWe7x;wJ{YE(4 z&xPdv6TA@jvk`LtQc05C@9+iP{ToCO?tkzF?0$o<INe_)i9P(iAh`$}{y#(@?st<Q z5dKdkNOph1cXaoE5JtG4;Ro3L0Y7lMf0G1u_jf^3A=v#IG7$H-i4$<YD(UWT_=WC% z10?q={06(f;5Sb9e-g*;{!@@t2zGyjGQ|DI#0a=QRh;DTU+@>*{RKjZ@OStJcK?Kb zINfiA!~I-H?(fioxSx%X`<IH5?EV7`Txk0jHV7izpTNik+P|=Ykqg)Ug(5NR;qL{> zMd0w?VF+=*n<#<se=16{`yVi)yZ?g#!u<^_VE12O!Rh`@qS)Qv1xbZq_rI`!xW7$= zfcsTRcRvF=y88`~+`oVw?EVkzINkqA1iSlBK~f>u{Spok_a74`;Qmw*lEYtt3*G$% z{D|;Bzy)@{05?we8{u$27n1uu+#&8~Bjo<2!X&%jffwEV8~70Jf4~cNzX2ak_ZJCc z4}UL6E&_*tg&)NIZbAgY|EUnk?oSXvcmD@og!>r;!R`+b#OeM`LfGBk1xbZq_pb<r zxW7%1fcsTRcYlL0y88`~+^--4c7K5gPWOKj#P0r6kW>hE|BV=k`;Q3_aDS>G$>G01 z4Bh<&Jc#gj5C^+|f;dk18{u$27n1uqQXuYUBjo<20wlZtfF!#6H*h1|pCAQx{{|_X z?k^I+9{ygCTm%k(i)@Jd-S`QF|5JXF-Ty!a-Tfc95bke~1-t)(EKc`t;>Yg(E=Vc_ zyFa4{;{G;10`6BO-Te#-=<YW_a{mGau=_tK;B@~dKJ4y41xbZq_s^(=xc?Y00r#i! zksSUC%INMd;6#M~0cEiJ1ypdl-w22Mxscp{q5<N5HbU-S%1g5Q9n{d>zkvhc{s(Gc z_Zz6=bbk>q_VD+D<RWnR|7eG}-;IYr_&?<#+5HKc=<ff(j&MJN7TEm(S~%Umi3hv; zyCA6$?0$`Yi2K{P3AkUCboV#tpu67y$^8ntVD}g3;&lHfZtU(q1xbZq_eV^Fxc?Xz z0r#hJ;|Tv?kIv5x`>(SyFffz|dhB1u$iTqEz`$Vm?L`vX-~Zjs4!R5s%@6+hbT<cR zGB7xHb2L9><UH8f4HEBec7ez`c6+cu#X%w-y}LDJ7#KWyH*4rJFc@AkJozG(?eBk& z-rW+q3=AIKn?XkHVq{=o*a;$DcyNIwKS+c1Fz7NcK&=C7)q-gKpo7rL1lP(7(Ygbo z^@a|r*7vMngHIr7Wrl0L#0i#cfN0%-rgaNM>jEULEO4#UAzD2kT079RRzkE^AZY~! zFT}4g5Um0btqEva?I2nMkhHSFZB>M5wU7q~lLeYqW{6e|B(3alt<N~Xer14Y6+qK^ zf&~=Q3_7SW?ZF6*QjkP<GYdr8vD<?cDh?9y=-qun4(y&6+R&)3VgcKALmLraoN$N4 zLL4#yqUV4%YJAy4v~EDs$_3Y|1koA+(K-W7D+@$x2a;B9xYp<FU?(X+v=*RgJ;@A~ zO+eBL$^wx1S_9GgLKf^v4>YZP5UmzST6y8N7DBXcfM``f(;5uXDuASw53bb=qO}5| z^@kQ}FiAkPzR*I1Fh5AE00RTVi{Fs!@`DBJ;0wrFcY;*CxWxqa?g1p-@*v&dthg7V z+W}(n3S`}eCtu8G0wt6gT4)IcRwjTXx|`=fq#e6Gc%TUdB;wJ#n*(BKgBCQQ1VS8A zfaDNGxI;`J4!Hm+M<UQ1A_mdwfuvOluJtD*C(M9oH9*sPn-T1N1thJ?aIO1T|Ne)T zJs!Qg6CfsVKph<Yaz5kV{}WI_FlzaEj1^vfDlvl1k^mQ*49yQ1JDVN!Kt-qn3z*}g z2a2KH28tkRvxXitRIJgoF?BXefVFwBTzmmxUwqm8kg>B{24cVu1+W1OFav%upxVpQ z+5ABlWUmLy!52&r&cT;VU?aYO%H!VM2S7$N9=-uBtvx#LMZet40QRe6Sg=R)8xN1p z&z_zCJoZ~KFff2hU&C)NoEZN8_vm&u==QegV&Uj;QE~9-eB{&l{Y57G-~S!WKNuMp ze0qyDJQ@!_U<COMoSz(bbO<vr_;h~v=)Cu$fa&l5T_FBW5CIYNXg=Z*?HKpc1sc?j z;UE`ue)iaJiLm<k|3CkaH7{UdU|{m;El%+1-QB?ix5Cx%fKTUlpU!_T1epFpl`$J$ zf?99k1-2d}{gDwS%><WTaStXP?HK16|MD!<8SqF4xdtgbY!Hqz`v2#@M|blH1_p-i z?h6bI45*<J%mxY-4`xuPY(Buiz~Iq%*nk=CYR4T5+8AL06VCYe|1J=JCx}1|7;Q+r zz#Ie$AIFg309XXsBOJ8w-=F`U-EDu)NHTbK_pzLnWbo+h{=v+^;L+Lq0ql<5GZ;Y5 zS$qTH4p8|44!!?AozGreV)zTv&T|&5T?9kB;ia7*4URi9<k%Q|I>CD8LG;K#^r+z0 zvqGB_rY8%cM+c(E1h*ayDF>JyGl(7=h#nW*dNS0VVS1P#dVC;yLU8L@Vd4hUbM8Ml z2xB06QgG{e;php|GYg_82coA0w;m0DADEsrh@KjVo)+ACGGhE;dO+#Tv%9SaqGt+j zJu5PUV0!*RlEfT{o+Y^TyeJEW>hWkk!V&El1I`Wm|NZ&@nP2W#ut(?bovuuvaz|AA z4~Q~-Bgw$<A|8|ldUscB;$nCa{O=E_#kT>(cK`S1fAnFHPLJj{93H)<`#`D#K`NS$ zaDXL1Mtbya-*KIb!J~J-$8j!(EXFKG$DIre3=EDtKqQ#C3&eU64z_1=!EKP@-3|B^ zYk?FuA5rk=-Mrx@Si=s81_4KKg$OkrR5wCQ{|Rze@8$`&z=}7V!RwSWAjJ^VFWdlY zc!6KTY><ZD%?S|23TN?}o(ED4G2H>;_6YnMY(W}&H#0y&W&(c20wBc@(-k0|J%L}t z{l9<ydo&*rfW##v%1l3rGcdeJ1qE{F{TGJ+{{IKZ){D#VI1dHYARL{)JvzUF;;;c6 z${xL@ArhdJV+*2QT>bUuzenf0&igO4L3Z@o9s!wpA0*mq`vAml{`&`NvgNNo|3ibb z7y^(}het1O#{d8SJ$gl_{smE{Az;e(3`lP}$eiXQ3j0$)Eu@{lU?$~*>;k3lSa2Ey zCr(g;1SPUjJQ@O{Aut*OqaiRF0;3@?8UmvsKvW1Yf`<p7O0#}AfLR@f!Sn(cpWy?j zo65l8(C;t}w8}#K@Bd3;fB$od|NSo@{`Ws<Bnqq#iGYb^JOvHwF)&CxgU}VvA+*K| z2z>)ecf5q~EnY$B7f^b}YY5-t4TSyyrB}R#@FU(qXpZ*~dIyxw_yFNoe1gyuzCdV) zuMqkJlwR-+!VmZkp&5Qa=nYUh;U|PI@C!m8fYKeYpwT}DhK6PcJ!2t+_E-d=9Zo=K z16$CDC<8-+D})wshtLLI5PCu=g#Hi<p&!IU=zt^$J);0ZGZaDS4<!)#MFoUL3UV+L z7VcmU0|NtSBpbx`5AgMOaaHilD<~~da19Fb4^psF2+2rQfJj6eTN%VEs3s|t<SV!Y z2bfs68Y*Pw6_+IDC8sK=<|<?sD`e)CCFW$NC?u9BsOlM~DdZLFDL@97K<5940d@w4 z|LhD5LLfl~1_nte4RZ%*JRc+ku@>r{@Wi6L%)E3|7a0=mqVkN?JcZI?kO_$;B}JJ@ zr6sAw3ZcQS@xGxxAqut%Aj&hqClbZ&0XeCO#i<I#sU--dCHV@enI##iMGAiYey$4n zMG7vFevZDL&Uzp>gC-O}v>=FJU|;}^*Mq_vhFyZ370TgWadr#}igb1icJ}mBNKVXC z$j{5ER7gryC@oG+QOL|wD9K1wNG>fZO3f?59^`3YB?U#PWtsV<#RxUU3I(Y}Y57ID zU=J≪<mCf}_>Z#RcT#;83TKAV=pAh5UllqQsKS{5&0yFjo7D6_WGw(lXOaix7_T zb#zupttd&&OHs%#$jwhlRWMgiGBhwSG%zqg4nYPi{`K?=cJ%?J7ErL3<SQ5$8Yq-! zmSjMa891~{@)dGRb4oG`ax#;_&Qs8+sHiY7G%(cEQwYfbCADIO#5{$}oSfA3#2f{% z6B6@M6mk<Q6^b+R%N0^m%Q7K4lTu5{Q&aO4iZgRdb09)Rsl}x^B_I=06fzQvQpyvH zQWcU?GZM=(^NaKl!HAT)k&-kSo(ghxhxy9@>Vf3M(&E%&h0?t2y!`S!g|ft)($r#w z#I%ysB88$<P}t-rCzlo#>nV7afJ3V^Jw3I!BsB$;|4NHtxe{cut%9KfIDsc87AGgB zfZ{wSu_!%NAu%N-6=VXo?27Cm28LD43=9p-3=E**KTy(z<xL3?hk=1X3QEgBX$>e1 zt^^nu7y_XDASfLHrK6y943tiQ(n(Mn)O!ap3qS+|149v%E``$NP`Uz2S3&6-DBS?1 zCqU_`P<lF)291V-m@`2H0|UcsD7^wouY}U8q4YW^4I0e_F+qdAAo?nZU|?Xl4yA8E z>6=jcHk5t<r5{4+$58qSl>Pvvze4HnQ2Ha3{tTsmK<S@Q`Zttj02L&l@n9$|0HuYX zv;>rvg3_{3S{_PkKxthltq-LgptKW|4uH}TP&yV$$3y7^D4hhQQ=xP^lrDhMrBJ#Y zN>@PXS}0u)r5m7h6O?X&(i5QcBq%)<N>7K<GobV=C_M*CuYl6)p!5MKeF#b)fzlVC z^i?Q*9ZKJT(x7<`P|!bu@;^Xn&{POW+zB*u!oa}L0Hr5D>8Vh9I+UIPrDsCv*-&}~ zlwJv?S3~J_Q2GFrz5u1KLh0*J`UaG~38imC=?75yA(Va$r9VLFuTc6sl>P{%KSSvs zQ2Hm7{tcxWpp~-#l$L<fvQSzcN^3xAT_~*&r5&Jj0F;h^(y>rF9!e)b=~O744y6mA zbSacBhtd^Lx)w^;Luu6d2h^B>SN{s&+810KfeO+5Jgnt@W-+YbR!xBw#YL%wrJ11G zuq0n0Be5(M&7>qqi=iZ6!4Ond=O&gU!z#BRS9cvy#hzc3l3E0kOwB7UElO1=$w({# zSLH~R6sS(iQ7F&M$x(n90jixbYAcXwi8(n6pc)}Lu{gC@kAYyj34bFF+PZ<Y<?@Tb z4nqVMNOu}oG_gdXxF9t-Gc7Y2R(XSJW-N_BaFq>f_kil?q*R5J(o|3gBr4=)7DGG; zZSg?d4~i2|jgGJwECOr1fnud3GcO%pKNh7X=jZ08=B0pYNKkO%H72J#v9cJ{)B;ts zCHY`47ANMWLh4~X1xf=E7J8t7^6_`~bXEYht%Fh8Mxf>}s0{i4A4D=R7(ghBtVY!8 z3eZ$qtbj=I0iYm+`q&WMUR{+1wUmK@p`ti5S1%>ANWUa0J}t8-G%vFx9^5F>2g?+t z=Hw@XRD*fA)fJbOR2HNXHZQrTtT?4Kw}6nnxv9AfnZ=p8xw#Cv#UT4Zvs8@I%+?cx z7#ISX7#MmU{`r4Ih=JkFvp@ge2r)4HdG_c38W8{apZ_ev3=A?a|NM6lW?)$J^3VSo zVFrddum1esA<V#V=GCA7XF&3=|NMU;%)pTI`p<tB5e9}cumAj45Mf}@dGqJLjR*rn z&D%f!J46^5a^C&<e+4A}{?C5}Q3eK`4}bpKh%zwreEIV~LzICb=j)&U8$=lxc)tDl z|3{R8Va~Td|2@PQ7<9h>`9DRBf#J;eKmQ+yF)+mZ`14;!oPpuZk3at_#2FZBe*XCn znj7Ky_2<8U1OvmIUw{6`NH8$y{QmQQg#-h`pWlD}f01BdIP>Sve+NkhhC2*@|1Xhb zU|7TW_x}e;28J4@zyEEd7#Mt*|NifgVqlPA`TPHh6a&K>mcRcMq!}3Yu>Sp@BhA22 z!}j+-XjPRB```a8G7JoF*#G{wkYQl(;r#o*K!$-~4(H$hJs@$;zyDXrFfiC~{r!JL zhJm4n>+k;uG7JoNxc>hCBg4R;!~OTage(I?4fo&wCbA3+K0JT_PmyI{;NkuI|BEaG z!x`Sc|3l;$7~Y8d{l7zwf#Hwn-~STw3=Dh3{{F9!XJDui|NH-jJOhJ`#NYoG3JeT? zB>w)Np}@efNAmA~(8`AzslWdt6d4$7r2qckp~%4SNBZx72_*)GJu-j)S12(s)X4t* ze?y6Z!A9=ye+y*>hCgzD|IbinVAv!7_x}%N28J4izyBjt7#M66|Nh^h!ocuH@$Y{L zRR)G0rN94ER2dj#l>h$Upvu6oM)~jmKdKB2HlTw5)EF4fsQmpuMU8<WM)mLi2Wkuq zZ&d&O*HLF+s8Rd-ze1gXfk*xC|0C)Q40F`~{uj_-V9?R{`#(m5fnkrv-~TH#7#M6c z|Nj31;%ol>@1V)RV59Z-e~%^u!yc`_|8Ic!+JFD6XfZJC(f<1%v_i&4=kNbLAimDu z{~X#33^uxd|A%NZFznI&`+ot5ulM)=4iI1O@Ba(h3=DVl{{DZX&A`B;|Mx#=0oNY= zzyB3<7#Qy8|NU>H!@wY8@b^Dxnb{tLzyAw#7#MgA|NigMVPMEH{QDoY=<ST*-~U^5 z7#MVn{{9E8pX)LD`~Qv(1H&7mzyCo?@qCQ`{%6r;U|3`P_djS=ACJl3|2n!13~x;S z{_oLcV3=e2_x~HvN<Oo{|9$ir7<kP8{$HcVz_7>s?|&YB28JAqzyEXe85nFV|NcLt z&%nTA_4mJy0RzJxtH1wy3>X-4tpEOhW5B>5WApdFk0ArY8JoZV*BCM|)Y$(0&tt^E zpkw#<e~u9Y!yUW7|IZjPFwC+4`(MYHfg#4>@BbcS1_mC-zyIGDGcfFN{QKX>gn^;Q z>F@tFCJYRFoc{hlV8X!g#_8|>8zu}4I?jLpe*nol|NSpu%E0i(`R{)NQw9bdm%smA zOc@w@T>kz~F=b#l<MQ`^g((9AkL%z6J*Er{HLidEuK}rd{rmq6NWI(N{|`(V7;@bH z{{Ldiz_7;c?|%+628K6ofB(yvF)+lq|NU=b#=vmK{qKJtGX@45kH7y@%orHfc>Mie zW5&QB<N5dh6f*{fJ%NA!UjV5K`uktNoPnVy`0sxUa|Q;VkiY*E%o!MXLjV5nFlS&m z6Z-f629SK%-~Ttv85rJ#|NYNm!N9O4;_rVQ3kHUq$iM$XEEpJcqW=D`v0z|$6ZQB1 z0+4+4-~T5-@-ct^e*nqH{{1gu$-wX?_V0fOO9qCXgunkwEEyPl694|6W68k4ll1rh z5laS!oYcSnb*vZ|?xg?yzrc!tVNS;1|0k>%7;G~C{{LXbz`&F9_kV^p1H+lzzyGIL zGcfEa{QLicH3P$&qQC!HY#12U6#xCNW5d9}Q~CG*3>yZ9GnIe;AFyFy=&Abq|Ah?$ zgHQF}{{prQ3^{xL{=Z?%z;Nf--~T*z3=C_I|NXCH$G}i?;_v?e5dY-g|21|D40lfb z{lCJFfr01D-~U(a7#M8M{{7Ej&%nTQ;qU(%dj^Ix7ykaAW6!{lbLsE@3n2cLzyDbr z7#Pl6|NGy<fq_Bh*5Cg*4h#%;ZvFi~#esog&h5Yd_c$;x@ZA0T{{@J?_xHbmBLl;m z2Y>(DI5IHwJo@`T!;yhu&!fNp8$jYu{{G+M$iT4X>EHi%92ppFp8fsL;smNs{{Gi- zVqn<w{O|t|Ck6(Y7k~ffI59AsdGYuE1`z+{-~UIPK=sSt|8JZa80NhG`(MHtRB!zK z@8ZnBu;%^W{}s**3~%25{omuvz##MC@BanP3=BRW{{G(rQupES{}Ui}AO8No<IKQt z=fmIsA3*9p{r#`v!oX1T>F<9V7Y2ry&wu}CxG*r>`TX~Pg9`(L&X>RcXMp6t{QbYd zg@Iws*T4UtfW*K3{r?9f{_XF75myF=GvEIH*KuWF`1Aem{{&YChMFIL|5t#-fBgMF z!IgnQ=I7u4D_j{Ee184?f5#P6|NQ;`#g&2K&9A@zdE6Kn*8Kka-^7i9;m_~C{}bF8 z81DS}`+tKQ14GT<zyB||F)*C@`}hAFHwFfse}DfoxHB;H{QLV~#GQfR&A-3@HQX5( zeE$FaZ{yCupu_*~e~&u@Lk<7G|0_W9vj6`7aA#nMvHthp!-IigjrG6(DIN?AJ$3*7 zvv@Kv{Hg!<-@ucBA*b=*{}@jO2A!sV|Mz$@FvK+d`+osMH~;(p!IObuPV>M2EM5!@ zJgxu!JAl@Lb^QBZ<Hf+x)A8^B1TO}LKOO)6@9<(^*wgv%zl1jfLrvGe{}tX03~Rdn z{qON+V2J7d_kW2u0|QUbzyEu@85nYU|NZ~r&A@P{_uqd39|ndsegFRJ_%JZM>HGKJ z0mSeB_kV#814GV)fBzYL85ndX{`;Tc%fRqv;=lg~d>I(lO#1g<!H<C<XY#-Q4Soy^ zI#d4rf8fW!@Mg-t{|^2P3~Q$T`@g`SfgxwwzyF|t6P@Y*{wD-5Fua-m@Be`S28J~= z{{2@7WMHUS{qKK4AOpjh)&KtY1Trw#?E3frP9Ot=%-?_iYl0XU?)?4t|4tAC!<>Kr z{@VmIFvR@-_kT_>1A`31|Nno2LG6V9|6@WJ80Ikk|Gy`Mfgy(J|9_cK1_l}C|Nm=3 z85r&`|Nnm{l!0Lm%m4p2VGIm0tpESd31eW8Vf+98PZ$Hk9k&1fW5O92=CJ?&zbBl5 zA%^4sf0+md1{u!(|7#)`818WX|9>Zffng5U|Nl0T3=A>c|Nqa4WMGit`Tze<Bm=`8 zp8x-2q8J$F@c#e5CyId~hVTD>nP>(E8UFwOYoZw#?(qNre<zxOVUEE6|28oU3^9WL z|IdkGV2}~||Nl=61H&Dm|NmoR85rgW|Np-ymVqHg<o|z}I0gn8(f|Kz;usk2i2nb7 zCys$(j@bYIHt`G$G2;LK&xvPXkdgTR|4%#v!ySqL|6>vu80JX+|Gy`Jfgwif|9_c8 z1_l}F|Nm<e85r(J|Nnm{k%3{3%>Vy3Nem1zvj6|jNn&7-k^BGuPZ9&e9l8JiW0Dyd z=E(p5zbBc2Ax7c<f0+~p1{uZw|7%hh815+k|9>Zifnko)|Nl0r3=A>K|NqZPWnhp| z`Tze<Dg(nEmH+=^(ij-#sQ&-ICyjw2M(zK9nREsQ8TJ4FYtk7Q?x_F&e<z)RVUEWC z|27#63^AJj|If)_V35)J|Nl=01H&Dy|Nmn$85rhh|Np-ylYt>d=l_41ECvP{-T(h< zvKScd=>GqICyRk$j^6+OHrWgeG5Y`i&&dY01OEU2lg+?z$Ke0}m>dR%IfnoL@5y0c zh%x&AUnZA<LB{z1|C(F|hC9ap|KG`FV3=d_|G!Nh14E4I|NnFH7#L*C{{R1z$G~vM z?En9md<KR&=Kufi$!B1QvH1UArhtJ##`6FFngRxfJC^_d-zi{Vm}B+-zfB=1AOHV9 zrw~-X|NsA|kb&Wj&Hw)~MGOpcZ2$k?Q^dd!WB32ROfdt4jQ#)rHN^}JckKWFzf;V> zFvsElf145ph8V~H|L2r2FvvLl|Np0if#HtR|Nk+i3=DIe|Nq}p%D@og^8dd~83Tii z>;L~XWef~=T>t;SQ^vqB$L;@rn{rTn{Qv))as~z&kN^Mwlru2g@%aBgrh<WCj_3dX zdny<hV!ZzUm#Ji6kn#TizowFb;g0wJ|92`G80Pr=|8G;pz!2m6|NopS1_l|w|Ns9~ zF)-Zm`~N?tnt@@C|NsAcsu>t!0{;J(sbOG{3H<-RriOvxPT>FlcWM|I<^=u!Z&S;_ z5EK0W|D0L|2APom|Nqo7Fx(0G|39XVfniSQ|NndH7#L#0{{NS$XJC*C|Np<Ho`K;` z`2YWR>KPd3MEw76)4;$G6Z!xDoCXF4nW+E&|1>Z#+==@CKc<m^VNUe_|9cu47-C}n z|CecEV33LZ|G%b*f#FW<|NnQI7#QZn{r_*%%)k&6|NsA-W(EeCg#Z8lG&3;VN%;Ri zriFoFPU8Rnds-M6Vv_#<muY2SkV*dkzowOe;ZE}Z|94s$80Mt>|8LXAzz~!A|Nope z1_qh5|NsBAF)-Xo`~N?toq=Ia`v3oX+8G#PGXDRU>0n@x$^8Gnrh|duPUiprcRCmt z=4Ac<Z_~-Z5R?7?|C~++2AQ1y|NnF{Fx<)c|39XSfniSW|Nncs7#L#m{{NThW?+!X z|Np<Hn}Oj@{{R1Xx)~Ve6#V~h)5E|JQ~3Y?oE`=SnWF#y|MW00+$sA1Kc<&~VNUV? z|9g5F7-CBP|Ci}wV2~;O|G%b>f#FW+|NnRT7#QZ1{r_*%&%h8<{{R1+eg+1aivR!r z^fNHrsrdgtWloXY?I_e@}5h^hMjUuGf$gG}}R|1}dC817X6|9@v91H+t}|Nm_! zF)+l`{{KH`5(9%w-T(i8CNVJFsr&ywW-<fAocjO&_e^GBh-vu$UuFsegG}T9|20z> z816Lw|9@u+1H+uA|Nm{KGBCt6|NlQ{Dg%Q|%m4p>rZO<xY5D&@W*P&-oYw#U_e^78 zh-v%(UuHT3gG~GX|25MY81A(H|9@vX1H+t-|Nm`fFfhb){{KH`1_Ogk*Z=>2W-u_^ z>H7aaW+nr}obLbs_snEqi0S$NUuG5qgG}%L|24Bf_0#|VcV;m#%<238-)1%gLrnkw z|8r(DFvv{!|NqZy28KHm{{N4e!@w|S;{X49<}fhCO#1&{W-bGR%;f+7YvwXA+?o9U z|DCxE40ERZ|8Fyofgxt<|NnF5F)+wX`~UyXJO+k4)BgXDna{v5XZrvDd*(AR#LW2r zUuFRVgUrnT|7#X7Fx;8>|Nor@3=DH-{r_*Xkbxm)_W%EL7BVo%%=!QS&q4-<J9Ga3 zk6FaPFlX-n|9ciOFvQIJ|6gV?1B1-`|Nm<iGceql|NsA;#S9E{7X1HjvxI>mX5s(; zbCxhL$SnH*|IZQzhC7S?|BqS9z%Xa=|NncIGBCs}`Tt*L83TjN(*OT!mN78gS^EF~ zon;IRbC&)8Z?l|%A!hmi|8tfzFvzU<|NqZ&28KH;{{N3z!N4$Q<^TVCRxmKctor|7 zW+elI%<BLDYgRHa+*$qq|DBZ#40G1}|8KL3fgxt?|NnDVF)+xi`~UyXDh7r->;C_b zS<S#OXZ`>GdsZ_r#BBKgUuF#hgUrVN|7+GTFx=Vr|Nose3=DHN{r_*XmVqH=^Z);I z)-o{2Z2ABH&sqkCJ6rz$k6FjSFlX!k|9jRkFvM*8|6gW31B1-=|Nm>&GcerQ{{R1- z^$ZMicKrWuvw?vjX6OI^b2czA$n5(6|IY>nhC93d|Bu<oz%Xa`|Nnb7GBCvK`Tt*L z69a?H-v9q=HZd^V+57+holOi3bN2oJZ?l<!A!h&o|8q7oFvuME|NqZs28KHa{{N5J z!oV=+;Q#-7wlFZn9QyxXW-9}O%;Eq4Yql~l+&TRJ|DCN240DeB|8KL6fg$GT|NnEg zF)+v+`~UyXHc)&0|Nofn3=DIQ|Np;dI|D<^iU0p)b}%r=oc#a4W(Nbqos<9n-`T;y zFz3|&|28`r7-CNU|37CZ1B1+&|NsB&WMH^+=KuegT?`C!&i?<uXBPuQ%(?&nWp*<# z$ejQGzh*ZB!=3a0|KHioz%b{+|Nl077#LzM{{KH`4+DeDrT_o`>|tQIbLs#8n7s@P zb1wh?zh^H4L(G-`|7G?uFvwi}|G#D*1H+xG|Nr0F$G|Y>+W-GH`xzKwuK)i(XFmgj z%#Hv5|LkXAxO3zG|Cj>|40CS&|G(z|14GQM|NmtUGBC*8{{O$`AOl0pga7|$9Asdy zdHDbTmV*oodmjG(f9D_rgU_S?|2YmZFx+|c|G&W@1_s}!|NrM4Vqj>0`u~5&AqIv8 zPyhd4aEO5+`1$|;42KyQs-OS=FLRiIK?F27#lXP8SQW&;SRueD&BM+Cn!f;vgXYaV zuKfA022$g~4xVOHVPIe|VPIf5aPH6l1kmI+pMV>mgcm<|IY$G7y_B_<u?lDh4A@-I z{MnDofBtiT^g}Ud<3<Ss1H*$WfBu8#m7MqlocJW1_!OM@G#vR1n%R0-ds+IJ`<a;T z@o9jCCBRBJ7#Ki$H!v_TT)Fz^e?Q1PN0?qmJ`H!i1B}hAeJuUVZA?tnAhQ&}<_b9S zf$RtAeZ#=OpmB|KdqExt%|YF{_UAv?a|{d&pgBexMh1o-*Z%wm&m%hW2{bb?d4Vhg z$${pebgpBUD`8|{NVxvze=yV!%}h+bFnyq~UxG!>g8?*l#=!96`k(*qp!pndpn$^7 z5#(2cX4W1^s7(ZMp<Z|5<8b9OV48+1!~il2G#B*d#-IN$KyJWgRx+wakXfmyLSVC8 zm>3u)-2C%D9G|<=P&I<gx`-+S4pY!v)RJ46VFQ}GUBkq{@Z#2={|TT43lKLu@+mZf z{mmT20!l>!PJA2;E({C|pgAU)`+xr51^Ex2PMknV%D|D&!jaFRnZ1Xt7nWcSgM<vg z($KJT<l_K^lL9jX!<PGh{)6Xak<EdoRYZb=r&ULAs5^qJLNzOgnSp`h!Jq#<=x#za zD<4&-E1v-tvvz<s&QQrL78VAE2@j~_#{d=vh9^{V*BllG291Z*39lC{3=BC`GRuUO zfnfuc%xYj|VE95Mv(B(GFjzdIPIyVMF)-9T`t$!N{<OM+n6#P#+B^K1a<f3|FgCC; zFtj}W^Pdk?1|a80Cr~y)&)3XPnXzSTS3U<9Y~rBZa10C#BJ2zd2G1#XFR1)TU}s?1 zLM5}-urn}Nyr7P|ey}qz?4gobE*uOD4lk+Wt_d6r3`eMB)*TK829H;N{)2Y=Fd(ND z)be%?F=<$XlYwCm70d$7mzHocFc`f4^M5HQZ#nS^IPyt=>M`a%CZ>rXCa4l~<x_Bh zu|e&v6`TwVYhM5P4_?cHs^=|CohzRLQyh!~ZKFKkWMH`R=FfkBkX?{AiYKhC@rRRv zf#WT9ISDQX1{)l5CR_{*1vumaxEL6g;E>DVVqmy|L#~61fq~~8rdvR5$R%713=Z%9 z{67Nq1GrrWYA<5V^Gvg`Wo=wp9%McXHv_|lcYpqGCeHjWQq51{W?&F_PaE?=W#SQT z28IIA{4QwWCUQE*9zF-Lx!;k`f!T@;n+yX3D2z0C7#MWE{rS%hYU5$r;ldYy(w<s^ z%_K*@fNE^wAoDwT7#Qw+qfHor%zwedz+m&8cIJEVGBC{f{^$RG;?mGGY~g?}jjrGY z&4tj;{TzIdIeuE@febzdhCM%M=YG(#beW&D3x5rM$eIUQg--`R1H+x4v<sgX{0s~> zzi4N^hX7~}j&|X{LV$tc4-MVVA;`cG^P6_zlOf2!u!n}`pAckVkoiM9_iG3-Fx1e< zd{8^NLkKiS`{zG+O*C@-g4$LqCZ?@+MTmhx;V=3a5n_A^+Gj5!#w-P428I+WnUy2V zz_5Z!W`Wj%y`hp>p!H)0|ELpQ0U`_xB~&tNjtB$84l0@TLWF_g50%U^5oKU-_)nei zY7k{$XrYo>XG9qoPEg4#2{F(CLVy2PqqJvWV~x-p6o)i`2O0HpK^0*Dj}NAZF)%nV zP{*tdVhju=R5I(27z4u!Dw*XW4qCfF9Y0PHXJ8Ouq>fpjGb>!EWR{Ku149Ls%&L$8 zjb~HGk4GdJ7#>i;U7$G+0Z9f15vITYE1~J0shp1kB(4Envx+PZ8s`VCZGFP@_rDxe zeKS)8sCy0GlN19wU54rJf7qM>Xg;Mtl7WGR`S1VbQ1vc+3e8MR3qXSa93b;1NHQ=? zVa9IW8c7C*8O(qG8-nyQfY!_~FdUF%U^v43_y1gwm?NJ6#Jp*6^BzbtFu1V%{qGBv zZ)Re02RRTlr}0OUfuVxs@Be6!90Oz@m4Flj!x1zw6)6S=0ajFX7E++KH>hGhQVa|$ z(9|VJF)(~V6DyHoU~phV)eBk!+=C<r>a)#}Vqmzz_V@oiko%C^1laqvTS@Ix{gGl| zh+zNwe-&}&H<D_8j5Gto3L2WfN1B1*2m9au;Dc-khtEV(-7h1<z!1SfyYQ)zVPIH6 zL-X&*Ffjb!`1}6^aq&B!)bO#9WnjqQq@DS5WEmK4aMCXP|Hv{hXmHWa{1`a~h6);* zzekRN;RFrMmyu^+kl?0W_|(WVFl5ls{5$dt3_ECOzKsF{0|yW7%AYw33=A1GH2;qR z1H%a(+Qn~-A_Id2FYV0VqsYLJ!AqO+2h={0QDR`Y!Ha0WfZ9O@N(>BNc>n&_hnA_i zd>r7l9v(^z3_N^)|64)DEBH7VK<%9bB?bl)zQ6xNq2iGCi66Lq0+Me~Vqhr2ArErH z0wo59Eqs6f+k*5%+dNy87#JS#{rwN#uMKbW1cJ>3>A9lBz@Wg7&;t^Cp~S%8gCqvB z`;QU>Lk0ie|G6Nu;pU`)%>l{FC^Imez#$JZ(?*$rK|%o4o&aSA1{XB36lDg60yMD- zWzZVpzyCo8cY>@3g>4VWK7qggvq1umd;(0FkhbR%Wd;TX!N31AVB%>I@jc263>8?! zuP8GxToA-G=Z!K0gN6{QIA~oAiwXlngV5jq^Fa$`AnPie_!MA$y&lN=ihd5Ht{rHV z1p@=f?G7po3{Rx~{^w+5U|@jgbp%aa88m~nF;#*Lg0A2Jt@$WM;)B)_HK;H!Y?1y8 z88=6r*V+!7$^xldp~Ar6Bl8zBM}w+vHL|)3AomcV?uQCwPZoGQ7ms-gstgPwa`^29 ztxfR&?ah+=`yagL+!2(7BwY9$nwi^}m_r!B4uq^j0{Nvxm4V@bJbp7l>gK32FjOcI zR(C{|fk8kKzd8j5(B3cxh82o`|8Ie&BS>Cc0&*;eBcB2T$W8$@1_l=;!tr6D#=vk# z3BR2n^Agk;7)q20o7bVnz`&z|-#pOV=>|0hh9xS0|4#z#?S`~@!Rajkl-}BynDd!n z3Cxj?!;vol<c23|3=9J5gx$cS&cLuj9lskuXFr&zGcY)4{QVE!?+tMSc=3-0q+jB~ z*T9H88OF@O%-6x_$Txw}iEjp@6W;<xC%zSoPJ9~}J^30KOR=>&85jx}KzsHW7%a5^ z{?A7E6=IJgpMeYC1V+$WIp|2t6uudZ8GH*EJ^3au=0I%$v9poH)A?pFx<S=2od7MD zK&-C=Cr(gUf%XWR=n@Vq3k?Q_GrE8O&jO_-)ci9EoZOxGI6&+C5;PbXT=f3_KM3*z zq<nysEua}g*qXKPd=XB32~K<&PJ9JUd=*Z74UC?A0rh+lK70W=d=VLZ37&ib>3k7x zU`7#NggakAEnh?^l&j$gT0ICYWI${DK<mFW7#QXl{QbWjS}r;ANx1MCG&A=xF|UE- zM@Sh4F8?$c7z~X5{(lGBe~;?M0@%7b<}@bM^3Rd40F)j<dnTWl{{0U=n*yR2oF1X& zAF~`IEImT(1BG#kCIiC*GyLfcRMyYYWMHT;|NB3h6?FJ4(ppDw*g;~RxtEDAB9$+} z5gd4)d;v^{QTfaYjHm)k?noYi$2;h7@f;icZUVU-bc{KRE#dS5Iw^gQEq?Pr^ZB4t z*iG#I{+Dz__9J*99%!AO3ts_BR=Uf=SK-Ll!05!+!RW*{fzb_~)(e;~Fk#C|j(i2h zd=+lsBvrt?loOV`3Yf)o5#o7_d=+VY4UCRZb3OSAsvsgTn?QLNlzU)#*NtxnqZ8i& zMo&mO0G-I+Q}_3O8tC{cq;vo-m-?BQ!?{q?0jPaZqs_o@qT}!X!=O9_Z-49om&c&; zdX6>&Lq+G`|7TI;cY)<W<u$0@0bO07()IVhB4#=lLr&))wV-{t8r^^Yt6-{?L{}>T z+H>1OoLUzh1_py(!fHY1;eht|n)E@-1LU&Pk<Y-LZv#@9#>~nH4Pqz06O2xL7Z{!R zZZJCWJz(_Y+rY^50H5SMjM5Dh-fwgm7&<2W{SQ7T2Oi!#!QlzY%RIUa3>p*v{+|a8 zA16>jrU0tO!EG7lR^+_w$mamk1G;}?%A~*lLqO#l#6RHt?*Ofjq*3c5u$~fK28IJu z{{H`jL(dK@dRFK%F!W6S`yaH{7P^)R#U5@nJ)pdLN0)(N#mv9|_khw5Y8kx+<TcPb zBak{4Jq8AcS%3d;#Z<QzrVf;Tbo3Y)Zp`}oKNA{0NM&jqa{2+88KDQ-Q}GvaOa#Pk zX!*<Z1=-97JqCs^bN>Fn1qurkb<78uU<w`i3_$jS_HD12|M&l7O#3^K?FY4`Z-DGy z`1e03jzHm+$HxIatKx$m1B1!JzyGzM_QB#9R$e3G3sGLH=rb@FEXH4!f&A>F&%khE z@!$WgnBiCp^9o2^3CO%9_`@5dZVt%2C4c{K!!&Ol%sh}^kLWWnBrN^=AAF7#sNWF4 z#{oLy>W)4G!-}PU|F41Shpd}&<kJ8pX;|GGz~|w_7vRa~P={14K>QBs<0u#~Fo-Ps z``;U61_J}Qjc8)Pz!0$P@Ba>v7$nYM>(?4!?gGVEi~$3~j%9!UzXHV<tWBH%ZWBL* z#22{wVF0P^FkoP)S@HM(MP#)BV6`WZ)q>)4iva_J!K%Oi4RFMvB0Mi3{PDtofk9^t zV(c8`w?7693=wOfeOcJ}w;=;V$(q0abwGZDim4bfFib!bvoK^}Sc9e)bUwfdBr%X% z6AT#`o~-%%-xTUESb7833vEoy8t^g&5*DENoMOnp@L}!W{~;hZfZ{WVj{_7&pmPT- z*8TktKEDfGei?wb4p_MHIe79JFqMHzGRU5e8-@%FZ`S?&4?cSgWJU#~&-lTRfgxo5 zU-)`J(9Q#pog79C3_WP#AonX6F)$oi|Mx%m%q>V<!}4(qAI##NuvmxK9b&}5a9{(b z-Jo+4G&W+|-D1SRkbou*vU`pZ1H+7sq}hGJh=F0sroZrcOQuSQzh4+JF#OqsX*Yv0 z1B1!tzwkXAOn!VEAiE`u85nXllV-P%F#|)!7EC)*j2RdfpoxR>ca1Rv!;LLi%a!G@ z5Cz5i5@QC2f~|l5gZ4Eb`q-cfrk|+_zUzU3!H0o?;es&(!<4Om|K9<n9Y{L_*4}o2 zwPl%>fb+Z)xYg|jZ8$pkVdQ^MovC2Lz@V}X_xzHB2?N8DZP@3RVoVqqZfrxH=P3Z0 zw;ffi#e{*O08MNL=!^^`F_7Ot=Voy1`1}7F=#B(PT?^?CLHb?pd<&RS8jD5j*c*fk zm?8Uj{+KW@%s7tec92~XptClP|NWl|I_?ykT?bJ6c%ON(+XXtq4P;&h=!}l5fB$F8 zVr}QT^G#qwar=2r?B-2igSdSM=nRn0m~Ni~+8<@gz~J!t@Bd3qIP7Xb4W|wcz7A$b zz6s2pd=1RZ*^J1%XDB>94(zUKU}iREM%Gr2qU}A1=gJ2<s}Yo*OUxJ;8chHF&jpo< zC}j$B5~Qq$l-nS+3(Oc8j+hZv3z{!HW5&S1VeyY9^I@R+LdTqeA;aR|f8_aG2Xh97 z7K?xXzku=^xJ(Ak=e`A(#2`JOvr<wl|NS=t$umIqu$7oIFif!g_aA)TAaWiBt#xAU z0XH_8?x1EzM?M3{{x@?5h9g%0{@a84s_=9PtJ9%<LOo_A&5(Kp<d!$)3=Ai1|H0>v zL1hrg&@Z<C{wIRm2Z;+<T^<T6gFs=cVZp#)V@I2?1)1Mr!N4$wM&^V3{=$NR;g206 z?m%_k9}5Ns3HyKWxp=0fkh(&|l7V3ZnmA~jK*y4S!NcL-|7d8sh1KQYwthboGY2D| zha<QxRfW>o1KE`UI=ja4AIcc61n3M#O9qA`j{p9j1cg6jeg0wC{5<H~n+hjHe1XDg zgCzsQ5+~ZlgMbwS1B)~5%rCHFU`U~%`4_Ah7>>};d;@C+1{D|Dh0g?Q28I?In*YI? zf#C@a%@43)U~qAzUHELUVPIH7L-RprKe4#c&iw_p3=AnWH2;Dv1H%y-nr~poz@Xwz zoA3d(lP1_PFtoV;`+o>@m@~Y7gO3Ng^L;>VyPU+)A^}}22P$75*fB7$ME%29{{*t@ zj~xSpMbtm4wDCagE+2aahKP)RgR<QPsyp7;Gce3pMVq(-neXGk!0>~H=C5&JV2D^v zJNNTAGBE6*q4_zE3=9%$Xy^VjjtmSHG&CP{p4<%@n&0EZz+kbKcHsj$=WYfK&G&I; zVE92p^Vc{tFhs1QUHI_0Ffi<(q4_y33=9(MY3KekE({D6G&CP{9^nldn&0Efz+ka~ zcH#5Jm4RUf4bAs)V_^6}L-W_TF)&1Iq+R&%xHB;9prQFW?hFhPn`r0$Gwuuw6*M$o z$Af|41`W;c@nB%E*i5_ddE>#rFoTBX`*<=i{Gg%vYdjekBDT;je0aPV7<SOm{2VU^ z28pe-bN?AH28Ie6ny=%{z;J_x=7Y|4wb(|x@Ok6Sz%YY`=KJ_CF#MpQ`D=U_7$UaQ zE_`@=85nlZ(EJ=<1_p^8v~&L%Uj~K>8k(=;$G~udhUWM9F)&!{q+R&D@nc|^K|}L> z{23U2(9rxf{tOHeyJ#0aJOK<0J7{QrP5=Xg#BSQT|4aY_Lj?`Z*9l}`xIshnLFZ*# z?4e!wya{Arm_bAHeS#Pme$deTH9-sv5qoJDK0Lt;3_ECOeoin0gTy}Cx&KTs149K3 z&DRNGV7Ng;^Ls)V7%cYFE_~jEFfh!Zq4_?c3=BVLX#Sc|28M_Ov<n}eFb0MlG&Daa zjDbPoAnn|LCX9ihf`;bngflSQprQFa;S3BGhiDf*Z^9WEX3)@lp9ltqA2c+7O#}l& z#9`Wn4^Jcm!wwpnpA*TzAaR6t?mrXBz)(R$^L3&a7;eze{GKQV28*M#3!gVp3=A`9 zXueN01H%s*n!hHRfg$1;?ZSsAhJj%R4b9JqVPKFrPCNIXiD6)<prQFXu?!41XlQ;< zECYkZ3EG9vn^*>h88kHCCys&P2Mx_%6UV?1agui7!xPWIu!Dx?=fpEGNSvab`_IHP zFjUace4PXah8r|AzbAo#!QwRS!skr_1H%j&n(vdy!0>~H=C4U)V2C(FyYS&jVqn-o zL-TWz7#JkZ($4*7k{B2&XlTApG6Ta68k*me%)nrAj&|YmCYga@1`W;kNnv34K|}M` zq%bf<oTpv*@T4*@?4Y6fIjIZ`5*KLa{xhiz3>7pqUnh-$;RX%O?@41|u((LO@OhKQ zz%YY`=KG{GF#MpQ`D@Y{7$PpwE_`@07#McY(EOYX1_p`Cv~&NN3<ib@8k(<@$-r=f zhUWKVGB8+Np<VdA$z))dK|}L>vKSbC(9rxfSquyjS7{eMJlPBkJ7{QrPBsIB#5LNv z|4cRmLj?`Z*U4dExIshndvX{UEUwcoeBR_RFwCH#`98S}3_oaS{+e6{hKL)q3m=|5 z28JCpG(RVgfkEOX?c9GRkAb0rhUV+!Gceqsq4_=e3=9^xXcs<j@);Or(9nFJ0tSX3 zG&Fxr0RuzCZQ6woPay-t4jP)DQ^>#|aff#9KU2uSP(efUb&41mZqU&Do+1VYi@UT7 zpEpGe3^QnGzE3d&!w(vozowXhA>tnG!iT4Xfnf&?&Ce-eV34>^JNKU{VPL4Bq4_$c z3=B7DXns#A1B1l_+J(=XQU-<@G&J9*jDg_?4b5Lu#=sEqkapq2Q_jG!gNEkklru0$ zJffZZ&y+JTRM60ToeBnq8#FY(r-FgO;xX;Q=S>9z!wedl?^DUZ@Pmftuc>5Uh<HM~ z@ZqUqVAw%J^K+^g7$lz3&i!Yq7#J#OXueK01H%m(n%`5+z+mx=cH#4;nt@>k4bAtd zVPN<{L-W_vFfc?sr(O8))G{#aprQFWwG0drFKFlfGqns16*M$or;dT)1`W;csbgTU zcuBkPc~i&0FoTBX`_wZq{Gg%vYw8&oB3{uhe0Uld7<SOm{G0{`28q|SbN`tJ28Ie6 zny=Hyz;J_x=Jzx*Fj%~yUHH6dWMG&<L-T!_7#M!g(EK$`3=9!(X%{{`%?u1XXlQ;; zGXsOfJKDMbOfv&R1r5#DX<=ZvK|}L<S{N8C-qS98-n1|<%%Gw9KCKK4KWJ$FnpOse zh!3<2AD%V_h8;9CKc|g>LE<Cr+<&HxfuVwi=IgXGFx;S_`919n3>Keg7d~&=85m~J z(0rc`28JIrG=EJ814G1T+Jz5KCj-L{8k(Qe$-p4-g?8>g)5*Y4K|}L(x)>O4(9ryz zE(QjRue1xFH(d-2GiYeOPd5X@4;q@krkjBw;v4P4ho^^uVFwM(&*@=ckoZnJ_n+xu zV5p#>`8vG}3^!<Keorq0gT)Wph0mK_28J0lG~cI>f#C-Y&0o{Uz!341cHzU*&%m&Q zhUVw=GcZW}qMiHC^fNG2(9nFH2@DK3XlQ=V1O^6+-?R&#Hxn2bX3)@lpNR|%KWJ$F znu!by5r1eGK0K2c7<SOm{G3S)3=)57=l(O37#J#OXui&528J6nG{0vu1B1ms+J(=X z$qWoLXlTCA6b6PLG&FzB6b6Qf|FjDqo~aBBJ7{Qr&Q#FZ{r_olK0WCC)iYBW7%CWO zXTHuf28J6nG{0vW1A_%4?cD!n8Uw=&8k+Ajoq^#84b5LOoq-{OiFV<`GlPL)2Mx{7 znZdvy!Av{%pP9kHP(efUb!IX!+@PWPJu?{?ELdn4K5u3+FwCH#`98B47=F;u{57)} z7$R6{7d||*85nlZ(EOa)3=9%%v~&NN*$fO7G&Eml4g<pt8k*lThk?O@op#~#W)1_x z3>up6Gnawk2Mx_%GnauOf`fM9!!wV8VFwM(&zZ--Ai+sH_n(=^z)(R$^L6GkFx;S_ z`91R)7%aGG7d~(1Gce4cq4_=w7#M!g(EK$E7#JeBX%{{`3mF)8(9ryxg$xW5JhXHF znS~4t6*M$oXAuL#4H}x?vxtGgf|qvT^JWnP!wedl@3WYJ;Rg-PU$dBjA%c%~;ls0p zfnf&?&CglFz#zd-JNKVi!oW~LL-Tc(GBDhrq4_;a85k@CXcs<jmNGERprQFb%NQ7b z(9rxf%NQ6U1ZfvOJj)pvcF@rLoaGD*5<;|d|C!|s3>7pqUuOja!wnjm-?M^&!9tjJ z;qzt%1H%j&n(wocf#C-Y&0n*UfgwVKcHzUbih*GV4b9J4#lRpTN;~(TS;fFmK|}L( zRx>c%prQFas~H$9#Ap{jZ&ouf%%Gw9K5G~le$deTHES3cBE)GIK0Ip~7<SOm{G7E6 z3=$HwbN`vO3=9=CG+$>O1H%m(n%}dIfx$wOHsJ%h3-HZ428J1u|Nk4ZLheOwW@6T6 z<>O!g$#JY_VAvz~|NmXYJ*?M3_ppNQ$W>X-z|bK7|9=fg4su7X3tvJra~~5^F6@q6 z&|Sqo>lqks$p8P}3{?lY9~N>?H&ZF%qHd6x73&!oY!v?gZ-uIL<dcAzSq3u`bm#1X z^$ZM46#oA&MBH@`xz8Kvu3+X^CZzj*A$KQ({B&nM1H(<F|NpH(cDV8hG&7Zeyu<*y zkM_rU28QoS|Nl#Z<UIHU0{A!>Kyyd}8yFaVDE<Gx8Fa@jSWhs>;R@M&8cuu$@gRi~ zPJE<s<H5HfgXX>%7z#EpFnFu{{|^c;2C(@_U^kgCFfjCNU|<MW`Tu_<$W5+%0&Pr= zd=kyfE_@11{vZz;IDu}Tb|~aihyVu><Yr@#;!!*r0;3@?8UmvsFd71*Aut*OBPRq3 z(ja~{fYP958%V^I8$>cN!1w`Z{A?(nAEJz5I+V`?<ufEe7`zaQVMiJ>m<4VxGB7Z_ zh++ZrrNJZv0|%6rg3=$L@-k39O#bV?|M?)H1rXyHKuvKF{{+-xHV}t_f#C#Ho(sxn zgvvvm32w84q(ngk5{7DHkcfr257cZ1N&jF6kqqFrFNg)@GKhmX3=9k$fe;2v`~uXY zFn3#+L*zLj6x@DLI~ytlrhfm2_>U19B>$lN2~hL?L;0|H`2gjgf*SM_%6Eo29BeA} zh#$~+K{wG18sBbEIt)suLFqCm-3FznLFr{sdK;8J2Boh->1R;-8<b{CgxD+wrPZLc z8I*Q|(qT|K4N8|m={6`m4N5PA(%Yc)F(`cvN<V|r-=H*G64ZVutp=sdptKv54ujHZ zP`V6Cw?XM?P<k1Z-Ug+QLFsEy`Wck|2Bq1Oq4q;*H7IQcrQM)(7?e(f(q&M(4N6ag z(#xRqHYj}zN?(K0&!F@-D9x4vwI52WL1{B6?FOa8pmZ9PE`!o-P<k4aUIwMNLFr>q z`Wlpe2Bp72X|`0T{ZLvBN}EAxHz*wjrPH8v8I*?TCZ6O-fRqF1Iu2w(L<DjmG(#?g zK9C2Y4Kg8g0+ikWr5T|55@0k`{e^f)$rF$Op&vl$f<y@a0=T2kz|hbJG50|`gf{4a z&<#-f0+d$hgoqbF=>t%DLnOq!4{;D0qKbhbU=4&7uogmJfbrKs_yth$1yH&GDju*N zA`cp80JAoLNrnU{eE>=;Y=nq6K<Nii+F=t!d;`?H4aXt;fC~^h;Ua`qxCEgeK<NdS zA^e0Z5W3+Sgm$<Np&4#K=!TmR+Tj+2X1EQZ4?t;!`w;#ED81kTgkSIsLK{4X&=;We zf>#iJ!W#%3@Bu<Me1y;rpCI&t?+`lS2ZUDm385cAX$EUZ3BDl$LKj3rXoDySy&xJ& z$3W<YcnBSk2%#I2A+$pZguVc!C!|978`2@PKn{d%sD#i82O;hVfYJ?6`T&$xI0TVz zfYJw`w8CMCcmkAO0Hp<vK*SrM^Z_W%a1<i$0Hq;31la%;{{W>EjzQ!uKxqePI#9R@ z5kCNn&pQx4!xIQy;0p1VgByevaEH(i9uT^~6GAWWg3uSdA@l-22%X>$p&0@pbV4wc z4ujAN(C`jOgYY*%=>%x_I%Gh^4YDA#Lmq@~fYKYF^aCg@kPne}fYJp}dP4z3T%Zs_ ze}K{jMG*c0C@oM7;X9N-=mSt%pcKMSfYKAnAbf#x2%P|>H$dqJ6%cU)Xu1%nf$$Tc z^Z_WXPzw=nfYJrk5dH%w|3EK<-!KP4f7l7375+l#3I8Cp0TZM_vVa*vE3iZ80}K%Q z1B_;b@E@>2XaP<L?Es}eK<Ncs5OD?`2wecB9e5#p2XP23par2JsfB?-p%}_4fzS(} zv_UC^4<ipi`3YqZkq=P1p&Y^&sDRK5ptM0Hgns}^PpE?M6RII}K|O?aXn@cHjS%_) zl-|$;;WvN>e;F78S|EG@Xt^)Y1>rw{(hIsF{Dd9|-7pzKPnZIs1ExY~foTx>0+gOG z9l{To0igwELg))ndcrISKVUY57MKH}FF@%Db0PeIc@SD)K7_sir6(+a@D&z9=nYWX zVG)FX0ZJz<hVVZ?>4qf`zQ9rly#PuZEQ9b5K<R+x5dH-y9k2q*htdJy!CwZ33s5>> z6;vKd2dswjq4WZ1{nM}+B5tq+LO+1g3${Y|0ox!n!*&RL0ZK2}0pSPigwPDTAoK<( zov<6i7uW-#4?yXHy%4^^J_!8*N-x+C;RhUm&<xOgF#(!i0yrS)xdE2W`5=4-eh7U4 zN;e2V_zr>)nn4IcAAr&g!VtcL2!v)3h0q6}bb}a#zo8mJ7t}y#gIWmv0ZMPEgYXOL zA+$jQg#G}fH#9={1x*mzpcz7cfYKXUApC+>2yM^?p+7+B4ebzqK?j63=!DQ8p!9|= z2*02kLL2lz=nqhOLobA1&<CLn`XTfOD7|3<gkLZbLK{qi&>x`mhRG0q!4wE>Fcm_7 zfYKYLLHGsJA+*5^2>k&{Z<q<;7tDgt2D2gb2PnN^4uoGY7eX7%gU}zK^oIEme!&6= zZLknRe}K{(7D4z0iy^eZ5(xbPN^e*S;TJ4}&<4vP^am)tVFiR=uo6NWtb))Vp!9~- z5PrcL2yL(yLVtkL8`eSi1?wTS!3GHZ0ZMP!2;moOg3tz=A@m0*y<rQ4U$7NI8*GEn zAE5Mx?GS#!4hU_q6GDH0(i?U`_yxNmw80(-{Q*jE*bCto?1Rt-`yuoPD81nTgkNwF zLK_@{&>x`mhQknk!4U{;a1=s+fYKX|LHGs7A+*5>2>k&{Z#W6z7o3972B#tP2PnPa z41`~B7D5}GgU}zK^oH{ge!&F@ZEz7ne}K{(E<yMOmm##l6$t$SN^iId;TK$k&<58b z^am)t;Rb|Xa1%ls+=9>_p!9~@5PrcO2yJi|LVtkL8}32)1@|Ge!2<~W0ZMOp2;mnz zg3tz!A@m0*z2OOjU+@${8$5&1AE5Mx=Ma9u3kYrS5<-7~(i>hu_yw;aw80w){Q*jE zcnjedyo1mN?;-RDD81nWgkSIxLK}R7&>x`mhR+aw!50W^@D)OTfYKYjLHGsVA+*5{ z2>k&{Z}<t}7yN?I2EQTn2PnPa4}@Rv7eX8SgU}zK^oIWsegOldzh=M)p+7+B4NMSz z0W*X)V1dvdp!5b-2)}?0LL0C{=nqhO0|$g(zzLxZxFGZgD7}Fj!Y|-~&<4B^`U8~S zzz5+M@Iz<=0SNs8N^cN^@C$?>w1F^${s5&nh(P!Sq7d3Z3_^c^(i_Af`~nFGZ6FDu zKS1dXQV@QDG=w&gfzThI^afc7zd#N`8^}ZG4^Vo80)$_n2%!y>AoK?)y+IkmFHnKd z2C5MH1C-vN2H_W|LudmH2>k&{Z_tGB3$!4#fi{Hx0HrtRK==i^5ZXWwLVtkL8}uRk z0s{zbU<jc<K<N!e5PpF%gf=jN&>x`m22%*Xzzjkgm_z6fP<n#}gkN9@p$)7c^am)t z!5YFZuz}D9wh;OQl-^(m;TPCLXaffb{Q*jEaD?y+oFKG;Glc#Cr8l@h_yw*I+Q1D$ ze}K{(+#&n|4+w4G386ng=?z{Get|cHHt>PaAE5LGUkJaz4?-LGL+B4sdP4w&Ul0hP z4T2!_2PnNE7{V_IfzSq_5c&g@-Vg@i7lcD-g9r%y0ZO|&J6kDegeH~dm6R$N8tWPB z8R(jog1JU@Mkaa&CYn%TSbGmU4eCX)Fns)vE(;n@W@KPtsDW6^pa4}M%)r2aO}!ig zs71-oZ~$#Q45W{VfuG?6nz%7kJ#2meYCMA{188nQlEI@0GCl@!A4o0~EH26b8@~sM zfiP(83&?!fd;>@fgh9hqj0_?Su=xOx7zl%!_t@MI8g9ZSj?2HG<~bvSFvAN+h&w@M z!!R~;Aj1zr3{&c$0SZ+N8b)Gd5QonTK!q9JgZ(SUfIcn`8uk$ag(tL~2kj0pa56G5 zfW%?t7EC-xkbyw~G%J8M-Y5lC56d?&_1aKzSbrE4ULZGFK*eF>Y|$V=1_lPuFo_V9 zjZB3?)x-Le&~6X|XgCSvPFT4QvlleH01}6do6Lhod=u0h*!UEz+ua8hhxI>T=FEqR z!^R`QYpNI+7}hW{FbFb8FepI7K?CaOEnxM?E{CwM;1CCOlR)l-^)q1hN-{yhA6B2k z#6j)_sfUew*+PtGFo&v#l{+x?9#C<IDo6mhK-K4g#i1M|3e^1onGYM!gm&{7K-~h6 zIIRB+3x81a8zc@JCxK2sFo2rHAaU6E3@m;@%~X&$Y@AC4;w%PGvk@c?Yp=oF{}Aj> zeg@dM2Xq*L0n{7<sfUd#C_pE)K+O=4IIKMjGY3@7gT!I|UuZX*0aUGm#i8Sh`p~cd zRdXP5SpWG1R2)>DfW%?-56pZ}u?-f7_Qzo2py6_mIBdKV);-T=hQu$dT?A7PDjz}W zVdJZr(D3Pis)vo!@Ii}-=}>W4xehaD1ymf?|AvY0go?w)0WU($KL!<t^*3PZuS3OQ z^*T)a1xy^;9)gMggo?xZbue)*7Kndg<I0kbkN_0}i$mDRWDr=Kp8?iCf|*kw2r*{@ zwES0tYD$KxhqV)7>Pw*Fu>LMgycH@AYtO*Mr$NPG<3asU^Or%zVe<p9^t>4=4jaFR z<(K_XaajKgX8r}RIMh%iih~v6FIYbkrd|>%4r>>|#I>N}uy!0w+!`tl>xaR_eW2p7 zel1Kq7Ag)Kr-BXxGUP(VVf`4G`Z}mMti1^n?}v)R`eiWj1yFI=xCcypBUBtVt^*T4 z1QmykV+TRQ=sZ*$)*peXe*hJSjT^$sr4LYXSi1?Po{<d_&aiO?n79yB9M+zPi7P|J zVdLe7Q1=)>#bM($F!hd5aoD^YbeNyP8!8SP=Ypw^fr`WCrC{kQ6DkhtU%}K@LB(P7 zc1lq9v_QpS?Le6NsbF!aA|z@HSe%~$HZBHJe-tVX>wm(;uYtvp41hA<;SgtLhlDe1 zUI~^j_@Uyk@o89jF2@dPS3nI#qI99^VdG6O_t-(jVdHi%aet^dY<%ArYF0E<95x^J z4%%!=hl<1chcI(0pyIH8HcY$|Dh_M+!^CHS#i5oVQ76IT{P1yd*zoHOs5opq0cOri zm^gHt2qyjuDh?ZuhfYT^aB@Jx8P-pOsh0waBRK@hv;>RuGr;C;p~LnJE>LmUybr7% z41|iq#!F!4Cqczw^IO@F?mt65SR85@64eYA=VyS;4?~B|8Tz2&uz4itbOgg}kT{b7 zd_4}#sD&VLP<s_RZV7YGCa5@Ud;lhX7%UFcji6tH#rYW~q(j6&?Q#%{krNW0u<=)z zIYLlz*!T@hTp213n~#8%KgLjTSpN~G-UTWSn~#J}$1?;%#bM*iF!f1LaoG3@OuQH> z4x5kq0&+Y9149E;95ycu%Xd9caoD^Pc>O;E1H){nIBXscR{pPmio^PoFnf1G#bM)0 zF!57Rao9XJEF7*u#bNzlnEK~XaoBtwET4Xcio@npW1;O61};dvz~*&e<_kc@LA^y# z5Wvh=fQrNB<6!*`U9dPvB`UVZAs&N6yZ|iD&j1@gfZ5v!6^E^x2!>kI0~LqOzro7A z*-&xVxH`<7HBfQb_!UfiFH{^hP6-n~2Nj2nv%<vhL&ah1E&QSGc?A`R&CkN}-FK)s zZ2TE!4hJ_R{9)rfFmXw+IMh%iN);^5&j6b@gSDeT>-9kGTiE;$%p5nUde}TIEIkB4 z#bNV_uy{{|io@oCVCED-#bNXLHc&U!L&ah9%KFfB)dLkz0ClVw7#LFdAR0D8#bM(< zF!K*V#bNU*F!9S!aoG4JO#BH{95x>U6aNYohs_U1L*2u~0|{r?dI$q(xylC>hmG^Y z%#nwQL&q;+;s#K0*!lt3G@UI}95((2Q||{AhppFug>w{C95$Z?Q=bhLhs`s>`bX7J zaoBh=Onnbn91^z3<Z7@uKLc#s3#NV#R9peNjt1r)(E3SG`hm^gPJkMA1F9Z2ZVWT$ zB~%<X{{<8O1r>*_D*^8vVqjok=Y@nnY@P_FUIHo(o3De3YeK~@Ks%JM^3xJ34jaFP zsrLelLmiDo#el{68DQ%g;O#)DIBb0gtiN0a6Niq+!_04oio?bSVd68O;;?m7pz$@3 z^^2k6uz3rZ`Ym8_kP1|M0W8kX0Go$_secF)ht9vj#6LmBVe>~Yab`Y9IK$Rg!Tc)# z6^D)A!qh85#bN7(K;v;Bm+3;qVe?)v^>$Ek*!Vh3+#f0qTlWBKN5w(KVdK#-_4$0* z+oj!5^&1=^4M~{#Ibd;+%TV!VusA;hY+f0r{s>eYHh&5ezX}zH&9_677sFGiIBeY& zY@qccR2()B1T%+;9~920ZUOPkz~cN2uysMNpyiJ<SRAAR6(@kj`59pICNT30q2jP{ zZ<u%!R2;UR43>ZUpyIIgS`kodX7hu_(*zk93LxPL9o}GA1y&DLghZVMi}N$U=J{dv z-h+z6*7M{-{q+he&H!EK2TO0?q2jQ0cQAJ{3xL9np8+;62Qyy;Dh^xk0jt+kz~V>_ zgfeY$h)09P`59pALSW@aHdGw84h3d@HCP;KC=#^>EY8mWTmNMMmD>pxhjNgpyI^sC z2H3nS%wO-I;;?l%uy*4=sJO!}NI+h4f*7U(9fyI<6T{3gg^I)04W>aCwmU+_Ve6A% z?VkYfcrwIHWHL*Tfk6PvICDAF9N2sh%-#;DIBeZ2tlc#UD*ikblCHq}F+uHKusE`f z5cWQ>I6nhyo*icXd8jyS9VRS%ZbQXk>*7Kn#xT5qio@2gz|8px6^G4>!Nj?QAn6UZ zo&+W?4Hbv2?}RRUVo-;Q!`4&4)LX#Bq4T^jaZji?Y(5hv9t9PLt#5;kC!|BgVe?cl z^%YQY*t*ATsDE3b;;?mLuyS<@OdPr%7`i-^VLntGwvKi_bOXX_m^f(Qmw^GcOo?F+ zR2;T04QBpns5oq$0L-0tpyIG~$>4p(3=9m<g%}uMeuO$5Ofd;V!XLK&Q3ou_z`zd` zhpi8RnXdp7hpsz-i5o)2Ve2Ab;!aR;*t#!Reh-3*!{&2g>XV`3uys^0@e-&wY&`)? zycH@ATQ36>p9U6(dIpKw3>N2SfX!FK)E|M0!`92e%EK#AaoBnjnEIzsaoBoL(EJm~ znom%1*t%m~Nb$k&4=fH+fr{ltAmI;Nj|nTcwZY=3YC${)usA;hY@Ha)J%Lbh*m@tB zcoI|`HvbG0FNTSujRSN-#bNXCF!i&b;;?mxu<%(06^E@qhRw@t2aAJTjEc{K#rYXv z>tJF1ky}u4*m^FQy|2OIsAhn8%A$~PgRLuvxkn!=4qImdGsgic4qImpQ||*6hs_7W z)W?FwK{lb{Vz4+r18f}>OnnPf9JU@7me(di#bN7>VCok^#bN7FVflI^R2(+n4^w{# zDh^wB2<vxTf{Me|Il$CE28*M*9mM+!7UySxt<Qt0=M#g3Cv3eete%pEio@15!PM)4 z#X%;bVsEfGKSKg^-vq2a3WJKn_C3J*<B3pl*!mlo`9)B1*m`PMI;n?>!}f7Nry&_S zq2jQ0udw)?2^EK}D}b553Mvj;rw0??4Hbv2hk=Qofr`V{!NS@ncc9|1^<gmeZ=vF_ zbr>-5e=u>-1R_#7&o2%Nf7Gx9@f^V7{0y*lS1@w|q2jQ0H8Alcs5orBFf1I3pyIG~ z%CKz!jZkse{s371?SqQL)};r490O`Uh%+z<GD*PK6+nd<mP6IU)@{PvvjZv)TVDbb zKM50uuA731--3!8ghJXaF!9$gap<~2nD`&CILs9YT1EmCp8O23b@8xvuO?U=p$^9K z1dH=Cz}5r7%#VVKFM#gzfG)dZNP~)hfM(nbs6oY0aoBo7m^m#_aoD;*nD|tfICMP^ zOnezs9JY@KHvh6&0uuh{`<@O!)x-9QfYy0{oOBi{4qM+3-NwLh6Dl46-PZ%_7e9fD z!`A=7-18MI4l)rHb4x<P8MaOzR&Gc^#bNsqpv%%3)S=?A{U5M?y$Mttw*D4ouPam> zw*Lec&OuOd*!nk^`edj$Y#n(u)Vak_aRJbR2c+??nNV@qx^Gy2b0t(9wtgHYz7r}A zTek|c_Y_ndwmu9dej6+fbp#Ui5-iTo0NY;$OP}9h;-Cc{3=9mgc?u>eNI1jR-@(im zhKj@15yQk)pyCeD_2)2gQ>Zv>T{}$N6)cYAKqxaEEY8nhk^;$(;B#Xb7#PZ+;;?n; zFmu|W;;{AFF!32saoD~bZ>af8q2jQ82e5K=D^wh|juK|hF_<`XeKJh^I!qk8t{Eo& z0xAw$2L==W2^BAZt{a4jb4f$O8McoNR(^^>#bN6ZVd~YP;;{X6uy{0qio@1(!_>P% z#bN7#Vd7y>aoD~Pn7wIGao9S0nEG;<ICT9bOuPds4%=r3GiM4^9JUS~rhX|@9JbyR zCcX_S4%>$UGv^po9JVePrv5ri9J(GFCjJ5{4qJB%6aNVnPk`>@a)FNbvdMtLADTFj zC<CxKKf?#;x<QyZj!<#f{u5aG351Hn_7}m%)nlRJu=VRObMm0#u#Oijzt=&<Ve80Y z>ic2h(EWkXZ6ge`VdBtrvoQ5*pyIH7sNv9l!8WKkY+nFO{c)%`Y#lUA{03AUww@Ix z{t_k*-RA)l{{<C??Oz40^8*Ddt1Kv-`59pQNTJ)J7=)nWuyv>~bCjXtu>DrBa^46k z4%<HgQ|}BFhwZC{wI6(;;;?-RF!gaz@e9y>La=f>4=N5@?+jC44;6>4ABKrffQdu* zmB7RoLd9YGM4{UW7}i3?Vf#X(p$8W1go?xV>A=i61r>*_1BZ#<hKhfHu1kf9zk!Ow z_7R#w<NYUC9266%SV#^MFR*<nFmsfl;;{AKFmV&GII0;SUI7mASzvK~2H5^&SUY?v zR2;TH0cQR-s5or@1Wf!mR2;UC2qu05CJtS{3=@9|6^HFpfQkQti9^@-!^FAeLE~VE z^{XI1fv~tdG<=}@HDTiwDo}CQ`ec|nrcm()=)P3wGBpM#usFy>RGbMG=ZEi4gQdet zs5opNAhg-X&;b*N?!$uFI}<F9YBq?s4=m2l0NWP>Q-2;R4%?>;D{pT@#T}pxALw>w zhWAi$*nSF_IiLl`pm`$LI(C@2paLY^VEfTw@h$@uhwU$dsn-RIgItV?J#dKU;t;O@ zi}N$U_5;Ao?}UoO_BX=D6=y=lVf#~H>Q{lqQQZRK?E#DPGr;zT!@}(ZSRAAR6<@<4 z{t_(C&(HupX9X6{|6$_L{hRF2{L8Nh2~XJmFqk_PpyIH7*s%VTE>s-0Zx+^`w}Ohp z*5$*@@rH`S_6@`0D*`GG+vfpOp9vO6^(%;11{UXMfbHXhsc(mh!}iO-#AkrTK{`?K z8L&7%18iMCO#NM`IBee$O#B^K9MudEPgn^OZm@lvuzFS*Dh}HR1vAG4Dh}J{3QH$0 zP;uD#39#_-hl<0_5rC<W28)ASfQox@h#$iteiw)MUmW6=%25A8_fx_A<pmZ;bqk1> z0~Y6JfbA!T`KuBt4%_z$Gp7qG4%;Vt4`c`f1H&w^IFy4#?FNhUGr;y^pM=VtfQrNR z_rlsyH=yFMb0uKwNuEN*Vf)u%?)(H5hn*h)8z=t{6^ETa0LvFVD$wwO?sJEkBMB9U zofn`8&39^0ao9daSU8wN#bNuaVda23R2;UC2&O&)EDrTN5|sxQ=VyTJD~6@dI;c2o zA1}<DeyBL?{D;X<cg}{2!_F-TfwpgR!TExp;bAhQKLwkAUjbDQJNE;&PH8Jt9JW6a zX74emIBXvhO#C`j9Ja3vCjJ5{4%;6K6aNVnhwbx(iF2t!;|02p5+*JU7Doy&DAN-x z&d&flp8_`i5C#>8?aP9hlMWS!?SF!aS3t#K=LEpY$yTs9)G{P$23VY*0k;1bX3k2e zIP5$F==LXutx$2;{y&)dV^DF}eo2`4b*MP(yc5v=5Rmncq2d#u`wO7W3kFU#NVviF z!@|swf{MfTJHf=Yq2jRp!!U6hs5tC=2Ux$-6DkfnCj-`w4TFlq_VvNcNmqlkqXMA& zfIw~s;T)*?3($S`uy#%jR2;TH4rWd-R2;S+6(&9pDh}H(3lm=t6^HHjhKV15io?!B zfo|VtI0F@j?YD%fzY7(I?QesLzk`WG_l3d4|3k%L=Y&AFi8Ao0L*fOtUlpca7Ag)q zcLg?XuLTu{?MsEJw}y(t_SeJ2eW2p7b9!L?sYs|e?0gAWyD<YS4vGm>Tmu&8XMmkQ z05iV_CJx=F4-=mY6^HEuhl#HPi=&zi;++AD^E1Hq&%)H-g^I(@1A?WuS5R@-c@VIA z;TKfg0J@J8W)8OoG@POP0%77ZP;uC~6EJghpyIIo$uRY{P;uCK3$Sw77b*@rw*e*| z3l)d$%ZHhh2NnnU92NI~#rZ)OUV<fH^~DURIP4q_nD`2)IP83xHDFx~3|loI>o+Dq z_oKnqZybiIhwZzCx#tR09Cl6yEZ;qWio?!DIRxET^#Ljl+cyt0hfxy}&aiWAVC$Co zpyC^#`&MD<<)PxR^RQk(-LDB2hjNf8JFqxE18jdf%p8B1ICR_?Hm(~37DqAw%4`RV z^E1HCPlDz5$xw0F`CG8@fw@p|*!fJb@LvfPzW~_)$N+0sY=er!&hvn!hhtE2*uGVm z`>#XAVdulZ#xd?g$6ckN<DAgr{1~2r)k8glMEwJc^E1HC)ybBHNOEaG;stgN)plrm zKnyAlJGTPn9(AZVY=1aR+yW{NJLd*^91MdyR2;UC6{bD{Dh@lx0VbXa6^EUp028m$ z0@Vwc^Ei!A^|1ZbF!htb;t)R~lPkdD{0y*jL15~4!o;Ebpkd;tpyIG|EnwoeVdBvJ z`Y`b~P;uD4a+vsEs5tB#1eiFVHYEIE`;B4Z@=$Tud1$clKy9!%vX3C_7_c}$1MEBo zm^rynaoD*(uzd|RP;uC~QLy~j2^EK(8wWi;h+zs?9AXzTxdbfE&j33&1ZMA6s5tCA zB3M0g1S$?YXA64l7sExUIBY*Z%$!GHabz1I?Eg5#6?8!12~mSgT7t#-8DQsM!P@O^ zP;uD#4={Vfq2jRfeqiNZI#e8Xehy511yme%{sT<B6DkfnKLI8_3n~sf-v%bW8Y&Ju z9|k7A2PzIbCk?hA;UrWXwr?J${uWgH1N8hWBWPeehl<0_rGp*|$nY5|4m(!}RxUB? zg2I!ZfdP81)eNXPLQrwoxp}a1PYx;$JNE?U9(|}d?0f;3xC2-mYA6yF0v6|I*Z^Jc z0jqzrz~V>>q09yx;*-GQ{0y*jePH%3hKj?^frB2;#IOM>4m(!^rv4yU9BLU7br~$q z&j8#14^#gHCJsH<1}6R$ERJLVl*yw93FiaQa|B`GDFGFSotFg@*8qz{H6u~hU~zs1 z*!dJNdwpQy&~tHM;;~?HBm<z#Qm{Bb1MFNJnEE!bI8+f5H4iM#&j35e0;YaFR2+65 z7A#%uhl<0_Wr3-`02POwLkG*JcfsOF7DAaU`jBvgomUA{&kq%cos$7GUjZr(JLe5L zOv#`N6^ET`2{Xq6Dh@lZ64u^!g^I(@*MXTI1{LRro=*%tHjE(}Bo5j%06ljW>U@So zkT?TB1MEC7Sojn|#bM{_!Q4{^6^ETqcou3<H&h&U{uInTbD-j|bA({xYoX$>bGTsQ z`=H{m^U7f2=b+-S^F3hV_o3pjb7WxRAE4r}b8}$gj0TYSf}O_(%h!TXao9PJu<;f- zusGC{NR$&;oSy-9P6*8WV5m6kJPnw53RE0+9u-Wy6e<oofAAXg0P04l_yr5dKnQF- z?J}@9l0#t3;N+qbL%n>4`1r)6%=nVTbcW=jlH!umv^2eBhLqHz)bz~alGLL3lHB;@ zocz4hVutwml>GSgocyH3ocNTI{G#Ic#L^0e<ow)%oYa!k6g>m%D&o^J^D^TTi;5B} z<5TlWiYgh>iV|~E<5Nm=b1NC*<B@prnR%HdC`wE63vzPGav3U$Gjrn&^^zIl<K2QB zeO=>S{aoVX8RFyJ{X*kiJ)nXfE<p_O?mqrbjz01JZf?P@A@L!OPCl;j4Dl|JevZDL z&I~0*iFw6|$t9WjdGUrOhUO>&MkdCFr~;Pe<_xJ7smY}!sqsd}CMF1ufr$Y_W_D(L zaY=4Te12X|W?m|aRx=|Mu92}Jl1YXp7I2dc%}h{K8XA}x!zGO^j0_plO7oIK5|hBz zmF488$Cu_6XQt<+ro<;F7N^EnfTAF=C>0@}k(if~lNz6vUzD60UzD1Y3R0GsmlB^+ zlv$Qq6kn8DoS#z)3IkM|jf_lD1dI%gOyN#3GBB|~ku^3jGG!<(O)4nLPmj-yH%u`$ zH#RX%Gq*HKG_^1^i8nDxw6sjKu!uKAxGXuhAU@P3AT=dDHQp~c$iFPLC?~N1Zik@} zsuf1YMsPV(b0oJITA+j`S_oR2p!&lY)l_q16n_|+Tc8RU8zR|cV1jD6u_0O#F+<aB zXl!f=ceJ4ys?|nDD3S&!4lzYF+1Sw75=Gw7z{mh@vXP-FYT`9CH$e5Zkr}#xu?3oe zCKgCeH8n%TQ*m-eYD#HNYCLj&0VTS`ocQ9L{POtXg4E>9w95FD)SSf1_>>e>pBS21 zp!&tg$O6r^#%KaYrs!JC%n+V6Kn^xzGt^))H#H{C<!On<CGmOr@kOcWASy9E9n~N+ zv;a3UGeu1qMy6;g3@wb&{AFf<ro|jpfsuhRS~QuW=`gf3L{(vEWPv7NV2P3t&}=kB zS8j=_-PizKfvEvnbeR|!A_CtKtt>M%Hb5jB)MC!iz!WKCVtCaENh4|`8XFo|A|kP% zD8C>vJ+TBO{h`K{AzHRGGO#da$Slsxbt=jPl`iS2CE+Pa;YFDxsYMWjGg5Nmi!zHd z^U~u%<qzC{hNh@~FfnF`k5A9d&x<cENh~Ufk4LrG1TFpyjSLW8Hncz#ScWEOF>j2f z)5y>ORfVyEfjPvjpi(|16;!Xr!%Eo9yt4e{L{Om}pP2@(REslGQ&8MyidNVdpcMdy zW@e}bfGKL(W@Ksxi3CtRoSB%DS(O@Jl31J_kFXmaYDS1k%E-_RH8Kq?k*Y8wLt_hs zr*cbk;*<09k`qf1CLw~)$k5!70qi#ve;FEEq9t)7OQbB1HMPX&mgbaX7UZNdWTvL3 z#uvm}CYq<F873!z0yG{q#tlIwK5|KCWPqOW(ekK~r3I4z(N!B7p_K|oM#xo)u`wcZ z8JeK_%owSOVQ7vTTgHZH1%r{HF(T{?jZr;iY=A6jj%=<mTC}4jLrY5}#l|L(kWMa2 z1?9(-R8TFz0B(kWLk!e7iBBy{%_~Vx%*jbgOwI;Jc1CJq0k}B_Nk%BqX=G`NC_fBQ zYYr2%lw@Lr8U{wjXaa_oX!VAng(;%?MruD88JeROgNA07Xl9#QLXv-OVopweGFoOb zM|G&7fiY4W&Ky;-8ESEAXlRI3UZWI|paKJy!*k;klMT`m4UE!EEG?5PjZ;(Olafpl zO%vnM>kv!yirg42V;dQv2^g9ng}ITj2~xU2_n0|)F=dRb6{A!$Ge!irp&42b8=0Y( zaA-kpXa*_qic?GCi_79mDhpEM(=u~X;|mf?GUD?Rb5UE>#s+3cE=NlWn7Ix;#*LBN zkOpY2L_<^bhM|!qs_Tu=>l_nvNag@%htj;nqRROEB1FMvXo1v(LC@(%hG?c68(1Rz zW`df6j0}t*NfT@ns1;q7n1kGc2IoaszsAx4HIxlaP0$1^km@WW19Z#Gkz@_g6OuW) zY7;cWO;Iz7k(r4hL%e5*Z#<$`8RF{<>Qja!Cgq^UuaTvhDY*OqrH7*A_>!W`L{NoM znpc8SgcuqbqWQ=Kt+qu@w1#N;(a6vcDKxRRb(3=Ple0lhg|ft=_~O(OP{D?jhYd~5 z7|K8m3UIh%DFeac(D(pnH)H{014E<&&=k2QF|lNb_w<i1E=kGEi!UusO#z1nIMzWy zQj$@Wnwa950_xkvm*y2E=B4E4qJ|8p3War9jg62>asy*TY6Mjr$SyK6Fh@&p<|YuE zL7vRaOD!q^MMP0*R%&tyL;}=Afp<icGE3r<^K+BXd%;F%1tX|DfOsYoG;9!GP?VpX zT3j3tbFg7NmNq&}(g@U21NFJVCP14<ps<HDis0=d)bOxC&()SDNWE5bi!{?z<D|sI zWOEAx!{o$xBh#crOG6Wbc+@)5(A*NKm_zG&nHWJ5IBd`aC3(lkrzDmnLfVojE;BMi zGSZTvI5W32C$R+5jfd8@NjZtdC8_X!dvQroYF>It28uC;=13z8h9<~~6g}%2SfF~! z$P8@&#L&nDDPf}Zd<{*|t06<QoNr`|GF)PeS`Zi;AQ^6CXoT8aLGCvoRjfv6?Ey=) zA|0)rW@v#_h8meL#K$LBB!U{0;Kr<jyN{=nbG(tBkscy4jW8-LQ%lsmU}$NCS`Qdn zpbwN-pnBEF1l<S&15}R~8K9L{hNgy)x&*214Nu#krWH!08<`lQwi`hWOymr0Xl{gv zNh3pZM6v*lJ%KY3C}$^Uq$X#_7bWJUr^e@}#b@TFq*lb|BL-xQEFf)nco_-Juqci( zG(gMchNfuMzL6QI`ohu<M-6Br6LftB$mN$QWW)`e`_ofP;!9HsP<wJlhUgA4HbokI zf_nlvpz`wb;^BooWHbZR!cEM9SL24pXhCgciqw8bD>sb|k!?&#O)LhP1{!fd4Kgz$ zL|t!WY=W8>49(GU8hSo6Lz6c~>wp=V8bO*>;IKj|rSnqJ20e_>%1888kp;8^kW!hK zn41Zzk`W`Qpehg%PKZ!J4>3b?q&m(JsV{=sTr@I3ZAKd#pt;S^1g(K-WQ;C=79WNd z=o!TvO~BC9*c{TIDaKwW8DOiEkdu&+0s5Gr33~s}05yWm5ZTelz!WKs8(J7L#K(vD zIztDzk*zT_HbToTmS~<eGD1^fY=|acXa-B3pxh7cw#9=IXkt!$K_X~a9yD5lsBbNx z%_NXwaI3s5u_zPNXagl^@caNusx~r3&KYKip%5cO1JpcZXpY{4vA{^<$YYb17N(%t zh2s2p$k;YK(HR+-AX+t`20YC1=;m7@j~IYTj@-nO<c!Qb)ZBp7L^1*mqrprwGDOd! zCWeUQZe(bPnsALUMu{zu8y#k;mgXkOh6V<yMxY*FT6}U+l1Z9@S#msTC>f(QrH#>( zt{LVaDkR?*r{)!BmSk3?A_o*AKF|kjjEvES2n|ip1q{$?Vq;TC%>XW8K&3ILW&jQC zl*AX86qP2I#21tlF_af278Ilw#e-_Hc+@)5(7+P4N;EV!MlK~x(aHwQk#y9+GBm^J z^`H%N8e^2?rby$FhG@+dBNGdxMgnLs1tl|@q79lDTA+0@4AI7{42{rzWP;qyLK~AY zG)5cNFfu|DK<_>pTA~eS7+RpuW*H)lj2OWyDp2OeS~Wro2GDpvN;Wq(K=%n!x7rM? zZER?URv8!@p``<Jq~Qfa^dU@Rq;WRX#;c(TdT-nSEzcX7qFHTdh*YK+A@Z*wXg~@% zc8$zTQM;FDeO^No14P<D&H9F>7=eRol#wyosH~9*nhrx_14KqJG(p&8h*lmL8lX)X z85yCKvΝ7g30rA?4ZR{JgT%qLTO$(0oW@4oVCg8l$BpBO~b07&yCQO)WSZQt|l( zNL@y(dZ8%|)b~RaSg65fXo1!?G&D!6`VEcHXEDssRal}W2qQxSP<@+JTnz1>Aq5p` zYBM%KnGrE2G*<?iVgh>yC0U_mVN<jM(9jgAz6Evt;>!|qN>edwXk<eT&5#D$K|Qjv z9MEXDxq+FPp>Z;Jv>VkcMh0k!+sG8j1hi7n(9{SrAOntgcuNvGxL%1E3IL5xB$pNy zrRJ5ygQ@~huRbNeC^s<=68VrZRg_d_WP;v}LW@8{OXz$A*z(-eT+qN5qUmI4g4DP# z$;^dxok42BZIyD++z-~#f3yM!tsF5lL7v+HWifcF0M$^)VQ*xKJa}V>)+#qLHiC5N zic)hxQ#YtXU4}*&#j~*?q#>G|pI2NGUzAY{4@sB{2)0Fy4bV(Av^0h!Do_N0mQkc+ z7R7^|16l%+nHFD^nhUC<QD>5jEYV6e3$(h_2&n)>nzKNe>%p4UQHlvjzK0|tLe&r` zH^E2Z;)^q@QjuaGHZl^QoL_*HD51kB=yO=$?k{Nk3abktj)FA$K^+`uCIlrg^d^j@ z1*EwJN^|j0%b-0zC{>i2jwsPU-5})TV`ylIS^^kZLPp&{ag|(DRt#DsQ-D4m4oeG0 z#^_CEbEFoYr3Fg20+DNs3@j1jD@LHI9B!Z?iXQYj&lGJs%Fq(EQ)6Ue4oPX?&`2sx za|EsVaR&`)A$uBBxgqln4Ui^j43SDABT!)mbDOaR+IW$n8Cv_w$P`_`$PBH8XpAmk zhBl#QXl{ZOq4-h<s8Ix7_5gDr#(<8IDVpI%W=OLxMxeGmq(nm*l|l&_BQr!B#nc?F z6h|M_Hnc?Ud>NS|4RYdMnu8jHhGuA;e`5pmMy&}-foFk~l0Xd=WY-%Rn;J93r{<v* zEa>HkDVmjrmIi3CW`SNS7+E5M1vGzzVyhwA$eWQlns#FYB<)7%O)4V;l)@ivcGk!M zt%x@SmHDtFU}%ZfdNnly7j3YS-FWEaDYAQw(VNRg=zTm>==cjbSHUY_$YfJ)W?pGA zYMp9mh-f<+f#xWYZ9|_GG%`W+ps@j(^+p&?C^QEfo1xaG81u6x&{`Uvn_#Vjf}+g) zqRf&?c=>3EHt}d^iRjuJ8Je0f_?6}cL90?vv}9$BR$3XEBlMb~x3kQU`YT4DA_pam zkQbUk>Tht+!>X9%+yaykH?%NE8fi5!hLqqCE!b93!3P`55)o5{pq3vj86gG+L0vSM zsF5LBzX{a1KykMvT9@4f>Jm`J3K{7E4I_glvJfF|fs~Wbr{0axa;A|Hnt%yf%%hh8 zhNfsUoQCFxh79qkp!pD#AT%<uL@IV6b4dl2@doh~D9Q|t&{C_B8QQ>`p()z5pb^Hb zs|lJ4Lrb(FGGhZ1L=G}Ef(9Z{tp&)^GE_HPpp{2Ron0eP;RTOhNLc|+H_!z#$gyi^ zf;QHN(v?SBm1blNT1FF}lbMtZ>DR>PCT8Zr>lx%ETAm16ahsO{YFWkSrB;AOZ$LFR zyom%_`jeds9>4)jv%!;&k)b(i<$*bkY=Nr4(7*sS!5A5!rC1{)w8F*E5KV<4sJw&+ znE~3$Eh9@4M0z$dMs8Q24c{4~4Ywe#VL)#{n;W54NJeJRW<5Brl1kG&(+t3^9Bd1X zAO#4_#n7TJwU_}^^%qpaqr?!kayK+WE>qCUMI+F{Ab149vI|PGGd4hPteKi2HJ2d? zEWS87F$cL2LQh5pNWEN0g_DS0otvOH5X_8_>;WzPF3yODdK<-shM<8WxF^ucC*+iG zWQvwSjnLABkpa>KsF9&L#L+mb8^ppeSgfE$xRELHT%<A5Qf=f^0$Kou>`X%oLrVrw zHe&!UdqVN6u>qnoG6F4JgxPEany*DM#Tb36(Ht$`8=_BF8l%lE7@48zFfu|j)5rj= zQU^@|!JT9PT?dRFfT_jti6!yiUQktPQ9g<XjV#e-GmQ<=>@+k*Ui4;;+&Tpffn#0t z1Rbmb)n5fz7lbnC6<6k#BqlNF6_*r2=nNPuGp{7IsDME)FTW&JFFmhR4`f7YQAs6| zP*Q1TPKs`33RK9^$x|1!5dy3{Be6JxK`*5;uedT7LYEXVfMv>3i;6Sz^H4bPMGSgH zsX2)t4Nz7=P6>k^Xfl^UuOO#HFFn76L9ZmWqJ%*Ylq(qYit@qrpk8VQbPGgAN)ZE` z2hj@I3jxsqV?*cR81x|8lZuNO^pf*)b5rx6Ti#$hJjkM@!S{K>ZoOe(fL=Qcr)AJg zg>Juviz<Wex&Ysq3K4={ugd_VLHDdeWFRE;cy0)Xfq}scO+WM+Sq2!b0#^xP!1jYf zcnsisSwUAeLUzG0!0&|x-G2yDgKj^%{%{5chHwA>=fm_bfE**j0Ha~{vw;j_U|>LZ zKj@xHZY2Mofa-_Q44e>+AUA@-4yIoMBFvD<zyLn66=px^UJ{TLjArBk@emkf27<@H zP{_c*@E^(jr=SMIXxM!%F!#gkfzfpg3=IE}^iP0p)P~UxP|MKW4;5zU1>d`iNIw^# z`eC$%IYcGQesup&hw6u32f)A)2vHBCe}F7zU|<007e@+zs5coFLenou9;_3#e+^25 z(l=NfN}$L895nq3P<=2u0eXHNR6BzJlmVkb=l6i(1ZIB&^gc-#4f8Kl8$A8M#6j0c zAnS+SM+u`fp!c4`^uz3h@j>^1BJ1A)-PZ@Bd0`5nG`jym=PZKs!_oun-V7K$1$vJW zR6E@NFh1zqV`TlXdxYUM^xOrQdUX3i*YY6i*O&;&Krs3UD1cy^k>VdF1Ue@M<V1)r z1_s!@$}hYiHo?LfrXI$J(V%l1KwIiy`eFAte;`sn=)N>i+{5(4?nV9q)vo{zSZFea z$3H9{LC3{_{14MF5esp*gfE1C0o9Mo{ePk97p9*9c7S&PM85}G_@kGH%#4sS4W=J< zzqLmaL_aK?VD^LD3S)z44o1+u*bEG?`$9lsAPm#54dNhSn0{m$&5K~w6;S&#oWWcM zP(1{?TMy<QSpEkUuQ0bmR6@uHOCjz*ismqwy%1HPvqm80FN_bPmtKSD7ev#5#$^Bi DI?R_l diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log index 7bd6a07..2389f7d 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -1,7 +1,7 @@ -Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 42475 +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 33237 Design successfully loaded -Design Loading Memory Usage: 22124 KB (Peak: 22132 KB) -Design Loading CPU Usage: 40 ms +Design Loading Memory Usage: 20176 KB (Peak: 20764 KB) +Design Loading CPU Usage: 30 ms Simulation completed -Simulation Memory Usage: 108480 KB (Peak: 161392 KB) -Simulation CPU Usage: 2610 ms +Simulation Memory Usage: 110112 KB (Peak: 159444 KB) +Simulation CPU Usage: 40 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb deleted file mode 100644 index 657c2717c69247f070a0af9566856c6bff87d2f6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10220 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Lx%t3=ofzK|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexu$V8_AZj|ZE0T7z~JD|z`*by3LLT+7#OnqJra^PCAfNJIxg_@a$De$oUy<! zGb__^)#9w)EPqE=ugomZUeDw#KUeSGq^t!97FbVHmcOU3XO^pD@~)=drp*&~EXV+f zWO^jbf*Z3S!_zmxZ2_IF<7HrAU<ZW`!)qAJfq{jAfzjb40|Ns$RE)Euy`gboM^DRQ z_J#clybJpj^kEv2f{lT}fsuh>1B1i+eQV{wX2J**aR+7w0R{$U2S)}526F+Z4J`BK z@0!fO;NZZ(o#ep4D8S5ME(nv_j3FfilbVGgB@C0AfgvRVliG<PB?Xn@G?SL$=T{IF z7X-V76Xq6as62~*Rz@;d3hqo9s1#F523P{F2NVRLpk-ECxezP^*CG$q!mNlcqX3gp zMwd~9$!MU<D8Xd3&}EciGMeZzDo`1g#a^C%V8;o=ys8S5T7e;@29sKaA*BwJT8Sa0 z0h3ydA*BhET7n^^4V7YUZ-j)NG|YiIFqwvCG#Ndp40~&PV~=fP1K49iFn#(^SsuqM zN3RSA4=+at4@a;Pc$68SDRK0IDS?|}2vq{IqY1+fBd9EgkDo`fABJW#s5JB9g^L&% z92giFlN=ZrK#AP~D#OaJ(c0b!mScd*F<HXomUZ`aEM{PEP++*h#qj^Xxh+(Jv&D8{ zU+?0@E1JL>;f6ZE<Xani+na1xH}rtz8zUVU7|t*;m^(q`*;hC8*e>n>g(d?7%vy72 zs4OQ`wgcj1xV#%wp3B+GA;Ui*D=X6(Y&;Xx-R2%p1)QA??OnDFiyN9z<vpSD+~OcP z+vdI%y$~nB9q0v9VT4PCH&g{zs+Xf@vI8h;!Ir>X=?hiB0g`e^bM-})_Jc}urB9o) zY?Y5kf*-^aaQy*L1$^75&0Vx;p+i<?f`gBr2dXK7D2f(iRU{A&Rm7@b3yxw~;m9BW zs-8e4g#fs+aPUlb^>cL1a`ktGD`8+TFNA7mnFsR(Jf4eSQk&7G1WKV&9MBj7g(%Ea z^H!)Nr#QIYv+ZjEdkY?cZ7_Kw?DFkUd9Ha7hi7FbfDM2LUI$FUX6y<Cx}XZU7q95; zYq14e19KjzdYBEBXIBtzZEsxE4mQ3SmVW2JWR<YVE{4i-rBCx&w#qZZFEa_O9iF<D zKoxLyENoeXFrFEfewRVzIkNmc!1)=h9d7$ps5E~^dw)-Z;o`0i@x?3pp<xJ*8<;8q zProEz#~oR2F0PxiGLt}Yi=6+_m3e#4%gRiG1t>g5V9Ercc6N8R7%g7Wzj#G2*lloi z+n{daNZH}y>g}HZNv|+R2^@z?voC6qTHMtEibq(e3tWK8aU{FW2DvsFCPRp31lQ=W z!ivG6VcHB(I|5YA!wMo4BUl*OgrlIAF|aH2wScmPGF;?8g9A5%0E2K0ObN$AXx>wX z3;kzs&<ClBg{xT%Qv(<J&){GHQWFPPvjV0DF7%(lK?bBI9<F8;ObuM<KZAo3NKGP4 z4V#xIsJvi-3$QS<2!k4npsdWm+}hr#4Clc#XTvmuY5-+8?>~csBFO9<xO7AFLS;Db zKZAobNIDlT-QKuR8P5C9;2;HRQZaxWPzF=O3M%v)mEn9?Se3&SEbds?u}B%thdH7G zrhpAns4K$-{xdkJfZS0DQ^CA=MXxfP_n*N*1MCh41{Ov>;cl2JRuNlKaY1D`ALf!C zBn8HXM#^wL%q6`r1?(cW*2cDS65tdD7iARgLsnn}Qverb6z+#9V43Q$W3~gRFh>Zn zure_G7oLEmWbRzB4R9d_;fXL=RuNlqTVZ85|38C+F(@o1At^Aj6;_7x|1&t4fD}xI zE06`70O$W_a4-WYn1ZALWCEQ3pTWT#q+lvc0ow+LIrC;rR)!1wXK;`Qsh9>+!7d95 zY9n!FxX6D{b3uSXcsi020b>DSLuI(ge+CCRkSR0ZN<?fSO5h^@860F81Q;M$99Ag9 zayP6P2IX#u3RuYr5@7(P1~z3-cLJO-{(~C%0u0KhV7C2daByM}U{F4dB;W!PID;er z%I3;vkpw{bMfn_(fGvXn0}CUY@+BlON02d>kp!GU0#}d(z!qIa5&&Ct4M_lO(RCyN zkVR0BF)%PnYr(oO3=E8n4s4(d;4p=Wfk6w_e*ufLBgA2y7qB=7LLAm}0gH1Y#9`eQ zus9b&9M)$6i-U?v28g||z6w~J2caI;Q2~qdBE(_+6tFlSLLAmh0gLk^#9@6Du($w1 z9M(etiwh#eVf_=ZxDY}d);j@<3nRo~eG{;_2v~dzC_G^u6R@}_LLAmB0gH<v#9>_$ zu(&uv9M&HJi%THHVVx1MxFkXx))N7Xg9}=RNg(&Y`XOL(Q2ERN5r_3cz~Z24g~0*r zepvqlEDp*|(D;INI>6$fj0jZ^>uG?+LD?KC4(nrp#TDT0WVB?4buSngU@V7V1_Xz} zp@R{ca2X*L4otw>9G1Qr5G+PU2T%(gCZG&sFhGlE1Gs>LC?f*{iaaRUF~j;a3=A+9 z*f}r`s&hbfE6h0#Aq)%*@P-6}#mL~G%pkzv5DoGMGpzT+zyM=`jfZhy#yj{hAXG4b z%XhdE7E~@Ga6r`@%r(|_@W4T^pn(GuP=+x;fdkU42^U~wMUez$U=#sR$$%mN%K9h* zpfUnQ0943;!wAu?g0a9s0OK$?q=7<;0UQJfL6jDmC^!h<l87Ks1%)(NKQpYK$iM(& zfvtgYU?I)Gz`)4hpa#+hO=!%pJ|qJJj0M&S<DlwPN7f1JT{1AhSYVwn4ooN5YY0J* z*Wf%z?83PSuYp=Au-IjW^->uaU@WjjFb>Qji03qsoCuBqP!0iCYYZ?JST~G=5(5Z9 zkTc;tac~U4xd>-!fh+^Z0N5%}*9~G7j0Ls|#zC<PAqcVx&VyJ5=OV1qhFir9>rpc> zz*t~wU>wx&&;jX#MK-Lf&A<R-fpx+-s5*6#b;5e#3=A+9SSO4F(+Q4jgdoUka2_Nb z!?_5r=^<MLY5+pQ5XJ&q1mnOgf<!i`DF9C<ur59W1B?aM3FDyZ1a&xII;~*+eg=jl zXhEV37vki%UDmP^)bRm1n32)J5LBKsSiwdF7#Q+kdf-A_ppgLwaEBVI$q1~888$+| zzyM=`oe1N=oCpp@gdivs;XFtJfpbCTL&5^o)`j_q88+U)zyM=`ErM}SEJ6r^EQ0eO z7Qwj)i$HB=m_=5w(F+EKDp)YUg*ZGt{4*1rJwd4%)bcWg1OjYKgMk6Y0=o^yL2(;G z5ac#E58^gB7vVNga~<Y3X4v2e0|Sf&wg|>Su?QguvIx$DSOn)HECMzA;TC~<=a4iD zV}UJ#aZoHm2!bqv^B@+%xd@9akTVBtw1$BJ#sXUe<DgiC5CmBS=Rquja}gF<B3Wbw z8}(sem<fwkxDb2$;zrwrN-ZF*jEoLe;8+Ehe~4}ej0JWci~}qGAnDZ_WFMmJM08PL zEU;b}2UV{PT(8|csQVZg7D8F7m_iJUjFNCshgeWs2+oD}br~2`;6iYtQ0Ge=SixQe z`5V;2W?*EJn-8^<fdS4^hO_=NIDkCKfFc5F4$6V%z90z(&QgZ6{xdj${KtSI0yc;N z9Llg!GX@423mj4~4r)k&x<ZgP1~Y6hje!Bi0_%fuV2KA3J9eNDhE^NaOX0pmu%OK= zn1C{j0cu`>G;_iQ9K=B34d+5b#Q-h<X`8~iQ164iis+@nSYRi^I54k*%S(hHD80jZ zkXbA^7ZiCAAKHU_2u^=?E8zay0A;CS3W3rPTojUq;9Nu+f(tRgjKZ3RK#e|V8sb?A zwUdEi9gM{Z7jqB*1s<IHpTPm_Zjcw@g8V9QCc_$-5hyc#4yKHtJ}}7hP+R3z!4<+; z%5c_y1_w~WfwaZpqKtB@;hNwqWjG7!Hi#y;C<B8W+*=3_D#OK4tb&U&@T`Yh1!pP4 zS^pUvKxs@4F2De1p(p}{6$2++&VdaSm~bxC!QjRnTo4p9%&<XR1_l@lobF&8SXKe2 zJA@!8Qs6vDISc24f*v%^0vTEXg^>UQWK@O00W{<RuS;O#!VC;B7T9VS2gPcHAjoPs zj}`1AI2UR)BZC8IC<SJb^$vIdBUsQ(4HHm?F+iEx0W>g!EC8x&t#`srL9n2vzyy?G z43H_{@g8IWP(^CJ3vLR61vLdGpaEkrD8pI*p<V*{9xliL5kyf18Z)up4Yvfrf?5I- z(10-*l;JF7OW=agz(i368i29h1Gfahf?5I-(10-*l;JF7OW=Y`P@ljB{xdj$Msuw9 z!Yx6tpq9V{G++z{WjG7j61X5U)DpPBe+CE8(2(^$xFrY{)DoD028_X=3}+!*0vBX~ zS^^jN&)@(WXR_W8w*<k0S^^W$fH4@9;VfiJ;DW4BOW*?k85}@^R@MjLmLOPAOJD-Z zFa{`%f=0AZ1i;DXAlwuL3u+2XKpDmWnF1RBLJ<I`k3(=%5G<%EFac#417r$lv<yW6 zoB$5PO+m1rroaT0VGNKdps_U+0dNc-ft!M0K}~@PD8m>aQ$QneC<5RpJPJ1j!Gf9s z6HtaRK&F7k?N9_jabyLX?_yv$4>eC2F2ua31=RRtWCE9KaAC+?1Dq?s$mrk-ZlGDg zCde2VZomwK3!xeY7ls%H=YkA#0~=<23u*)d1A+zhA51_Q#sK*b)DPo;3uwSuD2l-2 z8=ztfF3kj)nn6(oDq&FsK%?;1x8V*#u%Hft2`IxDAP0f^YaDO^4LA!$5!^v=X_$lH z0?^406m6iY%kDAUK`)>zRZJmJ^9e2rX+FWZh~^Vqhyi94f#wq<&l9Mf3=Gd<EKax> zr1=Er{%3Fij}L)D9xlkJ0%szOKy5zl#ArS}h1tabXDP#3{}~)WBSQ=*BB02Wdj{7A zXDP#3{}~)WqdW{KBB1&aRD&}zf=5;vrNI*z4xlkf2hgyi186YP0W@X_o{V(>jq5sq z<_;V{rIrJz&;eH(;6fc-=z<GH2T&pB04k##Kt+rLsDy9;WpD>j{shlff@d_rv##Ko eCGZRscrwcY)Q4e!FWN&}r3Z2>2s?n*`2hflrK<b@ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb deleted file mode 100644 index 6329d03eb3530d4b2cfeb87fd8c01a1b20a17418..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15236 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Lx%t3=ofzK|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexu$Vtx%#_0B)EEIIx;x;GcYjxhXRLe1_p*ze2ZK9T5Nk;npZUNwl{b4HZEGo z+rDD)iUwt+#XO7q8d{oHEbidxShTpkrHiM3F)xAz*7JXHUq?^J;+BR*#{YT#8wnWl zE(D3JXzUe+8^gP>qo=o<m)`bCFfcH%f&z%)8;s?^z{0@5=x~yOfq@Y!#@W%{(73Rp zr)4qw!hQwbg?$S8FpbC|$H3sg$iT3H!QuVBwQ^uXVFZe}12cmF0|Seaxg^wh=GOK` z1_lQQ2F@f021Ws926HKx%;JTMz%tx08EKeIL-Rtgj5JI}1}4+qh$_Pbatp|lTnm%E zye7JMZqCYJU~ouaxWUEn|38yFR3F!3Y_bYaS$2hn#SOxXK_<gAD#E0cFr<`VQj2;Q zEs{Xdr3{r~^Yl-ch2jtuxQw6IR1_Iis0{m(hV~9rN2o!ixSRWW8#@+?i_4?frU8}a z*|B-Ut{F3TJM5Y|e=3saHKFp%!bTzt3=Rs=Fwueu8(D&cVLsM|3bTUT(Tp(0To)$M zvA6+jKLbN^qyq!P872mEJ*XUqS8~D@P(EW|a6ppQhe>;Gah?sAZiMMJfJ(FZ&xA|D zjW&czvI>AQD5|;9P&ww#g*{*yxREh1nI@=H;WDvM88%l3$1F!LRBdrE$pnNXQ<4J% z11Q19LnT=}{SzSi;8F=tDOONg=m47umrI1oadz}CS&WcpfEk+vm1ken+$_+taxqvp zBTP0KD$B9ZG0Sn5i)S)e8t$7^s5E<@1T4Og!aWTt%aP^pk?QE@332t|AO{A9X-o_P zxln1oWKTc8gyby$1P=$-lq^_=hSH1<0SpWbu>8fq!U8HfA%d`M#lXVC$iVO)A_&V( z3@j{6a6wo;Vqjrmh6}=S5CaRyP^flT-eF*2VTG%NWg7+-7B;vbEXOdgu&~1gVR?mt zg@qq1=*Ymp0Lvi^$`B{Qas&e-lDlE~fq@a?Lrz#uU~u4IWMFUr8H5me!Hg~>!Ke(& zA`Jf-90WiGAgGW9>4PO=Xpn*^MzDdf_+wyTU}5AI&V$AX1FMLwq@j#5od2J}L54wq zK{y{tfsnDGGMxXP!9f<Jpa7<TS;SUA8P5C9;GhhWE<}<RR)+KbGdReBq>JFvvbMs? zaNd6g2YHZmF-)3mgTu`E8)hlP1^$D|6#)j}5||2BSz99`31v9{KZAoJ$cR#y0%lQh zL1j4aKZ64sNV*Ir&9ZC9<{ip#?tcacc948IOrBXl*iaeH`_JIO0g|qOON$E{D#LmI z85}qv(E$p11_nkJEm*z8z`)1|wwl3V3KIi^7OYkRi-W9$io@z8usFyr28T%?^{^TV zEDp8=EY7In5Q3a*5c!9Z!GViGfWaXeRPllp!E+G<oW;oCz>TU1o~sz(EJg+g9#lo} zT*d%rF)}#tqAG&tItDn4k->ovRS`TFGQe4k3=aILir~4D0nTECBzz>_!E-4CoW;oC zAc$%bJl8V7S&R%0La2)1xfqe385taeQ5C_nHUpf+$lxG?stBId8Q?5N1_x18Mew}N z0B12WIEbMtg6DV!7|S7;fdS58a7Y71GlPQ<iXf;+fT?F-1{VZyE+mCAFfurZgRBDU z2PYF)9m~J~V}Y%LaZs#62!gDF^Ax~V!MO;lBv7q_)z=IRFc#P<7zbt*xb^5DiK-V? znKLlJSYW*{4ys-$RK18|62=1Sg>hhd!J&x|1bGq8V*`5;&P8}p8g7-H5v-J8h=Q_I zF@+cy871MO4zY|349ajWw6tPiP=O1<jY4f8JFvpb9Z<eyU}RJ<hT6%%5D8;BXoHN0 zOZ;bW0J)Y?S=|Jtf)P?(!1)Yv2qv7R3}^jkZ~#RqgC<;rkqJc>oYq10I$VJ~3j?F5 z0|Uc<byGA;5qvo~lL5|BhO^+7!bKRFP-Nkj!WGD~FffRLEj2^46wYUogEJZ6EM+(g zZYf-ZkqJc>ZYf*=$Wn2zrRHds!uiZ{a3%wsr3`1mErp9PGNH)AErlxpS^5KPsRf#) za6XG1oXG%ZDZ^QCOW`7nOenH&OW_LSSr~*R!IoO0SqkT~%E6foaF#Nh1-BF~!pMXo z3%3-mK%RwxSqp5b6`G}RKARkz$pB|5!&z`k;UbJoD6()%;R-;OYJx4bMza*oXP1LB z8Q?5sI16qmT!fJcMHX%;TmdM{d;(i)gJvn5&mjkAGQe5Na2DKBxCkQ?iY(kxxB__= zhWejiOKs6Ch4VS(;7kTMOBv3BTM8FpWI~aJTMAbI3f^B}OYPAth4Z=O;7kTMOBv3B zTM8FpWI~aJTMAbIvQz<VsRNp&a6Y#joC)f1LWVh%;bL%0;UbJoD6()%;R--;ssy$a zRIPwU6hI9`5F3QSd>%PClL5|BhO^+7!bKRFP-Nkj!WGD~FmNh@Ep<ZkDV)zM2WK+C zS;}x0+)}s*BNK`&+)}s#c@~Ces$ff<(JY1Y`Q+eC1~^L@&VpMC7hz;Vk%e0dR{*k9 z4Q#0knx$|)zZ{&&0B0$~S#V3?B8*HZvT#e`3P1@-9c-y9nx$~QfE=930B0$~S#V3? zB8*HZvT#e`3P1@-0Bornnx$~Qpd6gZ0B0$~S#V3?B8*HZvT#e`3P81t2G~+}G)v)p zAvrjc0nSo}v*4D(MHrb-WZ{;=6@cOtl&k)$d!Shg=L^fhnGA52GMojs6fVNZgdz*K z6s`bdsS4PqUTBuW`66;~CIg(M3}?YDg^MsUp~%85g)0EXDHqsMZ!}Bcd{H?#lL5|B zhO^+7!bKRFP-Nkj!WGD~FtBoiEd_NVz$Fm0H3;X6$-$WnaF#Nh1-BF~!pMXo3%3-m z0OV61u%*6eK85qe<={*PI7=DMf?Em~VPry)g<A?&0P-m>*it_<OW}M8IXII6&QgZ6 z;FiKg7@1IH;g-S`fC>jbu%)0m0p#F?^CjirOa?eh8P0-R3KwByLXm}A3ReKKlpky< zs11&6DV#4Q2WK+CS;}x0+)}s*BNK`&+)}s#c@_pm8L*{+Xu%8TOUuET3~-h*oCUWO zF2cx!A`7<^t^iab$$~8nLbDXkmyv@r8Q?5sI16qmT!fJcMHX%;T!B0bgCnSA@LwI& z8AlFYIA2x{&SZeIl;JG6rEn2OCKOq?rEmoxpUQ)M3L5!AwiM2nlY=uE;4Ec03vMY~ zgpmnF7H%nA0Vtn-23s167QAr2yd0d#0B0$~S#V3?B8*HZvT#e`3P3*n0=6^^%~CjD zK@QGjfU}h0EV!j`5k@8yS-7Qe1@bHm{Gc}8fAw%QOW}M)IXII6&QgZ6;FiKg7@1IH z;g-S`$g|WkFoAs<fo3V3uOtU&GQe5Na2DKBxCkQ?iY(kxxB__=MnxXbAUkM=6BN_1 zX$}Sk7z;cs0^`7jMIgg5pkZRjs1tb92sRPIzyM=`^};x)dO;(|NP1yYB@7HO7FaKg z1Jes0jzI{5TD5Q<J9sz-&P5EzfQFkPRx!gST^JZ(EU+~&4ueAnVhjx-2(kvwgIELS zBCG)oTSN6TFvBKr7#LtIuthKq%pyoQDuBj|AT~2F!{&Dw7+@^0P8bJOCup1-ZYON^ zhk*gc0_%ivP<1LH+X<T&Vqk!=z&c?Zm`-r$BLqRg0_Q=31<pkT3urC?=0tEZflV_p zFu+(~%U~Ros745aEQ9kPmch9Q%Tz$VLX2Y~raWLQuvIV)%qmDMsG{nHO=vMNz*u0t zFb;!*H#n(4^s2%2T4%y?F9U+b$mrn7zyKG}fXgVuS<qP%a1g@<!6_QfgZLWGg;)=s zYXMK2!6n#PtQi>oTZ5JwfU5=u1PkgAn1BY1!JrIh!5jh#aJV1?WKIX{9)zG3Y*vqf zp%UtAWw;QVU)GGNTXuoshLO=h9XvK^R|{3fz|aI`sbUI&hC|_^kl|1`7cm?P7lIo_ zXgHLo4r(U@LnDmE2^Vt^01b@7x&Ij)zzG5r3~)h4xq6sJ1~^L@&ic>b07?i9oNy5b zc95ZPE>r<H7~z79a&Xrm+@%Z`gX&>G5dlpNFfiD)!d=q=WvOBcfr1k*3JFd)7ZIFr zAqJRH1cS2;Y9|9jJB-B%7jqD1WMII}1qU`<@qfq+gfg-KXub(aKZXWyp$Uo|xF$v< z<$|Dahs!``1i|4B7i5%!I~(BvWw_XX1_w}S!hj+ID&rX#80@-X9%lFoWvOBcfdU>b z3JG{P7ZLDqA-GXk1D=V20X!YYz{tqc1GSTZ;R}q#2^WK;V>lN&n+f(hT#!)(&SaPX zGXiC`jl+3H0Z`V3+sZ803s(qdDZ^RN7-T>Z0nJOx^})5lS;}x0G?y`eod*|Xkn4wQ zg0qz2Ea;pCL=#+;fk9;=To*iCuz89T?kQHeNpLN2mNJ|LovLO47q4(p2haeXiY^p@ z8c%YQ;ikY@%5WBRx*XzMxG00%6u2fhOBv3BPQpVp!9^M5rolDAS;}zMe+KXj8AKCY zltE!ST+?S53o;D^mw-keBd7Wdm<mQlWjOmkWFlDwF2Jw|rVKTJAAnO0sAhspL9y`6 zge#l}V{yX8AZZoOh0g4Q69Zh3Q3cLqfE$5o>nE_SpyUd%m62x_%r1txFcv3V3}P#s zi(ELs1sUaL!!$C$S;}x0G`tv4L_qV}a&Y?)HY>x$;M$NyK(p@*407|~_Q6@oa26~j zYQRNM<UkW};Fbei8XSgjo;)fSwAhXTGHoXZTHFX}CBRwAa286^g^M!EErh!j&QgZ6 zP?9cOl#xMwF-#XDqcWWRAF}*F1unp_2Br)(lF^eEGtUyZ!j&)<CtM5?$#5=m(t-;z zs=%2Ha3fG{6=p&$1{ryl!t7#L0b_B(#UQrAxyVTiF32di45pC*&QgZ6;AsR|1e~<s z_91LmhKs?qA&Y?OPzDCMRdD;@EM+(gp0wa1D01+m1(yb=B{)wUl?w?i@QkqBYPhv< zmNJ}$lC<EWj11~)VY(O@mEr9Fko6}jZ~=ycFlDImg`P@Sc-Fxc?uD^9;bM^Zf^(5m z30#m-1<quE8-Z%8Avl#Fiakc2^)R~__P|)2a50Fja4vExfeSLqZGdTHfU}h0EO>My zi-1yz%0{?0xXq}JHOFx5Cb*W}Fcv3V%mLJt(tvZ7;VkHI1GwCQ3xZPvoCoQ#z`39j z8d~n4s$-Db46~R4&QgZ6;GRVm0WCFB*#g%FcR8wO9l)LiSq!NfnB=y?wZK`*aMphY z2hchZNDT@XW#rio*97X&fXhoxxELfl;aq6*1W6v;2|$(yFC+rBUEzX^ay#Hwz*)+0 z7CZ!yML<iW<lydMfU}h0EVwpg5zu-lP=N64gWCvaal%<(Pierp%5WAe+Ck2T3o1Zr z6L74;1sUbwOa?d$#URiC5+tnQ@{9~}`{C|_vy|a1cyR?6L6L(OS8!>to8UZtR4xZ7 zARum+I{>#9&QgZ6P>L(KC?kXVA($>kMrAnrKV&7G3S5BUDohz_@<cDLn0XGv6<&g| zIN@U8NKuA!k&7$1AfpPL$pAM3)z)Hgra&&Pj==0<xCmo$!o?uA!nw%B6<m-}?kG$n z1DvG{XTcL9vIw}iItJGUw;9#3m1vG-mOBpD0%s}1StvOeF3QMr0<H<p;)JunKGcA7 zmEkPp#10okPV8_&Mmac>0nS1(2()+&lGx$$j0`-WeG%aDgy90rg`99Ph`ZoiWjG7w zF3=<zTo9bJ;XFvi4Cf+M%;4?}T!I6%beiG63S5BU49p>@5zz#W2vCNB6{V-(3gIke zI15?~GH}909N0jeayS>N0PJeGAfw!AxS?>CGMoiZN5~?ewVMn)XW{w~0SOla2c$Bb z3$>aPE&v&)fph;u*Ls4=IJh9A+&Q=vaF#Nh1$P><2)Kxby9W`)%5X8bHe?ZK5iNHa zZXukd3}?YhLbwQu9K0liOM^oi&V$s=a4slbp>?y|6}Yu<mNJ}$QWC;N85z{C!E`Y) zD#O|TAxmpj-~tTyVaiZb7iLL#9j@>WjKv8TgG4Bti(C@I1sPS~Oa{0SsJ8AxOVf-z zH(+)#+=j6@;bIV5;audB5H83lcN3<O0nSo}v*7WKECMPC<>2-qY*vPg!L=caKzojN z;r78<%5WAumB2+%<lw0UE)7m4a2}-R2<IaA9Ph!cg|n35ER<9N7iDBne*n|P$fyiw z|A#CJSAh#KJc21hjW0+l0i{()8N?{}5Uvo;QiikOp@u91S`yEo{uri@kx?1W{tsDq zt^yZecmh*~*BuND>Q7+`85xz~Z0Oz$6}SMybC@!`<}otLJ%cNRvy|a1xWAA^ApUv* z)5plD3}^p`tb$j83ov|wDZ}OtM9Y9l?j>9yoTUtBp$y-_MHzWs!8Lt^u{hykkW2*U zLdUnk$qp{apn_n+jX-tkD{$6DIF(uMHOwvsI7=DMLUAfwl!50BT+@3POBpT(ZA_@Z z1sLFpP#yCb>=;D4WRiOeGmQbxQiijj^$!ClTm(`#!nsfd;6Q;3GRnP!8wzJB!&y+r zLYf$GQP9qi4{&X8mNJ|LPfN%m;Istt4g&)tFJu!5sIY--0s-~BAe%Tq)f;&C5oD7E vs3L}Jk^pal08clAW-1t2z}sHH+iJj@XCPZ-;G1dDcF#iWWngds?Y{*8_aF}2 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb deleted file mode 100644 index c49f0db48faace03fecdabd83f825f8271250b25..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1779 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Lx%t3=ofzK|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexu$XQ+b(NaY1`V~$l&15z`*by3LJ_V7#LRZ!DV?7to|0>#zhNx+gB`J(V(of zm}hZcLre3D#T`5yix#)Hbn)~r=0&i2Tbfri{9oMH(bKWGrD2irf1dwF0*1T`K_V*} zdxhb~@Gk7=>FwqnD0|%)7#LU>7#J8C9nLT?Fu=JEr;&KdFkuFf4YTJ@b(p_l>aK~K zXU?BF#bM^=4Ko-R90HJ3GdP^!WnfULO)btzOtVc*$t)^LEz6J3Ni5Av%++!9vvm!K z42chN_l@^<_V;ntarJTc^9;64EvPJs&rVKEN=Z#k(}8GCNi8VIFDZ`C&PmS8j882t z)k!TaDzQyT%}&nGLGVjbi&KjrT(F&a<@qV`MXBZa1z<q~Jp)7Al>E%1)Pi`Uyv*#J zWF2H7Lx_-xZFWv_d`W43d~Ru;j<2(?udQpCXNae-XHbBSe@Lifytk8!n{8@xQE7fL z!l4GXnH7ogsX0lBd8trWr)Q?+<tL{W#phL~fE@tw3@8*bl1nnnQTzjTa7kKbMsa*< zabiXRhNhB|%&hp#_=?0_6tlp>`SE#~P+?HGl;ox6=A~!mBtne>1(-p6Rc1LT0Buuq z6Z7Ir@>28i3v%<5t91<Gjp9wqVA48pg`nKPkOEB?3=W{=!RT<3fq}sVD#qE--q5(P zqo-vt`@((&-i7@N`W`STcy<A0NJa*R4Ga$N_pOx!<w!VoKo)mkXAoduVBj$Kh8n@H z(ANUamkbUL44g?042%NI4CcO2S&oHSnF$U)ejW@UX(5=jA53~NR%uWU2c>e36<DP~ zsTVB03afMw)Nt0t9Sb`afh~r+D;O%r=H=<*k>CfGgt<x}3@XWzmErFS_dcA#!pJTh z301-X^R_Zv<UfN07lQzUa5PK_-0#Y8q5ljH+#oeEa5b<1P=*WrXK>&FsfmTFfrWxH zT<AZ8120HT99#`7D3sws{}~+kKxz_TY9QgE4Cnu6aNq|iNQ5bX28uFV;6H<d064m# zVZg|)1&elY5d`utgToXi1_muygoDLFeq?ai0uqPCG*}$uFQ_;yioxO_zd*%daSIj) zxgRPHi&U^U$k|YPVG#-z2RjyQFC(KBEH)V!lAs<`h6@QoD-&Df^baaj1tH#LWCzD3 fII_U80S<0(c!I+W5-jk#3$3z(h8BYZsJa6HXNJ03 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb deleted file mode 100644 index aead7a5d02850cf67ddb053bef63bb31b9418bd7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6206 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Lx%t3=ofzK|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexuyv&YguXA+TLi(ZwqBHIQTO#F#LxChiV1}hE;q}IfN=+xO(3H7T(513whgD zEMC!|thAVCabH7A^NPhCJROS`x3_fh^e^T`uzFjXS2X-z+}F|5vACsSk@0_?|3(6a zybD1hD;j%+;l}VT?C9z3<{fDJ-53}cm_Xska2>{SU|?ZjV01Xiz`)=F731t^Z)jZD z(bKY+ePO=>@4|iseV9fp0nEVQz{tR`fx+SZzO`~-vta~^xC1kT00RSqin#~W7IuZc z7EoL<FgQ3ca3(o0FbXg;n0rBGITmJRCOG)`d4Q#bVA9?&>BU&3ePGfnuuA*Fq*q~; z2Bj2G=&&yCSlF=$Y%w>?;sB^tHZM;fj|4xkq%ce}3@RxIPe!%~&oMBtBsnlJ2rx4U zghJKvxOiteyE-iNcLjS87G4bIiBJWc%^eF@^sTV%ZDwF_U|?W?8epCTmFI@aFKJ$c zrXm@tf)}cyqp!DlVLz%VDNr?BS^lmLaQ`qcFu|-zg(?tQ<dE)~0*VEPtjq*wZ?J2T z-IE4YD27nzo#~82aXM77fR|@Rrhle`Gj=mU`5Y7r{7@e>@h@J{+uGiU>W56IVQ^In z*i>bqt5U+IDjQvuA~sbyP*r?T=Y!G^Mu6m^DO#D8nSh}v4^7c(Y>M)siiDxT(A?4A z-@ABWQx`^16ku1`*Mgz45UP^P&BfKtA<HwvGZ`GJjIfBG1XX|(@ksd)k&?})U@An) zkw^-sVJbw*n@9?0U@8QKFeveX-3ZS_y-<a`ix>8_*sf}Bu<dE=>4E1V1_ptBP!$}Y zv<OMGaA|=fP)Y8t6-~DAM1znA6@;MV2bPB?7liy-Wc~1jf{?$6EUyepNN{-=gN2bv z7*=dBFv=>!+5Z_Fco_s3gu|icFffWJ!`c5C9N56cD9jinnuSqOcmhll$F7|lCeNNT zZ-z2l=s$ylFv#GEFg2V)#ul<dQljF5%5bs&3=X0oMX-dxz|L=MAq!Fh7x~ZNpa4=b z8D<X0<VCx7ZUET@7y8fOAO=zcOC}8L3Q%L<BL5j26hTU+B8*uGH3ly9pTR*Kqz0CV z7}%Ad#=u4XGdL)LluSn$vlwa&T<AZ8g9JzoEQv9&D?*Kdi~MJBPzEWPi7;jb)EKzX ze+CChkeXRAHSEezW8fnH862cQN@l~AXh4;~MgB85NQ0Ejfh*C1DuIjqXK;`KDVYaX zA}0cgC%DLe1_u$4lKC(tYzya41w{c|0G5tGjVMrhW?)+kR^b4u$>4&FETGnr@FJKo z9P?)GKq!L?GqNx;F#H!@0#n9nBrGf-3^ocb#tt!RDNGH^oOv^5ftA38ptdc8DPfkA zfEWT7fvQ*zQ^7P9Vgy`30AvfuEi2$kHq26nbN(|p@Poo-B}|rO>#WVQl;Pa}3=RSy z`BgA^W(iA(6W}6HC#->~U=<Y?G?WK>1TF?uvlga?W&X?^o4`uoLQo~^U`jY<%$zl2 z{#2+kxG+@NdYCe{ne#Wy+ObI)F7ThhK@jBPjc^sSX3m+hVV*Kv;6H<d5J<%)m<pa* zGdFG8unQ8A%5dTT3=VQ2b(_)EK?(}E@P7seS&+IdFm-J6x6InS1>!%rAk^VoVTzcI z4ULrHy#EXi@(cnDpi*u>Oa-H?GMo)dzX#xwBFb<!w4?%QJ_?tYRfe<wGdQq=9C!>a zDWVK#LyISn=2LKaS!Fo;KZ64YNb_m9q=+({4K0*Fn$N-IWtHLV{|pYCAkF9Dk|N4* zHnb=LX}$!PmsN(d|1&snfiz!+ONuDN+0X(AUY;|uYrz`I3=E8nkYe9q3KIi^7OaU3 z76%0cgToe(IIL|976<teDh_KDgT+D4hl;~m!(efc%b?=0W-wTs8&usu>;)AZ;F1L7 z9v-kb$X-S%D_FahfdN)iDZ_<WX78K~D$qfi_&}N%m|?A41_l_*A(#QdVQ}bR1cieG z$l)**3=WRSO88N^Amt1U42+Q657p1W3~MekFu+(~i(nj>MG&2!asjRr){JIgfU&?j zVH{MQp!^Bf32R(4Fu+(~oiGlnPEfvu>x8ws85m$Juud2URVSz_hwFqj!x<Q0EU-=( z2URDi-hk_bwaFP6U@Wjs7zb4+sAT}x32UY^Fu+(~oiGlnPEcb2t`pXDXJCM_z&c?Z zRGpyuAFdPDoM&KwvA{ZE98{g4Dj%*B*2HIEfU&?jVH{MQpjsZT6V~i!V1Ti}I$<1C zouJAet`pW5U|@i;z&c?ZRGpwU0bD1ngTTN5V}W(TIH)>7O$E44Sg(PB0mcIBgmF-H zf=YR~PFOF3fdR$>>x6Mob%N><xK3EFf`I|X0_%ivP<4W81(;5&VpvJY0IN8Z;X+)y zXH9fiJag`hxpP7JQ&PADrkGROSXjhTSWZ9)T;syU9Y6)9ii>NMr>|#70H_rEFI)=K z#4jN%AZREcXbWw#fwjVAHB?-KeH=l99RG#OU|M+QZ<xAk;*R+<H_hEJ1FRk{rJ>^D z<L?m#ZabC3)$f`*af`#Iox3(q+_eL&9xjD!eg#ZDuY|COm58vdsJNh&h%mT7gUc}r zSHhI>OW7KWTgceL8tc4p8D@SyE#WGdI=-1ZCQjSr0BiX3!X=pb`S^uF17G06TV%&3 zhne%I&UTo&V<%iO*tKva$gZt{880x~Vdku<yQj`_fVTE|;Zk6C)WQ_<N*D@A%iBWx z4ZLu1urumls)T0Fo3V9<!`59p5eC8~nKk(Mh3jETg$?CxCFDe;Y$XgWV8((S3|E2d z;0BmBKB#k{y$W#n!X=r78)538?uB+xc;Vt;_cp;)A-fl9A}?GL?A~UWQWW<>jRm_G zt^(P;Eii2`_d>fbVE4i$jf7ia>Y(n0_Jnxh;$Zi-!Bio;7iuCeToUZwc9>EW_d<;Y zyBDqk*}WYwZ7}yj`$=H;!X<fxJ7Mae?uB-_c;Vt;_jbWlA-fl9A}?GL?A~seQWW<> zjRm_Gt^(P;ppgM^!siv16)}>Kw^fi65fvBYg^RN+>R+^wk<mc~+&Hn`4zq><!D3`| z@MK_s3n;^7pv_zq0Z@y{dMDfz1Pf{kOh6gNVEE7A0BTO52!L7!R<MB#1_oH$SQ#$F zGG+EoP=6cLu2Ka%-3m6e!N34(4J*Tikd>=Jl*2|k7#LvfUuC!uvT}8Za@fEJ0|TtZ zs|*)HR;~e24jTtyV1Tu8mEl6j$~D2t85r5YO<Zup9^60&H?+YGW(QD+f<uS_J`I32 P?hkGMF*pe2$TI@~1*+?l diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb index e6d44f30ebf373ff710f976c519fd5bf4aaf5b20..4ca7e33092cc6d32d69b6b7d54ebaae80452ee0f 100644 GIT binary patch delta 48 zcmX@$e85>$C`X=|n}J~g0|Ntt!;>m`W{z1q6*r0+vop`yskqsj{R1<YVaMGh1^`1H B4jljh delta 48 zcmX@$e85>$C`X=|n}J~g0|Ntt!;>m`W{z)LWjBf%von9&D!bX6{R1<YVaMGh1^`p3 B4;cUe diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb deleted file mode 100644 index 487920d2c41d8e67f06e4749388e2167416ff529..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5928 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Lx%t3=ofzK|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexu$V=diZB1ID2|AIQTO#F#LxChfD?rhE;qWjeRS6n>w0#+nc+28y79)ZC|l? zMT4@^VxGl)4K2+p7I*M;ELz;&(#6xim>0q7ZE0T7@PBb%M^DG%mWD;f|9So!2^jJ& z1c|I@>=lL^!@IDfr?;DzzP5=oFfg!zf`%an#&Td_VPIf%ILW}kzz7xN>}YRjT-edm zvY35gzXI>VJ_UW4Mnsq~FgP$WFl=COc)xG09N0)0fg<j}%pkzPz{qVb0X3YpwXwIo zk%7U%fq^s0fq_wgnZaBVCbzg_VaFn{95+l(3M$9upOBT6>5M8V4V7eGyrLH@Gda?M zf$<CzgE`1aAfK`<%JTPMU~phyXpVGXU_g;th+AqgZmAWxrB-1`$wM8;w0kOwBbbz+ z5^SEnuDhJQ7#JK97;bPe{QnOMMMeil1_lP07eLWN8lM4T0L*fj0Wdom92W3{tbt-i z7A;uhFfcGOI<SFa%wY->1A`VUV!-0;2ys}XFgOG=FfhP*pd9FfECBWgT$%-y3$vcl zfdgSOEJh(FBY0_`#L3_QG94iZ3KcjHVmh3QFr5=@`W7Yz21XW#5R`z01u@tgFb;zQ zl4S@%kY#Wl57;YkF4Qts1_v&%W!zwr2TbyUNj?SvsJUP#Afg7w0y_c5fhvXg7a<68 z0-Oi&FPsZ?0waS1KdM#mSZ9E<7#SP{K#HP4foi7$O^OT**-(}$rVs-oqa<9^A(oMW zK^e~d&j3j?3@UITxKXIZgaa!mFc_E^7#I{l4rXNJQH9#cz>o!Fal*wM1V9$Sx&Ij) zK#33>_HaQ)6*!YY2WA9H;pAWpwiRR{#8x&rHMl}JOBv4k4~s@nc*8{*<kaDs;4Ec0 z>pz17B-TNi;Gzt28gNZ;mNK06pTPl=NI;t4q6~7Ha7}QQGMx3F!2yz{K$_s9402j< zO>mYnob{i<0h9z8z+nd$WsuW`Yl5?s;jI4*4v@?PvI#EAz@VZF*9DI>Y$47Ck7rgM zJ-8N27>g4w299S9I9D0Y`p*C^9Kf!I3o<|iai|9;e~^Qb)q@085CWz!C!o687o1Lz zQ>Q*$p$Uw|2^RyqS{crTCL0t1P&QM6t6(sM8G>qWIM`l9`ec+dfGdQvG~g^{I13s< z;NXD^GC*SuhXznkU}yjdGN>5AZH4=mkVB2(THq{YI13sg44iNg2R2ZKhI63`z|MgS zGBPlzn8A&OrxsL~K%xo}WK44Aa4m3_GMojq2$KBaq6~5ta7}QQ2Arh~XZ?qks-R>A z7i544GT_hvs?@+9L)HKiWZ<!a+v*Bqal*yGsY(ORRfe;W^8#Fu5t<j^0x0T1(F%40 zTpC(GsK5mnKz;(Xl+a3QNB|?}1#6gFL9HoBGm;Z726nYFoC_@tQ3Sww0j`3<7GWGx zL(-uUBQMy%6~b8>aF#Nhg`5}Qf{d`d02e{g01qFyG&Fn|RP5lkIv`m19cm9(2xlq7 zS<nz+;Dn1n@&cR-RRE4IxF9GmIKhpDrxrqa!5OXv&QgZ6pcX;$0$h|q&IPUs&eDLh zl;JGoyZ{%31se_xpkxpB7_tVCAOnvZ+}1!CixVyePE{Ikt}>j3oEPANOwhal7eG-D zk88Lz6C?wxzy%n5VNSpnz{q*Q9j*}6A_Zq3PPiD@)yi-#w30^=0Otj`3I<QOambw~ zht(K)!2_-k&eDLhl;JGoyZ{$ug5?Fc2#N-H_`s#1;lrTf1-BLMThsu;bf`C63!J44 zXF)@RffFtQ$qR5UQ~@~2zy(2h!4GaMJhh;@1X64v*9)M&Jvf3G;4Ec03u+N0FTh0^ z<O1OKz*!n_mNJ}$oEPANuwcWX0hH{)9z)gu5@g^Bg4-GkV{yX8z^O_D&Q*r9kn;ju zkQtg6-~uS>;c*R@W`^bkxBx>W%n8^67&$M1x`2=Xj(}<5go}Y)tqkWv+pZ`A;Jg4= z!4L{F1l8WF7<mEIm4ny|XKBD$%5WBPUVsZS!}0=L1VsZpeBjd1@L^C1gF6rITS5*E zhiieel;JFBh%j)%MId<r&V?!fCmFaPC@)08jfJNcRF`1pg=n}II7=DMf?5R03vf{e zxfr-6I7<W0QiijT^8#ED7Hl{)fRa7fW5^mnf($%ya9cs+ao~97go}Ywl?I%v3}+$d z1-Kv!G%vsfP}IZY8ZONO%?oe=hE%v?P|9aW03+vxc(}q87>g4w26nYFoD1!ep$LHU z0$c?{BFqrfi2aX|7ZTtK;Vca}OBv2W&I@os7Fb?@i=b$LhYwsD8a@mvNpM@?z9r<) zWVjYMOBv3Bh6n>ETm+IA;9RHzaFT%wg7QKd+*o*OL3Ifvs*vl2bhs8cOBv3BS_H`p za8U+1(6}-<Ei%Aa8gP~}oQ0eh;DWGV!=V9`?7<#G)&LR&Ihv6XJYvJh0v>6BjDmpV UApLXrfH&F*H%KQ4JAlT>0fNpsr2qf` diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb deleted file mode 100644 index f0d4a854cf581a97f5e8b72ba96d324500f2408d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10509 zcmWg2k!R*+U|7Jwz`)?}q)MKd<HI)D3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu!8MYUw&AUS}+K`f`&)6axdpe<*NBV_;zLa?9>dcJ*H1=jFD*BROM%UuIUO z<Eq73y;=T_u3nj0p1q#QS$?kGy-8UM5Ud1OuT00LEPqd5&n#ER<Xug@O`9j~Sdak{ z$@EB=1vh3vhNo|W+X6aT#md0Izzqr(1_wq4h7BxCj11OH%*~4za(E>>digtMWvsAK zZO`|LI0X@AOK{Cf^URWS<}UnyJV6x{kZa|vnOHnMJze=$tzNj$!Nb)h!Oy`fE93F9 z_Y8*@D?VUmgs5_Ozi+J^6EX)$9KwVe#NE7jp>1Q!qK=*wean`qT;NI7I}K65j7vWW zmVn&>G0vI^E%w<LxAd)8)Y7H=agS@O66*noS_VM}e+C8yMrc@pf(L{d7*K>+e3BDV zzy!JkC~&|b#K7RNkb!{#7U-a~2Eq&s4onOJ3``Dr3=9k$P+QpCvb<bVyr7iB14aRm z6Brp8EE&M+IHBrT7xp(S?t_p>stg$zxWVdppz4_WTAD%FVK%b>1A{{gvj77V6C;BL z#57*0DweFw1TQdwqK=tC1)`1*s*ZEzLfg)kuD;$z1Pet6BZCD*2R~E?b8CAe2s^Z+ z*bh|&@*F4~GA~}Z2!ye#5`>z?<mtiW>49C15L6A%B8RNZq^!&Y2N!2A6b_0znHdZq z?i7aUTZpU=nS))Q2u$B%WPQjS6nDby6NTwpfvgXigI%8(Oy4SGeaIY4eT)nO3=X@w z1Q;ClfQY>yVjqau4<ZhLh=U;F5QsPoB94HFqaflKh&awAz`(%l5X3CN@ZVu6D~M!e z5McNZ5)5D#U~pK>D!|~t46<eyNT~yeWOb+qC0VF@8JHZlgN)k2CBVRlD#Pfo6Qs$C z38s`$56%RaO$-be0uBuf3=HV1z?lq8fK9}z2CbAr<1&E#D2i_I|NjgO3|7nt_raMk z_n`}b?M4>_I}J=A+=s3Px$r|~Gl0Wg6kR#QWv~*3fl&|6gt-h|0PHe!K~Q-H!jL!u zg)O=Yc!dCGFgSqHfGD~`kc${$wJpPcDR`hM%D|YA%7%%`r8&5;riGbcgCS)!n}a6< z1A`pYBe3cML|ZIs>0*F*3{tr`+yy0SHgF-V0MpRg*xTM{+rkc^MNqOTBdjP=gsEQK zv9M#2Z3{bu#-UmXs+zZ>y}zen;o=qjeJ!>v5S}4~CxTLR!R=9o>J;*F^>fVj^h@$} z%*e`2@^^J`1q<y43+;diO~vk36{r~kV6_=up2?n|29QGnL|_M8U;~O9;KiUSRKI9* zOMAmAh!>hW7IiFcZ|Sn_1&dmNMGfJivM4SAnFLPi4)-A8{Qy+TJOmMsK*VDZ@dQLX z1<5;9BE=tr!+nqhM57cek{B2m9l(azF)}cKa|=QSY!d@#V@qdmyKVF0g$NeJQ4o0s z1_miuB!Wx>y9RC=LI$E5VFrSQZW=6-L8gJd1u~5jAqp`G>{xU`f#ifN56^5w!wf?J z95aj#$qWn(=$ajBu?T{ybdZG(`k(;9rUE1j!eEoZ1gKd95&;DbEZu=T1CAb$XTWYp z$UxL00tCTA4;oks1epeo=MY8)22O-1#3Zos=z<s_fgu2PJ0xh(HG_i&T@W*9&{aU3 z!@vMG8B8Dt4J<o=JOfIv4j|8f-Hwofn1Bcn1Pd)_;DxXOtmI{L^GJ3~^@UOl4B#>f zTv<EZ;Sylb(1hkj7JpX<FAy;c>_SL$(cv}&140Sw;uXEN&0ta(U6Hu~)Ewr<Mg1U* zrC?-qC}v<_FoY^(T*<i7Aq~{VbvO;G;y`|M_{Sx{z{LVH3(RF;aQM$9z`(=_69p** zVQ?6s3nJR42$ln=%>#*1bmia}MHgfNnGWhj!V^2X3aA1Gu*u+{V8ZGeQ0p6n9YF0b zMu+7{-UmfD1GfOAw1I^Q%v|<G9i1JE`&wW$B%GKXS{N7@jG^HNav-SIM&g6DgTobM zGAFtW*oo+ZT*;m;o>~5`4hdOEOa?~cbaQxl`n$Mh_-Dcy4pD3Z#Og*w5rPE{Qb=T> zI~5#R=z{R11CK0p72r?-g%&6oKqCv}NU%#49JDzF7#LXCOwfWAi4Qgalr_Nl!^`0h zs8(Tgn8ConfUb(sVK!FLC0IoN|7Wm3*U!w!&k4c~5uhe^2Q#SQ913FgFfcHfBAh6O z#0EQ$6I}ot+313ZU`DW@0S+qD(Urq90zBjx9UcfUFj$}~Wad=h1Yw6rkXz$H&So=1 zxRw)%4R$Tqap;0*Aq+_a=!(E;09_EZ2nJ;ebQSPS0ggOKB4A)7&Rw7k48qV%45~BH zjTb`~!0JJ0#~w;SdS?xwo;fJ&(M<piJ%GZ>VIHFZ1Gw77umnSZa$7;2a)hl3Ajjbk z&bc7hfl4=6m^y$`ss$qM#gNz_lNmVC1;DOG7X$@32txuL!w|v(SWSfnJ(NO=0dyze z2n%#&h@=G$3wVTLr~~_+fdLYs@F0WcD0J1J+yuhNIm!|ds+>q{u(@FGq6=cpQRs@m zISO46Ge@DTKzJKe9KvFsICo*RxiAF4<}r$)TS}bqY>-L`N;yP>D<pKIum%_?#epy+ z)q$JG==w0+gw-Hu3WHK;2@TyyELk002@Pz;k-X7uM#M8XdBf8!6F6MKgn|ROpa7L( z7`nlUlYzmZmrVev{j0#h$Yza{nvvLG4d5_E7sQ&H(G`JHGrAyVYDQOq@FhxWMpuT_ zU7!R5!bph*U7r}b09J#bsRc@*B^q=Sup}CEB{Z-VN1{Qu84;XliH5=42^#I33bxRZ zcL)nIn8Kg~lXpnt23h9N$-uw>69cCWP)p93k%2)ELljg~p$mdX=fQ*nXc!V4?&xYj zV>zHH02s~Suol$9W0P@#x)p9O$Od#l=)ewafTzV_BWQpDn@Z4FI0!p{hF=+ET(Oys zE(je6f(;0@IBbHMj;<0kh7Q6GpmALW88>XEqYFZYj8F%VU_6J-Fq_bIp=Cq{8Fy@U zp$me?m#_>up$a){f!T_#A36g78n9-N@xW#yx*%jc3S)o@Nx)$%%r<nr;9f4IuiNbK z7nB@iK*NBb2@90efG!9gzQR7Jg(2#&jZ1(*8QlbE>I02+gZsbWjKBn*E^=56a_(=C zYaBo%WKbQ}(`R4;Pfo$Ops`&LhE7evgu!_MOfWDYjoF4l1JnULaR5raFby#8f~KuN zn1RXR8ORy)85kH~g9i*ukajgFpg7@zP@UjBhc1Xw<6{UwngHNB7+o{CkVh9pE#yI! z5xNSfdywiO4h9Am2S!jYJRL+VVqjnZP5VJ(nG=Z()(iFtx*&M!3`{tHCRiZd7IZ~o z=mJ=cf|mSH3atV_HvvlpfUbmcOF%_1$m@_sE69J~XklVtU^0c-#)#D#j0}Vk1jGd| zqN9pnA$3_{jsQ6lgh5jiAR4R$>{e(48(kr&aSg(V1~vf?p_h6L4xr=`0L?cH3=Et| zY>*QmLp$h#;FJO;kdh0!A~AFUa1f&lLemM9LQ5{_ia`^pAXh<R5<Xaht_-9Egu#vj zhaVFI1EVQS8D!K0#set?VaTWlrYLCC16>p}>VYl>8u0*O^idB61|})cP$?uoGJ<^w z2~~tB$bJR}_V$KFD;78O!Dz^|IJlfZS1JL~0Vkzk)9RoRInZP�G>YL?z5*7>#5D zx>8t41kuRAz%2!vkq21;Zb5^Fzc~@25S8FaMi;~=7cm6Du>>i0&^3#p3t+VZnt-4b zIFudW-B@%JFpC{<D5EQb_z2gSH*D<zC_KQ03TVum6Cn!G4R$QLAcprb1dzf5U9%Xv z09GrY0Rg4Z!UNp|9N~ek4B{hP;Q?D?0SXWBSQ|KfAVeX$!Hz{2#PB|b08)6MYX(Oh zx*)s=g%=X&Dj?3m<rz@&hvisMOV<J98L-<CG7u9G(-;UA+JuG_s0M<W25Q+NOhd>J zZyKl+gqa3vNg_-`$PjNDY~cwg+Q4flz{waP3NZ=nb#y_DSi%rMiZ*o3;Ale^WQW)W z87su90;&MD{R0z}f~|%Dc?P@|1ne1vC`2LHGw6aCZpRQn@(j9Wuv5_mF+GE>0^%H8 zX%MzV2jm&>nih~}z-~v#KukcSJOm3p4Z;@gfJ_4~j)9wokRjeQ*m53_X`od$hzUQ0 P4AG`R6e5!jpoNeCk_s3z diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb index 0759506a7670cb6bbb46efd3a5f8dc3feacfedf3..ecd6178769602fa8a6c73b10081faef28e43264a 100644 GIT binary patch literal 10543 zcmWg2k!R*+U|7Jwz`)?}q)MKdW7bZ^3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu&r%Zs}XGsHIE!;~v*mCDsEDQ49<W|DnJki-Cc`%PqS<%hi8pva9z3KQFfh z9?2OC{4%pL9ak;R>do?ZboI*2^6d3Y&hm5h?oG;CfM6xKdSyB`W%+yhdS<ygChuzM zZQ49>$AS!yNTx@^EVwZXGCX|~+!oN;I#~t=1};z#F*q<XFl=CDVq~ypVs2i%ki#q4 z(aYa4D`SO?YJ0v{#3_g{TY_s=nrD`rGk4+t;|Z#uKwT?m&BWsA>FLV1YW2c}4j!&9 z34RV<Ss9O)y=OSQSn&ZfBSe+M`+aNWn2<S0;t(d(AnxYH3vC-)7IpNj=v%f-<pNKt z-f4&eW?cG7umtQ5h;i0TXeogkloHx|7cK7VZG6gn`^ns0jAv+VCojm(j#Vv-8y0o+ z_g3m&ahz`<zaQdC1}+DG1_lO6Xe@yu280<HP=r~0k`q$E1iAz$TEKC`z~Hcufq?-Q z-LMo7qAeD+bTKeEFfj-)Ffgz=<S{TXC_wFFZ*A;tZ?tWJ(jpG+%mNGy4lT?A3`|Un z3>FMv)rv6Hi#rx}EV6B3htN1wD?wHBcC`2RG%Q@aqQ9@jwgtj7gz!WhW@EEQ8LCsr z%hk^@+tV+}*D)h2Gs)l8!4)jD8!WT~A~Y4dTUDTD2!PdQczGs!g7U0G0z_a3Twnu= z8<-hPAbwSa>KAQpX>V8s@j`RQqK?JwEnT*~U{Ncus3BZb7R4oClNcEU7#uEg2{1TZ z0uh%%#1#;66+~R)5@29pb_ik?VEFH_lodp>G6*pI2MGo+3otk=W))y?U<R3S0i@Ic zM6x>6BPA3DCWo^iqt0;&FfgLZFglzEX|j@n`h<Z|56%P^1Plxq0uBuf3=HV1!08H1 zfK9}z2CYay<1&E#D2i_I|NjgO3|7(z_raMk_n`}b?M4>_I}J=A+=s3Pxuij6Gl0Wg z6kR#QWw1=dz^Dgj!d!+f0CpL=ASeriFeHvZVT-N;Ui!ir3=W_)Ad0RK<RZpd&}xq1 zzXm+e6t!SXNXgDj<zft6J`EK!!H$I#aXb#53=9l9(7-`1??G$}XxYyI2{cG8;qV5O zVYtC%ogP#pv_!;JG8#Zi3w@YsNQsE6WQ3|VfT{);W~7#HP%{jnW(autCwRH|yJlo~ zdL$$#Ik<uZW+4fnmyb~WMo|6Si@RF77dAKaw%hiC*@j>?dRYe5WenBDyKrI0qUPp? z#Vvg;w!KiE0GNkErwN+QMUBWh!8{x~O`$qDdsZw2+tAC|v%(O}!lA<qNe9?BU>#r< z4jtxD9Xwf?Nm-c*UXBTV4hay>1~6v>TG<W_4-2S1aD6~bEg@x#=>l+(;_w!d_TGa^ z^A8~6BZ&9}B0htNFCgM8i1-E~zJrJ#AmS%TqeCT9VrOu82a<r8C<RN&3=E78VAJgw z85qFD6+#B=1P0E=md@UG+vdd!5iE$mA@ZQQ0hZE1rh%OaHw_^JQH?MI!9q6;mJ>jx zf&B|N4Ix9kX|Oy2G7TJXaMKVn#G3}oAt2MhF%SX^H-rrFronO!$TV<N!c9ZS5N{eR zH-St8rwO=e2pQr{gXJ)gY2d^KHw_^}ylJr92Qm$uO5vs<WQaEnmNP-7fs;GjG=vQC zror+n$TU#Cae$kKkRjSMcx`L|tAfET{6#HYwk_R_iyA;YP@5aVV_*PRFW@$u!)s9f z1l3R0&;kUcb_Kj|0ODD|c{uARTc~E@>L@#yYNF~Wd#Gwid!4A(JG4x6fa(O-CXkw? z1<Z!jEa<%js4hpSE@)i>saaZ}JV?!gL#Gp(PH<fU*9qp~(CG}-39ngN;57>l9WF>Z z!1W1O2bhIJhbvSEq%wijEUpj^q-McUKf6KofvR)l`aJ<8fLy=hsF~d1`oY~Ncz1_j z{|8j?g2q*ZxCIy(xE(~e1sEJeL4+8H5C;(wAVLyENP!4x5FrC1WI==+NF%sDf+cPS z2Vsx|#6&4rss-giu<7tp8X-e;9+ZNmYLIDQXTnWG$PjNDEY*Wd1N#?b8Ye;&ViLIW zL>ClDPRR1`%tj20U<iOanv4#~3=9nDnjLDf2=YU0V{p(1RXo^KKou~6O$HMjFd+s8 zDOg4Xc?KMBAkTo^j*x*UM^w-V7J5|;%b+0BzzG0u8bXG6(_onvWEwan!A(QR5N{eR zBZEu>CrP+z2pQr{gJp7%Y2dUCHw_^}ylJok0Aw0ClfX?w$PjNDtXKe<2F`8p3Jf7b zylJpP0%RH}+k&e@PJ}4LByf^J7sN>27y{t*2ubefn!(8(T@W+5qpN^8hXH$Xhu6j$ zUeKaXu%oZHxwEfj;lhr_-bMYkjSE2{!bl>5hLBVa9_Vto&A`B*;SJTyljZH|mH}@2 zdt`t)JHVV7J215PK(+8?WOycfc{yge`nx)KWI(wS!CWlbe9^Qed!T3obFpaigKFdQ z&CCEB?UBLdo4Etb#G)KDst6kQ1t|x60HPes#G*U^YC7-Y75$4>^nz=)#)S}`ESQHG zX67L<O$xT4Ax>!5nitGNbhH_CVCo!PK~)5ULni|R156Aax5kVN40;%%ps^ElLGVB* zm~c1;YENNP1M2IqfI0}7&ET*W)NSIH34^*FZa>HrbV0NRR*S<%n0*9Qf(HK>WWur8 zf-VRhPK6B~v^Z>n*+NhyXmpW5CIXu+=z_Qg9Z<(195%!3CR0CXl#@Xw5}S+A1wkzu z<R%Vy2m?jHVGGPP=z2jp8Z(chia6MTlA8=@t_PG8P+}Tg5Zq9OG+H6UAyBr%R+tOW zb%4tTl!5}raj*y58;vat&;_9lT}ZPRHlPCKIc$U3jjjt?#GsWpFs_3G*!~!7_M;2J z><2fO;r2s#4%=b&qw9j%4=$_VC7vUc>);5sKNg$)=z{PjIB2K_I?Us+17<I}YEWSY zF7UvG8LSv|a01&Ghs{28L6Cjm;tXsbgypakW*@q0kbU416Jj5f>EH~uFCLqH=z@?I zKcx8&9aw^L9CpEMMc2cNr3{9Z$PO-GyA!b4jV_31a0+9{%3(LmH58cwp4osDvF1ro zH}Zq)14Jhm!bk4rGU&k6I^=-72dN=oV(@$mt|2f)LFG2OAh>b>6NnlDT@9$Ojc^ny zkHKLdq?Sm5`T_0+kZtIK7`4P9SXdHN38^JgvDtzyh*3)%hS@?;C8U-}!)6P*AhvEl zY!JZV2+T&3bb%(n7-Z71*^VxVR0>0e4j`p)i^EZv&FDG^mcpRr0T`t}x*)6+1P?C5 zh7cg7aErq+nBC~QV5K0mL_*_2OW{mx{zeys*$*yv;r2s#4##2kqw9iM2rYKexX@A< zw73Do|LB6CQW4^J1k2$B%wBZWpi&X)XCx-H6wb!xUvxo`E#R^jG>ig^<C8G^&{cyh zffl_;OlT>bgUvp4LF7^xItT+Pg<Ty^!E8m>LqsW@i_LCyLG)G*cpwM4m6PCb8s-Lc zy(F}9K(qQ7@r*7gfPGRNX_nmK49qQL=mkw~LyJxZ1_mZ@b01uyGlLf&IIISly$3`H zf{J+u5DA&Qh7F`LFoD-Sz__3}R1k))dVmRoOLj29z<@M2S^$j>2k`7WsHBEzfEC}M z)exX{Krott)8QA$Ir5-ks`;R0Ku{wX7{QH4a1R*Pt%MnZ7%D-qAbmpy2ap-Co+JY! zxFHBO11<(N1fdhbLN)`|wPauf7b9RZ;9^9Y0qbWnFoKH$uo-YMBF%txIvE(j*&A#I zT#QIFU_DU=MsSt|n*kRi(hOMllz|c400Nr<7b9#2lY<*5hI2uwj!g=-_ysij0jhu< z;3G2#8HkyP$U(56(F9Szz#s)%90M{9RM8?#L&!iBBg{as&`pCao&lK#syM->aUw(^ zCV~BrE{HJ@gCT%4GJ~!eJTikWh&eKYt^(p593wMQu=PA3&w%=cV9$Wvj*x+vfCvx- z3q5FHD|<kufl3<4pcO(CViMSRbU}=ezz{$R8g$Lzpg|YJ3>tJ55a-|u8rU);kY_-R cX0T_#Zb!&KOh5z(f`t||5QWI318Auv0G4{qW&i*H literal 10442 zcmWg2k!R*+U|7Jwz`)?}q)MKd<J(r*3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu&r%Zs}XGsHIE!;~v*mCDsEDQ49<W|DnJki-Cc`%PqS<%hi8pva9z3KQFfh z9?2OC{4%pL9ak;R>do?ZboI*2^6d3Y&hm5h?oG;CfM6xKdSyB`W%+yhdS<ygChuzM zZQ49>$AS!yNTx@^EVwZXGCX|~+!oN;I#~t=22M~AF*q<XFl=CDVq~ypVs2i%ki#q4 z(aYa4D`SO?YJ0v{#3_g{TY_s=nrD`rGk4+t;|Z#uKwT?m&BWsA>FLV1YW2c}4j!&9 z34RV<Ss9O)y=OSQSn&ZfBSe+M`+aNWn2<S0;t(d(AnxYH3vC-)7IpNj=v%f-<pNKt z-f4&eW?cG7umtQ5h;i0TXeogkloHx|7cK7VZG6gn`^ns0jAxi2YM~CdW@7To=E(3& zO3iZc%kJ-I-|&Iy%OMPd_{|r$^jUPQYFXT{sH4Bv+@}BWeV)>j{~(q#a5?xhFfd3$ z10NLLAk4skBFy5GoR9)0&?P{j4GwY!28V?V3=FVXge7DUZLz4Oi-Ey`i9vvYfq~5- zkAZ<f0csz6Yh!PFqiqY67IA227GPj-Xkiv$U}9oquwVeIR)ncu+_A7@k!=e*gvOy- z396d6qrJbUVd3Hx{e3OAEfAg|geT%K8=F1KP@O_vu6~Z$o_<Nbju}~*N&c=5u3(|v zV4)olp{dy2ssc4b0IW8{%QM*%locHkAObt!0vk}=z|3F*@vACSzi4wyd&4S-7n(a3 zbu4ag>9Xwwi&}w24dJ4)C@uk;#K<7P;NZ+Hz~JBlB3wa)8;EcR5gyzE3=GT;LCgXS z{~eaHf=E^d0fzq|!2o6f28YG00t^n!ATyjmN*zEXt3y3fLSbNXum>6Cz%9VQh$_SA z;0V%WB?a{f1EU_C3C`aP3>X3q4Gatn=&Hc!3QT}a#Ht1@r=xKhz<v}(H~9a51_lNz zX@vXWOql!71;BQr3xb^nCJ^pJSA$%DAhQ|3;Vz1<9O5!qCSqXJgEL_+Ll*$M3|$bE zg+Uk+N1(7pR{<|n;S2@`P#O?LR|s+uBdqpf_^$yEG({~K6H;I^Q@KP0mrt}X6SWit zTZ14V#T1W&Cj$e64%CClMKOqN0WFppARdPl$_{a$M9&Q_uk@fAp`{wG(#-&p<Mm;x zA*C9w(haKG0IC{XAdy-|LCr9PnjzrnpWx-<@0yX}>5-6}<lqVtn1v*OUdBQ78$tDR zFYapTUfA5w+iu$nW*dUp=;aYqmoZcq@4|&0i<+Ao7Ps`Z*!Dts0$?5vohE2H7d0a5 z1oLp{G==Kq>{+o8Y(p<+&k92@3x^IfBpqPifOUXbICPjpb?{_mCS_$NcsVBcIV3<h z8^D|mXyr3BJS?F4z-2lyCB2j_rVGHuf<rtc?InUr<RlQ03?foML@J0#0}<&UA_GKZ zf`}{-kqy%5P>Gb-85|No5)c!mU@4h_fzbhMx*a0}1GvaR$bg-|z}eW++1qa0ym%pk z1@SjT9#p==QaZ>quruMNA!Hz`5oRD*=%&GP0?0J5f8nMfWQaEnmM1`_fddY18bXG6 z(_lFSWEwaILSW&BkRjeQSk3{N298R&X$TqOO@rkokZIsF0XGdHL%eCQ90oECoVeho zA!LX*4VL>rrh!u_+%$v?@utCYCdf2!a)+CSkRjeQSbhbW2Ff=MaMKVnM4JY$Q4L_# zC@-kNzo@0lwxzpqQ3HqvYBxi83=H7v1>6pEhy~?OQ2k^LEkHnOSHK(iAReN*kF$=l zg=!|Qj<SQPCaR9IhpL9Oxfep(-1uAI&@#~hsuNtBKx&p2FdI^{ptty;x*VappmhnP zW@&-)AT<jPola;v!F35-Czyvrr!!P1yk=>E*DN@6xFG2O*C${dU=|J?u23D2$^=rg zxI#FPngvJw>;}~bs?L$?_XLmta{Z2@W^#w?2X}$sT^53U7*N3r8uDlXRV&;MZJ?Ce z4k9{0L??*o0ukLHq6b9uf`~p4(GMaffHZ>ZBUs{QaA*ZdKunZ^rCLxP1e*>or4cej z=Rql0ss@<`b|&03gbeYf!BRcQG_Zd`rg0)fAtr$<Pjo?n<b*5_&uqkq1%?2)v&iU> z%)r2auGyg$iy%M5HU<ZMP{o5y1ylh8*kmxl0TW_ikb-4IkY~X02J#Hp?Fbo&azq7< zV4+vlunY<^4V(brrXggAHw~6)L8gII65KR|4DqJHGBU_CaFT?ZhL9oNG*~7FnFdbV zaMKVn#G3{y06?aJGYQ-@gbeYf!HNZtY2e%jufPy8#G3{yBtWKtvMsne<V1)<Oadnv zbU}>NjUfO|kC5b!t{I%%(FHM+JGu&pa~QBEcX(~A;RP-F1UveAn>+hj7B1{)>|NAv z+qe)UB8(&=Xb4H=;K3?~+YAg08s1RNJXzkZZW-XVzefg`vjfbTu>(Vk4^#_ZMuumy zmzQIftG}y*M+THT5zNJ+%@<8uvImMbFc*t9Kd3e?-^>iK(H<FGzL`6~Of1SlBZHtJ zS&(wD2O!G9Of1R+pr-RKUeUjJMK8E!Yg`E7$%1*9VP+lz)1+VvY5+mI*1TXIqNB~A z15@X)9aKdyICL^FFu=s%acj)Tz@UdA3L5`F7X%Mxf(ZxE5EeL@qN@S*^;bY0gv@4e zSj#2Az`!jN26a2!evnP*f@lq_7Ke>o0+22gL6x8ZJO-I?Y_^~aLWe|Qg9j}Rn_#vO zR0$d(WRQu#W(&F?u0aRXaR`UaFuTdr4;ratkcq_RB6LAeiw3!g10KRa5pdW7a}By) zP>#mT<ESDI8$iiT1~d%=$_XejjV=gos6ra8kl_$0+hHrr1?W1!Wdlk<0pmDq1lt>p zEey~Fp$%O~vllj?0_8bugV~L)3tGgWl{hf2!zQr(G1%-!7lhdlZZ5;^hwvP>!|X@b z1+yPqR>4a=M<~}}GuZxEZ1$rI!kgfrp&IBgkHZd_z38ezg&DZO0~cnnV$fj=*uFSy z_Mr=c>;o5PVEZ5}hn+C{&{c!%1DBW(`=CsRtzi4&vDt?%2x;*{n*Y#&B`C*X7tB_4 zJ&;ltwG4*w9JYb&PQYe2x*(pxDU2a2hutvOP-F^tb^=nwnkPZs$Pca$5S?5IAGw>$ zpaWCua1Z1?NDToKgXddt4S^vFD!0)E!IcA;K-3WEYCwH$griV-3=Re$cW}$3K>YxB z1IRXXL5y0$1k~#xN+qP0NX2Fgx*$d^VG6T_ph`$Bk%r9{bU|$0e%K&@gBi?5l5~M4 zuoz_0vDuC;h*Szgh7KU5aEpUE%w}{Q1WRGi%socwk1hx+1;K;MuptCUDcs^<0ka!j z7pxS7mPlw^XepeD&EM#PF#EyfF5G?y&%qLAKe{fMh0tObjSDS>LF*1M{EsdODitAq zN3a~MVD_S`29=6XKO-@rrEoSj|Dp?mYyp?OpkWkP99zTeLst#51X}bWF`=b!4mSJH z1(8c(=pYQF6n1s6f!T_#hlo-*7n|Mag6ORr@IVf7D<{Fh7Ul+Yy(F}9Kr{0g@r*7A zna9SM*hUg?u!FgU485QUYG~2Pz`(!+ZtjCibY}1Z0*BS0Iz|s<K{Kd$bpVl&324|r zDgzUE?E;Jong<18=*k6{Ft}s~6ATPU^P2_G=x_kfpo7X^m<Cw!4O-CvTA~A^88{ts zK+c&6BIbiu=s=BNU<5ZF!Cebjw-ROuVyFbcg7gg;96)BkdXfx`;D#XB47eE75QI(y z3)u`<*OGw|T#SItfQu1n2CSdSzz8l1z-GY3h%^J%>11F8XK%0>a4{myfb~Qf7{OT< zYzAD6NHbvFQwBzG0|;yeT#T?8Ob$ChF?=7C>e!@U3s^v-AD{}@0X{N=kb#(qh#Uk9 z8ch%d3=C4R1uh`dKou>*G=vO9F~ST43*9u>0vM2Kpo$Z08Ye;&ViMT@=z<snF&F|! zBQxll!6P&1f|w&S=qe!2!7(x;1zVE?@(ie72=)xv?Fbo&35Wneu+W1BwmJu78mOd! z3|b*XAtr&1M;F8h2@C<Gph4FR4jObp%%DM60dWqlpn)wF0(l11Xa;)*>~@3<!~{fu RAXsQY15t=fI)GL&0svMejottN diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb deleted file mode 100644 index 3ca783b0d9a6345cc986271ac9f3f8523e10994b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5707 zcmWg2k!R*+U|7Jwz`)?}q)MKd<KtG@3=ofzK|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexu&uBIs3UVIQTO#F#LxCheielhE;s+P3@h$JuM4Y^R8;>?r7pwu<dDC2om6H z>|G?^+tR#3fVZu8Q9p0{ip47$l$93qEbeP)X<o6ogQsKB;`Wv<p8mzW2o^{~!~ey7 z9X%b3TN)M_|L6H{Bw)z95G1mqu~!&w4DZ5@p5AWW!Ql!v1_lNeP%ttC!&nX=0Y-<D zP(DbOv!lJCabZVK%VPG0{R+Gb`xW$I8X@7!z~I2hz_5YA;r+g~a$w_N1d6x=GlKvF z1G5?v2h?y*X=7m#OItx%BL)VC1cn=24FCT#aiU8aBS~^WCAp^Unl*Kc!;YDory$94 z!(?}Dp15lVnyfh=RF>JuP?CYcfq{WB$$^1EfSJLZA0}gHj3y%hlaa85$S}b42ts98 zX3g8Y3Dr0us1(P{%^P+>orq+cFibkhH_IU@(;*40yD8p*f#DAmgSiM)np09#P*&Vl zTwES3&j^zL!Ng!L29@WUH+kL^hsjemK&^+nOdLhQhB@<Q%mAAJS0I6^VDgR`vr!dD zLKU!!3yDkG$_k^(N}<S#pvlU>WEc0f*up}(InsfF;S3Xlxh%3QEVSXWptJ)@a2#3w zt`6{Ug-gprHS>U^QNk9kL<y>d7wU3jTS)<9F;w>}qo@%T#HL0CMU99sHZ`g!Y7Awt zsZoQf;R8q3t{t0qIZT<jYdWffG@yzE!H$+NvM`nx5JWh<0Ty_gP<8xZb;c5g5=K~5 zX`!l;5|K8<qDmX8N&xJznY$*=+O!klG`N*IP-PIO85>F%%UNKvR1c~S;?ITiX6`^3 z)(CUIK8m8n*cBO|C|ZGCks*qrRoE37Llp^wgG)hH*x1%c!q5T{7;uM~pr};BqtX;b zr6L}cW+*C^ajRr>aAaU$fE9@h4hz~C86aY?l8^z)hZTMd4g%^hF<6lY5rY)FjLNVw zgyBDf13#$Hg-9Ti5G!Eu1~U#8MKC@rHeh^Mz=QY!3=9mgFlJz6V_*as|DVwTBn}Hv zba7btp^L*p3n30N42&5W7<C;&kb?*jSd0t~YzzVn4$&aH!HN)J24^ucIIyECf(Il6 zoW;oCz=5g=9<mH@79)cLCtMLTtUh62fUz7vwJ40k;Lrhb703^a3=Ui%eNX{rSbf95 z0Aqo5!Z@fpxsi3k>LUhlq=L#yuuixzik&>jI$`w{0|Sf&)(PXF+R2No6IPuuFu+(~ zoiGlnPCjIvu$qp60mcIBgmD-g>X1SRlulvJXND(W1{e#h6UJe1s6o;x0JoD5rof?% zk%7UX2&9M^R$($Qz*u0jU>sEU3&PD}U|@#Tqznu&7FZ{YgQ`;qStqQDWnh4@z&c?Z zRGq@eI$?D$0|Sf&)(PXlbUOGjAXG4bix9XHW>hXH1u`%&FfurZAX@~h#u*r3EU-l| z4vIwxK~Px*=Rquja}gGaB3T5^matX<0|Sf&whYE$a7Y71703Wa1_v>aZm<AYFRabL zzyM=`^};x)dc{%o!desz3@{d0FN}k#R{~WpthvF!0Aqpm!Z@gUCE<FRVQmlw1{e#h z55|E7EF?;#K>A?i0<2}izyM=`b;3BPI;D|y!rChg3@{d0CyaxtQwCWltQEt+0Aqo5 z!Z@fpWs!Bl+BOUfFcw%RjDxCE4oN3CR$+}E1_l@ltQ*Eb4PAMVZbXWJHG~)#U@Wj+ z7zb6a0;*nE^N4`~#scewaZvRtqUwb;niv>hEU;b}2UV{Us$N)2ih%*f0_%lwQ1vRK z>P6JjFcw%ZjDxCI1ywJiMu)M$dSM(?y{f2s5w$;z1=b7Wpz2ja)r)8;z*u0tFb=9- zbyU6ZRt3C$0oDr_Mky^cQ1!xF9t<!RSTBr&YOf}$UU=(-0mcIBg>g{zYN6_dw_q4x zEU;b}2UV{&T(7kSw4KPn0AumN7!JjtN~{%BGBPqccrqZAD8rP18hD_l1&RQu{Is@& zn+s#{!5En4!eo?T43N2?h6joOsBE>if}0Ct@xd67N*CF^Fd1bS17t3!ae*QLDtE1| z;pW0vd@u&4dtoxlFb2q6P}2iN08}Om+QQ9shO$&Jg-{1D99Y4vD^P8u03sM!Ozfa~ z85rO!WjO0Uw91+biYajO7cRxf!pOkz-^3oS9nMmQv;H$UfI`3lp&c#-(XQhF*AA+F zL9IRpWw_XXNE_G$F2w+6DZ^QqPJ~M_g3SBRVB!R~1kO^1voPHVmxAgSbcO2&rBsli zDwsk90-DLh4Q>jYr3`2NXK(<eL8Op^OF=`*9j@I2#!`lh{fBe}OyE)saF#Nhg=q&| z3hGk^L2sDZ41rLV3Z@VNud<qeT5zCXVqk!?l;N!Z3=W{=i{w?f6vP=izEG<f7~m{r zIO{*Or*e=1NgAP$!Nd=)8_rUOvoI}(OF_dKG@uG`JDjBqXZ?rvr9hU$1sM=56HpHk vq8rXqhO;m&hf6_x&cMh5>Ggqob>Lnbs8`0o03Q=Z8w3XBVGwoz4I={pD&l&u diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb deleted file mode 100644 index 38e03cf5319c8f47592b2c7a0d9d8de4f96f7935..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20324 zcmWg2k!R*+U|7Jwz`)?}q)MKd<HI)D3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu&tVFK)D5sMO;9XzH)>+nJvnq8Jz${zHL776Su=ms@tfUzUeM#$wk6eqL@1 zJd!gO_+@5gI<8ur)tlw-=<1c3<=N|*oaN{0-J6uP0KrOd^~!W?%JTR0^~`d0Oy1Sh z+q8M&js+PYkxY+-S#V<(WO(`}xGkWwbt()D3__qFVsKz&VA#OK#K>UH#N51iA%|D8 zqnE#9R>le&)%JX^h*J<@wglI#G|wzKXYRuP#}iaRfx1@Cnu*2J)6<o2)#`-{9XwoJ z68s#zvN9eod(UuqvEl=W8i)7$*2*z32s`*QFfhnLZ3S5b!VC;3!Yn??2`OL#T>@kc z%gmWGr!p`&EM#C{fH@x)gD{$b!GVcEfPv8=kAZ<f9cmkoTb7q=ii2a8qnCpR&$L~$ zrfzYVxNG7phZzoOi~<Y{42+D743-QGd|+K#P+hDowyo`rtRl9e;(`wC%mNGy4lT?A z44{y*U;wMsMyOo8a1pDBt+AmIc9l9%mFz9Hs~6k$b+C)rS{vKSNnls4i==uHRJ9RK z)p}6XEUpe2-YioccFcB|jm@?C2z9=`EK?ok&PB7`0OBhHs5;gb+jiS#RuNlqTVXU4 zH6SVt5h@pfRT|+^X$Di-3pP_0!%Sud6^NPU2$di+Wo^;TgsZfGs$@%W@bU9-X4~K} zXWop-D1KsQFoBqA302G93yRZ4?d-CkSTz#IuG$Krx?yoc^Fnr6TLEJMVMFYytzoKL zY$2*eu&8EaumEK=0S1TVtO5)UD?r3b5U~nGtOgNlK*U-Qu?|G62N4@U#6}RY2}EoL z5nDjSR#pK91}29fW&wu(4y+6U4F5sg0A>LO2WAEV28U%Jc`(W1P>+=H7?>OugY++9 z1!WQx8AgYtAWc^CQ2#J6>cN@df`WkoL%^Ydfq?;C6}U_Q6JQguszEC<(6|g>kBOoi z{Qo}#1A`ST>oYLw!I?1kp$mZRMi&GXJRl4S36KxaRlv(YID-Kk$fD>9K`vs1RW=O& zZQ((uXb)q8qMcy_BdFK_7lmK~xo89nAP7hSCa2*FHGl(@xE-?mJwS}f4q5)NLd)Sc z0|SEr%pNX3$K(XpY)3awX9ROH1B1g+P;`TmgToP40R{~ZsIi=_?Tw4tZ5Q`7f?49W zi~9tjr6Jg04R4sj_V$J@P_Aj>Y;SLn0_7Vaq>O;3xMN|<B8cLSg)K(d75hL<=3U&^ zVhcAN#=~I)iYB-%U`@Df3V_<gyhvdIvyp-Uvbzs3FfeE!6eulVHd4Z;Kyd-Hks_vo zK$sO>3JaK}uvmdmptOKl3X2s81&RxprLb5L0=0r8%ik4T)PWgjg&iaw!l6nzK|u?S zVK7TnTo9$KgD8%GDrN;MWW}y18mfo~WO-I*0=O21ayEcCvykcrh`Di4E!-eS^g&8R z2wMbHLLyft5Dke?4Pdu|YX~q4y_Rs84T`c9RK<&Io1uzPD+-9>bf{u*h$TCCCV&~6 z9cFI8ND3%Q{a{MxVN(if7=qePV8fCfJX64o%?>lSV3>-c)DNa~9yX=9Ft>VUIVL+~ zyLxfV+%<8t!|tiG93FsMDd4~|uY@XN%kXx{a&>0gG23C+R8U3-HRGV=3MkJ*i$sU} ztO5*NEHGVQE(3$Z1915dD*IqEQ1uMZW)HaW1FokS7#(^T7#OOd_A)Rqa3Zn6+Q7vu zx*&H)XLE;bXJ5<0mPN>H21cyPG3<3%!y>@Iz{2Pd&%nS?gRmW}8;K8g0i^K*Y5_Tb z>QN4@TBrs_hdu@d1{e>lmVtqRt$ER+MT?rDltTxz00T?&qULRkY)C4>79sJ$nmORH z17kSc167-h4j`2v3`!Ybr3?%V5>SR#9g1^cJg6)KTk}GQbHEhTISWC~K~f2J4iX=% z8NYMTRfF2PAZ=QpiXY@oNa(<LASn=LYhJVv5;`CX>YT+O=OC#BI|qpm(#U|{Iq0f+ zz<L-Mv_R<);T#wbDhmo7kUQ9#7lJ6Lb5?+ygQODd93(ziGk)h_s0Qm{V9;tri7Xfo zDhp0K3n6J|;i6`!b5?<zgQODd93(ziGk)iwt7Zf1VPMc|LU9g^2bBed&LXgL7J?|K zb5??!gQODd93(ziGk)iwt7ZY~VPMc|MsW^|2bBed&O)$r7J(?Jb5?_#gQODd93(zi zGk)iwt7Zc0VPMc|fjegj0|Nt$2bE>`|DS=84M`AeGZG)H4!_Ols$l`a0QMXM1A{rJ zcmyS1_SW`B+eIA<*+s<#ZH*)h86a&xEQPWl8>mo*>2m;a85kUd*g%bPP_l%{fSt#{ zfU{5rm2DuKL4`6B8>|iNRdhk*f*6?%EtJuf<1UmzF6@T}Jp%)S7!n&{JGvlii!G?5 z3??1G-Jb^n3=9_N%9uG7I6>GU64alG2X(sHCLrtrdkl#Wwhz=gc7`>R!3>9Eh;kWS zHCPL%9+-$`ED|5A23)g)THtVo!)36s7U(LOIr%w3*dc-$6pK|13=ET?hKs=%U?V|; zRG>IU7sTNM2T%}Un1CSw4ipR{VRaNdg@Y?AhXn!*4B+|-T>~svIV@%rU~p)_rHm2k z5r=soWm6di7?{{5Lp=-g9ugm{8i)57AQdONYOoei1WiFR7Ksm51CJLt0~$eM=qkY> zgf0lz1!sV@J6sR}`C|ttD%qx?IRS|eaRMlPU`u*p=qkZZKo`UjFb)Z@&_~w*5B<5| zW+8+5TBrj#`#L&7ov%ghoN^M9pw5?(IPNmY99#y$^g4jJ3=9qy@G=M{1NI}HGH5;2 zc2F6F#0F~vdl+31x!gf!L(3p^<@n2>4G7!Ckk|;@(FJjoLFmdr<qrrWmq8m5c7Z*H z#0T34?nxv1)L@pw32<=%&TZ)G!J0scd=r|%NPMswXb%nCPjk2mHqZiH4XEq^VWjeB zGt^iy7z1n=C}D%jA9O)b_a4#Fhp-$@f<_NOC6EQWa!}xaFd~2;{e&%0OF@+a5*uta zIMmPuafTYW<O2sBx)#vD5Xe9WNYS$uVI|lGBtA$L15O*Dg%7%VuqIHvY(p~`i4RtT zC0@kP)qq`uE{LHKslZ`iWZQveFA^VYFCGtxp{oVkk1mMQ07R*St_8i+VK6@ibtM;M zP{1MCGlOdbWMF;s%pJH3o>*|f1JmsQ;xaHe#K8+5m<-sfcnY2qQ2Rjz4-y-!4eV=l zLFB>)nGG#?(3Rsacupd07eitrY)2QwQShKE1LY|YM&v7Iwo?eZIFZ;0yU+!p12m9` zLE$)D1NZzv9aVHq@LUB_0v^?2WMH&FR|P6#Kp0%ku$@M784?@eGIT){$DnYaE=y)$ zU_jRdE(Fj8L32qUdmQu`85qRSWwC03`w-l+0TqJi+EAy8kmrpc1NYzt4?KLBpeYC4 z6zJ3mcml-%G@8$L1}U77*dX^afYU0vAWG1naFD_YU6UBP05}xT1>pe)4+V4;M1=w< z*s+=q_7td=K?$cB3=9nD_Ar7+=`ck>JvnsI|Nj{*(8WOM5QM>L5!@YPbO;4?7aTy% z@3YV_7lSci4#N-t#{jx2++`%FJ_P$5T?@<taJ}RJnqMW-D)@jlC!FPQ3SRZ1TLUT{ zKp0U(IPiieJwctab5LJ{>R%)_*lA#Yq6>nDPr*$K1k2$H+;Vi~Ad5j5VL3C~d4!#u zNNj|i=z=H-8ifN*-JtRbT@yU_!qXL~d_q?RN)I3mP7`bwkX(kuMz{=J5XCVl9H`4c z<rBIlaAH6ggl98QMiE1o#i|AFLwL?a*G5#%goh8Td_p&cwZ#@Rxe6v7KogB@7m>mV ziH!&+bU~D$LE#{U6S^ibbOCTEpbNqS4ju~VDu@aNP_Sb)AM7ct<rBI+kn#yr6jVN; zi-O80bTLpm1YvMmL@J*cnAt8P1vnBL>^5*VMHfT~V-yZFz(H9CT@yS(!IK0i%b=?Q zIT3`x&SblS<T4~S!e!`!D2_qlKwSpPGU%GXF@r7$k9knsiJ{A4)dKe+Jh7l_BPy}L z!v~gS&`rUt(yt<g6A~K{PUwOtL4(3U3MX_;V(0?kP(T-i2OK;U&{Yr>3ZP)eYChOg zShEbeJ&-JeDGJIm=%S!3gDwV2hae12i%3}pT%%uy2DuoF0e2UuEr%{hphJtU98{@+ zFrs2(WV->i5ae}`6-a!r)hMxu!U0Dq1EUza4o-9daArprL@^4512&h@0hFcCHGyLe zT@ao!;Bkwt0_+ix2N8L|K>#!?i>?q9Eg%eunwg+AMxfE}$Ivk1as^EXdx5)wQ;FyX zUI2FkVY(eaTm}Y*i|}qBOa|sYjP4k8V)7}}eo!|Mi4E2U4qS9W<gOnw8`_vhSB_yX zd}0#R+<JzvT?~nhupM0xM>h~%87L)!Fd{WFvpq-H1@;&cA8a3Fk{x-%9m00F4jwXc z05!qU^+56~rYI=CqKks^E4mmcL4YtMQGg~=Ax&_$7if+};)5LvZfqhZ`@t-S8wiJ@ ztA{ugQxxP-bWxB)(ZxWH1Yrz^zC?2<5+B*2u!RP_pr(_<O@u?y)k7SLDGG8Zx+ut@ z=wcv8f-t&6nZQNhE2wM5U<|MuL5+QM0dNvQ7bK!ngRTW;0aB+1T?uxp;7fZD1u@ci zBDxu%#16uU1dr6Ic@6b7$e&1Tu+zYnqYHvl7w%3Cx^j@kAdIk_iR}%-POue7e6YP> zE5OMX!Gb1WPIR?c4ZxdSAw@ifIiN-!x*)s>1S;ai&}G530=l3A*ar;IDIQSGhOUCB z_5!F}0S^>0vAsnLEhIkJF1(&)U<8*<=z1VI2~!l5lh8#$ISE}1l#4(ZJtr|RvAsug zE)pN?TxgVH%k-S+YQd3<E{NB^kjO>X0*)zkLDa}am&K|DGjh?jks7%l&_WA|4-PH7 zo`ps(x*ka6Vv2$y7hMz-x#(h`hy`Kv$OV^@pP&vFgE7F)1T}I%X&qe<G3bI|Aq~Hv zD+d)6AdDz17}-8UEreNt#0OhVAcVxw)pMc?fD;+IAYM};i40u}IK`j~Vm1=dRe(JK z@*E<|gByuhoy87mb}WR^4xrV_4zLCzXha{p3=O>O0X**ro&x~402#r{_Z^Ods#h?{ z2wuJnTjk2Y1l}(I<APT4f-rQq08ALXg8)o0Fd(hYRcC~3d2nC^t&j#K1egYx?_j$K zU^Ih(!+Zt?2G~+u21f9j0te7iLAV%9FE}L7McEg1bapK6Yk|?=6}I5UJGx46yr2uh z&CX+lPS~TXfEN#tjSOJt!j||lFoGu^!On$?!Hop@A6*pYOc;%DF1kvvbI}FiX2YF} zt^%8LVGE5Jm>{hK@VF<)b#Mu&xtxtHoxSb0&5IWzSdaym3}Ao5mIyO2Ih+O!U!DOG zAcNo%L>dHJ70kfwa2907IS_FkM1V|#%MxiCY<({Sv%>|D85cpsB@h8J4K7QhX|Tn> z42+P43LrD!Vnmt&TP4iE2pQ)EnE@9g(hS(*VFpI<gfuwy!^Ma+1Gcu9ff3xR<%IL0 z`oV^x3koDBWO;aIBeol12!LB8kkSubGdS6z3&Im6sQrXh1=KwZV3WZF2LmL{!PYu6 zFoHW-oNzu=3)l<jf*3Bw5CGc&@dCPLumjNrF};AU0_q+tUVyE>W?%%jUOC}>s1~pn z&;>DEj3I#J1$50~=mJ=+Ak;_!4|Rds!|0~LXR5)Ib?`_<R|fSSmJoq0F=t=|_wzX6 ze5e+%bI}Dc0sun*DMZjUi=hi(wSwpnK{pLYh@dNjdJjv8fNDJOIzuLhTcDEbHi!Tf z8*mAzUPQ@^U?G>$u=Vf^Ob&NIX50l4AcNo%L>dHJEziIRS<(hF11<(NgT1|B(Tc?l zeJ~objvkzW(3Qd#b@Dqr1i46<O@P5+5d#Cm9JF>F5+7^{cmoQ&ql?M|*Fp>oOr|hR zj218!ST6$u1E?7Z!r-Q)7`iNB0dO)w*M@6B8ED#)Tq6lBBt&-uj)jEi%1{#~Xf+RH z))ZYGc7KAlq=44mp{?Bm`4fLgJAl@df`=EdTZa)!SnUUG<^*rgY_VMpq8-57_=vS# zL6m`kczeK`MxmQW9l(1AiM59h)Yt`0593XSNNZOaASr(?TFOV_gHta!t;1)lQF#ua zrWYvX!!$8kz*u0t7%3lJR*awkI7ZM_;Z6DIiZSfKZX}_Uk8UiEl#i|qSIS3Mhuu;5 zQa-u~piVLfLpsW6DIZ-iae;y-<)a%2D&0UBT*5)}9XREqtHbI~kUcn3KDvI89UzQk z4<hBm6k|(<m?<Ar?t?2k(D)bw0|OEtoO%(%nFtoR>%zdmXbMvd+2jP{f%P#kFo3fO z{^|l;?JPyhd`Nt-QHXI01PeT30dfLNF~kWl9#|jD3Hb9Z*a<7poPfj!8wJ~T4cUF| z0NS4n%JDE|5X)gaunq<W26#ygXMlS#V(98H1i;AyT@@&KfC^q1jZ^@mD+MJD5JpNK z;ADud4yQdhk|DZ&kR2e5WDh7An!?mETEJMaAOWccVXzJc1_w)6(_=qc1R(Ljdf|K7 zp?lgLK)b_1Q2<j2i2@i8tOqpq0v;8IEI<W!-NevUU<iN%1zi;=&~XF`x>At$Kp5;r zq%r_q9Zq|2L^8U5kR2e5WDhv-Vd@wyU@TZ*fXYP>2A7S{iVw6g7&-eR@xj`_L&M-e zM6kg9Xi(mRDTahSj0e_-E$kT>9O7Z|eGe_Zk@#T!?7g7fv&{=(w8IwAAQ=ObDNG?F zCyWJF4;rllwTjUNQKpkoIN)A5WIqPFCU^%EK1s|dhOP!2edvOCk_oy}P}G4iBKi<s zv%pl0T5w;21>+C2U_|1BgM}45Wdk7{K<6ufq79}95^XRZSUqT38MKiZtPLFFU>3Nq z3{5dV(X2$`gN<MX&ly5U2heE_AS+>tAXdV7VD$(qvCKa(FiOGBHDO?21g}bktRO{* zLJWZo;=yR}U>;=K54uv=HdTm51_nMU*dZt&E5L_U*nvhMLCFar15u6W=OI|o9v?&j z1A`RopcIg4;B8-U(-1Nc#RxMHEOgUghpB)}12=`?rXggAHw|{!3dl5Y10HS~LWX$L zV281QOapC1b^w{ii4cXD1kNewf*9ik7y?KG2<V!@omzB3W{7PJ;1$wXRX`P>_V{6f zQm_+aK%M~~Oak%@*zE`zh+;%!Az0|q20K><WEyBqG$d#cq7ak7#-j^jgan2FQqZ7l z7DE@nY6a0_E9j=7Hss->P3X!XzGJ`<(y)_sKw$$~j|mAIgeXKe*x~4c7(sy{fD|_9 zn#Irsuv$TM*r1z+BW%!>L41cRY+z>xfx-q<UOR*^GB9u=L?ODt4o4Tn2nq}Vq_9EP zEQT(C)e54+2Hi9qVS}y=;yYYn13N7V6gHrW1rjz0QHXA^!_fsXf&xPTDQwU+i=hi( zwSwrdK{pLY*q|$e_zqXtz|MyPg$<~80uCFnI}tJv6A)z<f`wjs!A_6@nFeZTBTPfc t5N{gngej0|pk^GxG=vQCrom320+|M$YK5262pOVHgD6BM9YE*n0RRjcA720f diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb deleted file mode 100644 index 9dc5c8890edf94b1efb90070dfee669a5f51cefa..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 209427 zcmWg2k!R*+U|7Jwz`)?}q)MKd<KtG@3=ofzK|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexu)?hZt1h=Sk<z)VNpkaFN1?W0|Uc<C~(MQU|`7Z&vNzO>6z`C<(TZ5l(4|h z%WZ*2a>fF`%&bhuRg1HFv-}-hy)v^rdp(o0{9L_zld={dSP8CPnT}0a{+_;`S+0)B zyPA5NHc#BKAOj?l>5(uCZp?xVPu~Q$1p{EEAOiyf69WSSBcsC^1_lN=*Wol0PZ=i6 zAhc`8)OovR&UctMar4eyGj?v?wS$4dApl7&gM><PY9%Nf8PWwHW-~Z2urM$%I-F!+ zVBm+^$Jx=|(73Rpr)4qw!hQwbh5ZWpLQpBRNMT@bU}Rv}z~JzH-PoWe1RxC1+b z04Vy;GBGfigVce1#opT3+ump^!oc9bz`&X0z`!WL%wR4LwTOLj$HI<9=&}k>S>BHJ z{+<TI#Vh*zTF})fLe+3D?rP~aY;NdnM^~W)Rl&P(VaKB8W`V^mn5HPBsae#BsYV5= zhO=jdAvQBqk>s(tLk%j=la-m2mAS#oF~QGaDr#`3LzM`4`X|hC@psM0@bpMXPIA}) zRwe`sH4V5jza(GB9bTTvo}d(uu1pK6jH_w=qO1)61dnz5z~(R{IWRDQLSGx9U?Elo zI#30yO18pslBk;XpmIF3cTS$_;Ny|t=K=K&+<bkg5<y8R3n5!63n39(<WvAxX9!g% z1U4!o!!y~-Yhtp8zbm?d#@G~Qxne3bg(~C&o9LUl1Dhgqs3M59p5U;|%1pvE%@V3m z5bTA;EBY6&kVT4NxIe6+>IA^HW_i21?LdlUxH4O)GPvWgDYAzuf&_1tXNG4odT2O6 zl`x8<#*7nGTvW<JNY)mTcbYpEbu4ag>9UnYRqKMPwy#&Xv#(|0!j8t?Mg8b%U7>2l z7CHDkELybC!POzlFEhh)vzM2n!wgXA!@vOdq#Ls0g#;A4BP(7^K(Pn1;uQoGdm<}d zML@9^vf`Bl6ni5pUQIx;53=GV1Qh!sD_%xGu^+PHr34g%DpgQn42i*o_+t=MmB1A* zB%nAD*%^xoC=Nnayn=w@U}VLs2q+FgR=kpc;!tG8s|hF$Lsq<mfZ}ju#mfjNjzCtt zlz`$$WW|dX<B!28WW@^!D2_%}yqJLE7-YpO2q=z4R=kRU;y9>cQGVM#TNNeS7Td+` zD;9PbH8(fd3L2soUh&9k`4#c1O+Z$wfM0DQvRWnlYLk%FD&kk0jI34}zuFXJwHo-< zrXs7=!ml<BS*<31wdu%ewehP3wedlv1ti|^tIb3<mtPrgyk#M)Rlu({8(FOqeziHs zY8COT%|%wLj9)FNs{#)P4g6~Jk<Hb@ueJbLttNi8g~)2P@vALDR;z+vZ85T1ehs|w zR)VZn0l(T(WVK58)s`WvRm88h99gY0ezg_IYBlhy1@)=majb=3Z56V)n)uaLBdgWM zueJtRtqOj%wa9AuweZGU9kN;l{A%lw)hgjv+kmWA5x?3-WVOop)ixok)xfW|8Ck6s zezh&gYBlkzZADhAjbCjWvRW1VYTJ?3@@wLaw+>{r3i#D_BCA!xueJ+Wts;K4-N<T{ z@vH4YR;z(uZ7;G~E&OWxkkxA9SKE)QRvW+C3CL<y@T;AOtd?IJZ@f)HR;z$t?PO%N zO8C`IK~}4XU+q+6waWO_PD56!fnV)(WVKrO)y_axtBGIjOk}m%_|?urR;z+v?QCSV z{3>|kZ4R<p1^jB~BCA!xuXY}?T1EV7=Oe3C#;+DMA`7pxH1Mlkh-|JFezl8`)oS8b zyBJxmHh#5BkkzW-SGyFdRtPjG;g4rfVi`;!Xg~s=!sRf9puq@y3Rl1sf(9b+DO?Ft z2pWXIr*IWaA!q;spTgBpg@XK=wtaYdNo!#06!5EC3sa|rU)?&GIz{~I*2B~(<5#x< zrcOZxuRk`z)G6Utw+W_B5x=_4Fm=lK)op>P)4;E8D@>ghes$Ym>NN4I+YVEwjbGgk zm^u~w>UKia@hdg=fhJjG7q_qI!RS-(f~t~h0*&%5c5rpryJ8PyY!p7uym<%OK=N*= zCguO2Ub}*Ai>-}<f@x>RBJ|NmBSUl4F8m(2P6gXOTT*oHh3ixzQRhCmPDK)R?uYAy zyH<lZe;$DAgu9kVod@AM;jSf8=OL(0C2&-NhKlyC*yG}v>_8|w55qMsbntf|LE{m) z#>J#*JPOyif;5fC;2J^673|a{MEUVJTqE3%#A!SM*9i9`aT-B$ZlD@isR`~!;xwLu z+Q<i;8S!%TOm^6eHr@`JBm|i*jmU!hrqCI$=7x?%wisCtG|7mjLjk{zvrva1*`b7A z$2m+LiuiS$N6`Tq|Fa>W;{sHN=zkl2(?uPN7q)bE7=dO37h?MPB2=v;NG+&*BB1^f zRJ~Nw-bD++=_=VXE5p^rb2DgmC&K}wB)p8!u#hMXR}dN&6Q$uQLc<E8G+aYySVfeE z>j(`iiPCTbszLI<4Wu+A5UMv3>XpE?1OfH85b70)QGXkuUYQv6cM$3|h*5tRp<as^ z_4g3!HHlGwAE92G81)Yj>Q#tQ{}8I4%{LR2gi&kcM^H%-M6I!M#U6-Cv}*q`R4JRk ztHUCPBvf;rAW1GnlN5Lcm1G7_E5nx&2)uxbvnoI)oDnjwpfX}v8UC&gQ)cg+>;Rr+ zg-<*ql)i;36<oZquLW(|8KLR}RFybF6|}g7P5vX)e#WU5wh#cJ_8U~KFv47<1p^3m zKcVVmn!rBVyJ!#CKajc^It>kKslapy{DEqa{SP+ThF`%HJRc32;Ds)Z0L^K`b^J%u zp+Hav6C-4u2+R&8f;w2ybSM(k!GWejnV=4CG#wfQb?`xTzyfz6p}-YH)3BJ31`#w3 zD+p;2N7Jy1kOnC<4J!$0kVVt5nvezsG!07#X;4Pfu#AufH8c%N32D%TYJg;OaE?G- zE(1&43<5e(rJ~^M0L}`aS|7d!2%%CRsuDFz!d7q~)EPn5Ar&0(bx8<SrchP#uzU#0 zhM4OtP;#OLRF48Ivx5`l2K1Kr29ykMjizZKF`DeqG%Y4ZlOvj@6~t(ALDRH~7)|bI znpP5{$qT9p7UJOSPawp7(ex-05laDRdK8J!6O5)unFu{$XnHh=&=ZNKM~etOF=%=; ziO>^|rbn9yJxOSKREW@%3e_V8uKi~3oV>vSbI}_j(`7-G%YnLh#A)e*YT-d$q=YbY z3sivs*i4j_ObA80po#?fL4y;hYY`Bt4nb9cM<CIcMIn@(fhhxxKjKz)4W<k<`iNWE z1DG<<*duObuVBhRBagV1eSs+hjXUC2_7A2E+ma`QPdS(%4GAG^i=7b4gkZ|BEptLB zlYuG2w!jIYObx0`Pyt_D=|NQqEyA+a24R>5Oc}PNPY7jBFlE>lJt36&z?7}PYiSrv z8McK^2-6Z^%CIeQLMY3EDZ{q738Ab6rVQJ%CWNv&m@;e&nh?r5V9Ky9WkM*M1XU)e zgfFgUK~)K1Tk3=`Yza&mwna_|W$R$duq|&wDBA&3hHYUJLfIjhGHgql5Xw$Ll?f{1 z^XPe)DrJ1CuE11j;8S%Irb-K+s(Ub1n)p;bhN;rVr|Jbvl?pyp@1d#$K%>gI3gxd* zMS}dGu^(LJ?Jt-r1$?Uh!&E8ZQ^mpzDXIh&@u}j3sZz$LiVvnr1D`5km?|xNsw7~l zH1VmDg{jiUr%DN?3d@Q*L{im+suEa%v9uSVNEfO|5X*u)geoJLDlALw5UR{!s<14w zL#VQWslu|n4x!2srV7i#I)o}Wm?|tw>JX~DVXCk!rbDO-fT_Z=j1HkH6s8Kx0y>1M zD3~f0%%yV(Rf$kl0;}*uP&!nRAeNPN2%~afs<5o7L#Qf*slu|F4xy?HrV7hCI)tif zm?|tQ=n$$JV5+dJokOT<g{i`_Y7U{Q3#JOodO3uuewZpOE9DTXrodETStEx~H5;l* zU?rXiS_oAnh-C>K!l-31Rah3!Ayln~slu{s4xwrTOcj;|a|l&iVXCk!l|!i71yhA( zksLzRewZpO%i|ELj=)r5Sr~^<brPlu%aS;Rs&g<^SQf(}R9%It5?GBVf^I<-31V3* zhcN0POcj<@atKwgVXCmKk3*>X3R8t;WgJ4)f0!yPYvK^9I9Z_e8J5*>2vx!`Ran-+ zAymo2RAE^Ghft*sQ-x*i8$y*KOcj<@ZwOV^P*nm;@I;U^R1s+D1Ns7Sgi*dQRaln8 zAykFKRAE^NhftLWQ-x&-970t#Ocj>JZwOVTFjZKVy&+W9!&G5e@P<&;2~&k-sT)Go zWSA-}i`)>Z=E78AS>A?FwH&HSU>TkWS`Squh-LK~!l<1vRan-&AygfPslu}24Wa5R zOcj>3ZU|M^VXCmKazm(k2vdb+eH%j6YnUo5E87sNzQR;tS<{A4^&h4R%W5`+Do$2t z&c?Ei4WUXHs!Cufo(PhLDiXx9%nf0bDohoY1#Spc`Y=^kmbM{OS;ACdS=5G5<qT7W zWjPx{l`l*cmW6BxRpBsISeCFMR3*YxVOhL}P?Zf+g=N_qLRBeD6_y2S2vzk^RZ>l$ zju4LJH3;RcaOHa!fv2D$Q$(n%aS+OTp~|KIgJy_8bKH;#BKQtK@InBD`l$%@c-HJ7 z)X#ycm;Vpy>)~7HgV3`Ssz>_2jS^&r7{8m=BGfAqqkbzwy)rTC_afBeSxbX({}HHq zsGo__a~7&cs%bA|5*>fET}3EgOhhc-MJUI;rU&7HCs5^bO`x$(Jj;L(THZpnNHy(U zMTCRCB9yNrLit~W^3_BrXJvzwd{Rw&aj%&{xSa>89O_+Sw1`5rNHy(UMudZ85z3bm zp<ETJTpW^Vu&m5MI9?l7EtWMo2(?D2YO$=wL8!GtRf}a+4MMF0TrFr+0ow{3gj!Fy zS{r`w>I3LBI%3rkLTw;IEhL}fQ5%U+3t1(CM{OcPEo6lV9<`ZJwK7e67wv%-saRI^ zARJu?)gTKlQK6p1vSbCJqY|M*LBW(59gPSbO2p~tMCec?PRB%q4rStW%tYwWAWp|Z zs16xWsYguUu0&{9OjK-aL}*w+l!l!M4XcRKa1fzkB~cnqA~dWfO2b8jh9yL4xQWoP zj3^Bc5gL{frQs!111OQ3!deb^6ZuD|4mt4JI6Uj)5c%RKLd(L1dx+A)$POv_<(l>` zCQ=J0LdyywwFn}#tRhm2BtpwdBDE+&wa9|j$q^OCng|_A#KnpsLWd%8IxG=7l!?>f zh|r-yoDNTf4lUwz1R`{35~m{)p+lQE9f=4XD#Yo?MCjl*g|&V0=8{6F4rngHy(kos zODYjsK)Hk%EsY2*pj<+XmQI8gP%a@x%S410P%a@x%S?n8P%a@x%R;CYNG^f)N$`g8 zN`ww2;$meZLWd%8I(8y-C=;jSAVP-*aXL;SbZ8N$<03+bCUH7$B6MgIr{f_)hYE2z zULthxo5Fg0cw_J*R0lMd;9d!h$R$4!T0psk7%hw(D0K-jS~w9}K)Hk%ErJLwpj<+X z7D<E_P%a@xiy~ADB$q&Y)p)~L6QM(ixL7en=ujk1hb2OXGI2T_5jr%8)8UEGp+%gI zK!grW;&enJbZ8T&BN3rPg*Y9V2p#;UHqdbnyfIh^)d9^VxR?JUa!DmZ3n-Tmqoom{ z1yq+1qoos}1(ZvO(J~RC1(ZvO(J~XE1(ZvO(XtS#1(HjM3FDOr9ZJN-%0`3^MdEbq zMCec^PRBun4h`aToJ8o*B2LFegbq#OblgPf&?ZjDLxc_$;&i-3=-@ZCfsXFs%_Se9 zI-t1(_nsF-F8PVj0?H-CXkp|;sY{5_!imrV$|b~T5kzPK<q~4FNFua=atSe76roxm zxrCT7)<oz~A}&@85jqr!(_x9wp-h|(M}!Uy;&gZ-bZ8N$BM_lOlQ<ob2p!tQ=}1KA zP$5o7CPD|lsSR{|8gDKsgzA9i65JcT5V@ohp#_vnh|$uB&;qJUh|$uC&;rUO#Aum_ z&;rUO#Aum`&;rUO#AsOv)dI;S#DwumgbpR*Vr3&jhaz!0b|Q2r6Q|=KLWc%%I!+>V zXc4F5B0`5IaXM}ybZ8T&;~_$a3UNAKLUlk!ej&3mct?IeLN$N}#S~0&Z*D~7k)H@1 zppjq5AUEEO$jAk$NMyltW5n3OiO>NW`6a>*L4*#_$S)B(BoR75BfmuGP=xA$jQkQ2 zxS9wJi}yh1$neI7Awt6nqBK|{G^`>@gCj!2N}@D)A~dWfN<$z*!xEx2L?SdSBT7Re zLc>y`G-M()EZVadGWm!%9txovpt%AvIfFNiS0Z$Pas?4O8WB1`^C3j&=tSrM<q9Hn zOho7a<q9Hn%tYt_<q9HnEQIQS<O(7JcO^o@VxnSWBSOOpqBQJ8Xjny*hJy$VD~Zx@ z5}{!=Q5r5HG%O)X!%c*SWkhLsh|sW<C=D+W8W!z=PMG7(6(6A*pt%Av4T(2b{6y#g z<q9HnFmj{R6-4OZMCbtJ3L<m}B6NUq1ra(V5jsG*f(RXoP#ut50a?I+H*hr(8Ws~3 z8-@rCD~QryiO{f$C=HGX4J(P#;EB+%nkWr{2n|b!(h!Nzu#6}Ti3kl#iPDgX(6DF^ zbX^GEh%1C@faVIE$1xyUP?ZQBpj<(Ojz)wIP+dWUj!uLQP_7_C$3%n<P_7_C$4rC{ zP_7_C$3mzMNUne^y1^T`D-jwN6BQd95gJwyrC}#R!z!XQ97JeXNtA|@2o0-=(r^)> zVF^(hZXz@+BTB<VgodR=X?TgyuxQU-$nqz=5%&?Q0h%jt9y)@^6+aO=K)Hel9gI9E zbp;VRI1xHPxq=8Cf(RX;TtS2mNrVnit{_5(B2)(?R}c}nng|VxiHZ$FgoYJFX|P0S zSVfcuM}&rzL}~CuXjn~@hCqadB}8e6L}*w>l!iovhNVPl$V6yZv}Z45l^@<*Q3%xl z%@sJ0)<NWoN`wwjt{_53BSHtLt{_53Cqf4(R}i6NB0>i!R}i6NCPD`&R}i6NAyfw> zR}c}nD-jwN6BQd95gJwyrC}#R!z!XQ97JeXNtA|@2o0-=(r^)>VF^(hZXz@+BTB<V zgodR=X?TgyuxJl-F)Q9&@e!&4nk#S~Ace>kKM^`Wxq=8CjJzmy1ra(p5jsG*f(RXg z2pynYL4*!Tgbq-yAVP;CR0kwiK$iXD4O~rxhQ&n1h9N@33ZgVvA~dWbN`oUp!%Ctw zcp@~cCQ3sfLc<cGG(;jaEF(%oB0|GbqBLY8G%VT!U4V@@;tHV}pt%C)F=>cgQHjt2 z$`wTDXhi4$)fGhO=tSrM<q9HnOho7a<q9Hn%tYt_<q9HnEQIQS<O;~@cD#YR5}{!+ zQL(WRp<xA48g?QytRhOoL4<~tL}@sQ(6E{)4Hpp_mJp@kCPKq9qBJ~2Xjn>=hL;Ep zi}vh=>@&a{aUY=?pt%C)VStES@e`o~lq-nP!N`YFR}i6t6QKi?D~Qk`h|mGb6-4Ne zMCbtJ3L<nULUllL1rdR(iO{f^sMs(>Xjnm%21|s7RYYlUL}*w^lm<_PhSfxA2t;UD zLX?I`gob5AX-Gt9SW1+JOsEEa*j}2R70{Dj5y?6qszv~^-)Yf8!~vEFMWv{U7GhOY zjjCudRz;1didJA%)Q+lX6;?&PsESr%RWun@(Q2%UW}+%uf>qIcR7K0MDq4!FXem}j ztD%aZv7%s#B~~^<)xct9F}7IQj;d%ORz-VJ6)nc9=rF3H6<8IWL{+p3tD^I$idJG( zbQM+6YOIQGqbgd0RnbFKMa!@%dXB1SDON>qp^BifqJ%A0K10>OVg>22S43X<iK=KJ zRz?3&6)nc9h?O5we?YBUfmIPVs-jg`6$zp$LO!Jy;c#)dBJgHg?22TeilD)yh%K0u zQPn78Q=^HhMgyA~eN;7C*wmP!s?o%z#u`<PHa0bmsA^QOsd0y^f$meol5zc^Y6QSr zeLzRZ!_NaogmgGU5p<b97De$0MbK5wSQMor6hRkxVo{WjPy}7$hDA|1LJ@R{4;DrB z2t}(Q>lUymYDXwqf>TjHLeVmuil!qJEyby5K2#AuXsZxt6E~JvSq@bLiWSJrG8T8Q zM<`l|Q_*&WqQy8B?MEnDfm6|OgrZeA6`e;YT8UH9b%dhTI2GMTC|ZJ3(Q|~NWjGbR zM<`l~Q_***BL4q2khy;>vGO0P1{5ohnN2LQ!VbNUTcBz0LY#{D5sDV$R3wg2v;wCh zd4!@>I2EZQ6s^RmNFS<*|Gy1no)=39m?P9cX1%beu}7$Z%mH9g<Bm`R84<;z#vh>u zGCqVwO*ldgq_>PkO*}#kr0;-5O)69kv!I|Ayx1Gk3>HR4;pfmp!WkGPmEr9F3=X0U z0t_Jemq_w(_J0Nkaj-m-@N2ldh%%i0pTR+bL4ZN{4O~)I8P5LC;2;Ur{0=TJq6}yM zXK>&GX?_ovlvReap(e91G6{c#%Zn((+5Z_F_(7UK!6jvt;q3nm4pLB?zrf{1l;Q0E z3=VuC&0pb?vdVDwe+CC>sOIl*c@bqe`#*z&07&x>xTLHyoc*7{K?bV%7hGOM1I|{4 zv;H$U@GuB4I5;veFu(;FAcDfb;d*5?;A~|$>pz2oEKDz4kO3kH3bDU%?IId*wlbWB zY&Bev3u^U0xL#QeI9nOcLbe(%$c3<)0eWsM1EYuroUIIJAzKX><c3<!2-hpC0cR`2 zS;$ty1-TJcGsCruXu#RZa2B%Fa6ulZ)huwmvKnx<GMt5MHC&JfVKp0EyNCvytqf-& zTMZZFg<8!H*DI?5XDh>5$X3Gzc@b7~!nKQNz}d=h7P8fFK|ZL}TyVXz8gRBUoP}&P zT#yf8H4j|7hz6Xk3}+!*4Hx8xTFndBE2{x#E5ljHR>KAP5mxiVwTo!L*~)Mhvej@w zMyS;SaJ{k`aJDj>g={rkkP%_E5L~;62Ar)7XCYe+7i5B3EezKys{v;#!&%5y!v&cT zR*S;5i)g^v%5WC4)o?*(sMTU{y|Nl`wlbWBY&Bev8DX^qT)T({oUIIJAzKX>WPw^O z3D+yD0cR`2S;$ty1z8YQOT)E`Xu#RZa2B%Fa6wk6)iQ9svKnx<GMt5MHC&JtVYM7w zyNCvytqf-&TMZXvgIX;Q*DI?5XDh>5$X3Gz*$`GM!nKQNz}d=h7P8fFL3XIsN^rfh z8gRBUoP}&PT#y}MwF+Fjhz6Xk3}+!*4Hx8qTCED#E2{x#E5ljHR>K835LT<hwTo!L z*~)Mhvej@wPN>xyaJ{k`aJDj>g={rkkP~6G7F@fCGMxRN!9fUA*=xfkWtHLV{|pXt z(8^vHE-#`CXa8q#;00;cgG<UP!`c5C9OR*z4dC)3%5e671_wcqW<$87tTLSapTR)^ zs@WJWFQN=*|7URE25B~dOUf$4+5Z_F6rq~U;PN6GaJDj>^`F5(8P?8#3o<|ih0Wo5 zWi{YzWjO0UgM$c6FI<oTA_!`uSi-f7Xu#RZa2B%Fa6vAp)mCu5vKnx<GMt5MHC&Jj zVYLlhyNCvytqf-&TMZZFhFWb4*DI?5XDh>5$X3Gzxe->|!?lZOz}d=h7P8fFK^~~p z4sgA)8gRBUoP}&PT#yH0wG&*shz6Xk3}+!*4Hx8vTI~$iE2{x#E5ljHR>K8(5mvjx zwTo!L*~)Mhvej@wKB(1haJ{k`aJDj>g={rkkPl(C2VA>|2Ar)7XCYe+7vzUp?FrW_ zs{v;#!&%5y!v*;fR(r#>i)g^v%5WC4)o?*ZsMS7jy|Nl`wlbWBY&Bev5n;6-T)T({ zoUIIJAzKX>WP)1l57#TJ0cR`2S;$ty1(^_52g0?BXu#RZa2B%Fa6x9M)j@E*vKnx< zGMt5MHC&JxVRZ;xyNCvytqf-&TMZXvfm$63*DI?5XDh>5$X3GzSrAr-!?lZOz}d=h z7P8fFK~|{M5pcb-8gRBUoP}&PT#yxEbrf8?hz6Xk3}+!*4HsmCS{)77E2{x#E5ljH zR>K9^5LU;+wTo!L*~)Mhvej@wcBs{HaJ{k`aJDj>g={rkkR4%l0$jU@2Ar)7XCYe+ z7vz9ioe0+}s{v;#!&%5y!v#4IRwu)?i)g^v%5WC4)o?*hsMRTOy|Nl`wlbWBY&Bev z6Jd24T)T)eoc*7{K?PLVr^6*>mEr9F3=YEJ%AQ3y8z#@RXsQOBqYP*LXK+{rtFhsN zj1WQL9JuC%Q#IfmWjG62GhC1vsyP>~dGS;YI7b=ILe>lyWP)nWgK1`zRfe;ner91* z7w(433uq$P{2Fk!GMx3F!C?c;hj2lDh~TJt28Pk_VPIgOQ+mKimBKx+gv>I3>Wqn+ za4w^qGMo(^upJeEOfG{`ENB3GScivjFDzlOO9;!#ScnT~!bKQal;Lbx+8|v(xDRFn zqmU+o&8`e*!_20+z)Vn0)(>+IC?`zTgmW2%l;Lcci$(<)K(~9ta{_vJ2v2|oCZnJx zg3YS|XDh>5{}~((!s<u3pfW^oR6V$U91S06dca6E!V_T$jayDc&`?0o#K=fMP#G=? z&9K6gV9MC#L?BAwA}}SBVM@5>&D=46>ekJhC(h7>3o<e*!`V<Bgaw4Bz|3S>IC16- zWjGgVg78$h{9=$ioC}km2A7`&l819)^3!4RoX|pALQokl22(Txrif+X<e4*+;ar&f zOt}1FkUX3Vlb;2XXBLzYREG0l(z9XGoY0_^5LAYX!4%DbDPo(qdDo1YGnC;1FcouQ zDwu^O1eM`DnDjiDG{?+Y8|KcRI&+3HTnMISK1>a>jD(;voClL$0GF1R5LAZqVA2cW z(xT#m%5WY`dJ#;TQCJhfmQ;qbq0`o*0*F=;Xc~X8gop5ASOl^`QjjKGfRR-h&W1)e zH3Wp0z-;82KXv|;sXKPf+c{SmE(o<rcqvR3*j<}8Xu<^;*_GjJsA?1eF$Mt!`~u)+ zDzX_ETCl1RUIud$qr4`9EvgJ>|7URM2HB1*(1R|}i!RWIF3^uIpoA_k0bO7sy1*oK zfyw9sQ_uybq6<tz7nqJNFauqn6<weWU7#IZpaWf?6J4MS)DT?`3w%&Rbdx5W%V?ks zXTy@ir~qn1bTm9h!-E0bW(E~(!YkleY2vOOo0Z{QX!a3a36tlQgER}p#RZHFjg;Zy zFommN3b{a)$If|R<KTiYRjXmDSSEw4gL7f>YvA%TK=N=dOnxm)o?YC?SP<k8xCl(i zI+zlcSs)|eT$ucNm^`>eC?^6k1TF?sv;n4wWiH4NI2R_r5iY+8BoF68<yjb+g}1_# zfW~#<9A!8Qd2|&n2pe792G_iBss@~+3}+#0h6}>Rb+^MbGs<ef*~)O%e+CCocOJaN z4KBzH5oBRx72XZg4stl0qYP&u+YJ|lIeZUX^TMebaE>yZg{&Da2y^&exaP%EHQ*d& zI15=bTo5)Cz7MW>#Z(PAM;XpS)(jV9fR1MGhiPV%)qt~=;Vk4Jg9|djf{b1GFiblr z$lx4hIO{)y!**B?9xliR5fnZG*Sv752Arb|XZ>ez*ap)K7i5D73Lk}QUOZI;&QXT5 zkbMmogaz3#xaJj8HQ*d&IO{)y!&aEha6vYRpzv|H=2cTQ;2dQ*3)yD4AS}F3z%{R& zssZOH!&(0s9Jau0h6}Pm1cgt+G&9O7!`c5C98|$GD(u2%Ve+8ZfOC}Ltp5xSyI_XH z1z912!sp<c7f#iHbClt%{|pX0VVdEBtPnxr^Ki|Jr)t1C%5WBPn7{>Lv2g*edBs!> zI7b=I`p@9717<T^kQE{*d=ajB)l>~QM;XpSwizx6i;YWg%`2yBz&Xlr)_(?vjWC<x zf~*ih;ma`1jIzpb_J0NkHE3*HhslFt1I|%~v;H$U?1LE&7i5J93g3WhUN}_)&QXT5 z{xdl2g=vNhvO)xfZ^AV%o~i-oD8pIEVFDL~#l|hT<`q*l;2dQ*>pz3T9+=H=K~{*M z@NKx}RZ}(K9A!8Q*=D#PEH>`IHLski0p}>gS^pUvcEfCj3$j84h3~>NGs-H%+5Z_F z)S<EQ5GD_b4LC;`&ic>buo-4JT#ywaDEtVndErzII7b=I`p@9738on?$O;h@ehk;V zc&Y}RqYP&uhY4H|78_6CnpaHKfOC}Ltp5xS2VgeC1z912!cXCvS54J`bClsMWSilF zu-JG8*SvD72Arb|XZ>ez*blQAF31WI6n+lV%qXi2Xa8q#(16CqYnVJJHsBm(I19Pn zfD5uh>y0;X%?qb$z&Xlr7IM7-7i5Lj8*ky77f;oIbClsM<S>B?!eZkcT=R;l8gPy> zoP}I(zy(>M^~QU+=2cTQ;2dQ*3)yD4AS^aMz%{R&ssZOH!&%7n23(L8T5o)WX=apF zhO_@OIA}s+<10)a6dQ1kGMt56Z@>jvp!LQ#xaNgZHQ*d&I19PnfD5uf>y7Vl&5NgM zz&Xlr7IK)t1!1xA1Fm_+R1G*s8O}nkH{gOS(0b!1T=S}_8gPy>oP}&NTo4u;zu=lz zPSt>Ol;JGodIK)V0<AZG!!$F>D#O|T8632rvGE@!4~h*qM;XpSt~cO<EYNxb)W-wQ zzc4MFssZOH!&%7n23(K@T5m8iK?mrV7EjfHbClsM<S>B?!eWC7u6e~&4LC;`&O)v? z;DRjBdV?9RdDT=6I7b=ILbe$$2#XCCxaO5pHQ*d&I19PnfD5uf>kU?zW=2_MIQu_? zgEllaIAQXj*no4C;Vk5O11`t{tv9&fnio#hfOC}LEaZ9vF319{H@M-N7f;oIbClsM z<S>B?!eWC5u6e~&4LC;`&O)v?;DRjBdV?3PdDT=6I7b=ILbe$$2#XCqxaO5pHQ*d& zI19PnfD5uf>kWRGW=2_MIQu_?gAOz{gkkca*no4C;Vk5O11`t{tv5vAnio#hfOC}L zEaZ9vF319{H$>r@7f;oIbClsM<S>B?!eT=Vu6e~&4LC;`&O)v?;DRjBdP5wpdDT=6 zI7b=ILbe$$2#XB~xaO5pHQ*d&I19PnfD5uf>kUbmW=2_MIQu_?gDx~SWMT54*no4C z;Vk5O11`u6tvBT0nio#hfOC}LEaZ9vF31e6H{{`(7f;oIbClsM<S>B?!eT=Ku6e~& z4LC;`&O)v?;DXH1dP5PedDT=6I7b=ILbe$$2#XCRxaO5pHQ*d&I19PnfD1B1>kVa? zW=2_MIQu_?gC00GScTPL@}Ss&bClsMWS7AOVIvS4aLo&+YQQ<la2B#=xF9S*HQ|~U zPt|~Pl;JF7&2T~3z=;-I^NOh&aE>yZg{&Da2n$ecm}W*<4LDmF&O#o(fD1ArhA;GC z+Cf1E=P1Kj$W<*|kQrLl8o)I#oT>rmD8pIERV`eQ8CumE!Zk0RssZOH!&%6_h6}>N z+X$|C#Z(PAM;XpSu4>_e%+RXV7_NEMR1G*s8O}ns87>G5ZxguYl~Xm~9A!8QxvGT= zGDE9cQ<!E(S!Fo;KZAolI03T@Tf*c)u>t2O!&%5xEnJWpTGd*?H7}g10p}>gS;$o_ zT#y-B)mp<fFP^Fa=P1Kj$YBB(gvEvpT=R;l8gPy>oP}J~!UdV3Rjn;t^Qx&DaE>yZ zg={li5EdJDaLp^HYQQ<la29e^3m0UDR<-sp&5W|jaQ1%&2LotqIK$*Yu>t2O!&%5x zEnJWpTGhJ1H7}g10p}>gS;$o_T#y-B)w;qpFP^Fa=P1Kj$YBB(gvEv%T=R;l8gPy> zoP}J~!UdV3RjoT*^Qx&DaE>yZg={li5EdIAaLp^HYQQ<la29e^3m0UDR<)im&5W|j zaQ1%&2SaFV_`>8tu>t2O!&%5xEnJWZTGjf&H7}g10p}>gS;$o_T#yM`)%wFVFP^Fa z=P1Kj$YBB(gvCYxT=R;l8gPy>oP}J~!UdV2Rc#<#^Qx&DaE>yZg={li5EdIjaLp^H zYQQ<la29e^3m0U9R<*$}&5W|jaQ1%&2P0@~gu~=Pu>t2O!&%7n23(K{T5m+aH7}g1 z0p}>gS;+MUT#yM`Z$!d1FP^Fa=P1Kj$YBB(gvCY_T=R;l8gPy>oP}I(zy+D0^+q&Y z^Qx&DaE>yZg={li5EdITaLp^HYQQ<la29gC0T*O~)*G=f&5W|jaQ1%&2V-b#B*NrD zu>t2O!&%7n23(K{T5lx5H7}g10p}>gS;+MUT#yM`ZzRJtFP^Fa=P1Kj$YBB(gvCY* zT=R;l8gPy>oP}I(zy+D0^+qaO^Qx&DaE>yZg={li5EdJ0aLp^HYQQ<la29gC0T*O~ z)*I<C&5W|jaQ1%&2NP&)WW(e^u>t2O!&%7n23(K{T5sgQHG{Twz&OfqVdQ!PF31F} zH*#U>LBkhtjxwBu942r<SZw6MHLsYe0p}>gS;+MUT#yM`Z{))@ubQd>=P1Kj$Tq_T zVX;vF*SvD72Arb|XCc=ca6u+$y-^6$%qXi2Xa8q#FoniODNG&|8*q*?oP}I(zy%qh z^+p+7^TMebaE>yZg<NmI1sS3BMmb#b;;9;NjxwBu942r<SZq|lHLsYe0p}>gS;+MU zT#yl3Z&boHubQd>=P1Kj$Tq_TVX;vK*SvD72Arb|XCc=ca6v|By-^L*%qXi2Xa8q# zFoVWMJxm@H8*q*?oP}I(zy%qh^+p3+^TMebaE>yZg<NmI1sS3BMk8GF;;9;NjxwBu z942r<SZp-GHLsYe0p}>gS;+MUT#yl3Z#2U-ubQd>=P1Kj$Tq_TVX@Hy*SvD72Arb| zXCc=ca6v|Bz0nHO%qXi2Xa8q#Fo(uQCrlm`8*q*?oP}I(zy%qh^+p$5^TMebaE>yZ zg<NmI1sS3BMmJpZ;;9;NjxwBu942r<SZwsbHLsYe0p}>gS;+MUT#yl3Z}h@7ubQd> z=P1Kj$Tq_TVX@H%*SvD72Arb|XCc=ca6v|Bz0nWT%qXi2Xa8q#umHyftMFu)JSaBc z9A!8Q*=2A+*zm;^xaNgZHQ*d&I15=bTo4wZQ{kEyPt|~Pl;JF7&2T~3@WnK^<`q*l z;2dQ*3t2N<5Eh`*VVW6bHQ;PzI173B0xrma7`~Vd(+&zUI7b=ILau7zf{f6rb`D(g z!l@c?jxwBuT-Cw_8KG6}T)5`NQ#IfmWjG7j*Kk2tc+Z1tUNKbz&QXT5kgHm_AS1M@ zoe$T%YN`gDqYP&u+YA?kh4%uu=9N=5;2dQ*3%RO=3o=5h+J!L9jIzpb_J0NkOK<{a z7hVdJ2gL@QqYP&uSG90K2542g46b?MR1G*s8O}nkYT<$m(5iMhT=U|o8gPy>oP``F za6wpXtbl7?F;xT3QHHaSt6I1q1GK7L3D>-8ss@~+3}+$R3>SpO#wxhxl~Xm~9A!8Q zxvGT=GC-@^)iBMBvdVDwe+CCDXl$&9$%A48&QXT5kgHm_AOp0j-2m6TaH<BJqYP&u zSG90K2542g5w3ahR1G*s8O}lu6SyEOHa5XEub8R<=P1Kj$W<*|kO5lNZiZ`KHB|%7 zQHHaSZH5cNVq*(j^UA3jaE>yZg<RFb1sR}K?N*p(Mp<Pz`#*z&H8eJM!sJ1*0p}>g zS;$o_T#x};)$W38UN}_)&QXT5kgHm_AOp0j-3`~gc&Y}RqYP&uhY4H|78`runpaHK zfOC}LEaa*dF313_YWKo5ubQd>=P1Kj$Tq_TVX?6fu6gBD4LC;`&O)wg;erg%s&+q2 zGo!3Boc*7{!3G)|hhg%d*no4C;Vk5O11`t_tv8OqHG@{|!8porVdQ!PF313_H;%&8 zgSu#NjxwBu942r<SZo}FYX+^_gK?DM!pQXoT#x};ZyblIX9BI-gK?DM!pJtm1!1vq z0;Zk`v}zB=QHBd6*Bfv_257x;5~d!sY7fT#&){GSjtv&!(=ainMN^gGoc|0CcAzEy zXW+66rz*oa{}~+YL9%CIvW&7CaJDj>g}i|tE(qOFE_@EI*H8n_R)({X0|YJzZEvwK zvIt**X$LtQ&iT*a-~e*iMY!z3smgH9f6)1o0t~{JV6u#|8gRBUoQ3RcxF8?oTm<3E zaJ_~aaJDj>h3ss&Ad<7M!nA{&4d?u4aBu=S`x;z!;Z$Wf=RbpkGf4J2OqNkr1I|{4 zvyhz)7vzOH`vzREp$43-3}+!b8!m|C>{~GHAZNol{}~)yKn}YNmt8nj8P567;NS|9 zy#teFl+}Q<mEkO8XTt?~pw7Mv*K4Q&XDh>5$j*ifB02j$OgqTgaL#`Q2RD$z9>8T6 zPF03;{xdkZgJd7VWEo{O;A~|$3)$IlL2jtCAHnq+YQWjba2B$&;etrcege}DayFdv zpTWTc<gll3*@aV;;hg^r4xS*{XE0etSq(T_8O}m>He8Sk>g?xmy@ncawlbWB>}<Fo zlCxjJw1b=t=lo}I@B%sP6<l`VRAo5lKZAoeNcJ^MmQhv%&Q^xAkev+|<b*o=4P39G z2Ar)7XCXTqE(muvtMCVyc2IQ$=P1Kj$ok=eu>RLaxaNgZHQ*d&I15=bTo6{He1dCU zJXHhEQHHaSHNyp=E*Jg`*Sun?2Arb|XCZ5b3&LuYFEGuFvKnx<GMt6n^Mea=!Fqly z!rx%pK`{;I{AY0R0mbEaxa`8I%5ctq1_xh|><^eMqpSv;tqf-&$244!0~*sm;d%`< z;A~|$3)#zXL1=h^>Wtqo?I35vIsX|P{6G%-1D9PmRT<9t&*0z>lKl&lWt7!`vz6g2 zWM{(#*`dz<2iI$;0cR`2S;)?Y3nDq2ff=?gZqZa_IOjiuLjcHOjBwe7Q<dSI{|pX+ zAXz4uETgOjoUIIJAv+r`$Od&bGhDBs2Ar)7XCXTqE{No8R+x5BXu~=G861K@4r7DM zE}W_i=lo}I2nNZr!(<s{HQ;PzI1Aa?a6wk6vpL{;4K?6wWjG7j*>FK5XLG@{gPaZL z{AX|o0Xd8tF1v85GMw|D!66hR%L9{Tl+}Q<mEkO8XTt?qpw8xn>owGXvz6g2WM{(# zk(|vB(++Yrob#W-Aq?a&0l4hKsmgH9e+GwekgOm~mQhv%&Q^xAkev+|WQICh2(H&q z1I|{4vyhz)7esQl2uwT3*>KK(28Rfc!$je-3#Tf>IsX|PB0;iZFj+=f4LDmF&O&xJ zT#yOsY;m|=Lk&1v8O}m>He3+N*^)5rAZNol{}~*jKn|0F%PyR%4Cnl3aEJ!UO2cFs zWi{YzWjG7j*>FKdsIz6@dJQ$;Y-KnL+1YSGBxlRPw1b=t=lo}IhygiF9xl6ZsxqAO zpTQv(B&z_EWt7!`vz6g2WM{(#8KBNqgzGibfU}k1EM#ZH1(BSs4ATyBHk|XH!66Rh zFcrA$!l}w|&VL4nc#y0rOqNkr8P10FZq(qCh8l3TGMt6%F1R4H<_B#x)PiXTwH)9a zWjG62KU@%2`D?>9FPy3Y=P1Kj$eQ7T&_EH^foW!x)qt~=;Vk6(8!pI+sK51K+Cc#d z=lo}INB{+yK3sO;RAo5lKZ8ReNY(%*%P6Z1XTv&#hHyzk4LDmF&O-JRTo4|hEW*Yx z?I3r-IsX|Pl0Y__z-1RsRfco^GdLuJWKChRpygdKHmpBr1`}X3)PS><;Vfi#!3B}r zWdYL;au=NQpTQvoWV0n)cHvZIIOjiuLn=tt3MR`as|;tudZN~FNka`dTN%zmb{AX_ z$z8TE?I3r-IsX|P(m*!b!DSatRfco^GdQG!WbI+HpaobkHmoD+025#|)PS><;Vfi# z!3B}r<pk3Xau=NQpTQvmWV16|cHvZIIOjiuLncVp1t!ZVs|;tuy1=e*Nka`dTN%zm zb{AX_$zAR+?I3r-IsX|PvOqR_z-1RsRfco^GdN^}WIbWBpe0f;HmnEi1ruO2)PS>< z;Vfi#!3B}r<pa|Wau=NQpTQvqWV0_^cHvZIIOjiuLoP_x4<^efs|;tu`riI<Nka`d zTN%zmb{AX_$z6dk?I3r-IsX|P@<28R!DSatRfco^GdSdfWP@R{phZkDHmvI%0ux{~ z)PS><;Vfi#!3B}r6$aA|au=NQpTVI3WOF!NcHvZIIOjiuLm@~u0w&8Ss|;tuI{lGw zNka`dTN%zmb{AX_$z9Pf?I3r-IsX|Pia<8Uz-1RsRfco^GdL83WMg5npk+odHmuJd z2NPg4)PS><;Vfi#!3E*&Viit;X$MtQaE>yZg{&Vg2&<@);hGmt)qr!9;Vfj$a6wo_ zl>*nic&Y}RqYP&uYlaI#T`rso*Sun?2Arb|XCZ5b3&Og%X)w)<vKnx<GMt56MZyI+ z5LILbOgktl;hg^r4ke(-%7n`<oT?1x{AX||1<7W?WEo|Z;cVCdO*UN8Py^0ZhO?0U z1Q&z`2&fO63)2pA7o78-!J!Ofa~@oF;Z$Wf=Rbo(IY>4iCd(+R3}?f}XA0nwh8l3T zGMt6%F1R3)yNY1iLGFTc{xdjKfNU;?%PyR%4Cnl3aHs^ymcV2gWtHJ<*vL>RT+&bj z&Q^xAklh6rL~>U-OgqS3aL#`QhboZG6>!;wQ<dSI{|pY*AlXWoETgP4oDCc0sDeux zYQWjba2B$=;DSi*s)1<-xeLzu&)`r4vbh#6yKt&9ob#W-p%x@t2a{!#Rfe-+<16)W zNka`dTN%zmb{AX_$z6>w?I3r-IsX|P>OeL(!DSatRfco^GdR?PWSe2KjIzpbHf(sM z1uki*0cR`2S;+2!3nICz4W=FBE;#2ugF^$z=61O3!l}w|&VL4nMv!a=OqNkr8P0|c z>~z8<4K?6wWjG7jU2s7pcXh+GgWLt@{AX}z0@>UHmt8nj8P567;Lr?`?S;uQ$|}Rz zuyLI}xTK*5oUIIJA-fAMh~%ybFzq0B!8!jK99lp&PlU@ZoT?1xK+kdzo&=L+lvRea zVIxYD;gW_LaJDj>h3qc4Ad<VL!nA|j1?ND|EfAgtmt8nj8P0+3qZghIlVy}uhO=P< zN;BY+h8l3TGMt6%F1R4vU97@$VA?@dB%GrRXCdo{3&N_%xp2)3r)t1C%5WC4X1E}% zikt`6ym+bxoTCh9A!~*ULR~IAAFg@DR1G*s8O}o13>Sn|kqcm&8D%x#Y-KnLxr&4f zvLmX<MKJB4sDyK%+w6rG!(|svRfcn*+rEXDz+@R^mEmmI=-*Pfq@f0!tqf-&`w1=x z4G>Tjxg4e)<SsY|y2V*|1zdLFRAo2^y5&}QB}|r4RvFHQ4gRfyOB!mx*~)Mhvb*4d zNbXt#(++YMoCDonD!djhyKt&9oCDqND7+3P%P6Z1XTyda*TW?ZHQ;PzI1AZba6u$@ zZG>qDxeLyLZtWA^1eaYnRT<8K?wb<c43lM)Rfe-+qmEnPl7<>^wlbWB>@K(<lDoFS zw1eCQ=Ro%e32%qXE}W_i=Ro(Y2=9Q&GRi8$*|71>op4D*4LDmF&O&w<ToB1!yJ6Zv z?t*im`!j_1z-1RsRfcn*`vrve!ekj`mEmmIaOXa_q@f0!tqf-&y9+Le<gNoS?I3r- zIncH7!Uy593#Tf>IncG*!iQk8jIzpbHf*5vFkI451I|{4vyj~d7esQ`QJ8j+yWpJv zkafwz$KbLHrz*oa&~>uH$6>OJvdVBaY@GE3T+&bj&Q^xAklh6rL~_?Dn0Ao6;GF-E zHKD?%;j#;-D#JO@1$V+{V6u#|%5XMpg!e35(oh4=R)({X-31p!a@Tp7c96T^od1x; zWx^NWvJ0mw!#U8!PQn*qvW&9Ia5ij!_Yz#vPy^0ZhO>~}1s6ne*EN`SP!$R1D8pIE z`r(4Gy5>4u^TMebaE>yZg{&Da2&-Rjz%?(PssZOH!&%6h;et??3*UrkW|Y-{vz6g2 z<XR3c$cCupZo{;LVgt^BE@u+H1D9PmRT<8KF0T>33zG$%3It=r2GsAt1Q-o9;A~|$ z3)xR_L8zZt7}<p%!L);71I|%~vyj(&!Ug%E>pdUCH7}g10p}>gS;*@>;e!0o^`1}Q znio&ifOC}LEM%9%1z}P76s~#2R1G*s8O}mp?+F*=hpzX02G_i5ss@~+3}+$R3>So@ zz~^wyE2nC}Im&Pr@_J9WAU|}y=L?u-Mp<Pz8#)64ij6lgc~ES?Im&Pr@_J9WAU|}y z=Uceug;O=)9A!8QdA%oGkRQ6<^Br9C;;9;NjxwBu942r<SZut9YhE!`1I|%~vyj(& z!Ug%E>peffHLseg0p}>gS;#iS1!1xA5w3aVR1G*s8O}mp?+F*=hpzYh1k=nYs|;sD zXM8}h@eL*qiVZkN8O}mp?+F*=hpzYh4%fVJss@~+3}+#)_k;`bL)Ux$fNNenRRhjZ zhO>~v1TF}Rjh}GME2e6|Im&Pr@_J9WAU|}y=P$VCRZ}(K9A!8Q*=D#PEH-|_HLski z0p}>gS;*@>;e!0o^`3uVni*x4;cVz+5-2tpSP<(MG~gU%I172bCtQ#py55r!u6f~9 z4LC;`&O%=A2^ZvtuJ>euYhFB61I|%~vyj6CE(nVaX1L}RQ#IfmWjG6Yy(e6dAG+R? z1+ID3R1G*s8O}ns87>Hm4OY13l~Xm~9A!8QdA%oGkRQ6<lMSYsQC1nwhECprVuK4N z56T;GjxwBuTyMYy`JnX%H(c|=sTy#OGMt56Z@>llp!Eh1T=U|o8gPy>oP``Fa6wpX z@WM5(n5qHiD8pIE^#)v!4_a^V!8Na%ssZOH!&%5S!v$fn!4KEGa;gTLqYP&u*Bfv_ zK4`rm0MpDUs|;sDCp1B^Ap(;J#Ri<C3}+$N8*o8BXuTl{*Sv752Arb|XCc=ca6vw3 zy&(qIym+bxoTCh9A%_WE5EdKaaLp^GYQQ<la29gC0T<+h)*BLV&8wzrz&Xlr7P8H7 zL0D`^!Zoj)ssZOH!&%7n23(L2T5m|fG&9O7!`aXYWKe9#!Q?@)0p}>gS;+MUT#yf1 zZ^*+nFPy3Y=P1Kj$n^$XkPljKD8Mx@o~i-oD8pIEVFDL~#fBnW^NOh&aE>yZg<NmI z1^J-$h7w%!s;L@qjxwBuY%^RC78}ZN%`2yBz&Xlr7IM7-7vzK18!9l(jIzpbHgr-Q z6dM{ac~ES?Im&Pra=if;<b&25nsChvr)t1C%5WBPy#W{GgVq~baLtRSYQQ<la29fy zzy)Ekp$*r(VyXt5qYP&u*Bfv_K4`t61J}H2ss@~+3}+$R3>SpOhAv$5%BdP~jxwBu zTyMYy`JnZN9!xW%tTLPpUBm#24I`L5C^q06WjG7D-hd18LhB7<xaNgZHQ*d&I19Pn zfD7_M>kSjQ=EYMr;2dQ*3pq^Sg0R>yg==0hRRhjZhO?0C4Y(jLwB9g-YhE=~1I|%~ zvyg3u3&LW<9IkogR1G*s8O}nkH{gQ2(0aoHrkPPz8P0|->;N4WZUd7C#Ri<C3}+#` z3@!+BnJrxN!l@c?jxwButQjr{?RpB^!8I?QssZOH!&%6h;exQSZhN@q6;n0f9A!8Q zSu<P^7L^V#&5W`daJDj>h1`*c3$nsG^6bJcFzuiqgL9POEaa*dF31b5YF*))7f#iH zbClsM<f;}f$P2A%-Qb!RPt|~Pl;JF7U&94qzIKOeUNKbz&QXT5kgHm_ATPA4^?++$ zHB|%7QHHaSZH5cNl8Gl=^UA3jaE>yZg<RFb1$m)Wtrtu)qpUKV4PAQ#Do*@h@}Ss& zbClsM<f;}f$P2A%{o$GyPSt>Ol;JGosunKD3$1Dc;F=dt)qr!9;Vk4ZfeXT7BM`26 z#Z(PAM;XpSu4>_eywIvP2(Ee6R1G*s8O}ns87>HmjbOOul~Xm~9A!8QxvGT=@<OZH z5SV60S!FmIx*iJ@8xb&hP;9_C%5WBPRSOs7g;uqZaLo&+YQQ<la29e^3m4>tR<%)Z z&5NgMz&Xlr7IK)t1!1uf4cEM4ss@~+3}+!%wQxaRXjK~n*Su<~2Arb|XCd1R7lg$| zEL`)-sTy#OGMt56)xrgNp;c`hOf#dbGMo)v{|1VUB$zxXHsBm(I19O|g$wdPtJ-9^ zX3%mS7)Kc{j9k^i1$m%VZ3;|1XoDo2qYP&uhY4H|78|K>&7kEvFpe@@7`dv23-Um# z+BBGY&<063M;XpSwizx6i;Z-+=9N=5;2dQ*3%RO=3-Um#+6<UxMp<Pz8@eVC6dO4( zc~ES?Im&Pra=if;<bl>3xp2)3r)t1C%5WBPy#W{Gfz}&&aLtRSYQQ<la29fyzy)Ek zkq_6rVyXt5qYP&u*Bfv_9%#K$0N1=~ss@~+3}+$R3>SpOMj>4D%BdP~jxwBuTyMYy zd7$-15ll0qtTLPpU4sdVjWU=#C^q06WjG7D-hd18K<kZixaNgZHQ*d&I19PnfD7_K z>x~My=EYMr;2dQ*3pq^Sg0R@Aglk?gRRhjZhO?0C4Y(i=wBD$KYhE=~1I|%~vyg3u z3&LWf8m@WeR1G*s8O}nkH{gOi(0Zc=rkPPz8P0~TO9jP7156$i8*q*?oP}I(zy*1r z^+qFH^TMebaE>yZg<NmI1$m(LMiX4~;;9;NjxwBu942r<SZp-IHLsYe0p}>gS;+MU zT#yG^Z?wQQubQd>=P1Kj$Tq_TVX@H)*SvD72Arb|XCc=ca6ukuz0n5K%qXi2XG7Nk zgJPo#CJ%}YI7b=ILasO9g51!0qZ_Vy;ZzMcM;XpSt~cO<+|YWX2d;VXR1G*s8O}lu z6SyEOHhSTjS4`D_bClsM<az@x$PKMG`rw*ZP1S&Nl;JF7o8f}6*yx9AUO80*&QXT5 zkn0V&AUCw$m;lqvD60%-L)S)wVq*$S9uymJjxwBuTyMYyxuNyORJi7aQ#IfmWjG7D z-hc~oL+g!caLtRSYQQ<la29fyzy)EkF&(aX#Z(PAM;XpSt~cO<+|YVs23+&1sTy#O zGMt5MGh7fB8#CdWS5DP{bClsM<az@x$PKMGX2CQw$|}Rz(6#8G*q8^C2gL@QqYP&u z*Bfv_ZfLzRAFg@fR1G*s8O}nkH{gQY(0XG5T=U|o8gPy>oP``Fa6wpXEQD)bF;xT3 zQHHaS>kYUdH?-bZ1lPQ3ss@~+3}+$R3>SpO#$veUl~Xm~9A!8Qx!!;aazpElB{0pP z<y|l~bbUT(_+kZ23=|u1jxwBu>@v6@%w;R#nio#hfOC}LEM(1aL0EvUf@@wpRRhjZ zhO>}0!v$f(7pvi#S4`D_bClsMWX*6v*ucpem}W*<4LDmF&O#o(fD5u9hA%e2w1a{S z&QXT5kgHm_AUCwC-3ZsbaH<BJqYP&uSG90KZfI4z39fnZR1G*s8O}oXHCzxD-kaf? zS4`D_bClsM<f;}f$PKM(x4<>8nyLZkD8pIEHp2yB$z&^B^UA3jaE>yZg<RFb1-YSB z?KYTZMp<Pz8@h!ARGjRB$%A48&QXT5kgHm_AQ!Z%-3`~gaH<BJqYP&uSG90KE@)M| z2d;VXR1G*s8O}lu6SyEOHul0bub8R<=P1Kj$W<*|kPBMX?t^PyHB|%7QHHaSZH5cN zVq-sC^UA3jaE>yZg<RFb1-YPA?E#o(Mp<Pz8@jCq6dOli@}Ss&bClsM<f;}f$OWxx zkHR%CoT>rmD8pIERV`eQ3tH74gKJ(qRRhjZhO>~v1TF}RjpK05E2e6|Im&Pra#af# z<bqbUC*Yb_P1S&Nl;JF7o8f}6*f<H-ymG1roTCh9Ay>6<K`v-jdkUtRQC1nwhHhg5 z#l|_9JSaBc9A!8QxvGT=azU%w^Ki`zr)t1C%5WBPRSOs7f>yN`;F=dt)qr!9;Vk4Z zfeXT7<04%1im4iKjxwBuT-Cw_xu8|;CAj8QQ#IfmWjG7jX1E|MHZH?8ubip@=P1Kj z$W<*|kPBMXUV&+5lvReaq5G6Tv2g<?4~h*qM;XpSt~cO<T+n*sCS3EvsTy#OGMt56 zZ@>k)p!LQrxaP%EHQ*d&I14#U;DWH&xDD65VyXt5qYP*Lhg1>Z+f?C#To6IwJ8;db zrfR@B%5WC4&2T|jY}|!wUO80*&QXT5kn0V&AQ!aWxChhBD60%-L-&P&V&f4^9uymJ zjxwBuTyMYyIidB&W4LC}sy!G-87_=mZ@>jPq4mZSn0nCA9-N~LXCa3PTo4u;PvM$D ztM*_VWw<bMy#W{Ggw`9+VCq3bdvK02oP}&NTo4u;&*7RutM*_VWw<bMy#W{Ggw`7` zVCq4u_F!!2UO7-~yn%^<Vgt@mhO?0C4Y(jDwBC3N*9=;<2jeKig^}wGxF9FA-gpO7 z4~h*qM;XpS4imT_EH>W5HG@{|!8porVdQ!PF31V3H$K4BgJJ{DQHHaSZH5cNV&fxR zGicQwjH3(}My@yDf}GHL;}c9hXw@E!4c(gvij8kDF;Hy4Im&Pra=if;<b>86-{G1; ztM*_VWw<bMy#W{Ggw`8BVCq4!0p}>gS;%1m7lg&ePq=2#sy!G-87_=mZ@>jPq4mZu zn0iobz&Xlr7P8H7L0D}3hHD0`+JkYF;ljxE23(L6T5tS;sRymvgR!CeH9@h#z>2(T z55`f33nSMXa6wLJy}<}m54r~s&QXT5kn0V&ASblmV1jD~t=fZel;Oh2VFDL~#RfA> zJ!oYToTCh9A=evlK~8AB!2;I|TD1q`D8q%3ZH5cNVuKZ?9&}10oTCh9A=evlK~8AB z!3NU|TD1pbL-)smVuK4N2Fe?7jxwBuTyMYyIiU3hH(WDl)gFwa3>QYOH{gOC(0YRh zrXG|x;2dQ*3pq^Sg0R@&g=+?_+JkYF;ljxE23(K>T5s^d)PwQ{oTCh9A=?ZWgvACw zTr+6Z9*m<57e=l(;DQ{`dP4xF9<*u?#)j@01`S_`z{EhY0p}>gS;#Jf3&LC`3fBx; zwFl!U!-bJG!v$f32x2hxpxA(Ol;JF7&2T~3@P#;BGicQwjH3(}M%D}$ghizUOg*El z2Ar)7XCV(?zy+BR!xu6z?VuoobClsM<f;}f$N{ZtW#O6^PSt>Ol;JGosunKD0j+A~ z;F=dt)qr!9;Vfid!v$f!mWOLzF;xT3QHHaSt6I1q2ehhHfNNegRRhjZhO>}uh6}=y zi6UI{%BdP~jxwBuT-Cw_IiOXo5==9rtTLPp-PR5&PSjxXpxA(Ol;JGosunKD0j+A) z;hGmt)qr!9;Vk5;7B0vEt!g#knio&ifOC}LEaWhO3&LVU6Rvs1R1G*s8O}nkYT<$$ z(5hAou6fl|4LC;`&O){sE(nVaZMf!@Q#IfmWjG7Ds)Y-3K&x6Em}W*<WjGtU)gKfa z1~7S0Y`{6na29e^3m4>oR<(w3%?qb$z&Xlr7IIY!7vz9ewMKBwi>GS9Im&Pra+tsc zVX<Kh*Sun?2Arb|XCYU$a6t}eRciv*ylScjoTCh9A=?ZWgvEv_T=UAQ8gPy>oP}J~ z!UZ{?RjnCJGo!3BoDDr%0TdfnFnLgHz&Xlr7IIY!7i5Q4wbpRW3#V$pIm&Pra#af# zWQSI@HgL_0r)t1C%5WBPn7{>Lv0)3>yke>boTCh9Ay>6<L3U_WYX{f7YN`gDqYP&u z+YA?k#fCjx^UA3jaE>yZg<RFb1=*ohtpiLmqpUKV4LxoG6dNuuc~ES?Im&Pra=if; zWQW!pu5ituReLawGF%wB-hd0TL+cGUn0ioE3+E`qS;%1m7lg%zJ6tnp)gFwa3>QYO zH{gQo(0aoIrXJMrfpe7MEM%MEg0R@|glh(^+JkYF;ljxE23(LGT5ov4)Pq*-!PwB_ zJwUPH2NMIu2Arb|XCc=ca6xuxz2Ohn3|h4Z<0!+0k?Re(AUm|)2!N>v#Ri<C3}+#S z30x2s8-Z}mpjCS?jxt;rx!!;avP0{QAeeekY`{6na2B%7a6wpX1j99hR_(z!%5Y)i zdIK)V4y`vrVCq4u_F!!2ktd+oh=7TKVgt@mhO?0C4Y(jXwBCq>YX+^_gK?DM!pQXo zT#y}FZ$!b=gJJ{DQHHaS!vrn}i;ZZwX3(lV7)Kc{j9hQP1=*qXMhr|nC^q06WjG7j zX1E|MHe%tLL96y)9A&sLa=if;WQW!paWM6uReLZt^oSWyY$U<NK(PVmD8pIE^#)v! z4O(v`!!?6e?ZG(8aAD+n11`u0tv6C&>Orvq=P1Kj$YBB(gvCZGTr+6Z9*m<57e=l( z;DT(>dLs>{9uymJjxwBuY%^RC78~hs&7f6#Fpe@@7`fhn3$j7$jSQH2(5gKc8+z;x zC^m9nVxZW7bClsM<az@x$Of%9a^admtM*_VWw<bMy#W_wgVq~)F!i9=fOC}LEaWhO z3&LU}AFdg+Y7fRyh6^Lt8*o83XuVMYQxA#_I7b=ILbe$$2#bwExMt9*Js3wBE{t4n zzy;Z$^+pj)J!sV)j14{J2oxJ-FfmYUz&Xlr7IM7-7i5Fh8|84#pjCS?jxt;rx!!;a zvO(*O3YdCOY`{6na29fyzy)EkQ3=-!TD1q`D8q%3>kYUd8?@f2f~g0^2Arb|XCd1R z7lg$|HC!`j)gFwa3>QYOH{gP7(0Zc=rXI9v55|Tb{RBF<wgDyvI?@2n`On}m0dzK0 zBU~1=EDy#}h712^a8P0p09~cQzyKHIhX@Kc!PGO#D#O|T860MTZyRA1ZiCAUD#O|T z85|@*Hn+nhnP$#VhI9TiILLuyJ7BWRM&g3XaNd6g2St!{Crp}ASOd;hhO_=NI2?w# z8ZO8H5ftu%>ow4Tvz6hj{|pYwFuia=28bYNIH(V<T~Ha$hIy(VCJFKsoCEXJ1eh$? zQ*a*4Qxjp*jKUglwlbWB>?yb)7t~Xe;Cc--;A~|$3)xd}K`w-+ropufD#O_@PfdqO zf;<K1z&terCJXiyoCovNOqevIum+s13}+#G3NFYE_0%l5UIPs{TN%zm_7q%@8|EoC z;khvFOjBnl!#V#M9F~ES&^);8h8dc04kL>)oc*7{VK##R1B$>Lbb-0(0`owI&xaY# zwrlGAsat0#!v+2`IEaE&EP$zCnmS7p&S7LxhO?n6$q*1;2s4~fRs+sfhO=OS?!&;q z02c&LuEKfTs9X*P5CCT?;YD!64K?6wWjG5~Vt@>X3xZ99^Q2I@;vlmjMG7c`ErXjc zs0?Sr(&BQMBq%MyIk2?20wxPii*Oz+Ev|$~GYV_K*~)MhELa>F7#QG!JkYeb3a-~c z1I|{4vyjswT#yHr7FmVY!L<u2!`U!Tt%pg1JO$^#JhcHP3-%P82lLcMm^7oX2Ar)7 zXCZqEF31b@)F!xI0}VJ^8O}oX6kL!O;i+wK?Sjg1Hq2AoVUi$E!8tHb?SRRGJq72% zJhc-h%_yt^XDh>5$ew}=@<Bbd3$E8d1I|{4vyeRn7vw{DY9Cy?pfa2d^VEKrB*;^6 z4$M;rV6tFO!Fe!G9fV0U3TwdG%5WC4r{IG8P){9#>ow4Tvz6g2WKY2b`C*=76Fv&l z4k`-a9B2V2d<-tTVTLB0!^o@*Xa8q#m=7xGkOdZ?3oHbcYsX<`!Ad^30JJ<4J^@n! zD*50XMiyl_8>*5F0pXJ{!x?2Y;A~|$3l_$p!U--2E{)(kepD_Gq;LW?CxlPI4L8() zvz6g2<dP3A2sRDQlSAc7LktJCus|i>Ik@?P%5XL;>79p3f|4Gb150`rV6xz(2j{_( z-bI)+qp${?tqf<uf(2Ca!37ziN$(O|uYm@ftqf-&Cq1|zBP{8$3SWb37gUC`VV=4U zlLUDR&VhOA222+0DL4=2shcoqMqv#&TN%zm_7q%@3F@g^aJ>c^aJDj>h3qM~AQQq< z_u$$EmEmldr|!cfL7swhV4ivalLdPU&VzaCAxxT4SOd;hhO>}81s7z7dg>8euYm@f ztqf-&dkQYd4D%G5@Kcy}P$2>5Kr^H8Gq~)A8JchoBa1Se{hz^M5vWW+7FdifumoLT zDX7$V4l^89YQP0x*1v$M0F@eW4kL>)oDEe;q5!xT^b%$`qpSv;tqf<u0v%Lpzy-lM z8O~!y<%07yG*({04L8(8u$eUAY-KnLxded=f=!0=giyHxV4J{wG!$cCU9fj>%LSF; zY*<2l50eBXR5%BgP(Q$A!3h=4gC*3DFlk0%4LDmF&Vq#*s7QeevOt666I`!>2Ar)7 zXCWt4xF8EGp|T2pgKHO5hO=Rw`VNx>c?!;fdFlsD7VIfF59X<#Flk0%4LDmF&O-JS zT#yy&sb6rt1{!d-GMt6%DYzgj!c+g?+69&2Y?!D1!z4kTf^%S=Vqk-=-T`|G&VzZ1 z5hl$jtN~{$!&%6lf(x=iJ;emqYoGyVE5ljHo`MUqAw0zf*Dk0GXTv<j4wD3V3eJIf ziUTGK_7t24^Asmcno(E-&Q^xAkUa$#WQTf+3$E8d1I|{4vyeRn7i5QdicOdorX5sH z!a2~=PnZubyJ3bVoWsbX3}-`opeO>%LB%4niWTSrD?#NXKg@7gISChlmVUwlFcqM3 z63$^{QHHajD#;KK7K9lNx;qTUR)&kh0v%LN!Ue(Q6`aS8%4LBRU*Oi25X?YELk&1v z8O}m38{vXr)8ITYRIV_@a9Ha~3~s)lGMo)di{dazP+Ej@U};eTCJRoBa2_lzO2VWW zg*D)8WjG5KETEzgF315*i&AjC1{!d-GMt5+7U6;%u(Zf3EC<&vs0?SrJS7j41bGV1 zfq6;+CJXiyoCouiB21c5SOd;hhO>}81sCLmdP)hd*FXc#R)({XJp~u!gn5ctSPiBf zG=L1}D8pIEgQ;*q*kGzUT=T-I%5ctq1_x<S2GW4ZGRi8$+5Z_FR)dEuS%h_9@*u0> z9A!8Q*=o2TYy?>su6f~94LC;`&O+7<7lgT653YIfRAo2^=5BqMETgP4oc*7{VGY>b ztir}Hd62u|9A!8Q*=o2TY@L}2T=T-I8gPy>oQ13zE(r6qDO~g7sTy#OGMt6187>I( zv>9CUimA$Q4$RZ$Fj+=fWjOmkgTq>|r<sMVVe+7%Z8+yYgM$<(s%_x13#Tf>IWV(r zVX};}%5e6728VTEv)P0lVe+5=g>#hQEM#xN1z~INoZy-lPSt>Ol;JF7&2T|jY&gR; zFP^Fa=P1Kj$eQ7TumE*|YhE!`1I|%~vye5z1z}O?3fH`9sxq7d3o$pCETgP4oc*7{ zVLdp+ScSdd@`B26HmoS}hDm~oA~**c-oidGS#VJV=fR31Uzjwburizt&9uUPa7hCV zI9nOcLiR9R5E@#bK6emYyPz_h4RducOcLa3I0xqH5ST33)o>on)uAwHMqy<*8=7T> z!{Cwz8gRBUoQ3RaxF9r|K(3C0YZp|8vth1|hDm~44d=jI9RrgEyBf}exjGgm%_yu4 zXG8Oxa2#CHKm*QJhO>}e4HtwaYmlpx;MxV1;cS?zlVOq|SHn3lSEs;a!LEk$V6IMu zNizy7!`aZZDVzqEG|+&vmEkO8SHlINIT_^YEVy<-WjGt=>TH-K$klMpe+CD6P!W^^ zlLfmP&V#u+7beXptN~{$!&(0s9AsfLQE)*9h@fyDT(5x!oUIIJAy22n1sNdI=^#%P z!L<u2!`U!T6~iP!o`Q2=o+^RKf;|Q2!8}z8lV%jwfU}k1EM!l?1-YP}Due4a(15d* z;VfiN!3DVxo~nXt7gUC`VV<gnNrF5D=fFHw1Cs@N3eJOhsum{AD69czE5ljHo`MT< zLp@ao*K42wXDh>5$ew}=LXVvQ#Z(hqyPz_h4f9kpOcLZNI0xpb7MLv9Q*a*4Q>`#* zMqv#&TN%zm_7q%@2kNOdxLyMdI9nOcLiQ9~5PAj^$WvW#?Sjg1Hq2AqFiDW7;2fBz zdSJ3(Pr-RGPxZp28HF|AY-KnL*;8;qUZ|(~;Cc--;A~|$3)xd}L0&}in*`S`s0?Sr zJT)063Gx)21M}1rm@L>+a30K4Q(@AK!WwY4GMt6%DYzgX)Kk;odJQz-Y-KnL*;8;q zK7^-c!L<u2!`U!T&4x*WJO$^#JT(U<3-%P82lLcim^7oX2Ar)7XCZqEF31n{)I7Le z0}VJ^8O}oX6kL!W;i*M%?Sjg1Hq29tVUi$E!8tHbErH2`Jq72%Jhc=i%_yt^XDh>5 z$ew}=GD1DI46fHe1I|{4vyeRn7i2_uY870&pfa2d^VDjXB*;^64$M<)V6tFO!Fe!G zt%XT53TwdG%5WC4r{IE2P*1Ic>ow4Tvz6g2WKY2bnGl}Z1lKO83}?eUwHYP}@)Vo{ z^VAlYEZ9?U9?Vl)VbYAk8gRBUoQ3QuxF9psQ`_Kr4K(0vWjG7jQ*c3Mgr|1FwF@f4 z*)UJ-hDm}v1?Rv#wFf2(_7t24^VD9LG^4NvoUIIJA$tlg$O84$KDb^34LDmF&O-JS zT#yCfsY7t>g353<%u|P9k|0mPIWSKhfyshB1?Ry$brdGeD69czE5ljHo`MUqLOpd1 zuGc^V&Q^xAkUa$#WJP%D6kNNYGMo+b)M=O`$Ww3*%u{DzvS3fac`#3%g-J6CYrxsc za2B$s;DT&WPo0D7HPC>wmEkO8Pr(J*5T3dO*Dk0GXTv;o872wx6r2O|)D@U4*i&#G z%u`ok(u~3yaJDj>h3qM~AUo7k*Wh{$G~jGyI1AZRa6xv2r*6Tu3o66eFi+ivNrF5D z=fFI52PO;l6r2b1)Lob~qp${?tqf-&dkQYd0rk{9xLyMdI9nOcLiQ9~kOSeVM{w<e z%5XN!Q;%ViAWy+LFi$;!$$~uv=fOPn6ei6mtN~{$!&%6lf(vp&J@pK(*FXc#R)({X zJp~u!M0n~IT)Ut$oDK8TYnUX+Q*aK<Q*U6hU{Aq$Fi*XONizy-z}d=h7V-j4xF7>` z0p~loUIPs{TN%zmUUmu>WPmI?1vO|t!L<u2!`U!TeTGSbJO$^#JoN=83-%P82lLcd zm^7oX2Ar)7XCZqEE(klQ<QrVCfd-td3}+#G3N8peUIpZ-UvTY$%5XN!Q@>%7AWy+L zFi-t~$$~uv=fOPn7beXptN~{$!&%6lf(yb9I{OFLYoGyVE5ljHo`MTPk7omUiisUM zS;i=+3}?eU#SD`Kc?!;fd5Q%l3-%P82lEswOqx+x1I|{4vyeRn7lfVq$p+VJpaEwq z!&%6lf(t^=Dg}9p3$9&I8P0}ziW?>g@)Vo{^ArzE7VIfF59TRem^7oX2Ar)7XCZqE zE(kjUn-8wnKm*QJhO>}81s8-K+zs-S5L~;UGMo+blrT&Z<S94@<|z@FEZ9?U9?Vms zFlk0%4LDmF&O-JSTo87Jh8SG0fd-td3}+#G3N8q}_ygoADY$k)WjGt=DQTD_$Ww3* z%u_NjS+J+zJea3sVbYAk8gRBUoQ3QuxFGC4DLJ@a0}VJ^8O}oX6kHH`3l_*zN^tFh z%5XN!Q_3(&kf-1rn5R@=vS3fac`#3@!lW66HQ;PzI1AZRa6v|Bk*)^UYoGyVE5ljH zo`MT9B8qe^xOPEhI2-0EZI~p;Q*aK<Q#vqNu&3ZWn5T4M(u~3yaJDj>h3qM~AQRM6 zdT_l48gRBUoQ3QuxF8e4Q$}#@g353<%u~iNNsy=D9GIs}V6tFO!Fe!GnZl$Qg*D)8 zWjG7jQ*c3MsHe=}dJQz-Y-KnL*;8;qW`w7#;MxV1;cS?vtYMNMPr*4bPuaj^!JdNi zV4kvtNizy-z}d=h7P6<{f-F!^*}?T1Xu#RZa2B$s;DRg&PdUN03o66eFi$zdBtf2n zb6}owfyshB1?Ry$<qDH#6xM*VmEkO8Pr(IQp`LPs>ow4Tvz6g2WKY2bSrMM{f@>F4 zhO=Rw@`g!*JO$^#JmmwE1$zq4gL%pqCe0|U0cR`2S;(G(3&J+<_`&rWXu#RZa2B$s z;DXRCN1)O#2(DdF8P0}zDi|gS@)Vo{^Hc~-7VIfF59X;*m^7oX2Ar)7XCZqEE(qJn z8V1*EpaEwq!&%6lf(t_T--0|91=lX93}?eU6%CUFc?!;fc`61b3-%P82lG@cOqx+x z1I|{4vyeRn7la+_5C_+5paEwq!&%6lf(t?qqX2m-39emG8P0}zDj6mT@)Vo{^Hd5< z7VIfF59X;<m^7oX2Ar)7XCZqEE(kjoDh;mJKm*QJhO>}81s8-KW(D$87F@faGMo+b zR5nZ!<S94@=BXT*EZ9?U9?Vm@Flo^I6pXD57e#g$ToBqP63&CEXEe}&vz6g2<UN3J zK?cYkK#-@3;MxV1;cS?vieZu<Pr*4bPnE!A!JdNiV4f<4NrUF6U~FZ$D6*&Eg3vyZ za2ZTJqk#sTtqf-&dkQWHJ?j$WsVca3L1j1_=Ba9!B*;^64$M<EFj=st;5?Y8YGKl# z`6(D%87_+KDYziCPb6FiQ_pCi0cR`2S;(G(3qsGz26?Ipu3b<W&W3ra872wx6r2O| zR0~WN>?t@8=BZYgG-!Sb##V-lB6|uh2<;OIx53mi8fd`T%5WC4r{IFniz7gu>Vj(* zRED!*p6Z54f;<K1z&zChlLdPU&VzZX7bXpwpMtTK;iAZ%f(t_XM8bVA^^67@aJDj> zh3qM~AoLa`kf$cWwF@f4*)UH{hDm}v1?Rv#H3cRM_7t24^VC$BG-!Sb##V-lB6|uh z2<;OIPlKsvG|+&vmEkO8Pr(JDw=jY{H4Cm?P#MmKd1^LH667g32j;0cFj=st;5?Y8 z=E9^w^HVUkGF%kdQ*c3OpGbHfOg*E42Ar)7XCZqEE(pDn804u%aP5N1a5l_Si(!%= zPr*4bPc4DTf;|Q2!92ATCJmaOg0Yq1qR5_t3qt!u!pmUl84WbxY-KnL*;8;qMnsXm z3a(vH8P0}zYBfv}<S94@=BYI>S+J+zJea4}!lXg-Q!utNTol<;a6xFFNO&DgJ)?mJ zoUIIJA$tlg$b|6JCb)J%WjGt=sm(A+kf-1rn5VYDWWk<-^I)FZ3X=xSPr=yAa8YDW z!3CjxBH?W?^^67@aJDj>h3qM~ATz>KyWrXdmEmldr*^|6L7swhV4m6olLdPU&VzYs zFH9OVKLuke!$pxj1s8<&iG=sT)H51rz}d=h7P6<{f-DG69fE5YRED!*o;nPZ1bGV1 zfqCi(Ocv}ZI1lEjqcCaE{1l9>3>QWA6kHJ6ClWpeQ_pCi0cR`2S;(G(3$h|SbqcOs zP#MmKdFnJw667g32j;0WFj=st;5?Y8&cdWY^HVUkGF%kdQ*c3OpGf!|Og*E42Ar)7 zXCZqEE(ksU0aW^3f@>F4hO=Rwx(t&9c?!;fdFl#G7VIfF59X<>Flo^I6pXD57e)3I zToBqP621mg&uE|lXDh>5$ew}=LJva%dFmEiyPz_h4fE7(m?X$ka1P8<cVMz$Pr-RG zPu+z{gXX7TY-PA8vZvsJ&_0pyJ(zk%0}VJ^8O}oX6kHH`G9buPkKoz`mEmldryj#3 zL7swhV4ivclLdPU&VzaCDNGtPKLuke!$pxj1s8<&iG-iQ)H51rz}d=h7P6<{g3yzN zL7sXA*Dk0GXTv=88YT(y6r2O|)Ek&A*i&#G%u{b+(xCY%7+V=GitI4BAhb^;{0^p` z(Le*vR)({X4>N)bGC&S90(t5aT)Ut$oDK8TXP6|&Q*aK<Q(s`RU{Aq$Fi(AjNrUF6 zU~FZ$D6*&Eg3vyZ@Hd!xMgt8vTN%zm_7q$YdiXlXQ@`Na1(o4!n5TZjBtf2nb6}qO z1Cs@N3eJOh>Mu+hG(QDnE5k*RJp~tp_KAf5!PGMvXu#RZa2B$s;DXSbNI;%q;y{|8 zg0W$qVulHTJO$^#JjDW&1$zq4gL#S-CJmaOg0Yq1qR5_t3qt!u!fY`0j0PHTwlbWB z>?yb)^d>Wqr?}wS1(o4!n5Vd5k|0mPIWSN0z+}Omg7aXW;)O|r=BHq6Ww<D^r{IFn zK9MjVOg*E42Ar)7XCZqEE(pEW6XYo&xOPEhI2-0EVVESyQ*aK<Qz9@~u&3ZWn5RTx z(xCY%7+V=GitH)4Ahb^;ECy50XrKXSE5ljHo`MTPuLTErN(!!BP#MmKc}f~43Gx)2 z1M`#&Ocv}ZI1lD2S(r3vehS7`hKnM53N8rk6A8<~)H51rz}d=h7P6<{g8YcmPYJGF zP#MmKc}f{33Gx)21M`#$Ocv}ZI1lD2RhTqrehS7`hKnM53N8rk6A7!q)H51rz}d=h z7P6<{f{X}HX~DG%D#O_@Piey>L7swhV4l)}$$~uv=fOOs3zG)TPr=yAa8YDW!3Cjx zB4It4dPV~cI9nOcLiQ9~kO|=_Be-@!WjGt=DPx!<$Ww3*%u^;XS+J+zJea3UVbY-a zDHvNBE{g0axFEDoBy0v#&uE|lXDh>5$ew}=G9x@?1=lX93}?eUWet-Ac?!;fdCCSR z3-%P82lJFIOd2#l1!F72MUg!P7lihSgzaGJ84WbxY-KnL*;8;q7KEpq;MxV1;cS?v zoMDn6Pr*4bPr1Nk!JdNiV4iY?NrUF6U~FZ$D6*&Eg3vyZup3N0qk#sTtqf-&dkQYd zitv;dT)Ut$oDK7oH%t=bDL4n_DIb_D*i&#G%u~KFY0&%>jI9h8MfMb25ZWga_JgTs zG|+&vmEkO8Pr(J*5S|KxYZp|8vtgbJhDm}v1?Rv#6#|n5dkW5jc`6hp4Vs^Vv6bPX z$ew}=Li<F*VKDWK1{!d-GMt6%DYzi?bWTt`6$RHWs0?SrJQWR-1bGV1fq5zhCJXiy zoCot%EKC|SKLuke!$pxj1s8<&iG<@|>KP3*;A~|$3)xd}LFiG{AWtR1wF@f4*)UHf z!z4kTf^%S=N`c9OJq72%Je3NQ2F*{w*vfEGWKY2bp?xCZG?;ou0}VJ^8O}oX6kHH` z)dk2?S#a%w%5XN!Q`s;{kf-1rn5S}JvS3fac`#4q!lXg-Q!utNTol=1a6xFFNH`Cs z9yC7%V=Kc&k&mT?3o<~Cr3HDa2&RQmP#MmKd8!yD3Gx)21M^e~Ocv}ZI1lEjQkXPo zehS7`hKnM53N8rk6A72W)Pv@yU~FZ$D6*&Eg3xQUK%T0CX<-yphO=Rws)k8|JO$^# zJXHge1$zq4gL$eJCJmaOg0Yq1qR5_t3qt!u!gVn9p!q2nTNy5j>?yb)^iD~Tr<!0| z7zLH#Y?!B-VUi$E!8tHbwZLS-o`UmWo@#|jgXX7TY-PA8vZvsJ&_0oH8%#ZDehS7` zhKnM53N8q}lN#iyE|?ZZL1j1_=BaL&B*;^64$M<MFj=st;5?Y8dSTL_`6(D%87_+K zDYziCPbAz2QxBS-g0Yq1qR5_t3-TiJ)FhY|MnPpb8|JCWFiDW7;2fBzrod#uo`UmW zo|+1i2F*{w*vfEGWKY2bp?xCZX)yJm`6(D%87_+KDYzgX!c((gS{MbD;cS?vX2T>w zo`Q2=o|*%b1$zq4gL!H$Od2#l1!F72MUg!P7lihSgy+H3gXX7TY-PA8vZvsJ{0L7i zf@xtCRED!*o>~l(1bGV1fq7~POcv}ZI1lEjr7&sG{1l9>3>QWA6kHJ6ClX!;QxBS- zg0Yq1qR5_t3o;@+wF;(%QBWDqhIwi=OcLZNI0xpbH85GQr{Fx8r`E!xLGx2EwlZ84 z*;8;qXrD-U9ZWrFehS7`hKnM53NFZm@YE)l7Dhp3I2-1v%`i!jr{Elzr?$Xk!JdNi zV4m6vlLpOC!Pv@hQDjfS1)+T+;cYPWp!q2nTNy5j>?yb)Gs085U|JXjmEmldr*^|6 zL7swhV4m6olLdPU&VzYsFH9OVKLuke!$pxj1s8<&iG=sT)Pv@yU~FZ$D6*&Ef-DG6 z9fE0L6jX+@VV*h+lLUDR&VhOA2uv32DL4=2siQDy(EJpPtqd1M_7q$Y+9wh|22&53 zpMtTK;iAZ%f(x=DJar1Dg;7u$&W3sFG)xlYDL4n_sWUKHu&3ZWn5WLdq(SpjFt##W z6xma7L1>>y_#8|<XnqRDR)&irdkQYdhVaxSm=;DsWjGt=smm})kf-1rn5VA5WWk<- z^I)F33X=xSPr=yAa8YDW!3CjxBH?Q=^`QAF7+V=GitH)4AoQLEP>bRgOber+GMo+b z)NPm~$Ww3*%u{z@vS3fac`#4ig-L_vr(kSlxG1ux;DXRTk?=j3deHn7jI9h8MfMb2 z5PInl$WxDCS{MbD;cS?v9>XL-o`Q2=o_Ye41$zq4gL&#HOd2#l1!F72MUg!P7lihS zgrC9GgXX7TY-PA8vZvsJ&`S$Jo_Yn-!YHT=XTv=88YT(y6r2O|)Ek&A*i&#G%u{b+ z(xCY%7+V=GitI4BAhb^;{0^obG(QDnE5k*RFBpLfGC(dE0eR{ZOber+GMo+b)MuC^ z$Ww3*%u`=rvS3fac`#3Xg-L_vr(kSlxG1ux;DXRTk?=Q|deHn7jI9h8MfMb25PBgp z$Wy;yS{MbD;cS?ve#0a|o`Q2=p85lm1$zq4gL&#NOd2#l1!F72MUg!P7lihSg#W?R zgXX7TY-PA8vZvsJ+=!TB;zXLCg0W$qVulHTJO$^#JjDW&1$zq4gL#S-CJmaOg0Yq1 zqR5_t3qt!u!fY`0p!q2nTNy5j>?yb)55iMiFfELN%5XN!Q`|5~kf-1rn5TGPvS3fa zc`#4$!lXg-Q!utNTol<;a6xFFNSF_%9yC7%V=Kc&kv#<$<VAQ&2&RQmP#MmKc}f^2 z3Gx)21M`#!Ocv}ZI1lD2QJ6GnehS7`hKnM53N8rk6A6pK)Pv@yU~FZ$D6*&Ef_w;1 zNx`%*3M#|dFi%OtBtf2nb6}p5fyshB1?Ry$B@2@V%}>GD%5YI+Pr(JDeIj8wn0nCs z6pXD57e)3IT#z5(DJ7T|MnPpb8|Eoxm?X$ka1P8<Dll2Fr{Fx8r&M9mp!q2nTNy5j z>?yb)v`-|g22&53pMtTK;iAZ%f(tStJf#KG!YHT=XTv<D4U+_U3eJIfN(Uwj_7t24 z^OP=38Z<uzV=Kc&kv#<$g!YMq^<e5j^HVUkGF%kdQ*c2hgr|&PS{MbD;cS?vjA4=> zPr*4bPnp1E!JdNiV4gCCNrUF6U~FZ$D6*&Eg3vyZuo+A}XnqRDR)&irdkQYdjPR5d zOber+GMo+blr>Be<S94@<|!MPEZ9?U9?Vm=Flo^I6pXD57e)3IToBqP61Ib>2hC5x z*vfEGWKY2bSrDFbf@xtCRED!*o^pmsf;<K1z&zywlLdPU&VzZ%6($XupMtTK;iAZ% zf(t_XM8a+`^`QAF7+V=GitH)4AS=RCUN9|;g353<%v0VlNsy=D9GItkV6tFO!Fe!G z`NE_@^HVUkGF%kdQ*c3OpGepbrXDmu1!F72MUg!P7i2?tDhQ^9QBWDqhIuL&CJFKs zoCEVz2uv32DL4=2sZf|SXnqRDR)&irdkQWH?Gp)y!PJB1r(kSlxG1ux;DXQ_^FVFC zD3}&TL1j1_=Ba3yB*;^64$M<AFj=st;5?Y8Vqwyt`6(D%87_+KDYziCPb3@%QxBS- zg0Yq1qR5_t3qr4t1$in7riD>Z8P0}zDj6mT@)Vo{^Hd5<7VIfF59X;<m^5g93dUB3 ziz0gpE(q-t38%r-gXX7TY-PA8vZvsJ(Cg<xp2~u0VH8w`vtgdfhDm}v1?Rv#l>?In zdkW5jc`6qs4Vs^Vv6bPX$PR-GLi<F*c`)^$`6(D%87_)^+ap|%0dm_T$WuiyEui@+ z7#rrPVweEPQ*aK<QzbB2u&3ZWn5Rl%(xCY%7+V=GitH)4Ahb^;Tn1AQnxBHPmEoeu zo`MT<Av{$D(*l~Gg0W$qs)h-GJO$^#JXHge1$zq4gL$eJCJmaOg0Yq1qR5_t3qt!u z!gVn9p!q2nTNy5j>?yb)H^Ng*FfE|@DHt2(sb-h}$Ww3*%u_8eS+J+zJea3iVbY-a zDHvNBE{g0axFEDoB-{p551OBXv6bPX$ew}=@*q6b1=9kWpMtSrp6Z4PfIJ1~z&zCh zlLdPU&VzZX7bXpwpMtTK;iAZ%f(t_XM8bVA^`QAF7+V=GitH)4ATPpGlVDmv^HVT3 z%u|zL0w7PpIWSL6fyshB1?Ry$H5DcenxBHPmEoeuo`MTP`$WRiVCq5hQ!utNTol<; za6vwVr)I&lfaa%QY?!BJ!vsK{f^%S=ngf#sdkW5jd1@|98Z<uzV=Kc&kv#<$g!YMq z=fTv2=BHq6Ww<D^r{IG82v047X#veo!PqcQErtnzJO$^#JhcQS3-%P82lLcYm^5g9 z3dUB3iz0gpE(q-t2`_`G2hC5x*vfEGWKY2b84;dZ1=9kWpMtSro>~nP0C@_|fq7~T zOcv}ZI1lEjwJ>SW{1l9>3>QWA6kHJ6ClX!<QxBS-g0Yq1qR5_t3o;=*wF#yLG(QDn z!#uSaCIIpjoCEXJ7MLv9Q*a*4Q(Ixup!q2nTNy5j>?yb)v`-|w4W=G6KLuke!$pxj z1s7yScxo3+3ut}{#)f%nH%tKJDL4n_sXZ`Ru&3ZWn5Xu_q(SpjFt##W6xma7L1>>y zcppqXXnqRDR)&irdkQYdg7DNKm=@6d6pRh?)M1za$Ww3*%u`2TvS3fac`#2Mg-L_v zr(kSlxG1ux;DXRTk?=8?deHn7jI9h8MfMb2kQL#nQ!p){`6(D1=Bd*#0g$KQ9GIuh zz+}Omg7aXWIt!Bq%}>GD%5YI+Pr(JDeIns=F!iAMDHvNBE{g0axF8$CQ<q>`K=V^D zHq29(VFDme!8tHbU4hAhJq72%JarW&4Vs^Vv6bPX$ew}=Li<F**I?>F^HVUkGF%kd zQ*c4(_2r-j?JbxV(EJpP4fE7(m;lIAa1P8<cVMz$Pr-RGPu+z{gXX7TY-PA8vZvsJ z&_0pyJ(zmX{1l9>3>QWA6kL!45mS#~T0rwtFgDCnk6{8JPr*4bPd$Ojf;|Q2!94X8 zCJmaOg0Yq1qR5_t3qt!u!p~spLGx2EwlZ84*;8;qPK2jk!L)$pr(kTDr(VMZK%Rng zV4ivdlLdPU&VzaCEle6TKLuke!$pw~9)t@*&pa1?2U8E4pMtTK;iAYFpTh+iAQzv5 zlHVto7SQ|@j1BYDXP5xUQ*aK<Q(s`RU{Aq$Fi(AjNrUF6U~FZ$D6*&Eg3vS1g}=en zgXX7TY-PA8vZvsJTnJD7f@uNGPr=wQPyL1ofIJ1~z&!N_CJXiyoCovNUzjv#ehS7` zhKnM53N8pe^IZ5JOg(6R3dUB3iz0gpF364W6cZQH{1l80^As~o0OTn+2j(djm@L>+ za30K4tT1WN{1l9>3>QWA6kHH`=D9E%Og(6R3dUB3iz0gpF35xM6c<bjXnqRDhIxt` zCIIpjoCEU|4@?&9DL4=2DPEW~XnqRDR)&irdkQWHJ@Z_c52hY8KLuke!$pxj1sCK+ zcuEMS1vEbeW5YZp3=;r(3eJIfN(3eg_7t24^OPt|8Z<uzV=Kc&kv#<$gr0dWECy2# znxBHPmEoeuo`MVVAv`4o(*l~Gg0W$ql7<O@JO$^#JS78@1$zq4gLz68CJmaOg0Yq1 zqR5_t3qsF47nXym2hC5x*vfEGWKY2b`4OH{f@uNGPr=wQPbtF$K%RngV4hNe$$~uv z=fOOs3X=xSPr=yAa8YDW!3Cjbo(rqN)Pv@yU~FZ$D6*&Ef{X}HX~DFB=BHq6n5VR1 z0w7PpIWSM@z+}Omg7aXW(uGNb=BHq6Ww<D^r{IFnGtY(fVCq5hQ!utNTol<;a6u-7 zr;K1)K=V^DHq2ATFaeOK;2fBzOklELPr-RGPnp7`LGx2EwlZ84*;8;qX2_|(!e%h_ zp!q2nTNy5j>?yb)Gs06=FfE|@DHt2(DQlPj$Ww3*%u_ZnS+J+zJea3!VbY-aDHvNB zE{g0axFGb*b74D}deHn7jI9h8MfMb2kOko>Czuw{{1l80^OQ480OTn+2j(dkm@L>+ za30K4t}toP{1l9>3>QWA6kHH`=DDyNOg(6R3dUB3iz0gpF35`Tlow13XnqRDhIz^x zCIIpjoCEWe4@?&9DL4=2DPNd0XnqRDR)&irdkQWHJ@Z`H52hY8KLuke!$pxj1s7yP zcq#~{1vEbeW5YZZ3=;r(3eJIfDg-7A_7t24^HeBI8Z<uzV=Kc&kv#<$gr0dW90pSl znxBHPmEoeuo`MUqBRmxa(*l~Gg0W$qiiQb*JO$^#JQV|z1$zq4gLx_zCJmaOg0Yq1 zqR5_t3qsF47mkCeXEe}&vz6g2WKY2bIS`&of@>F4hO=RwN`^^-JO$^#Je2~I1$zq4 zgLx_yCJmaOg0Yq1qR5_t3qsF47fyqz2hC5x*vfEGWKY2bIT4=9f@uNGPr=wQPi4ad zK%RngV4li>$$~uv=fOOc3zG)TPr=yAa8YE3!3CjxBH=ukdeHn7jI9h8{m<aA6LxnM zT#x}G2<lH2!L)$pr(kTDr;1?$AWy+LFi(}hWWk<-^I)DTg-L_vr(kSlxG1ux;DXRT zk#HGIJ!pOk##V-lB6|uh$c6A!6-*0gehS8hd8!&F0P+-^1M^f3Ocv}ZI1lEjT9`Cw zehS7`hKnM53N8rk6A9PB)Pv@yU~FZ$D6*&Eg4_sCHNmui=BHq6n5UXy0w7PpIWSMP zz+}Omg7aXWYK2LI=BHq6Ww<D^r{IFnK9O)6Og(6R3dUB3iz0gpF35xMR2NJOXnqRD zhIy(RCIIpjoCEVz4@?&9DL4=2sa}{gXnqRDR)&irdkQWH?Gp+2!PJB1r(kSlxG1ux z;DWpePfdbp0nJar*f38`h6#W?1?Rv#H3cRM_7t24^VC$BG-!Sb##V-lB6|uh2<;OI zPlKrk%}>GD%5YI+Pr(KG5T2R^(*l~Gg0W$qnhg^Gc?!;fd1?+!7VIfF59X=4Flo^I z6pXD57e)3IToBqP5}pTB51OBXv6bPX$ew}=@*_O82&M%zKLumMJhd1m0P+-^1M}1p zm@L>+a30K4OJUNW`6(D%87_+KDYziCPb9nyrXDmu1!F72MUg!P7i2_uY86ZiXnqRD zhIwi=OaSC5I0xpbH85GQr{Fx8r`E!xLGx2EwlZ84*;8;qXrD-U9ZWrFehS7`hKnM5 z3NFZm@YE)l7SQ|@j1BYDW|#oTQ*aK<Q(IuNU{Aq$Fi&lTNrUF6U~FZ$D6*&Eg3vyZ z@HUuw(EJpPtqd1M_7q%@8R4m2FfE|@DHt2(sogLEkf-1rn5Xu@WWk<-^I)FZ3zG)T zPr=yAa8YDW!3CjxBH?{7^`QAF7+V=GitH)4APd4%hhSPj^HVT3%u|P90w7PpIWSKh zfyshB1?Ry$brdEInxBHPmEoeuo`MTP`$WRWVCq5hQ!utNTol<;a6wjtr%u7Nfaa%Q zY?!A`!vsK{f^%S=Is=mhdkW5jdFm`o8Z<uzV=Kc&kv#<$g!YMq&%xA#=BHq6Ww<D^ zr{IEY2v1#tX#veo!PqcQU4{vOJO$^#Jaq*o3-%P82lLcbm^5g93dUB3iz0gpE(q-t z315S$2hC5x*vfEGWKY2b*%6+)1=9kWpMtSrp1KVa0C@_|fqCi<Ocv}ZI1lEjyD(|c z{1l9>3>QWA6kHJ6ClbB~QxBS-g0Yq1qR5_t3vwVl^$4Z~G(QDn!#wpECIIpjoCEXJ z6PPU6Q*a*4Q%_;ip!q2nTNy5j>?yb)v`-}b45l75KLuke!$pxj1sCK*c<L2Q3ut}{ z#)f(7HB12HDL4n_sW&iLu&3ZWn5W*tq(SpjFt##W6xm^LL1>>y_#I3=XnqRDR)&lI zXK>gB>rcT286bk7{?sR!7SQ|@j1BYDXP5xUQ*aK<Q(s`RU{Aq$Fi(AjNrUF6U~FZ$ zD6*&Eg3vyZ@Hd!x(EJpPtqd1M_7q%@3*o6>FfE|@DHt2(soyXGkf-1rn5X{0WWk<- z^I)F(3zG)TPr=yAa8YDW!3CjxBH@29^`QAF7+V=GitH)4AUDEOOx#HGQ!qBnQ_L^{ zkf-1rn5S4^vS3fac`#40!lXg-Q!utNTol<;a6xFFNSF<#9yC7%V=Kc&kv#<$<Ux3f z3#J7$KLumMJjD$Y0C@_|fq9AtCJXiyoCot1FH9OVKLuke!$pxj1s8<&iG=xJ>Ou2U zFt##W6xma7L0*KXgkV}g^HVT3%u~WJ0g$KQ9GIs>V6tFO!Fe!GiNd5o^HVUkGF%kd zQ*c3OpGa5?rXDmu1!F72MUg!P7vw{DN(!b0G(QDn!#pJo699P%&VhMK1||#k6r2b1 zlq^gdG(QDnE5k*RJp~tp_KAe$VCq5hQ!utNTol<;a6x{Ar<7n?K=V^DHq2AXFaeOK z;2fBzRA91TPr-RGPpQJBLGx2EwlZ84*;8;qXrD+}4W=G6KLuke!$pxj1s7yQcuEVV z1vEbeW5Yb94HE!)3eJIfN(Uwj_7t24^OP=38Z<uzV=Kc&kv#<$g!YMq^<e5j^HVUk zGF%kdQ*c2hgr|&PT0rwtFgDCn#xMbpr{Elzr%Yh7U{Aq$Fi)Anq(SpjFt##W6xma7 zL1>>y*bJr~G(QDnE5k*RJp~tJMtI5!rUf)V1!KcJWepPmc?!;fdCCSR3-%P82lJFI zOd2#l1!F72MUg!P7lihSgzaGJLGx2EwlZ84*;8;q7KEpqU|K-)Q!qBnQ_e5}kf-1r zn5SG|vS3fac`#48!lXg-Q!utNTol<;a6xFFNZ1Xg9yC7%V=Kc&kv#<$WJP$&3#J7$ zKLumMJmn1&0C@_|fqBXYCJXiyoCouiFH9OVKLuke!$pxj1s8<&iG=-N>Ou2UFt##W z6xma7K{kY^f?!%e^HVT3%u~TI0g$KQ9GIs<V6tFO!Fe!Gg~Fsk^HVUkGF%kdQ*c3O zpGY_irXDmu1!F72MUg!P7i33xDhj3rG(QDn!#ouY699P%&VhL<1||#k6r2b1R4hyy zG(QDnE5k*RJp~tp_KAe!VCq5hQ!utNTol<;a6t})r;=b=K>Md)Y?!B#VFDme!8tHb zrNCsto`UmWo=SyDgXX7TY-PA8vZvsJ&_0oH8caQCehS7`hKnM53NFZr@KhE|3ut}{ z#)f$+8zunq6r2O|R1QoQ>?t@8=BZqmG-!Sb##V-lB0CH&2<;OI=fTv2=BHq6Ww_{n z28Z3S{uEr00U`+MPZhzmfaa%QY?!BtVFDme!8tHbmB3`do`UmWo+^b&gXX7TY-PA8 zvZvsJ&_0oH8B9HBehS7`hKnM53NFZn@KhB{3ut}{#)f&S8YTep6r2O|R1HiP>?t@8 z=BZkkG-!Sb##V-lB6|uh2<;OI*TK|-=BHq6Ww<D^r{IFz2v0S^w1DQPU~HJDnqdMU zPr*4bPqn~g!JdNiV4iA)NrUF6U~FZ$D6*&Eg3vyZa2rfLXnqRDR)&irdkQYdgYZ-r zObcj!3dV+csv9N%@)Vo{^HdK^7VIfF59X;}m^5g93dUB3iz0gpE(q-t3HQO&gXX7T zY-PA8vZvsJya-QCf@uNGPr=wQPfdmifIJ1~z&tetCJXiyoCovNRG2hqehS7`hKnM5 z3N8rk6A4d)sRzwZ!Pv@hQDjfS1^E!3ng!DWnxBHPVV;@|699P%&VhMq4onv8DL4=2 zskty|(EJpPtqd1M_7q$Y+9wj82U8E4pMtTK;iAZ%f(!B^Jhcd>1vEbeW5YbP7$yMn z6r2O|)DoC1*i&#G%u`EY(xCY%7+V=GitH)4Ahb^;ybPuuG(QDnE5k*RJp~tJM0jcy zObcj!3dV+cYBfv%<S94@=BYI>S+J+zJea4}!lXg-Q!utNTol<;a6xFFNO&DgJ!pOk z##V-lB6|uh$b|6JCYTn`{1l80^VDXT0LW8t4$M<qV6tFO!Fe!GZG}mL=BHq6Ww<D^ zr{IFnK9TS?n0nCs6pXD57e)3IT#y;zsa-HFp!q2n8|JCqFaeOK;2fBz_P}Jpo`UmW zp4tnO2F*{w*vfEGWKY2bp?xCZeK7T)`6(D%87_+KDYzgD!c&J}T0rwtFgDCnhhYLB zPr*4bPaT2Df;|Q2!8~;oCJmaOg0Yq1qR5_t3qt!u!pC6hLGx2EwlZ84*;8;qR)nWc z!L)$pr(kTDr%uBJK%RngV4gYylLdPU&VzaCEKC|SKLuke!$pxj1s8<&iG<I=)Pv@y zU~FZ$D6*&Ef@}y+U4m%=%}>GDFi%~E34lBW=fFI51ttsj6r2b1)K!=?XnqRDR)&ir zdkQWH?Gp)KgQ*A2Pr=yAa8YDW!3Eh7p1K9o0-B$Kv0<LN4HE!)3eJIf>JCg6>?t@8 z=Bc|dY0&%>jI9h8MfMb25ZWgaz6VnenxBHPmEoeuo`MT<AUyR5rUf)V1!KcJ^%y1q z@)Vo{^VAcVEZ9?U9?VluVbY-aDHvNBE{g0axFEDoB>W7f9yC7%V=Kc&kv#<$<V1Mt z6-*0gehS8hdFnMx0OTn+2j;0aFj=st;5?Y8-om6o^HVUkGF%kdVQ@icpGf!}Og(6R z3dUB3i~eVD*aPcN!37y0f}sA?Czuw{{1l80^VDaU0LW8t4$M<uV6tFO!Fe!GeT7Mb z=BHq6Ww<D^r{IFnK9TS@n0nCs6pXD57e)3IT#yUlsb4THp!q2n8|JCsFaeOK;2fBz z{=j6xo`UmWp85-u2F*{w*vfEGWKY2bp?xCZe=zl+`6(D%87_+KDYzgv!c$B<Nb^%L zHq2AZFaeOK;2fBzSYWbXPr-RGPqD(JLGx2EwlZ84*;8;qXrD-!4W=G6KLuke!$pxj z1sCK&c!~?A1vEbeW5Ybf4HE!)3eJIfiU%eO_7t24^As;k8Z<uzV=Kc&kv#<$g!YMq z`C#fn^HVUkGF%kdQ*c3Egr|gHT0rwtFgDCn!Y~1lr{Elzr$k_~U{Aq$Fi(lXq(Spj zFt##W6xma7L1>>ySPZ5fG(QDnE5k*RJp~u!LwHIGrUf)V1!KcJB@Gh*c?!;fc}fN* z3-%P82lJFHOd2#l1!F72MUg!P7lihSgymrBLGx2EwlZ84*;8;qeuSr#U|K-)Q!qBn zQ_3&_kf-1rn5R@=vS3fac`#3@!lXg-Q!utNTol<;a6xFFNLUS~9yC7%V=Kc&kv#<$ zWJGvM3#J7$KLumMJf#g20C@_|fq6;?CJXiyoCouiE=(FUKLuke!$pxj1s8<&iG=lF z>Ou2UFt##W6xma7K_-N!j9^+o^HVT3%u~iN0g$KQ9GIs}V6tFO!Fe!GnZl$&^HVUk zGF%kdQ*c3OpGepYrXDmu1!F72MUg!P7i30w$_l0hG(QDn!#rgT699P%&VhN#1||#k z6r2b1lr2meG(QDnE5k*RJp~tp_KAe;VCq5hQ!utNTol<;a6uM?r<`C~K=V^DHq2Ab zFaeOK;2fBzTwt<bPr-RGPr1URLGx2EwlZ84*;8;qXrD;f4W=G6KLuke!$pxj1s7yR zc*+Z=1vEbeW5Yb<4HE!)3eJIf$_FM3_7t24^OP@48Z<uzV=Kc&kv#<$g!YMq{b1@r z^HVUkGF%kdQ*c2xgr|aFT0rwtFgDCn!7u@kr{Elzr$S(|U{Aq$Fi(ZTq(SpjFt##W z6xma7L1>>yI1Hv9G(QDnE5k*RJp~tJM|dg<rUf)V1!KcJ6%7*rc?!;fc`61b3-%P8 z2lG@cOd2#l1!F72MUg!P7lihSgyUf9LGx2EwlZ84*;8;q4uq$YU|K-)Q!qBnQ^_y^ zkf-1rn5R-;vS3fac`#3<!lXg-Q!utNTol<;a6xFFNH`6q9yC7%V=Kc&kv#<$<V1KX z3#J7$KLumMJe3U-0C@_|fq5ziCJXiyoCot%E=(FUKLuke!$pxD1{Z|(iG=fD>Ou2U zFt##W^gn~cURZw$F31281ofwiU|K-)Q!qBnQ^ha=kf-1rn5Rl$vS3fac`#3v!lXg- zQ!utNTol<;a6xFFNVp899yC7%V=Kc&kv#<$<U)9=3Z?}#KLumMJXH-70C@_|fqAM1 zCJXiyoCot%Ele6TKLuke!$pxj1s8<&iG=H5>Ou2UFt##W6xma7L2iVnnqXQ$^HVT3 z%u~%U0g$KQ9GItCV6tFO!Fe!GwZf!9^HVUkGF%kdQ*c3OpGde3rXDmu1!F72MUg!P z7vw>BstcwCG(QDn!#vdu699P%&VhNV2PO;l6r2b1R4+^#G(QDnE5k*RJp~tp_KAf1 zVCq5hQ!utNTol<;a6w*#rzXL)faa%QY?!Ae!vsK{f^%S=ngWvrdkW5jd1@+58Z<uz zV=Kc&kv#<$g!YMqr@_>N=BHq6Ww<D^r{IEo2v5y|X#veo!PqcQ&4vkpJO$^#JT(U< z3-%P82lLcim^5g93dUB3iz0gpE(q-t3D1M62hC5x*vfEGWKY2b`4OI41k(bVpMtSr zo>~kO0C@_|fq7~POcv}ZI1lEjr7&sG{1l9>3>QWA6kHJ6ClX!;QxBS-g0Yq1qR5_t z3o;@+wF;&MG(QDn!#uSbCIIpjoCEXJ8kj8DQ*a*4Q)^+;p!q2nTNy5j>?yb)v`-|w z4yGP7KLuke!$pxj1s7yOcxn?&3ut}{#)f%nGfV*FDL4n_sVy*Bu&3ZWn5VYFq(Spj zFt##W6xma7L1>>ycpFSTXnqRDR)&irdkQYdjPTShm=@6d6pRh?)NYsn$Ww3*%u{<{ zvS3fac`#4yg-L_vr(kSlxG1ux;DXRTk?=m4deHn7jI9h8MfMb2kOkqXLoh9%`6(D1 z=BdLl0g$KQ9GIt$z+}Omg7aXWItr5p%}>GD%5YI+Pr(JDeInswF!iAMDHvNBE{g0a zxF9RSQ>S2BK=V^DHq29}VFDme!8tHboq@@MJq72%JarZ(4Vs^Vv6bPX$ew}=Li<F* z=V0nV^HVUkGF%kdQ*c2xgr_dSw1DQPU~HJDF2e*so`Q2=p1J~)1$zq4gL&#IOd2#l z1!F72MUg!P7lihSgs;KWgXX7TY-PA8vZvsJ><CZYf@uNGPr=wQPu+$IfIJ1~z&v#a zCJXiyoCovNU6?dzehS7`hKnM53N8rk6A9mgsRzwZ!Pv@hQDjfS1vwC&dIZw~nxBHP zVV-&n699P%&VhOA2}~C3DL4=2si!b$(EJpPtqd1M_7q$Y+9wiz22&53pMtTK;iAZ% zf(vpYJoO5u1vEbeW5Yc48YTep6r2O|)Ek&A*i&#G%u{b+(xCY%7+V=GitI4BAhb^; z{0^obG(QDnE5k+qGdS#n^{3#13=lz3f9exV3ut}{#)f(7GfV*FDL4n_sV^{Du&3ZW zn5VwNq(SpjFt##W6xma7L1>>y_!~?;XnqRDR)&irdkQYdh49obm=@6d6pRh?)Nhyo z$Ww3*%u|10vS3fac`#4?g-L_vr(kSlxG1ux;DXRTk?=p5deHn7jI9h8MfMb2kQ?DC zCSIiZDHt2(DQ1`e$Ww3*%u_5dS+J+zJea3gVbY-aDHvNBE{g0axFEDoB+Ld=51OBX zv6bPX$ew}=@*q6L1=9kWpMtSrp5lfHfIJ1~z&ynRlLdPU&VzZ17bXpwpMtTK;iAZ% zf(t_XM8bS9^`QAF7+V=GitH)4ATPpGLNG0$`6(D1<|$#A0LW8t4$M;`Fj=st;5?Y8 zL}AjP`6(D%87_+KDYziCPb4e`QxBS-g0Yq1qR5_t3-Td6B?Z$0nxBHPVV;tP34lBW z=fFHA1Cs@N3eJOhN){#!nxBHPmEoeuo`MTP`$WQWF!iAMDHvNBE{g0axFA2mQ%W!` zp!q2n8|Eoxm;lIAa1P8<Dll2Fr{Fx8r&M9mp!q2nTNy5j>?yb)v`-|g22&53pMtTK z;iAZ%f(tStJf#KG0-B$Kv0<Llh6#W?1?Rv#r2~@%dkW5jc}f>14Vs^Vv6bPX$ew}= zLi<F*dNB2%`6(D%87_+KDYzgL!c#^tEui@+7#rp(W0(NQQ*aK<QzkH3u&3ZWn5Rr( z(xCY%7+V=GitH)4Ahb^;Yz9*gnxBHPmEoeuo`MT9BRpjV(*l~Gg0W$qvW5wOJO$^# zJY@ru1$zq4gL%ppCJmaOg0Yq1qR5_t3qt!u!getAp!q2nTNy5j>?yb)3&K-QFfE|@ zDHt2(DQB1f$Ww3*%u_BfS+J+zJea3kVbY-aDHvNBE{g0axFEDoB<u!L51OBXv6bPX z$ew}=vLZa?1=9kWpMtSrp7MqXfIJ1~z&zyxlLdPU&VzZ%7bXpwpMtTK;iAZ%f(t_X zM8bYB^`QAF7+V=GitH)4AREF{K`<?#`6(D1=BZ$q0LW8t4$M;_Fj=st;5?Y8LSfRN z`6(D%87_+KDYziCPb3@$QxBS-g0Yq1qR5_t3$i0T6$R4*nxBHPVV;VH34lBW=fFG_ z1Cs@N3eJOhDi$UUnxBHPmEoeuo`MTP`$WQVF!iAMDHvNBE{g0axF83@Q%Nu_p!q2n z8|JBGm;lIAa1P8<DKJ^Er{Fx8r&3|kp!q2nTNy5j>?yb)v`-|Q22&53pMtTK;iAZ% zf(vpYJe3900-B$Kv0<Ldh6#W?1?Rv#l>?IndkW5jc`6qs4Vs^Vv6bPX$PR-GLi<F* zc`)^$`6(D%87}&t!C^nFKLr<LfCz&6Q$;W>p!q2n8|JBEm;lIAa1P8<B`{gAr{Fx8 zr%GYcp!q2nTNy5j>?yb)v`-{l22&53pMtTK;iAZ%f(vpXJXHnL0-B$Kv0<L7h6#W? z1?Rv#RRfa+dkW5jd8!sB4Vs^Vv6bPX$ew}=Li<F*bujgy`6(D%87_+KDYzgv!c$E! zEui@+7#rrPW|#oTQ*aK<Q!OxAu&3ZWn5SA{(xCY%7+V=GitH)4Ahb^;+y+w*nxBHP zmEoeuo`MVVAUxFt(*l~Gg0W$q>V^q`JO$^#Jk<k}1$zq4gL$eKCJmaOg0Yq1qR5_t z3qt!u!hJCHp!q2nTNy5j>?yb)FTzukU|K-)Q!qBnQ<Gr=AWy+LFi%Z^$$~uv=fONR z6($XupMtTK;iAZ%f(t_XM8eZx>Ou2UFt##W6xma7K|X}1X2G<8=BHq6n5SmL1VEmG zb6}pD1Cs@N3eJOhYA#F~G(QDnE5k*RJp~tp_KAe&!PJB1r(kSlxG1ux;DY=JPc4FJ z0nJar*f38mh6#W?1?Rv#wFD*$_7t24^VCw9G-!Sb##V-lB6|uh2<;OIFN3KE%}>GD z%5YI+Pr(Hl5uREF(*l~Gg0W$qS`8Bbc?!;fd1?(z7VIfF59X=0Flo^I6pXD57e)3I zToBqP5?%*W51OBXv6bPX$ew}=G9f&*38n=!KLumMJhd4n0P+-^1M}1tm@L>+a30K4 zTVc|m`6(D%87_+KDYziCPb9nzrXDmu1!F72MUg!P7i30wY8OlkXnqRDhIwi?OaSC5 zI0xpbJuq3Yr{Fx8r}o06LGx2EwlZ84*;8;qXrD-UA51-HehS7`hKnM53NFZk@YErg z7SQ|@j1BYDVVD5OQ*aK<Q%7L3U{Aq$Fi#zYNrUF6U~FZ$D6*&Eg3vyZ@G+Qr(EJpP ztqd1M_7q%@72&B<FfE|@DHt2(snak4kf-1rn5WLbWWk<-^I)Dj3zG)TPr=yAa8YDW z!3CjxBH?o|^`QAF7+V=GitH)4AREF{mta~z^HVT3%u|<P0w7PpIWSLMfyshB1?Ry$ zbrmKJnxBHPmEoeuo`MTP`$WRmVCq5hQ!utNTol<;a6xv2r*6Ttfaa%QY?!BR!vsK{ zf^%S=x&xC1dkW5jdFn1q8Z<uzV=Kc&kv#<$g!YMq@4?iA=BHq6Ww<D^r{IDd2v0qN zX#veo!PqcQJ%$N@JO$^#JoN-73-%P82lLcZm^5g93dUB3iz0gpE(q-t2|t6W2hC5x z*vfEGWKY2bIT4<E1=9kWpMtSro_Y-v0C@_|fqCi;Ocv}ZI1lEjw=ikY{1l9>3>QUq z7+etAClY=KQxBS-g0Yq1qW>8j4#4_Ta6tx$AgDj}38n=!KLumMJoOnS0P+-^1M}1u zm@L>+a30K4Ut!Xq`6(D%87_+KDYziCPbB;erXDmu1!F72MUg!P7vw^C>K9B4XnqRD zhI#5YOaSC5I0xpbKQLLar{Fx8r~bmELGx2EwlZ84*;8;qXrD;<A51-HehS7`hKnM5 z3NFZv@Dvjt()<*R4f7N;OaSC5I0xn_7MLv9Q*a*4Q>-v)(EJpPtqd1M_7q$Y+9wib zgQ*A2Pr=yAa8YDW!3B8`p5lUO0nJar*f39V!vsK{f^%S=;(^J6Jq72%JjDx>2F*{w z*vfEGWKY2bp?xA@KA3vY{1l9>3>QWA6kL!O;VB`Q7SQ|@j1BXYFiZgCDL4n_DG`_~ z*i&#G%u}K;Y0&%>jI9h8MfMb25ZWga7K5n=%}>GD%5YI+Pr(KG5T25PX#veo!PqcQ zNy7v{o`Q2=o|1vdf;|Q2!8|1klLpOC!Pv@hQDjfS1)+T+VL6z3(EJpPtqd1M_7q%@ zAK@t_m=@6d6pRh?lrl^J<S94@<|!4JEZ9?U9?Vm!Flo^I6pXD57e)3IToBqP5>|t$ z2hC5x*vfEGWKY2b84;e+f@uNGPr=wQPieyhK%RngV4l)}$$~uv=fOOs3zG)TPr=yA za8YDW!3CjxB4It4deHn7jI9h8MfMb2kO|=_BbXM@{1l80^OP}60OTn+2j(dgm@L>+ za30K4rZ8#H{1l9>3>QWA6kHJ6ClWS;sRzwZ!Pv@hQDjfS1(^|^vVv&=%}>GDFi%;- z1VEmGb6}pbfyshB1?Ry$WebxA%}>GD%5YI+Pr(JDeIj8yn0nCs6pXD57e)3IT#yCf zDJPf~(EJpP4fB*UOaSC5I0xn_7nm&AQ*a*4Q?4*+(EJpPtqd1M_7q$Y+9wirgQ*A2 zPr=yAa8YDW!39|np7Mfe0nJar*f39d!vsK{f^%S=@`1^MJq72%Jmm|M2F*{w*vfEG zWKY2bp?xA@KbU&Z{1l9>3>QWA6kL!E;i({)7SQ|@j1BWtFiZgCDL4n_sSubf*i&#G z%u}H-Y0&%>jI9h8MfMb25ZWga4uh!&%}>GD%5YI+Pr(J*5uS>IX#veo!PqcQMZ*L@ zo`Q2=o{E9Vf;|Q2!8{cUlLpOC!Pv@hQDjfS1)+T+;W(Ij(EJpPtqd1M_7q%@1L3J8 zm=@6d6pRh?R5DBe<S94@=BX5zEZ9?U9?VmzFlo^I6pXD57e)3IToBqP5>A7u2hC5x z*vfEGWKY2bIboh+7S4icVOlg*8P567;Lyb&z#yCrmt8nj8P567;2;B%&4I}>%4)#b z%5c_y28ScCUJqQ50V2r4$Shm{(+;v4&iT*aFa>0IAzXIhRAo2^W_1xvmQhv%&Q^xA zkgbLbav`iPgJ}m@4d?u4aF`6Tx*RUMaH=w#1GBmUCd(+R0cR`2S;$ty1-TJc*TA%c ztcG*`GdN5FSzQa4T{u-4&VgB72a{!#)qt~=;VfjU;etE}tD9ijK~}>#{}~)6f~;<a z%PyR%4ClbCZh^@%%4)#b%5WC4)o?*xgw-7|?I5e+oc|0C6F^pX!etjuRfcn5R(HW< z8D%x#Y-KnL*=o2TAHwQBn0BT`Q#IfmWjO0UgM$(*nZgD6A%epFaLo&+D#JN2mra1l zGRkVe*~)MhvdiFt{0Ns#foTW149-!8vyfc|7ZiZHY${yy!l}w|4$NiKV6u#|8gRBU zoQ3Q%xS#;SWwT(~K`w)Hl;JF7m%#-Ep)Q*Z*Sv75GMoc**&LWGqpSv;tqf-&y9_QU zh;Z2gn0AoM;2dQ*3)y9GK_RHi7Q!_zoT?1xz+AQnCd(+R0cR`2S;#Jf3ko4zwhX2n z<T5x%8O}m>8C*~p>ayi<%?qb0!#OaQt$@ig%4)#b%5WC4%iw~-2$!vaX$NIKIOjiu zLnkQnt%b`joT?1xz^q;elVz0EfU}k1EM%+Uf{X~OH^H=n0tL=dhO>|Z1uiH84V2Ar z%?qb0!#OaQZGp)$%4)#b%5WC4%iw|{2$$`EX$QFs&QXT5kX;5B6otBMCtUNwsmgE; z%w@Y^vW&7CaJDj>h3qo8peVv+`(WBZE`xKF;VfjA!3D*jF53^+yl|>AoC9;&0hlbK ztOlH|3}+#`3@#{!aM=-<c96^99A!8Q*=2A+aj46V!Zk0Psto7ATy_j5%P6Y>XDh>5 z$S#8miX&Wh3Z@<8GB`&W&O&w>Tu=h)veR(Q3#Tf>IWU)<fypw;YQWjba2B%5;DQne zmtBBq2e}N+QHHaST?QAFgu3h^T=T-I%5V<MWtU*GjItVVwlbWB>@v8ZB*JCaVA?@0 zgL9POEM%9#1*M=ayAId9aH=w#19RC8m@K2L2Ar)7XCb=`E+~a?*&Ud6kjvm4WjG7j zWpF`hsLSrcH7}g14ClaHb`K`YD60WyE5ljHE`tk7BV6_frXA!mI7b=ILUtKkPzLI< z$8gOHrz*oaFqb`n$ui1nz}d=h7P8CWf-(q~y?|*4xeU%xhO>}e1{aity6h!f^TMgh za1P96uVAu_vKnx<GMt6%GPs~D!e#GZ+CkM1ob#W-p#xO?yobv!oT?1xz^wiNlVz0E zfU}k1EM%+Uf=mdjzreJE0tL=dhO>|Z1uiHD4V15N%?qb0!#OaQeS^s|%4)#b%5WC4 z%iw}?2$%hWX$J)gob#W-p&t||zu~eArz*oaFsuK-WEo{O;A~|$3)yP8pgh8A27c%q z2FPkS=Rbo(AING(xa`8I%5V<MY9^R0qpSv;tqf-&TMZXfKv>NN(+;v4&iT*a&<nDf z9WJ|Ysxq7dvzh}Y%P6Y>XDh>5$X3Gz6%khRz_f#`hI9TiIP`$5=7q~HoT?1xz^vwj z$ui1nz}d=h7P8fFK_!IMLNM(htKppg3=Rq)tA*jR3#Tf>IWVh5V6u#|%5e6728Tlo z0t}!|v;<5ZWHy}hpTVIUWVR$+cHvZII0t676ik*;Rs+sfhO>~p1s7CCcuNkZ9b`3} z^Pj<?9b~mUTz279WjF_BwE|3*QC0)aR)({Xt%eISBdk_|X$M&i=lo}IXaiZT3YT3t zRT<8KS*-?>Wt7!`vz6g2WUJwVEC{Q$VA?@e!#V#M99ltEYr|z1PF03;U{>qEWEo{O z;A~|$3)yP8AS=RZ1DJM@)o{*#28S6Ss}13@3#Tf>IWVh@V6u#|8gRBUoP}&PT#yZ6 zwHZu1$Z9y}KZC<`kk#gJ*@aV;;T)LN7BE>xSq(T_8O}ns8ZO9=u-XQu9b`3}^Pj<C z8pvu}xa`8I%5V<MYCD)LqpSv;tqf-&TMZZFKv?Yr(+;v4&iT*aFcoCAGhBAzRAo2^ zX0;1UmQhv%&Q^xAkgbLba>A@;750E@7gUC`VS|mHFiFs0Bb);*6@<NDvf#l+I1e`1 z=na!*6xM*VmEkO8hrtD*qjthRaJ>c^aJDj>^`F7vAZ#cFF31281g&!jfNK|2hO=Rw z3WQ05JO$^#JQW0!1$zq4gLx_#Ce0|U0cR`2S;(G(3qr>Og+t(a4K(0vWjG7jQ*c2p zgr_3l+69&2Y?!AaVUi$E!8tHbMZsjjo`UmWo{EM^GYV_K*~)MhvZvsJ(2+*r7`R>o z4LDmF&O-JST#y^#sRX!oL1j1_=BY%OB*;^64$M<YFj=st;5?Y8l3~(}!WwY4GMt6% zDYzhX3{yA-uGc^V&Q^xAkUa$#<Ux2U1Fl_A8P0}zDibCN@)Vo{^Hdg07VIfF59X<C zm^7oX2Ar)7XCZqEE(jeR70!X{HPC>wmEkO8Pr(Iw5uPf5YZp|8vtgbpgh_%t1?Rv# zRRog-dkW5jd8!yD%_yt^XDh>5$ew}=LdRW&OW=A9G~jGyI1AZRa6vwVrz+su1(o4! zn5QaXk|0mPIWSLE!DPXng7aXWs)k833TwdG%5WC4r{IFnAzR@ZxLyMdI9nOcLiQ9~ zkRRcx2Do-XWjGt=sYaM2$Ww3*%u`J;S+J+zJea4NVbYAk8gRBUoQ3QuxFB@2SGWbP z*FXc#R)({XJp~sOKzOPHu3b<W&W3ra6DA4r6r2O|R2NJZ>?t@8=BaL&G^4NvoUIIJ zA$tlg2puRE?t$wy(15d*;VfiN!36~oo|*vHE~pG=!#p(+CJFKsoCEXJB$zDNQ*a*4 zQ<GuRjKUglwlbWB>?yb)bc|Ve3S6&&2Ar)7XCZqEE+~ZX)C{<GL1j1_=Bb%5Nsy=D z9GItO!DPXng7aXWnhld?6xM*VmEkO8Pr(JD!_&fZ;Cc--;A~|$3)xd}L1Bca7QnR& zD#O_@Pc4K=f;<K1z&y1GCJXiyoCovNVwg0eum+s13}+#G3N8p8_!eFQ*K42wXDh>5 z$ew}=G9o;+0<K+98P0}zY9&k(<S94@=BZUMS+J+zJea3e!=xF7HQ;PzI1AZRa6#yp zx$qjeUIPs{TN%zm_7q%D1mUR-aP5N1a5l_S8)1?lPr*4bPi=z9f;|Q2!92AYCe0|U z0cR`2S;(G(3qpt6g}1=<8fd`T%5WC4r{IF32v6;RYZp|8vtgdv36lhQ3eJIfY8Olv z>?t@8=BeE<X+~iUI9nOcLiQ9~5IRyXya%q=Km*QJhO>}81s4=Uc<KOLyPz_h4fE7N zm?X$ka1P8<hhVZ`Pr-RGPaTFyGYV_K*~)MhvZvsJ&_RCTBXGS28gRBUoQ3QuxS%+~ zQzzis1(o4!n5Ry{Btf2nb6}o21(OAP3eJOh>NHH6QCI`cR)({XJp~tp&JPHmf$KHU zfU}k1EM!l?1tk!kx&YTMs0?SrJarK!3Gx)21M}1+m@L>+a30K4mtoS3!WwY4GMt6% zDYzhXYC-r4T(5x!oUIIJA$tlgD2edY4Y+neWjGt=shcoKkf-1rn5S;RWWk<-^I)F3 z4U=XR)_}8>;VfiN!3CkS62f=jdJQz-Y-KnL*;8;qDTJpUz_kl1!`U!TJ%mYuJO$^# zJoN}B3-%P82lLcpm^7oX2Ar)7XCZqEE(o2_5PkyJYoGyVE5ljHo`MTXBRus2u3b<W z&W3sFB}@|JDL4n_saG&ru&3ZWn5SODq#1=Z;A~|$3)xd}LFinF@Ef>Z0}VJ^8O}oX z6kJdS;i(UB?Sjg1Hq28WVUi$E!8tHbeS*n?Jq72%JoOnS%_yt^XDh>5$ew}=LZ?xL zzrghxXu#RZa2B$s;DWLUPyK*v7gUC`VV?R4lLUDR&VhOA7fcrHDL4=2soyYZMqv#& zTN%zm_7q$YIzc1+2d>vZ1I|{4vyeRn7i2<sia`K6kHILY3}?eU#R!uGc?!;fd5Q@p z3-%P82lEs&Oqx+x1I|{4vyeRn7lh932(!TT8fd`T%5WC4r{IEe2v2dqwF@f4*)UIW z!X!bSf^%S=;)2P7Jq72%JjD%@W)#+dvz6g2WKY2bp|eK9JaD}R8gRBUoQ3QuxS%}3 zQvz`9g353<%u|9eNsy=D9GItsV6tFO!Fe!G3B#lrg*D)8WjG7jQ*c4(e3Y;VT(5x! zoUIIJA$tlgsDSX41YEnIGMo+blq5_N<S94@<|!$dEZ9?U9?VnHFlk0%4LDmF&O-JS zTo5|bB`gEiYoGyVE5ljHo`MT1B0Qx4*Dk0GXTv<D2$KYP3eJIfN(m+l_7t24^OQ17 zno(E-&Q^xAkUa$#gwCM}tHAXdXu#RZa2B$s;DSmBPierl3o66eFi&a1Btf2nb6}p* zg2{qC1?Ry$r45s26xM*VmEkO8Pr(JDGibs(aJ>c^aJDj>h3qM~pfbW!25{|y%5XN! zQ-&}}kf-1rn5T?jvS3fac`#2I!=xF7HQ;PzI1AZRa6#zYoUjR8uYm@ftqf-&dkQYd zjPR5NT)Ut$oDK7oB}@|JDL4n_DJz&P*i&#G%v07dX+~iUI9nOcLiQ9~5IXB8Yy;P8 zpaEwq!&%6lf(x=BJmmn_E~pG=!#w2(lLUDR&VhN#2__5n6r2b1lrv14QCI`cR)({X zJp~tp&L;}H!1Wqvz}d=h7P6<{f~*KndBC*`D#O_@PkF*5L7swhV4m`V$$~uv=fOPX z4U-1VPr=yAa8YDW!3Ci+mBKzS^^67@aJDj>h3qM~AREF{0dVbt%5XN!Q-Lr^kf-1r zn5TkZvS3fac`#1}!=yp;Q!utNTol<;a6#xCsBj2OJ)?mJoUIIJA$tlg$d2$-1YEnI zGMo+bR3uCi<S94@=BX%{EZ9?U9?VnGFlo^I6pXD57e)3ITo5|DDjWk-&uE|lXDh>5 z$ew}=av(gF0M{<43}?eUl?amrc?!;fc`6Ae3-%P82lG@iOd2#l1!F72MUg!P7lh8+ z3a7x-Ga6{X*~)MhvZvsJoG?!@3a7!f%PYg#{}~*_K&@K_C}8AP7G{7peE%~za4`rl zD2pHoaDxOykpy@^0%Ax4ydVK_Bmq8<fCQ2NKS)3lNk9N3AcZ6#2ojJ+5)c9j$RG&_ zg9K!e1Vk7F7#JOHFflMVgfK8L$ibu#EJlcT9K0AApo-+-ir_3p1_zKAkrXMw6~R~z z!3+!xFb*i(9DI-k92}8({HR=zas~zlMg|8^z#&<q2)72#Vq|at1tyXrCAcCui;=+r z6u?M|l;MitEJg+gP@p3zQh_Uivltm1KoNnYNENOK&SGS607VXxA~m=oIE#_N0Tf|K ziqzqX;4DT42T&wBM8Ts&1Fi_h0*5q=0}48DNW%rdK?~<WLK@BmDTjnKC}}{fVb+3M z0b_x!fN>ZcIzX-gX=P+^5CttZfC?~c!*#+~V4W}ys!mXrf*HlE1J?;-fpx+-s5-@w z?bL<qgt5RnVH{MQpsWhFQxC2a#scevaZq(iAls=A*9l{Rb;3BPIwg^H8o+hJSYVwn z4ysOYa)gDgAzUYn1=b1Upz4%Dw$li%6UGATgmF-Hg7XQ?PGh)E7z?Zu#zEC7jclh0 zTqleL)(PXF>ICN~n4P9@oiG+yCyaxt6SM~o9-n4#oiG+yCyWEr3C<n}K~O;i=RvXu zoC`|hkn902h+s}Mhg$?=fh~e@P%J_Sf-HjbAQr*72#aKqL(u|m5sU@42*!a~1aTs` zG=VwM60Q@*0_%ivP<6^7+i3;Y31fkE!Z@fp!9_33PHVVM7z?Zu#zEC7k8Gz6TqleL z)(PXF>I4_9FgtDGI$<oZP8bJOCuprFJhj-tb;4L+oiGlnPH=Sxv(p}~6UGATgmF-H zDkA&S0j?9q0_%ivU^>Am6d?#oIB=dExIBk*`5`HX5wczy?m3VaCa@HY1-1yrL9qxS z2x5USSSKWf!nt6>z;!aXrhtWo6Wm8I7T6*f2gM?UAjniW4`LCVi?9eZq6qhqGu$E= z3v3aL1G5N{6~J{S%!w{=oiG+yCyaxtQw2G?T;V!lEU-=(2UVvkvQ9U+P8bWU6UIT+ zsfMi69j+6`0_%ivP<5&!>-2!@gt5RnVH{MQ8pt|5;W}X~uud2URi`GhPA|Al7z?Zu z#zEDog{;#Xt`o)r>x6Mob!sE)1hpf<DU<=m0_%ivP<84c>-2@&31fkE!Z@fpb&+-Y z!F9q|V4W}ys!ly*o&Ip0Fcw%RjDxCEA6aJrTqleL)(PXF>NG&s83@-2V}W(TIH)=e zk#z>ab;4L+oiGlnP9tQUpyoa#R$wf!P8bJOr!lflP)`J+6UGATgmF-Hnjq^8g}WBU z0_%ivP<5Ij>kNbIgt5RnVH{MQX2?3j;W}X~uud2URi`<!&Iq_p7z?Zu#zEC-fvhtU zt`o)r>x6Moby_0pjDqWgvA{ZE98{fF$U39pI$<oZP8bJOr!}(97`RRt3#=2yLDgx4 ztTPs_6UGATgmF-H+9K<WgX@H`z&c?ZRGoInI^*FwVJxst7zb6SJ+jUOxK0=gtP{pT z)#-q&GZC&6#scevaZq(SBI`_o>x8kuI$<1ColeL)li@mHEU-=(2UVvtvd$E^P8bWU z6UIT+>4K~?6|NJ;0_%ivP<6T@>r8{|gt5RnVH{MQZpb>*;W}X~uud2URi`_$&J4It z7z?Zu#zEETfvhtVt`o)r>x6Mob$TM}%!2ELvA{ZE98{fN$U3v(I$<oZP8bJOr#G_B z9Jo#x3#=2yLDlJltTPv`6UGATgmF-H`XcMhgX@H`z&c?ZRGogvI`iQ=VJxst7zb6S zKeEmOxK0=gtP{pT)fs@Svk<Nm#scevaZq&zBI_)I>x8kuI$<1Cok7Sti{Uz9EU-=( z2UTY<vd$8?P8bWU6UIT+8G@{{6s{A-0_%ivP<4hP>nwxogt5RnVH{MQVaPhm;W}X~ zuud2URcAP|&I-6r7z?Zu#zEB?fvmF<t`o)r>x6Mobw(oVtb*%=vA{ZE98{fA$U3Xx zI$<oZP8bJOXEd_T8n{jv3#=2yLDd<9tg{xb6UGATgmF-H#v<#igX@H`z&c?ZRGo3i zI_u#&VJxst7zb5nJhILPxK0=gtP{pT)tP{-vk|Tn#scevaZq(8BI|5|>x8kuI$<1C zok_?#o8dZPEU-=(2UTY>vd$K`P8bWU6UIT+nS!jd6|NJ;0_%ivP<5sv>uiJTgt5Rn zVH{MQX~;U;;W}X~uud2URcAV~&JMUv7z?Zu#zEDYfvmF=t`o)r>x6Mob!H;#?1Jlr zvA{ZE98{fI$U3{>I$<oZP8bJOXEw6V9=J{z3#=2yLDiXqtg{!c6UGATgmF-H<|6Ct zgX@H`z&c?ZRGoRqI{V=|VJxst7zb5nKC;dUaGfv~SSO5ws<QxD=R~+p7z?Zu#zECt zh^%uGTqleL)(PXF>MTOmIT@}K#scevaZq&@BkP<3*9l{Rb;3BPI!lmsPKE1)vA{ZE z98{g9$U3LNb;4L+oiGln&N5`3)8RT{EU-=(2UTY|vd$TBoiG+yCyaxtvjSP?Ot?-M z3#=2yLDgA_taBDzCyWKw3FDyZtU}f~8?F<^0_%ivP<2)#>zo7E31fkE!Z@fpYmjx$ zh3kZ|z&c?ZRGqcRI_JT4!dPIPFb=BDI%J*m;W}X~uud2URcAf2&INFtFcw%RjDxDP z0a@olxK0=gtP{pT)!B%wa}iu8j0M&S<DlwnLe{w$t`o)r>x6Mobv7gGTmsh#V}W(T zIH)>XkaaGF>x8kuI$<1CouIP=;G@mU;5uO}uud2URVV1c1GvuRaGfv~SSO5wsuOfd z16=0{xK0=gtP{pT)d@Pc1+H@?TqleL)(PXF>I5A)2G_X?t`o)r>x6Mob%KtggzH=l z*9l{Rb;3BPIzb0vz;&*H>x8kuI$<1CouHFJ;5ygBb;4L+oiGlnPS9~CaGmSmI$<oZ zP8bJOC+G|oxX$%(oiG+yCyaxt6LfG4T;~S3P8bWU6UIT+2|9xju5%+?CyWKw3FDyZ z1RWd+*SQI<6UGATgmF-Hf=;`H>)Z_231fkE!Z@fpCnM*wEpVMM7FZ{YgQ^pBt|;8j zt#F+%7FZ{YgQ^pB-UD3cHn>h03#=2yLDdO5qynyUJ6tD>1=b1Upy~vjtO3`#1FjRs z0_%ivP<4Wi1A*(@3D*f@fpx+-FrDCOKZGD?k_^t{22cCJxrj-!naEMG3vLmN1-1yr zfmsBZB%6h-b2nTkj0M&S<DlxCjjVGITqleL)(PXF>YRhDb1z&cj0M&S<DlxCi>z}W zTqleL)(PXF>YRtHb3a@sj0M&S<DlxCkF4_mTqleL)(PXF>Rf=V^B`O&j0M&S<DlwX zh^+GvTqleL)(PXF>Rg1Z^DtZ|j0M&S<DlwXjI8qrTqleL)(PXF>Rf`X^C(;=j0M&S z<DlwXimdY(TqleL)(PXF>Rg7b^Eg~5j0M&S<DlwXj;!+pTqleL)(PXF>Rf@W^CVm+ zj0M&S<DlwXiLCP!TqleL)(PXlbb<>4gdnIOfb*Ea1p%CkC<s;|TXY(35sU@42*!a~ z1StqsBkMc^*9l{Rb;3BPI@ciUJPX$eV}W(TIH)?;BI`T{*9l{Rb;3BPI@clVJP+3i zV}W(TIH)?;BkQ~X*9l{Rb;39>o#3cI2!f&l&VwvmfO8R1u>skli*SozEU-l|4vIwx zL6Ajo9>gLz7h%yxWQ#7rErPMY7Qr|u79j*d7QuNCi{M;@MVpW<x(v4n#sXUe<DgiC z5CmBS=Rquja}gG8Mz-h*+#(nYY!QruVi7_RWD%SPu?WsZShNM%qN{L=U@WjjFb;}E z2tklVa2~`WI2U2jR%DB=!7YNZz!t$cC>9|EK^DPz5R2ekghktsExHc32*v_i1mmDs zgb)N-1m{64f^!iTZAZ4~2HYYT3v3aLgJKav5M&XY2eAmwMOd^0*`k|pi(o9UMKBJE zMF>HVMQ|R(A~+Xe(N1KGZow^rvA`C=I4Bk&1VI+Tc@T@>T!cltkS)3mw+O}pTLj~v zScDJ+Sp?@nEP`_p7VSp1=nmW>7z=C>jDunkLJ(vToCmQ8&P7<X2ic;#aEo9puthKq zibV)PkVSAF#3DEsVbNY>i|)ZKg0a9B!8j-uAp}7d!Fdpi;9P`7`;aZV54Q-$0$T** zpjd<u1X%><K`erE5f*{(_lB)fdjPix#sXUe<DgiC5CmBS=Rquja}gGSFTR6W^bl?l zj0Ls`#zC<NAqcVv&Qk`rLEv13Mc|X?U=}@sTLfc)ErM}i7D3t|ptCgL{iVlnoiG+y zCyWEr39g$Ff*>ctd62Mxa}iEFj2yd9;1<DHV2fZJ6pIjoAdBEUNLawR2#dh?Za~Ar z>KWXk=TMe1T!>j%4z$mMfsxVSD0r8N)eEQ^28NeVmNHxjN%b*^>Q_)T3=D6eEM>S5 z%aqwWK||mm!;eFhzlADdV0aH@DZ_<WX78K~8odT7KLJtx0jh|B;S-dl3>QLHeiEYm zGgJ`+!&fLv87_pZ{1im_H>e^8h96LtGF%8*`DuvqpHM{%48Nf)Ww;Qs@-q<Sf1rvO z82&+7%5WiM<!2$v|3eiqFfcMgcK0a5g^-n>gD7W$Dq>(@fwGk0LdeR`LzJ^Z6)`Zd zLs`mjA!OwjAj&zQiWnHUpe$v$5VG=%5arxZMGOqQP?j=W2wC|hh;lxtA_fKlC`%bG zgsl8BM7bbT5d(uTl%)(8LRNkSqFe;3h=D;2%2I|4AuGQMQ7#Tu#K0g4Whujjkd<G9 zD3^jNVqlPgvXtRM$jYxnl*>XDF)+wOS;}xBWaT#?$`zoB7#NhGEM>S5vhte{<;qY+ z3=FDJmNHxjS@|u9ay6(T1_li%OBpVNto$}axh7N*1A{h{r3@EBR(=PfTnDO%fk6+- zQicm5E58d-t`Akjz+ebvDZ_=3mEVIXH-ai+U@(EQl;J|i%I`yzn?e;aFqlJG%5Wi& za?m&^sGNBKQEmZM#K2$$Whujjkd;4#D7S_xVqma^vXtRM$jTo<l-ofSF)%nlS;}xB zWaW<`${nGK7#N(PEM>S5vhpVo<t|V~3=D2imNHxjS@~0la(AdA1_n<kOBpVNto#{7 zIcO^$WPPj;OgUT#S^0B_a$l$-1_pm9OBpVNto#K;c>q)q149s$r3@EBR{j#AJQ%8o zfgu#iQicm5D}M!19tKs!zz_jtDZ_=3mA{54kAx~>V2FmYl;J|i%HKeg$3PV^FvLMw z%5WiM<!>R%<DrTe7!si@Ww;Qs@^=vBNl--$3@K2SGF%8*`Fn`+RHz~bhIA-P87_pZ z`~yUJ22>FPLmrf+3>RWqv1=!2>X4C9I1?(#z_M%V{HYG0#ourt4i?Z_ng5K84j&<A z=0g=TGCF|9Yv5c5(6|qbrwn5-i0s%fb+^Ne&9fY~PT4ST!>kR{cFmm2zyP)cuE+s2 zz^PJOs}=0&5u&A#larcUtzc}Z>k;A?84Oy@^q*lP)LP{ND1(7rK|)(u-Vn4&mQhl; z5GKqqW#;A?J0{MU2yQ>Zg&Bm4U@BN8C5(gxz|wFrM&V+ZG}n}wn`h42Fn8xXh!JoJ z2H_Hz8kQ+DH$!CMLJY#CFj;|JGiU9ZxXEGH%pEf~Z`ieSBG|WZX$R288kG>&VAr5{ zf6(gw|H5T3t?b4Y@^T`!0$?3*Q3I6_XV)N4&~B3d!sRfvFrQ8adj&4cAY1`c0dgip z7B0jfTnUqf+9d?G3oeRcR~1YxC{X54-MV@6#2H}Q;1UeN)i5=@k`hMZ5{7ajCPqdA zf?#EEIR@bxm@<%~Acnw&7=&w)WoLo)!i5-x>tM2+k`hJ|!m=`QB48zOaR%Xfm=cyb zJ0{KrdkHRN!15miKzpbD3pc<OPMNuRF4!2j5QA_dOcrL7yewD=T%19;38sWy&Pc-8 zSU?_Z8C=wW1=>7kVE8ZG3{wm8^d_)La3Kca7MLst*v<21?f@GF7gkX54t4}>9QiNY z3RB7rwbWKz!Vs()E@PqM7vvfe;t0yV|ApIN8aR!OWaWizB@8XV%HiS~Dz3pkj-bs# z|ApIOiaB=8+d0=^=8m0UwQylbijDLM@C@+@g*XV*=>S(4Lc3<n+%<ES!~D%NcYzW; zOfy`8188%QN{FjVh+_aOy?4SboHcjq4!DJIVGR`v6IVab{uNNk^9fS&Y=UWG*bZeW z!-ZHD!%MTxP)VfH3?anA#=rnF@-xK99Wb>F`=KmlxDd-KxRE=dl1N6vg#=jsf+luA zhJJw<dH|-H;Utu$3>RWq4L9^4R1(QhxDYtNSq>anu>Sn{1)ynQknvw3#-D=eW4H`u zDZ_=3j6V&PL^2*Ogw^<O5aX}F^fBCpvXtRMNXB1<N+KB#7s6`%cZl)#VEP!ILs`mj zAtdAPLnV=nhYMjf{s+YP7chMcAE7K|xDb-@FQJl1#>0iM8vhew{3n<`hTl+@GF%AB z_|H&DB;(;iSdIS$G5!xs9|J2Bv~vg-LNfj@Oc|oSh6`ae{x`&UHmIQt4E#`*GF%AB zcy_2HlI!6@SdIS!F<t<sk3ka3Qicm587~NxL^2*Ogw^=J5aXp_`WTd<EM>S5lJU|| zNhIUpLRgLe2Qgj+rjJ1z%2I|4Ar%FxP)Q`?;X(o|QlO1ipuGAYVyF&GHG?UXr3@EB za<eW}63I}w5LP!cfKIw&urh<`W3Y#^l;J{1#+ySWk&K56VKtr+V!Q)PAA={9r3@EB zGTsp?iDW!n2&?f-5aYdI`WS+tEM>S5lJVY9NhIUpLRgJwh8Q0L)5j1CWhujjkc<z7 zN+KB#7s6^h3&i+1m_CMdC`%bGgk*d?R1(Q}xDZz3Ss}(}!1OT`LRrdiAtd87p^`|( z!-cRK&jvBR2&Rvr8p=|J3n3X_43$JO9xjB{cy@^KH86b)tx%RSTnNedTBszF@o*um z#&bZ7Z-eP$=!deD;X+8pw?ie7jE4(hHJ%e<`~;XjhUrk2GF%9$D3}P9L^2*OB*2mn z8e;?%1zZqAXTVf5EQGR@;X+7mo(YviG88U^)y>=x;}^m7F|3BNl;J{1#xI6SA{h@C z!fHGZ#P~HZeGFTnEM>S5lJRSyl1RqGg|HgW3o(8hOdrF3C`%bGgk=17s3el{a3QS5 z^FfS10Mo~C63SAB3n3YQ5GsjeJX{E?@%#|uPr>vtoP)BI;X<t1Qq~rr^O8WrPy!J3 z=b@??7%oFu%5WhR^@0%fSD>mG7;ZpW%5WhR^+FK!H=(K+816z@%5WhR^}-PK_n@j6 z7#=}c%5WhR^&$}UkD;m<7@k8}%5WhR^`a2<FQBRz7~Vix%5WhR^<oh9Z=tFf7`{MR z%5Wj1{Pzwji4<LMApw>O(7{un{3i}E^eap?!%rwn87_q42nmS#Ur<#H4F8}kWw;QE zdP#`-|4>y749v{Xwi{dsMZFY6JquJ70|N(?r3@EBQ7;Wq&k0q<z`zS-DZ_<O)XPBB z^FdWHFbF|e%5WhR^|BE4!cbKV4B}9hGF%8ny&Ocn1XL9RgAA0V3>QLCFAq^K3suFy zpa^9t!-Y`PD?rpMK~*s@s6koEa3NMrq)ep<QLheF#lWBgWhujjka~w2P)VdjgAjuC z4wWEA>cZ4A7(!Xfa3K^YC_~g6K~*s@m_b>}a3K`+DiHPNP*n^J)=-u*TnI(IDnz{v zR22h*1C*r<7eZ0522t+_RmH&I3S}w7g;3P1L)5!LRWUGlL0QUhAr$o*5cS?rRSXRN zP?j=W2t~anM125M6$3*El%)(8LQ$^;Q6CCb#lR2=WhujjP}FNf)JH*8F)+kIS;}xB z6!kg~_3=<u3=C;dmNHxjshmlGN+Km0xR3zLbkOuEsGQM-7@7`K&5#XcDZ_<O9H9qM zp959Jz)%2XDZ_<O)aygk7eZArFqA@B%5WhR^#&02Wl&WN3{_B;GF%8ny&*(>HB=P? zLp_wG3>QLCZv;`_09D1n&;n&C!-Y`P8$;B$LRB#^bV6Cma3K`+CJ^;qP*n^JeNdJ% zTnI(IDMWogR22imWGG7+E`*}q45EGtR22im3@A$(E`*}q9HM?ER22im0w_xvE`*e5 zW<e#95)E8PfTay|3<D_9SU?P22vg0l6v|SD3!yl|5~6+?R22imDkw`CE`*}q3Zi~B zR22imdMHa7E`*}q8lrv!R22im7AQ*@E`*}q2BLl|R22imPAE$mE`*}q7NUL^R22im zJ}65WE`*}q4x)ZPR22imVJJ%(E`*}q9-{sTR22im2`Eb$E`*}q0iymSR22imStv^x zE`*}q5u*MaR22imB`8Z7E`*}q38MZoR22imO(;tlE`&4@a|J4ilxW~W94w&It3YYS z8Di!wm|})|P?j=W2*nL95cT(=su&nvKv~LgA*4p|1E?gD8{k4%8^Nv+<6pw`F?@ou zl;J{1#=n9}A{h@C!fL!5#Q4uJeGGq~EM>S5lJQ@ll1RqGg|Hg$4l({OOdkUq3$*nH z7eX@rA50ly<P9!_)p!qx@$67T85jhhEM>S5lJOi+NhH_9g|Hg$2{B#}rjJ1i%2I|4 zAsH_Ol|(WgE`-&1FNpEdFntUvP?j=W2+4REs3el{a3QS5dqa#@h3R9^gR+$2LP*A| zK_!ulhYMjf-Unj5K1?5j1(c->7eX=~G;af*&_F~jTnMZ2z7XRrp@uRrI6zs-a3Q4n zzzQmf<a)S}0E;VVb0a8k`#}tKgsEomg0ht1LP&0Qf=VJ83KzoaW`BtB-Y|U(AyAew zTnNc{AE+df@o*um#s@%*4~6Mth=a0};X+8phe0KgjE4(hH9inxd^}7aLk5(k3>QK& zJ^?C;WIS95tMNe)<1=CU7>b}QWw;QM@mWwwB;(;iSd9;c7+(z2$4~=hDZ_=3j4y#o zA{h@C!fJd7#Q0j6K87|ZOBpVNWPBY|63KYD5LV+uA;!1E^f63;vXtRMNXB<SC6SDW z3t=@r3}XC5m_CMCP?j=W2+8<KP)Q`?;X+uA4~G~(8>Ww836!M_7eX?A4pb7!c(@Q& z<0Bx(FNNu2SOaA#!-bHFf@M%iB;(;i0xbO>85qD*l#via*TPgYY=g3t;X+7mUI&## zG88U^)y+{5<F~`~F&u!hl;J{1#_xbiA{h@C!fJdp#Q1|SeGI3dEM>S5lJSS2l1RqG zg|HeQ12O(IOdrD)C`%bGgk<~~s3el{a3QS5$3l$13e(4M56V)83n3YQ4JwIbJX{E? z@o^C2@5A&lynwQl;X+8pKY&Ui84nl2YJ5Dz_?Iw!44<GZWw;QM@voqgNXEm3uo|BL zG5#}5AHyFgOBpVNWc(MXB$DxPA*{wHLX7_l)5pNZ3Tu(UgpiE?2N#2{U4jc?H9iSq zJUi4-1_l8rOBpVNWIP8{63O*&A*{wHLyQ-M>0^+9vXtRMNJW7VR1(Q}xR3zLYS2y} zP^%yXVyGlcHG>kAr3@EBa<ddv63I}w5LP#*LX202>0{7=vXtRMNXDx`C6SDW3t=@r z4Pv}5Odo?8l%)(8LNZ<tDv4w~TnMZ2=@8@1Vfq*xpe$v$5R&m0P)Q`?;X+uA&wv>3 z2-C;l1!XD2g^-MQf=VJ84;R8}d?v(rZ<s!Y5GYF-E`(&f4^$G#c(@Q&<Fg>fhr;wR z#6elga3Lh)!=RE##>0iM8lMd@J|3ozAp^=%h6^DXp8%CaG9E63)%YBU@tH7v3`J0u zGF%AB_$;U-lJRgMtj6a;j4y`iW2k|$l;J{1#+N`Pk&K56VKqJvVtg%3A43zAr3@EB z8knwwN+KDL5P}U%=R=HahN)%fg0ht1LM$_9%mN)?!^kMy0+mEE5-!9DTBZgnTM8gX zcEi*%OoFnM;X+78_CO_(jD!opjVy#1IT@ywVHT973>QK&atc%u$w;^m+{hw`k+Y#{ zp^H!9T=3#k7*83-VBi-Pmz6e@Fp@U5HIfhpT?_|a*9MmtP%#BvGw`2b5!77eIZy@z z+bZy4N6=b6Mn;EXi1mwMvJ9)BEM>S5l8=@^C6Rmt7lQk!1Y+cBm|BKSP?j=W2+7Db zP)Q^s;X-gDOCd&XhN)%P1!XD2g^-Ng0+mEE5-tQcvJ7J6ZkSqzLr|77TnNd?Jy1y` zBjG}DBg-L19)_xgMkSmJj!GC$8OFdBm2i1@RGxyGt9%5?K#R%>i1nvovJ97?EM>S5 zl8??nC6Rmt7lQk!5@O_Km|BKgP?j=W2+7DRP)Q^s;X-gDs~|?+hN)$E1Z641g^-NA z1C>NF5-tQcvKnIKW2jnaxWl;)p!M4@o-&MqE8OAo@Nj<xHCOowlz|rRH4y7x!(<sg zL0QUhAtWEYfl4Cz2rdNoQ7y#C&oH$Nzo0B-xDb+&U!ammM#6>QM%F=${0&pfz{Cb^ ze8Pp0jQj&rh8Qz~3&D-7hZxBWHHd+M3(8W43n3W^D(TUNq2NMrBO4$_azhP*MkSmJ zj!GC$8OFdBm2i1@R0=`ORpx;*(4w*tV!bd-mO%>2Qicm5`A7sRi4^W|A-Ip4AVx~V z)G{bRS;}xBBqL>@l1N6vh2TauLyT00sb$cBvXtRMNY$?jR1(QZgb--&9jLBsff%U? zQ_G+aWhujjkVf;hppr;N!i6|MkqYkSw?fP`fGK7$hq9F6LP#A3L#QN@nQ$Qi7SNH- zU_;v=hFZW>GuT2|%5Whhzgt2jkqku$vG75LBibQG+QHN^xItOUa3PkJ@WsvcP)Q^s z;X(>5tH2HgyR-vhtUF9SgCCTo3>QL*cn_!~lCf|hc*J)?jP!@8We9_^l;J{1Mg~A7 zk&J{3!Hw*K7#R*z%Mb@;DZ_=3jEsOvA{hx6f*aWlF)|*emLUzwQicm58JPf;L^2XC z1UIq=Vq`i@EkhoZr3@EBGBN`yiDV>P2ySFA#K?S@T81(xOBpVNWMlzU63Ixo5ZuT< zh>_(mwG4GomNHxj$;b+*B$APEA-IwK5F_hhY8l#~EM>S5l93HiNhBlTLU1D|K#XjM zsb%PcvXtRMNJe%*C6SDT3&D+?2r;rBrj}tEl%)(8LNam!R1(QZxDedPNf0Ba!_+d& zgR+$2LP$o=fJ!162^WGJIT>Q)e3)8>Wl)wfTnNd?1yD&OBjG}DBd0)&Tn<yqunx*n zh6^DXxdJMQWF%Y&Zsb&mk?Ub<8MZ-L%5WhhBR4=Lk&J{3!Ht{-F>*UhEyF%2OBpVN zWaJL0B$APEA-Iv#Ax7?psbx3@Whujjkc>P4l|(WUE(AAn2E@qYFtrTlpe$v$5R#E6 zppr;N!iC^Q&V(3w9;TMz8kD6B7eX@f0#p*oNVpK($XO60ufx<b+=H@|;X+78-hfIX z83`AH8#x<d<b9Z0hG$TgGF%AB$OlkKBqQNMa3kkHjC>AL%kU1$Qicm58TkS#iDV>P z2yWzDh>`DMY8k#kS;}xBBqKjSC6SDT3&D+?2Ql(HOfADdC`%bGgk<Cos3ek+a3Q#n z^C3q5hpA;?V~4hK;X+78GC(DfjD!opja&dRk{za&fe*@3h6^DX$pMu_G7>HXH*z7w zNPd`F1~Dj087_omqySVB$w;^m+{i@`BgJ8A8RVcWWw;QMkrGfzBqQNMa3dE(jFg9| zWl)2%l;J{1Mk+uhk&J{3!Hrx3F;X3-mO&57Qicm58L0u4L^2XC1UGUi#7KRZS_U&H zOBpVNWTXL963Ixo5ZuUR5F^cDY8mXHEM>S5l93irNhBlTLU1FOLyWYCsbz43vXtRM zNJct9C6SDT3&D+C0Ws1Yrk24E%2I|4AsOibl|(WUE(AAnCB#U7m|BJ~C`%bGgk)p@ zR1(QZxDedPRS+Y?VQLxTpe$v$5R#D*P)Q^s;X-gDS3``9hpA;qgR+$2LP$m?KqZlk zgbTrqTmvyO9j2Bc56V)83n3Yq0hL5D5-tQcaxKKje3)8>GAK(KE`(%c0aOynNVpK( z$aN4S%VBC6>YyxTxDb+&6;MeeBjG}DBiBQWtcR&(XoIqp;X+78Hb5njjD!opjobh+ zvK^+Dp%2PZh6^DX*#VVAG7>HXH*zDy$bOhwhG|fiGF%AB$O%wMBqQNMa3eQCjGPWr z%P<ehQicm5894(giDV>P2yWzNh>`PQY8jS6S;}xBBqJ9<C6SDT3&D-t0x@zqOfADY zC`%bGgk<Cjs3ek+a3Q#nTOmfShpA=Q24yM3g^-Ng0F^{C5-tQcavQ|R?J%_r`=Bgk zxDb+&JD`$CM#6>QMs9}~xgVyM;TV*q3>QK&@&Hs4$w;^m+{hgeBag$>GMt05l;J{1 zMxKC5A{hx6f*ZLLV&r+4T83*-mNHxj$;b;(NhBlTLU1E@L5#c(Q_FA<%2I|4AsKlC zDv4wyTnKLDZitchVQLwkL0QUhAtWOoKqZlkgbTrq+ygQ4IZQ3XJ19#TE`(&{3#cTL zk#Hfnk$WLVzK5x0_y%Pu!-bHH`~a0iG7>HXH*z1u$nP+<4F8}kWw;QMkw2i4NJhei z;70C;82KNjmVu1}*1m)ZAsNX4l|(WUE(AC70K`akm|6xtC`%bGgk&TKR1(QZxDedP zgAgP6VQLw~pe$v$5R#DsP)Q^s;X-gD4?&C+hpA<dgR+$2LP$nRKqZlkgbTrqJPa{X z9;TK-4a!o63n3Y)0F^{C5-tQc@(9F8b(mTPJt#{VE`(&H22>KsNVpK($fFP=^<iom z%%Ch~xDb+&22e>PBjG}DBacChG>55Wu!FLc;X+78T0kX{jD!opjXVx9(jKOk!41k% zh6^DX=>U~PG7>HXH}V9;NOzc820tiE87_omqz6<I$w;^m+{lv<BmH4&8N#3}Ww;QM zkpWOiBqQNMa3fDaj0}gVWr%~al;J{1Mn*s-k&J{3!Hql(F)|*emLUzwQicm58JPf; zL^2XC1UK>w#K?4*T82C*OBpVNWMl?Z63Ixo5ZuVK5F_(pY8lF)EM>S5l92^aNhBlT zLU1F`L5wVisb#2xvXtRMNJdsbC6SDT3&D*%4>7VHrk0@%%2I|4AsN{Kl|(WUE(AC7 z0>sF6m|BKDC`%bGgk)p~R1(QZxDedPix4CGVQLwsL0QUhAtWOwKqZlkgbTrqyaX|F zI!rCYJSa;UE`(&{45%cMk#Hfnk(VJx&WEXGSO#S&!-bHHTmY3sG7>HXH}VR^$mKA# z4C|mQWw;QMkt?8*NJhei;6`4B7`Yy%mSG!|r3@EBGI9e{63Ixo5ZuUX5F@w4)H3XY zvXtRMNJj2}N+KBv7lIpk9b)8um|BKoP?j=W2+7C;P)Q^s;X-gDZ$OMZ4pYl;4$4x7 z3n3YK0xF4QBwPq?<V}c?=V59Yu0dJKa3LfkFF+-cjD!opjl2ah@;Xc{!#yZV87_om z<PE4Kl96yBxRJLZM&5_1Wq1Z<DZ_=3jC=r<L^2XC1UK>y#K`9`wG8i|EM>S5l94Z< zl1N6vh2Tcsg&6rBrk3Fwl%)(8LNf9LR1(QZxDedPdk`bP!_+eTgR+$2LP$pbfJ!16 z2^WGJc^_irf0$YZHcnXk5+;OXBm-0u$w;^m+{gzIBiUhU8Tg<qWw;QMksMG-BqQNM za3dc=jO2%@We|h1l;J{1MhZYBk&J{3!Hs+bF;X0+mO&26Qicm587TpkL^2XC1UK?A z#7KFVS_U;JOBpVNWTXOA63Ixo5ZuTo5F^!LY8mvPEM>S5l93uvNhBlTLU1FWLX6ah zsbw&OvXtRMNJbh!C6SDT3&D+i1~Jkcrk24D%2I|4AsJ}_l|(WUE(AC7ImAeNm|6xm zC`%bGgk+=xR1(QZxDedP7Z4-eVQLxtpe$v$5R#D|P)Q^s;X-gDUqX!ZhpA-<gR+$2 zLP$miKqZlkgbTrqd<8Kw9Hy2b4$4x73n3X90hL5D5-tQc@-@WBc$iv-G$>0ME`(%c z0#p*oNVpK($Ttup(_v~E@}MkbxDb+&8Bj?iBjG}DBi}-d%!jFED1)+;;X+787C<GD zjD!opjeG|&vK*$Cp$^JYh6^DXSpk(qG7>HXH}XBi$a<JshBhcm87_omWCK(Z$w;^m z+{h0QBimtW8Tz0sWw;QMksVM;BqQNMa3eoLjO>T0WtawKDZ_=3jGO?KL^2XC1UK>% z#K`F|wG8v1EM>S5l94l@l1N6vh2Tbhh8Q^?rj}tDl%)(8LNamzR1(QZxDedPFAyV_ z!_+dYgR+$2LP$ogfJ!162^WGJ`4wX1dYD><ZBUjnTnNd?4NyrWBjG}DBfmk6+zwOA zun)>oh6^DXxdSSRWF%Y&Zsd1}k^5n48ID0&%5WhhBM(3&k&J{3!HxU@G4eP}EyFn| zOBpVNWaJ5`B$APEA-Iu0Ax55usb#nZWhujjkc_+ll|(WUE(AC77sSZxFtrT#pe$v$ z5R#EMppr;N!iC^Q{)QNNAEuV!8I+|A7eX@f0aOynNVpK($UhJxpTpELyo0io;X+78 zzJN+183`AH8~GPv<a?M}hHp@oGF%AB$PZ9SBqQNMa3lXgjQkE$%kU4%Qicm58TkV$ ziDV>P2yWzmh>`zcY8lwLVC_qo5R#D$P)Q^s;X-gD8JGkZ7#OVBVQLxppe$v$5R#D` zP)Q^s;X-gD86igU!_+c}L0QUhAtWOOppr;N!iC^QGC_<KhpA<dgR+$2LP$nRKqZlk zgbTrqWQG_i4^zva24yM3g^-L?fJ!162^WGJ$pSG_9j2B+56V)83n3Y)0hL5D5-tQc zk`-d4K1?lx8I+|A7eX@904j-OBwPq?Bpbv?bC_BNJ19#TE`(&H1ymBrNVpK(NOp*k z_As>!ZcvsoTnNcX2dE^Hk#HfnksJ^s-C=4O{GcplxDb+&9#BanBjG}DBRL^P`oq*R zgh5%#a3Lfk1E7*fM#6>QMsh)n42P*@h=a0};X+78MnENzjD!opjpT+H84pv-kOpNb z!-bHHOn^!v83`AH8_5GPG99LtArHz@h6^DXnE{nVG7>HXH<A}(WIjwSLm8B%3>QK& zvH&WHWF%Y&ZX_SX$a0ukhB_!q87_omWCc_b$w;^m+(>?ik@YaO3~f-BGF%AB$Ofn+ zl96yBxRC-7BimtW8Tz0sWw;QMksVM;BqQNMa3cjFM)t$hGE9TAl;J{1MoxfAA{hx6 zf*UCWF>*RgEyFx0OBpVNWaJE}B$APEA-Ivk5F_Wq)G{oCvXtRMNJcJzN+KBv7lIop z0x@zqOfADYC`%bGgk<Cjs3ek+a3Q#nq7Wn3!_+ctgR+$2LP$n#fJ!162^WGJDF!ie zJ4`LZJ}65WE`(&{4yYuOk#Hfnk>U^|_rugO9D}ly;X+789)L<B83`AH8z})X@;FQ_ z!#OBR87_om<O!%Gl96yBxRH_&BhSOsGF*eQl;J{1MqYqQA{hx6f*UCXG4eW0EyF!1 zOBpVNWaJH~B$APEA-Iv!5F_uy)G|DSvXtRMNJc(@N+KBv7lIop12OVBOfADZC`%bG zgk<Cks3ek+a3Q#nvJfNR!_+c-gR+$2LP$n_fJ!162^WGJDF-p~J4`LZKPXEXE`(&{ z52z%Pk#Hfnk@65D|HITWuyMoMmoOnDBN?EQNJhei;6^GyjAVzYW#EIdl;J{1Msh$U zk&J{3!Hrae7|9P)%OD12DZ_=3j1+)MA{hx6f*Yv>F;X0+mO&26Qicm587TpkL^2XC z1UFI{Vx&AwErS}Ar3@EBGExC5iDV>P2yUbb#7K3RS_VBROBpVNWTXaE63Ixo5Zp*r zh>`j*wG3uZmNHxj$w&jJB$APEA-Iuh5F^cDY8mXHEM>S5l93irNhBlTLU1G1Ax7H6 z)H1k1S;}xBBqJT5l1N6vh2TbNK#X*Usb%nkvXtRMNJe@<C6SDT3&D-lgc#`$Q_Bzr zWhujjkc<p~N+KBv7lIq91u-%lrj{WN%2I|4AsHC~l|(WUE(AAH8)9TUOf5qil%)(8 zLNYP|Dv4wyTnKKY4#dcGm|BKBC`%bGgk)p}R1(QZxDeb(U5JtSFtrS2P?j=W2+7C- zs3ek+a3Q#ndJrSaVQLxbpe$v$5R#D<P)Q^s;X-gD^&v*q!_+dgL0QUhAtWOkppr;N z!iC^Q8bFL}hpA=ggR+$2LP$n-KqZlkgbTrqG=v!04^zu94a!o63n3Xf0V;`PBwPq? zq!Gl(=`ghn^PntcxDb+&GoX@4M#6>QMjAtmoDWmWunfvlh6^DXxd1AOWF%Y&Zlnps z$mKA#4C|mQWw;QMkt?8*NJhei;6|E4j9d>>%dic~Qicm58My%}iDV>P2yUbq#K`S1 zwG8{9EM>S5l94;0l1N6vh2Tb-LyX)HQ_FA+%2I|4AsKl9Dv4wyTnKKY1;ohXFtrTl zpe$v$5R#E6ppr;N!iC^QT0)FG4^zu<4a!o63n3YK0V;`PBwPq?q!q-->oBzp_n<6g zxDb+&H=vS8M#6>QMp{FRybn{$@C?dQh6^DX`2Z@3WF%Y&Zln#w$mcM%4DX;UWw;QM zkuRW<NJhei;6~a)jC>DM%kT}#Qicm58TkP!iDV>P2yUbu#K`Y3wG98DEM>S5l94~4 zl1N6vh2TcoLyY_nQ_H}{18ZNxgpiD6fJ!162^WGJ=>Rd39j2Cn56V)83n3ZF0hL5D z5-tQc(h*`LKTIuy7?h<97eX>p04j-OBwPq?q!YwQahO^LIVejRE`(&H1XL2qNVpK( zNN0$V@-VdwYEYIkTnNcX1*jyFk#HfnkuDG;)nRHG^q?$dxDb+&8c<0jBjG}DBV8dz z>ciABm_b>}a3Lfk4WN=pM#6>QM!G?aG>55Wu!FLc;X+78T0kX{jD!opjdX_?X%AD& z;09$W!-bHHbbv}C83`AH8|eWt(jBIj!4Jw(h6^DX=>e5QG7>HXH_{Vgq(4k8Ll~5$ z3>QK&G5{)xWF%Y&Zlo8)$Z(iihBzoo87_omWCT<a$w;^m+(>VTk?}CK3~5l7GF%AB z$ONb)l96yBxRE{(Bhz7O8S<bkWw;QMkr_})BqQNMa3g&oM&`rRGL%7C%5WhhBMYFC zNJhei;70mEj4X$#WvGL)l;J{1Mpi&2k&J{3!Hx8X7+DWf%g_d8DZ_=3jBJ2PA{hx6 zf*TnCF|r+|mZ1;IQicm58QB4qL^2XC1UE7eVq`x|EyFY@OBpVNWaI>>B$APEA-Iu2 z5F@9<)H2M2vXtRMNJh?pN+KBv7lIoZ3^8&(OfADQC`%bGgk<Cbs3ek+a3Q#nArK>% z!_+dYgR+$2LP$ogfJ!162^WGJ8459SJxndbHYiIOE`(&{2B;*Gk#Hfnkzo)cx5Lyj z?1QqD;X+78?tn@n83`AH8yOBUaz9Kh!!amJ87_om<N>H8l96yBxRDVMBag$>GMt05 zl;J{1MxKC5A{hx6f*TnLG4ec2EyFb^OBpVNWaI^?B$APEA-IuI5F@X{)H2+IvXtRM zNJid(N+KBv7lIoZ4KeaQOfADRC`%bGgk<Ccs3ek+a3Q#nF%To4!_+dogR+$2LP$ow zfJ!162^WGJ84EG;JxndbHz-RPE`(&{2dE^Hk#Hfnk#P_szr)lr{DZQT;X+78{(wp% z83`AH8yOEV@;^*10~;@_eF+mnGLiu*iDV>P2ySEo#7K6SS_VESOBpVNWF!Yv63Ixo z5ZuT_h>`p-wG3iVmNHxj$w&dHB$APEA-IuA5F^E5Y8m99EM>S5l93WnNhBlTLU1FK zAx6r>)H0|+S;}xBBqJ4|l1N6vh2Tb}K#Wv}sb$cEvXtRMNJeTvC6SDT3&D*{g&3(1 zQ_ElmWhujjkc>2dN+KBv7lIp^1~Jkcrk24D%2I|4AsJ}_l|(WUE(A9+9b%+COf7>O zl%)(8LNd|;Dv4wyTnKJt2E<5rm|6xuC`%bGgk+=#R1(QZxDedPOo);GFtrR}P?j=W z2+7C*s3ek+a3Q#nSr8+`VQLxTpe$v$5R#D*P)Q^s;X-gDvmr*t!_+dQL0QUhAtWOc zppr;N!iC^Q=0J>0hpA=AgR+$2LP$ntKqZlkgbTrq%!L@44^zuf24yM3g^-LafJ!16 z2^WGJnFlel9Hy3`4$4x73n3X<0hL5D5-tQcG9O}OJxnb_8<eFC7eX?!0V;`PBwPq? zWC6s;c9>d*J}65WE`(%c2UHTtNVpK($U=yb{V=r*)1WM6xDb+&6QGhvM#6>QMixPg zoDNgVFb~R7h6^DXIRh$*WF%Y&Ze%gU$oVj}49lP_Ww;QMkqe-bNJhei;6|1}j9d;= z%dig0Qicm58My)~iDV>P2ySF4#K`q9wG7*!EM>S5l93yrl1N6vh2Tb(L5$oEQ_HXq z%2I|4AsM*?Dv4wyTnKJtImF2QFtrTFpe$v$5R#Dxppr;N!iC^QRzQqA4pYl;4$4x7 z3n3YK0xF4QBwPq?WF^GN^Dwmx*PtwAxDb+&7od_zM#6>QMpi+Lybe>#a1Y8-h6^DX zc>^kmWF%Y&Ze%sY$onw049}n}Ww;QMkq@AfNJhei;6~O!jC>AL%kU1$Qicm58TkS# ziDV>P2ySF8#K`wBwG7{&EM>S5l93;vl1N6vh2TckL5%zkQ_JuV%2I|4AsP7tDv4wy zTnKJtJ;ccWFtrS9e6aQ<ObE$H2B;*Gk#Hfnkqr<d*<orK_@FFhxDb+&98gIlBjG}D zBO4(`^25|Jh(TG(a3Lfk1)!2hM#6>QMm9l=6o;v0kb|<6;X+78N<bx%jD!opjckS( zDGyW2pax|r!-bHHRDen%83`AH8`%OeQXQt2K@ZAOh6^DXsR5NlG7>HXH?kFCq&`e7 zgBg^i3>QK&(f}%nWF%Y&Ze$z8NOPE420JKA87_omqy<zG$w;^m+{ku_k@hgP3~o@C zGF%ABNC&7Sl96yBxRD(YBi&(Y8T_CuWw;QMkseS<BqQNMa3eb*M*73lGK4`{%5Whh zBLkq4NJhei;6`>qj0}gVWr%~al;J{1Mn*s-k&J{3!Hw*O7#R;!%a8_TDZ_=3j7)$^ zA{hx6f*aWbF)|&dmLUhqQicm5oqC!9l|(WUA;iK2IrX#`Vq`8%EkhBMr3@EBGBOV; ziDV=~2xep-#K>ZpT80WJOBpVNWMm0c63Iw}5X{Jah>?{rwG4GomNHxj$;c|GB$AN` zA()X9AV${1)H1X{S;}xBBqJN3l1N4(gkVNagc#WhQ_Ii=Whujjkc@1DN+KDF5P}&w z31Vb7OfAC%C`%bGgk)q7R1(QZgb>Wg$q*wa!qhTMgR+$2LP$nVf=VJ8i4cMrIR#?m zbeLL(IZ&1|TnNd?8Bj?iBN0L{Bd0=)oC{OSun5Xhh6^DXIS(p{WF$feX5=)8k&9t! z8CF19%5WhhBbPuWk&HwL!Hk>^F>)nLEyFq}OBpVNWaKKSB$AN`A()XfAV#i-sb$y# zWhujjkc`{_l|(WUAp|pWCdA0CFtrT3pe$v$5R#GGppr;NB7|T@&Vm@Z8>W`w0F<Q+ z7eX>}4^$G#NQ4l~$k`Ah55m+k9D}ly;X+789)e0D8Ho^r894`H<Z+l<hBHu>GF%AB z$P-XWBqI?*FeB$ej64fd%Ww(GQicm58F>yWiDV=~2xjCwh>@3JY8h@oS;}xBBqOgt zC6SCo2*He;4>9s4OfADbC`%bGgk<C`s3ek+2qBn}3m`_`hpA<F0%a+~g^-MV0F^{C z5+MXLav{XXr!ch)ub?btxDb+&&!Cb>Mk0h@MlOOF`5LB{;RBSV3>QK&@(olH$w-6{ z%*e$MBR|5_GJJ!wl;J{1Mt*`yA{mJgf*H94V&r$2T82MRmNHxj$;cm2NhBi?LNFtj zLX7+iQ_H}_5A9LFg^-N=2UCVP*B>DSGjbWkNM@)(3=AAlmNHxj$w(HcB$6u;LNFtj zLyY8vsb%1UvXtRMNJer&C6SCo2*He80Wp#vrj|hj%2I|4AsHzEl|(WUAp|=KekH_6 zQJ7i=DJV-BE`(&H7*rC;NQ4l~m8&2|O2gDLC_q`ta3LfkWuTHsMk0h@My`e!sR&cc zpax|r!-bHHRDwz(8Ho^r8My{xq&iG3gASCX3>QK&QUfZ9WF$feX5?Ckk-9Lo3`S6v zGF%ABNIj?|l931@n33xsMjFG^GFU)a%5WhhBTb-^NJb)rU`DQo7-<Pp%U}m(DZ_=3 zjI@GEA{mJgf*H91Vx&DxErSb`r3@EBGSUGmiDV=~2xjC)h>@-^wG2K`mNHxjsYl@k zl|(WUE(GsUY=Ri+3scJw0%a+~g^-N&gGwS92^WGJxfx<)C`>Iw43wn|7eX>J3@V9a zBwPq?<Q9mLu`sm^DNvR&TnNd?IH)9&k#Hfnky{}~roz-R<Um=<a3Lfk)1Z<_M#6>Q zMs9-`nF~|PPy%Hs!-bHH%!5iI83`AH8@U}~WGPH7Lk*Or3>QK&vJ5JTWF%Y&ZsZP# zk+m?j3@uQWGF%AB$U3Mbl96yBxRE;{Mz+G#GW0-M%5WhhBio>oNJhei;70C(7}*O` z%P<AXQicm58QBMwL^2XC1UGUw#K@^IwG4BhEM>S5l9AJ(l1N6vh2Tc+ffzX#rj}s| zl%)(8LNan5R1(QZxDedPy$~ap!qhUXfwGk0LP$m~gGwS92^WGJxesFGT9{gfEl`#+ zTnNd?bx=tpBjG}DBlkm$+zM06um{Rgh6^DXxeY3bWF%Y&ZsY-ok$Yik8IC|%%5Whh zBlkfik&J{3!Hql!G4d!(EyEcoOBpVNWaKfZB$APEA-Iu;AV!{rsb#nVWhujjkc>PB zl|(WUE(AC7FvQ5KFtrSKpe$v$5R#GCppr;N!iC^Q9)TEn7p9is36!M_7eX@f9#j&^ zNVpK($fFP=pTg8Kyn(Wm;X+78K7&dk83`AH8+i<3<Xf0phA&W-GF%AB$ahdlBqQNM za3haHjQk2y%kT%vQicm58Tkz=iDV>P2yWyFh>?F`Y8hArU=3@S5R#Gq;9`i|T46$P zBTqt%WQ7{Uz`z4#DZ_=3jAVmKBDoSS1UK>JJ4S_Tm)OBpVNWF#L{63Ixo5ZuVq z5F<rlY8hmpEM>S5l96IiNhBlTLU1F`K#Y`ysbx@svXtRMNJh#*C6SDT3&D*%3o%j^ zrj|hm%2I|4AsML#l|(WUE(AC79K=Xnm|6xCC`%bGgk+>1R1(QZxDedP^AICVVQLv{ zpe$v$5R#E*P)Q^s;X-gDFF=g6g{ft5fwGk0LP$p1K_!ulgbTrqya+MU6{eQK2g*{0 z3n3Zl29-oI5-tQc@)E>IUzl2k5GYF-E`(&HA5;>_NVpK($jcBTLt$zeVxTN#xDb+& zVNgjVBjG}DBd<V=jD@LXNP)7H;X+78#z7^KjD!opjl2pmG8LwlAq~n>h6^zZ3R*$0 z-@FD^&A`a*z{McI;J^(cct8X%h~NVe{2)RAL<oWiArK)9B19Mj7#KcomCXQ~#pmqm Ym*tn~=<Vs{;OCkK5(8lep&WT;04|ai?*IS* diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb index b5e974e0017611107df57510ed971213cbc50d63..47fdb6e2fa3230c7a5ca659e7eb53a8348c06731 100644 GIT binary patch literal 15008 zcmWg2k!R*+U|7Jwz`)?}q)MKdW7bZ^3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)?hZs}Xmv8rWp!=jG<-b&poj`J<#_d7%}Ffjaw0*3+y1_m#;?EWlQ|DB%M zu33)Bo=FJ{{Jh*2cqC^m@XO4~bX>JKt2fKv(bX$6%d^)rIm^%0yEiFo0fLp_>Xqr( zl;!W~>zU>1n7pg0w`udl9Sbr*BAFfuv*5-o$nf+{a9c1SmZ~x^FmQqbjlqGDfnftP z6C;B)6La(8g&bbVj$ZzbSs5#ARNM2tB2Gbs*%Dl{(mb=|oVg4CA5TyP1@l@tYbF*? zPfu6ARjU^+bntL>N$_*<%F1}W>^;Nb#flG@86m11-tSv0$Arv55{EFM25~npUTE9c zvZ$kHMc=YzDi?TC^-e<+fX!fF;CJw6U|>*$x*g<P5N2RN5oYm8PDlY0=n^2uf?dqO z;INQ^fdLi>s3`-)vw)`)1_lQv1_1^J25yHu1_lNVsNL+XjlJ!Swk=Rv#G##8fPulG zg;{`siHVWHf&r{r6Q+7`$HI<9wk_-s8i#5vsA}Gh_WquRg^O48_qEuzKzN1_o`}P2 zZ1!kFb#gE6YUy6s+|b)@+X7}Ag4sCi(}C*ZUAVAgQFC*{;uf%d5S{>-heM|>n$AUy z$U4D196I%&Iyrk*ECk!o!r8OJ5X{1%Lmx>8*f(GuU=|J?22dS5S(!;$nF(Hw34RW) z5Y7fLXDasCGKA_A@bpjca`AV~$nf+?NKSG{013=O64-#EpP9h~65B>_{eDTlju~E_ z$)2Fn0i+)!umdiz0VNi}`WYDn7#!Af2{1Tp01+EO#3m528ANOW5nDmTHW0BLMC<?& zJGlfH7?>S`m<1UAJ1k`dk*o{?4F5rb0n7pn4vSd@7#x^EhOYxDbpVm94)sX6h=Ivr zHOQznTmlS?s4|QWYeAZ<l%O7CVAO*%!DR;n1BQS@0|NsCx+-v{0~25qv8q8UMbNkm zU_XkY8~p!20|SGVGQxduCd_^40${t*1;I`O6A1UAt3fWDkl762a2G{a4sjW*gkfOR zgEL_+Ll*$M3|$aZzJV|#jzD3Ht^!_Q!x;<?pfn(gt`OuRMp#|R@ZS_3Xo}`ACZsZ8 z1l0!M5*JJ$mB1tv>F8Rad{9{`=HSA>z+eHjl*iN0)jQcUE5p^rGa1C04dXaOvw;d; zHb#duMga!q|NjkO4i(CB_0NRY&kk-L$&Mf)M3oH|VqkDM4@%jfH1BYZOMpQYW+yX~ z4igB2qJqic0!R^<-~gBOAW;WCMo<L-)4<Zac0n_k07>G|B95YknTeeVgh3h@!CnDd z1mZF<IIyFs<zixH;$i|xA{!2J6iAGL!GRHv22ePG4F?lMXaJdu;Ut1Kz^y^HLBkRn zkNk@|7B6h+>{!&*(c5UdXd#Sm1m+tVLfi(fAsudmYEm1hMgdrSXL-B2WjG{fzyvl! z1UB!$&}s|S%CFGe2X4(RZeP)3+qe+Mmj&|$4Z(hd)XES$?O+;}&@@8%I5pZsHS#WQ zU$L-b5!n33g%F<6;`S9XwpeU)fNJ8&^2^NdO!o3}bnwW4a5jTDGj?D&&=IPI%{LPi z6kuvIrZOj}GC@dFp}AvG$Kv*uF55*5AtHuw5hKh%aE5B;%YZn_%h5C0Avpueoe1V` z-T}^Z3=H6KGcSc|VFfoZAS5VJGB9j_77w7D21yqT4v)A57`Rwqdca%;28YL70t`&x zLJlSaRnGuzM8O(UEs%;3RM=stgfyUF4XGAzZOOps(8IvMP!4q?0|SE?5*us@*jjW! zXlo4BFoy>M3=9_NN|`woI6>GU5>%<iGYc><vQ;4L0~?RT2iplt#>tRmj7ZmT0f$?l z>Xp%91_J{Fx^6~?*;qxFU=jWQpMe@?SfIOsnUkLrgdHM4zLsPIH4s8UOi=m3=l~I@ zVqjpXga!pCi~$Y+PzMbZiRgmd9i7b`ww-+~3tJW;vl$q{;fSsrd+J!jBEZ1F0*QH$ z6Cj-#1~DWy*b!hO(FMV6W8`)+l<fd+a)DC-x)xCEgD@fmFtJrby$zy}*a&;k1&K@& zj1IL73=HU+!N!8Z6qIVfMIs{uBPY5luz%47*}OdcU0gGu6u8iVgf+TCNM{4J>jC3A zfE%UYN&rMCIK(k9Fw}tj4sjW{BMB`HKzW^k*&HUz-QLmE&~Cf9Wp&4YWHwkMhN{&a zeQ;GEHdqxm%q+$h#ujMVBnOsZU}S{Jfjtl7KqMI$KpGevl2IKCmIMbghH|hJ1A{{z zBLf3g75p$2?A!tj4y+)8jT=-VfMN}r4mpw7VC7(^p$ih79zfX$T{FmE4qzvsBnWh6 z6j>}rlxYZ;G=Mt6AUz-q4GOULL9G`M!(kqy00X$(gQj2x1_tw1Xd>c)R!9!X9{#Q< z9Ncw{7&oY{ftla{;xaHeh;zg08kh{oauCK|nt;L=LnWTNrXA{HP+fz>23f!W4sdiq zJarAaQcx)a!ibWFk*xz^AJ}*#KG;rZ-R%gjyWv$ijO*|aQqO=Q1zjgtI|Bm)qXVeK z>xA0F31h&d!M36cA{XAsY-mA_t{i*NBNyadQ0qa#io^yx0_*~GL99a;(18pGaMu}J zn4@b01uqCA2XQyTZm?BIe6an*2Qj)%uy%M5gPJO!oFN8dz@)*pq6@-22p&^uLFGAs zhXueMMAruL90((M5Zp%UMR-ySi4ArF*nV_DB7E&|0hZ~}HNy+a>5QP_u@7N6*e)bK z*a1Y?#lR?ru8Ulw91>v8MmG^&R?TG;U|?Wmn}FtSBtG%(2G=C$I>Fi@g!u}nE$oer z4YoZi7Q$%UMV~FW=!5BV0C5=@9PHplA4~>f0Rx_9Hik+(Mc*o@J3)CAi4C>{92e+< zc#1xBrJy7W!br)MZ8gF^u<=NIu$|y8QgQ~Aa<~sE>Of6ubd``MHKr)2NsTW0|38BT zx)`V_48rKmVMx)r2I@{u7z6A&P<stjxu6Ro7njIvXwiwToN&>(7HT~xT9DXaM}Wf( zT@Ymi7CIK|03Krmmni7ULD2%j$WgNnVJFxMBtF<)Xq-SP<fuVc35gm^QBc&Ni-MvC zT?`a0AdEd~)<fMX24jF-2a6hXK~TVehnGPE&9IIEc-$2nFzCubfdazF0kZ*WA<POS zKG<q#h(IY=z<|;Tx=P4s0m{$;l;dy@l+qa(%(p=;gDQ3KOisvxjxr=eIJk?DL~s!T zGr<AGWngeff)^n$8L+Dv@D(8#D)AH{JD@HGrBx(0*b;C;LKnnSgrF-0MFj{WN5@Wt zePH8}_+UFBV<rpXV<xas6l4*H2cY1F^wrVzLsBoMC@A%!i-J-wx)><sf-v^fy9?@i zP8b91W?0ff7ep>hklE0J1zkDef@L?<dQfB`vB8c2hbFopWTpjW+6BsS08d<ia}l~G zP?Ui%QnY~^EPIe_M`D9*=R_9(I|p45nk_)%ZLq;N<QxR)Yolx816#nr0M2C4{xaCz z=n6q@24N(3v+YH=8SEk?KG;|ilA9R1UQToYtTqtzGpIL=VG^i&fG!B_Vlz1C!+OK$ zD&UPgP<aFnDkenJ3SBY0Y1IoF+Gk|jj}}x&d{Tpo6J0MjWY7hP3K?`w;I<pOAZEy* ztH2U6U{8VOk}rYg94>>1D<I-3h`0tKu7ijhAObXs3hvK>TXx{GnGrk}?QjuP6oE;| zgdJ>Jgn<b>5f0;m=8ZrYIt>mJ22YKH2?hqF`JfZfsBi#JMS|)gm<Fh$89)=`hy@g= zJO(a@Nl24A4B*~92W<L;fe}3Z0_Q?a1cxiSAXE-%`~_VFEL=I!CBQL`E(jg;f>PiF zN`h-(b|KO~y7_STf(FIF#&bB#XJBA}&5JNFI@|<BCn!YWVo*(>=`NJHFE|Grehds? zlVB4i42+P06Oc)8F_KJz&6_YVI^5<GVBm!Fp{9TX5M2-&a!?BFLr4IkD+EU;x*%o% zqN{+~%>XtTOmILw4x6oEV05?xaxR<?)dF@dx**h@Pzr1w#JT7S!Ole&#B?sY3aH&! zoC}*HVqkQ*3vw=;57h#8F1jGpolpwNx#$YP&P5lLhZzkop3zl66<~2LY<7x)5nRi0 z!ue1wVCSL>Lfr|akerLI5bRuZLC_>Q$aF+*LRSHj1!1tsU;>sHU^8P3jNtY%C!7yb z4r>je3qsuqrNHJv5(BzIuyfG`F`bL90%|vw(1p#=F))H#j+}5lR0}wC(FLLIgi=V( zMOO%RF1jFQ+C^6ZwHu3bVRM2EjNrjShja!82Dlhp8>r+#7iC}6(b=)MuLVYf$L7Js zJ-SM;qtON7X2XZu(N(~`1zH6Fsyi1kFfb%RYb#j9Bk{o|!e*b*XQN?!aGA`&z-S87 z2x-~FcwjRa7#IXN(M7=VhAzn2+|k$Bve347F@nXw1okhwQd?*f2&*J$xdX^*2P;m{ z>=q}enFbmw1c`w#*m871gp~*u)N*vCcaSYdmqfQb1rfv`yCLJ+=z<6<5iF?X=t}1@ zgN=dAsh~@uTb_!|a&$q2l?WEpa&)DpY)F=)OQKtzhRt$xL4=hE7SwWdrAE*=1jjkL zB)a9GoCj48b}~55(FGA!B3Mw%(UtP^fQ^9!JGvyg<)C%67?z_8BCJHPpq8U6^@Vn% zz&=NpM7KN>Td<=GBCJHPpq8U6ZHJZ|V9U`Z(JjxyW;wbb!b$`SYB{=66wA>i(Jjx$ zW;wbb!b$`SYB{=6lwe1fM7KN#o8{<&2rCgRsO9KNQ4$=wB)a9f*epjEL|BPnK`lpD zijvjPCDAPhE$GEa?dXCCD-kTH<>*RL3K?`sbj$Ox`5avkVI_hEwH#e3O7V&=iEcS) zojZol(FGA!B3Mw%(Uqc9Ea;NxmKS34Il3UiN(2jPIl5AmDivK4&2n(RN&vicg^C1d zCjzLCCjnXu0BWAhM0gQgek1Y0r7>tlF~$;O6afd&`d$VGCR3PJMhh4VYytxV18Cd{ zgu!D^V(7A1?ImK04ZHvfTx6n~2rbJQ9Hue~FyM6;(y}221_w}p%s~qfBtF<Xu$6ng z(3N%Qd~kmi)Lw&WWaNag!1`hBKy*QpR#>2GCML9Qf#My!9t!HyxoAE`;)7kv4_#^q z_bD15+|>p76sD1p6UGAThxrs;kf;_fWYrJ4R$}}K9>YNPCujo>v<LwwH6%XRoshMW zs4FF5JaGRQ<VTn$Mll!*tQY1-bV2k~fzAh~5{CfL#4f0-f}tHPsL`VW9P|!{K|_;} zRJ#~0E|K_PcM=#)1o;rAg;5N~0_%kN5M7X9s6ob7LB2!R3Y|@YQs7RqLm9*`_Mmbp z8AO2c;xRN|A@RX(6YvCWSHRf6fF$4m-gm&jXbRKH$O&VCO@R3hT~Hu7A<M%v8?jdi zL%;#FzXg&?(KQo2Yz{U9Oelc%?MT7)?0^=zfVWuKfyS~yL5PrnIGeMvrL(u)wt4YF z1Pij)10v7Bz#s+N(*rUMyjKHm8bSu58es;4g>D*b&kx8n@cKHCX`BdAh)LiKhAxN^ zb{GQSFo2AAplb#f@aTfj_A=67Ke`Hta~K%FCW8qMm=FVl6l@z3$TQ%TlpxQ5-Hwof zC`SYcf`uM5u<c18)4)r4;HDvDh&K(kWeH>&c-;)#G=vQCropx`flLF>nZr#($PjND zY>N}fH1I?-+%$v?@utDHKY>gGPq@KNL&y+s8f;S($TU!s#32Nhc@Q$hn+Dq=1u_j( zhJa1uM2JF60w)=CL5$RmAplN~kmQc88JyhF1u>I5x(bMMa3pt_B!YGT?M(#$<s*zs literal 16091 zcmWg2k!R*+U|7Jwz`)?}q)MKdW5qt%3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)?hZs}Xmv8rWp!=jG<UPTv2X({=Sybe(e3=IFFz@dPFfx*iyyFbg-f2U`* zYnEfOXHvofKQFfh9?2OC{4%pL9ak;R>do?ZboI*2^6d3Y&hm5h?oG;CfM6xKdSyB` zW%+yhdS<ygChuzMZQ49>$AS!yNTx@^EVwZXGCX|~+!hRorK$`J3{0RvV{l+(VA#OS z#K>UH#N51iA%|D8qnE#9R>le&)%JX^h*J<@wglI#G|wzKXYRuP#}iaR!Ms+^nu*2J z)6<o2)#`-{9XwoJ68s#zvN9eod(UuqvEl<}Mu;kh_xsk$F(Gr1#34+mLEO!Y7uq(q zEb8c4(YI`w$_1WOz0(i{U^5sP_#ONi7#I|xZU;FRgc%r6gjsx&6H>qgx&+9vU>7qm zI4opfV1NYzYRUldEa2&cfx&@^L4bjQf!iUEfq_8-YBzgpV{dz-Z3~nZacE~2U|?`) zVHRLuVq#=4U;wMugsEQKv9M#2Z3{buMptbCQLP14&D+u5-_x*g@rwSw7TXpG&k({B zahQ!_4^*c%R44c1u9ohF%?-Wnwk=?`A()NBJ{_no-h~T07Bx3FEN%hY2jK~Tc{p_H zqUl`Jh^!OL!=Y0Ts*|&4#X_(REu1|o48bgP9ndh;N74cI4Oj=5g{}h{8U|1u>`TC^ zTG&m%G!E5<P}KsS{s~?#{;nAro*oIwNe&4hfmuib8&KkynZX1SYesPWeo4NL8D5^r zo}e-Tq#q=(11_)uCGNob85sl^9M*FQFgR=g5gS3oCJ?b1L~H>OTS3G&5V0LZ>;Mrv zxda#(m>q(c1sMK2EM*0etPBDS|3QKQ%mNG!i&+I29GF3duLCJ{0FkT?^+<V#fyrSt z$fz}30t}3(GK>yuL7J?TpdMpj)Ppm@B?bcnhJZr@0|NuPDsWZ<6JQguszEC|(6|g> zKZ>Fo{Qo}#1A~<^!hLWi%zfwrV7t);!A=7c2=}3@K`xSz*$m)t7e!YNaT%;!VPMpQ zGhr@67XZ5qT@X~NfiNVFKw*on0$xnR84M1fG$4wu5ac38SPjYW-xMBbismpTqzYgJ z)dApg7EB<OyCf9k=vtwCPzfsL;KIPbU;(w1$J5W%JJ~ZU!_~zz8N`_l<2XdKfr?u; zMu#*;0S4y({|#Uc70Pn;&xF^?4sIUFjvygKMGY2WU~o7OO4*<^?{JPwfI$^zCo_`{ z69|K%g2~|mND-Le0GIC|Q3pOoP_+Qlz|y>SK{J>DN#f8Vj-rK`iJb|AK^hprUIAMK z;xaHeu%oHvVq#|E0$0PxhJzdh)xd~H11OxphJy(rG=R*-a1ucq;MO49pkWD(NB%_} zix;+Zb}Z`Z=xwxJv=GKO0`rXwA#MZLiw?Iz^`{L~qW~<vv%Fp1G8~dKU;>*V0-JYW zXtjlE<yUC#1GnK8x3B22ZCnWB%YylWhG0KJ>SBnUb})@fXd0n>oEq(+8hIDDuUOcz z2yA}iLI}@jar+7xTP(IYKsE7X`DJE!CVP1~I(TG2IGaJ789Oi>=m^!q=9>u$3NW=9 zQ<)P~nINQr(A=@8V{v;+m+hj35D`PTh!JKWI72n_Wk4L|<>;C0kemVKP6Tr|?*L~y z1_p4rnU_Mfu!5Tv5Rw5>T0n~jP)=iDbSP$EU?_tsW^7?>ftcdJzzj(<3=WUD1Q@tj zVCI3j3=9sBxda%P!37{p2CAL`+E9Wum|7t9Bd8!mR|#(BAUAoSYzJ^XicJTsxdU#d zw4m}FKy@yo!*V45FfcGWJOPyz=_rCwmx5gn^Ey<FfqhX&XUF2c78nf<4u@nc`W<Sq z2*QkU$YW$+z^Z~DsswB>1EWI^0|P?^H2fJD7{rj+V3lB3q6=aV;|Br^3>N50nK>0W zLD(S@)Vhdg2DKUD7#J8T5q5&R5ztftidP0^bC@i5dq-14yY1qZ)gAwl*<hz(s9N38 z2Ui7RgH>_E%tE9t205?{10y3$4(v-92O`P90Mft!4tsPNaLA$y!n7g69$f`I?7xE& z#a9sV4b+HYt3m_@ClVWMBG_r@g0K=V8B*dQia@x4!!3}>ST%$E<p6d9NDa8GWMp7; zn8ConfNl??!)&agOR$Lk|Ic87uAiBcpA&=~B0#Y($p(u3P*6w50i?19>TNL?1MFc? z=Ny#z&;{{lS8(D(*8)m-AdE<SOb(#L2g*9&x)ZfXf+jwgEOLTFW`kX6j;;!n;9#mi zY_KYBm@06XgIXmFh{Okz14j~!1J=fXocPdXz=;oC5T*^0_|R4GL6tc0atkmxaDxaQ zZUF{HwmN7mFfcH1BC)~B!A?ULBsTGhp=-oy8aQOYZb|@kgF((l*9U6JfSltnmr;NL zoUmbumbwlm;66ldVFRU4XaeFyVuKvX05%0(kk~K-M=rW%u(6<Qfp7r>BXRb!d3pM~ zxMn~paO;5)s{<ip1*jtjFrEXrcL{FIfd~a~=52%~8!;FI?n;pJ(FIZKN>m=W=5zpO zV03Mu%nQQk8Mp~*IVey-gHWio2TT^09kJIQ=&C@O7N!Hl2K$E_rV5-)(K0Yh4jiB` z4p<vX21b_w$1%DfOdBEtqpN^tU~N#|)dUe*;0)Z12n<dnHrPb4)6fNpPK=<KMAr-s z2(S}SQX{%DiYyi*$~1&a;3WsTK3pXSTPsqSA+Zr*hAv2Sn1Ko~bj|RJA34at6)w6; zNQH|j3aW6?ML`uVx)`Xk1!43Gmw~~&8|q~qXe-_!*~8xzg@alff*a`I0AX-&;s!Os zVJ3jN3=9s=+^|MCOa`Ky0Z$_wT_vn20FUFro751V19&V8ln8O@!r2gqwrqQ$eg?H{ zk=S5of>S8EAfA>jx>8WN1j2~2iIJ@jVISCdBtF<qXqU+m++~9IpkQ2whoI3jMsWFn zt`n>sWIDL;?FX?yo`Nx8(qLQB1qp{SxbQ{S2J##TBYSWH)M8M<3yK&dKG+Gwdk|eG zSUbpAP|I*4)EY4u11<})6kQPJKb)xnQn{dO1NjYvk^Bel4o!ku4hml+HrNT^@I@CS z!qW~HV2vAe&G52eIwPnxHW^_#*e)bK*a1Y?#lR?ru8Ulw9N;A@x``+yD+43jR5W)Z z@ridgIOU`31ZxKq=4+squs1d~*!HYg2%~WqTxsBf3#QKj#ARS`Naq${U;>8;Oa^Q| z1HOU_T_tE50z5eYnpA*|9DqjKp+(O+s9Qm)2#F202y8XFAfBQJT`4Hlf-q9bWm}K1 z4{SUVA8aRhWGFcUN;%wz6g8kuAG%6N9fT<gs)Nu)L3I$i7^waMVe~qP5nOa_fVxu* z#sIqxl<`4X8(k1*C_}O|x^hsUfG~2vY=l|}vjT|^wi+5DPzo9_oam~+VTvvY8Ja^G zr-O1F?t#1Cpn)57P2fb1E(n?p0J+9NA2x7<t^y<r!eEoZ4S6O82J>xD&p}<{;F+9| z1syR=hH!A_jRtVufSKR`;xaHeG{W-+Oa^2t2xCv6pjg9D37bBH(hi^rC}_Ue0d+Ab zUm&qT7C^dA=z`d-1=m>UN<mQ%!pPCT6JZ}X4w3j^J0atr3*qCRun|#Y5r+qe+=8wj zl3OrELAeE86qH-g#Xva)gt6zAT~ODH!5CmS!;&((Abi>qIou&R1zjm9m_QggsCGjw zgBg#+2U|-*P;sK`1&2MlAe(2pYldeslwx2aQWw%tcX9?e!!m-0>ClY_=QMOdd9YU) z7!WxPT?J?k38V;YG9;%tEM;I|Kv##=OGJkeR^4oFSuXybeo%^m!QmG(0|VFz4i7+M zACjB`3=AwF$1!j_TmlUsUIr0YK*UuLaScRV2N5?w1ZeOa+*$|MeBdn32ws%na1oTE z!6al37dBJIzyw|q0po(E5<wWc9s(u|ULye}7#NVI2oFIMkOO#j8dNgCG=QDVz`y`n zA%R#)gUVyzasV}sU{h!e;6WY^I2RJfa4ytDa2%rxLgg3`ooRFxuvQl*x&$~{&;_Bx zCr}C;A0)U2W)~vGp_>nPFKBoLY&?g<d<F&v*i;z<qr**5bb>+@E(X;EngK<b4ux~T z;m5!LHVHO&#=r;}KLeQr7bD3e*i;$=qr+`30R~PuA8HCX0MP}ZAqS<vK7<4yx<YVt zq6=aMAi4^u-O#K83Pld6$6*tA42%wUK+c8pp<2MsMHhs+6G|aD7hNIPx#)tJ&P7)N zwHu3bVbg{Tj1G4}&V}=#TENal7lgVKN+CHHT_M=H=z^F9BDxBw-B_Fpo3LbH1UE}K z;e4nTuyfG`q3(oINX|u92zD;IAZAMmT?N!`EY5{ZmNGDc%XUsUAF2iHTy#OGJE0Vk zbI}!oor^Ar>0ERbP`j}>7dC0jzzA-DaKiaeEnw%O3qsuqrI4J9t`O{8bV1Cti>?A{ zHx}o@rimFC!2=l%pjl727+f2u<UtpO&33|Q@Z==8N=H`-b~L&m+-&%)C%Ou_w;&_9 z+zyKv7#NbEwH2(ngTx1$2wRYVzCZ!S2bakV42-5QjgUDs7!Pa)0|SEqC%OnY-p~a( zn>+eCTNc{(E=I5zn85x;S85CGd}Eb_w_dEkZ3RwH7X&(00d^7Ca&$q2l?WEpa&)D4 zkS#}-M7KNz5yS|~(FGA!B3Mw%(Us1HE(-wr99<IK@>Fb=qYEOeM6jTiqboIK1N#9o zuZ}K>Zh0Cu%h3f9Rw7tX%h8n@aUxlcE{SeADCc3sIl3UiN(2jPIl5AQ9wf`rCDAPh zEvUw@99<A$C4vRD99^j|bm9XX=jf8?mS<uMc6336l?WEpa&)Eb(2@geIl3ge<yqJ) zM;Am`iC{r3M^}nsIl3ge<=NOQM;Am`iC{r3M^}mx?C6r{mgiuz99<A$C4vRD99=0& zf<u=?w>%e{<>-P4D-kTH<>*RLvKqQ1y5*pi-59AIT@Ya<f(5l4T`5W-gD#0~c|JCu zqYEOeM6jTiqbo%zUeP7dEeCCQ!0<V`Ai_!n3u-yKQk04XT@u~$LTo-q7erWzU_mWM zSBg@lqD!J#4(?Y8IDl5OP?2C@aCi#p<GFw)c0tXPxd<<U>ue-GxHJYWGRIhTjw0Xy zTJp`nz+?*3%4h*&flXjwU;qsPfiQR&NDN&TtGz_bK!Aswz(ppyiO}Md!C@++00Ulk zAuSwYU;ww*K>K!}2@dQqBtF<Xu*ICc(8ZSMd~kmi)Lw&WWaNag!1`hBKy*Q(r+z_A zTXfCDgw`!kyn~k5g2sM9Q4iW-g6vZyKG>!F(AB4KpQ7=>U0sk*VHz1ZVJxtIm`~9K ziE8me){mfTCB~oNF$`pXg2qUZ{fWc}yA!fp7IpC~j0f%?gZv27#3%-1f%SrxGocHB zlMT8cbo3EQLFa|g6``jkbUrw3fkz3^bz-%XpvSQ4f({EpDO{t24gmrT44gzc1L|ie zh0E(`i3>gPffL&x@%mx#1^{qr0a}QQoVJko;Pe5VF##>BhOMzip1T31ESMHXF&GQ1 z6O^*h1;FuzE(i@*C<P5(bVY=d0%+_RT^CmS2zUvr9%y2MQaIBTcpey3zM(q=I&B7} zz{4sIWsq3N0M%qoAOcjT9YCwjkoe$G74QV@dBWHPg(Tnr-n_)XXbRKH$O&VCO@NhZ z=z;>t30WSV*@$h17y{t!RgiKGT{H3H`JnA}V1pDuTmGbA+x<X`z`^^p>_DYEC<qZU z5NC5XwsiKk+cqy=h+siBj6viX7#O5r+W|qQfj4}?O+&~)R3pqlu+U9|Z5ITY2433- zGK~`<3NZ<sUeE<G!VW_K90rilU3AUhCIPx2yh#9``b1X&aSj6m*kmxl0TW_ikb>=C z1bGI$av$Uwu-g$b5aoyfL9oz+2DY;iWEyz+5!^I{4DqJHb~}Ph1MLrRfSZPpA>K6D z4oHw`;LUw-(-1Pmn+Dq*2{H||ZUNyogbeYf!FEo9OasmKB1}Wb5N{f6XC=rqP$SkM z1eSRaGQ^t(+h++f4OE7JP2)s}LQDcD8FWF6)QuqkPLGh}j;<M;+|dOwlRLT!h;wix NcbFuCb^vW_2LSRHHo*V@ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb index 8bc38dd590cbd60ec90e575dcba64488da4b5c2c..cce1d55b676d33102b9cd81594c4d3d7c4a19023 100644 GIT binary patch literal 7674 zcmWg2k!R*+U|7Jwz`)?}q)MKdW7bZ^3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$Vtx%y`&yLvk~XX#a_hqupP-RKa-z`*by3LJ777#NznSMe=w>9bhW(q-GU zn76&To40Y%Lf-Zji&r!#D=p?(+}F_3ykc<&PsgIg?JZqA{fl`KtlpO96%GFv_jUAi zEN*F7Wc;7!zmb3;??RBsipE}HxG}s7J9>J%dFgMXA_D^hHz=$a92glGHn1=;GFUS) zH!ohu;g#&@<?oo4vBE~RJ>M(h6hxRU!8I$*GfU2yyYT<<1XWPzu9dT9V)69!bmd#M zdf`F`4_B82KL@X@jK|B~GaO#5_<)%aqRQd@zO`~p$Q&eb2oq`$ck|+fwv8=|I(k<0 zEnB8?fhSe(G(-V2F8w4}0(J+)IBO=fB*DJ8rEkTemM-Owdt6(USPwwdGO#%KGcYj7 zLc<CaJRr=#fFjJ|lbnzOCeS57fddX91_p<P3=9mgKu1b|Am&a;T4Z2wU}6ve#fbwW zh-P*OVisWd@352=M6xmnF#Km=V0H*#7GQ8#%qqa(z|0`P;INBJfB{0XI@BXMmVwD( zJC^{1!wxP121ZmFMu(jsO;&PHe=#uX!I>cI85kHa1RNR|7#Pr1f&B(1z$Ri<gO)hZ zxC~%FilQ6*|33o*gOxnOeQ+ksedq#UyU_)~P6HDN_o1snPIJg?25`8GqAQ2E3>J|L zjCyb;%w^~TV3(l_f|3ykL*fV&w&*J0`4G-vZ~&zNQFMhM7cs(0K8F8F@IX^kfiWR@ zoQcYr2%JY~VJ6sMNM_)4@MK_MP=$H~mP<i2s9<J*cnp%K9WH<pHJd{o0|SFPOhap9 zZ+oL{3p<1sacE}-<(3v^0R|=}Mg{{0uxbsM>ct%kI~LitutR7Zsx_gic{|$sdm0ul zUeVvzV%q}Y8A5m>4zsb@qXpF|<mKw;nC<D8<m;G`m6_!4>fj0%+6@-k0TG&t-L2YC zGX%hDGrT;LJ+u5=y&V!D0z2RW8&KT94D+iFRKI9*OMAmAh!>hW7IlE?Fxy_Rs1;b$ z5H2c<;u4Ta;H2(w5fa{)L8Z(U5OEbmTmuo;LBtJ^yh9~Y{4qFO0!ctLO2HzDfq~Hh zY=|8r0|Pj>AY{NcF>p4vboRE}HZNX?U_l%Ok!N6Fkb*@b$TYBP;HDvDAgU2&AXw<8 z!6F%C8rWMP(>M{L5R<@;MHduEPRR1`%tq9Q7y{s!VRT4lU|>Mk>`;qEkRM_jgM&UO zfUv26DqsMc3??{WLJSO2uyhCV3^;l~o&mcZAp=p42oMAdJ!oJl5M&xSo<kTJ7&sB4 z5R<^hqYGk$1cm_E?U0~B*9;CCbV1CZL018B4g-#$fn^7fXF%!I0puC5+YvGl6A%G{ zV4(#Kybv~kmAnFomZpQNn@6%ENB~;hLj)KYz~vUW8h1F)CBUGe56z(*J{}2v9u6KE zP{xcM5bGR3rI*8P1_lNV1DMh*&kWCGkWvT(i&8_VQa(tz0V!iVG9X-NkuzflIQSWm zY%qdq6Gkd7AZ1+RLa-RLuz-jO8e%xn7;1nZxKLRLFH{;ALPQY7jG!U1?I%J0Gl6Or zLn<)g1)N6)SQuJp!i7=&4l>3(1ZoVYf-SU}2Vp^~5C$EXyu(*eiN)a1$-uw>6N5xP z1A~JxBLjmThA61!Mi&G(1;GTk@dZvz=xRVU7^uAoqZu65g6w9K34^*7ZZF6NbU|o! z2CLRu95#ZARctDuX$PK&JTe^KgDjE>$7T<@AhaTcRfsJPn_%{!tK@(rJdX@G!{Gzi zo(OFApbJ7OPt>Xu#&g&VvlCqxB<(_LP*_ria~(c{?T^G}Ke`~e_Qg^mqY61}fw=@- zKR72qD^o~LfaVS~F^5lJH-Y+^kiHirmcc0mT@X^AV^r`+0uEbY?m^cJ$y%714OztD zGuSQB*un>05Zo+)`UReYA&mtLQHO0XSD~8%&aTiPg=bf2F2)pg_yTetBwH|mif2&f zWCHh)99Dy@cn6jTk&x~UtjuL#0{6~fTu>_;grR*im@qhhf(Zr&q}FpRG&sOLFmSxU zG(e(?fdSNEgAN`bF&Wq$Zh{;&pMik^R)8}wg7X^K6u21F7(^X{U_r`G3v{K-ocx?1 z><|I!_<<~d75fZ~;7kU#04_$*0tN?=8L;|*ff1ZhIN^M#ez2kFf*92`h5)z-ht%Kb zn!)uqx*%r#jjjUf9@P39>;+ie#J~v7S6~;z#h}^{p^IQa!x>#ED2zcE5zer>i-8fG zSvcW*sNrB6(FHMr1VaERjL<cM!w6jvGmOwxK;45Sj9@h=10y&;fn5w2gK9&B41xs- zBL-H7MGOoK7SK!q8fODlGDv)|5x6QD21ZkuQbvbnRD-}8#bDB49*M>~To3{2*ugBo zpo?J+sF92=$O^Ru90=%=Od0-68U7B37(oLtU|(CJ`5K82HV9tR!5QG($iTp83RB8# z0b_x6FfcHH5*P?W5*a8=&{bg3qQJ?_sle$F3Gykra&U@47ZiqC2lgqtB$7|Tk%BId z#Q{hKkS@A%tPTJv0=a>Z0~i><L2ZK;)JS}gDGa35uMCW)FasdT4#oqU#lXNIhAseh zCb}R=mLexcbc4Vlgf5r@bqrRkk)jA1LiT7Ogv19ML`++VfzcGEjoV=k0|Nt$2R4C$ zfkCKw5gKU5A_OkJz$wQ8;V7^XU_;Rbg_;+lf<?_(gusUCqU!~R9J=5{MzCd&kpgr{ zq>uwU(Gi;y(FL)15{nSTiRgO4PDB@sWI}Qxx+J<2ov=9(T@d7+g^Ly~M8pp`YS4rr zPDIxWb|Si9Ca9bPm7kyj9bFRLiO$%Zh%N|oBEpSuCn5<!oQSR$>_l`yLqViaM3+Q& zq6;=Bq6-Q&gF|rPB6x}fIT7SKWFd$X(e;9zh%WdO*@@_q=uULS=0tQsP~KRy5Xm_U z!Px~(2;xL^y<jJz3mz0g3Pp5DbSJuDb0WGR#EFQMxCoM?!9fcbZH71zT`$;)=z^Wl z`U+eYqD!JX(H)x;(FH+i5$qI{hz5%w#XZD{=z76UL>CMMwKZVb1zi%|i5}RTh%N{U zMX>82u37{QMKmFZ6Vdg8oro?dDT)-)=#uD81dXbrbU{J&0lFYKyDUUbjF9YtDg<#N hx?Zpo(FGqOI}u$H$%zaM4&Ok%JBWu+NC(iYF92m#_^1E? literal 7674 zcmWg2k!R*+U|7Jwz`)?}q)MKd<J(r*3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$Vtx%y`&yLvk~XX#a_hqupP-RKa-z`*by3LJ777#NznSMe=w>9bhW(q-GU zn76&To40Y%Lf-Zji&r!#D=p?(+}F_3ykc<&PsgIg?JZqA{fl`KtlpO96%GFv_jUAi zEN*F7Wc;7!zmb3;??RBsipE}HxG}s7J9>J%dFgMXA_D^h4=Ah{92glGHn1=;GFUS) zH!ohu;g#&@<?oo4vBE~RJ>M(h6hxRU!8I$*GfU2yyYT<<1XWPzu9dT9V)69!bmd#M zdf`F`4_B82KL@X@jK|B~GaO#5_<)%aqRQd@zO`~p$Q&eb2oq`$ck|+fwv8=|I(k<0 zEnB8?fhSe(G(-V2F8w4}0(J+)IBO=fB*DJ8rEkTemM-Owdt6(USPwwdGO#%KGcYj7 zLc<CaJRr=#fFjJ|lbnzOCeS57fddX91_p<P3=9mgKu1b|Am&a;T4Z2wU}6ve#fbwW zh-P*OVisWd@352=M6xmnF#Km=V0H*#7GQ8#%qqa(z|0`P;INBJfB{0XI@BXMmVwD( zJC^{1!wxP121ZmFMu(jsO;&PHe=#uX!I>cI85kHa1RNR|7#Pr1f&B(1z$Ri<gO)hZ zxC~%FilQ6*|33o*gOxnOeQ+ksedq#UyU_)~P6HDN_o1snPIJg?25`8GqAQ2E3>J|L zjCyb;%w^~TV3(l_f|3ykL*fV&w&*J0`4G-vZ~&zNQFMhM7cs(0K8F8F@IX^kfiWR@ zoQcYr2%JY~VJ6sMNM_)4@MK_MP=$H~mP<i2s9<J*cnp%K9WH<pHJd{o0|SFPOhap9 zZ+oL{3p<1sacE}-<(3v^0R|=}Mg{{0uxbsM>ct%kI~LitutR7Zsx_gic{|$sdm0ul zUeVvzV%q}Y8A5m>4zsb@qXpF|<mKw;nC<D8<m;G`m6_!4>fj0%+6@-k0TG&t-L2YC zGX%hDGrT;LJ+u5=y&V!D0z2RW8&KT94D+iFRKI9*OMAmAh!>hW7IlE?Fxy_Rs1;b$ z5H2c<;u4Ta;H2(w5fa{)L8Z(U5OEbmTmuo;LBtJ^yh9~Y{4qFO0!ctLO2HzDfq~Hh zY=|8r0|Pj>AY{NcF>p4vboRE}HZNX?U_l%Ok!N6Fkb*@b$TYBP;HDvDAgU2&AXw<8 z!6F%C8rWMP(>M{L5R<@;MHduEPRR1`%tq9Q7y{s!VRT4lU|>Mk>`;qEkRM_jgM&UO zfUv26DqsMc3??{WLJSO2uyhCV3^;l~o&mcZAp=p42oMAdJ!oJl5M&xSo<kTJ7&sB4 z5R<^hqYGk$1cm_E?U0~B*9;CCbV1CZL018B4g-#$fn^7fXF%!I0puC5+YvGl6A%G{ zV4(#Kybv~kmAnFomZpQNn@6%ENB~;hLj)KYz~vUW8h1F)CBUGe56z(*J{}2v9u6KE zP{xcM5bGR3rI*8P1_lNV1DMh*&kWCGkWvT(i&8_VQa(tz0V!iVG9X-NkuzflIQSWm zY%qdq6Gkd7AZ1+RLa-RLuz-jO8e%xn7;1nZxKLRLFH{;ALPQY7jG!U1?I%J0Gl6Or zLn<)g1)N6)SQuJp!i7=&4l>3(1ZoVYf-SU}2Vp^~5C$EXyu(*eiN)a1$-uw>6N5xP z1A~JxBLjmThA61!Mi&G(1;GTk@dZvz=xRVU7^uAoqZu65g6w9K34^*7ZZF6NbU|o! z2CLRu95#ZARctDuX$PK&JTe^KgDjE>$7T<@AhaTcRfsJPn_%{!tK@(rJdX@G!{Gzi zo(OFApbJ7OPt>Xu#&g&VvlCqxB<(_LP*_ria~(c{?T^G}Ke`~e_Qg^mqY61}fw=@- zKR72qD^o~LfaVS~F^5lJH-Y+^kiHirmcc0mT@X^AV^r`+0uEbY?m^cJ$y%714OztD zGuSQB*un>05Zo+)`UReYA&mtLQHO0XSD~8%&aTiPg=bf2F2)pg_yTetBwH|mif2&f zWCHh)99Dy@cn6jTk&x~UtjuL#0{6~fTu>_;grR*im@qhhf(Zr&q}FpRG&sOLFmSxU zG(e(?fdSNEgAN`bF&Wq$Zh{;&pMik^R)8}wg7X^K6u21F7(^X{U_r`G3v{K-ocx?1 z><|I!_<<~d75fZ~;7kU#04_$*0tN?=8L;|*ff1ZhIN^M#ez2kFf*92`h5)z-ht%Kb zn!)uqx*%r#jjjUf9@P39>;+ie#J~v7S6~;z#h}^{p^IQa!x>#ED2zcE5zer>i-8fG zSvcW*sNrB6(FHMr1VaERjL<cM!w6jvGmOwxK;45Sj9@h=10y&;fn5w2gK9&B41xs- zBL-H7MGOoK7SK!q8fODlGDv)|5x6QD21ZkuQbvbnRD-}8#bDB49*M>~To3{2*ugBo zpo?J+sF92=$O^Ru90=%=Od0-68U7B37(oLtU|(CJ`5K82HV9tR!5QG($iTp83RB8# z0b_x6FfcHH5*P?W5*a8=&{bg3qQJ?_sle$F3Gykra&U@47ZiqC2lgqtB$7|Tk%BId z#Q{hKkS@A%tPTJv0=a>Z0~i><L2ZK;)JS}gDGa35uMCW)FasdT4#oqU#lXNIhAseh zCb}R=mLexcbc4Vlgf5r@bqrRkk)jA1LiT7Ogv19ML`++VfzcGEjoV=k0|Nt$2R4C$ zfkCKw5gKU5A_OkJz$wQ8;V7^XU_;Rbg_;+lf<?_(gusUCqU!~R9J=5{MzCd&kpgr{ zq>uwU(Gi;y(FL)15{nSTiRgO4PDB@sWI}Qxx+J<2ov=9(T@d7+g^Ly~M8pp`YS4rr zPDIxWb|Si9Ca9bPm7kyj9bFRLiO$%Zh%N|oBEpSuCn5<!oQSR$>_l`yLqViaM3+Q& zq6;=Bq6-Q&gF|rPB6x}fIT7SKWFd$X(e;9zh%WdO*@@_q=uULS=0tQsP~KRy5Xm_U z!Px~(2;xL^y<jJz3mz0g3Pp5DbSJuDb0WGR#EFQMxCoM?!9fcbZH71zT`$;)=z^Wl z`U+eYqD!JX(H)x;(FH+i5$qI{hz5%w#XZD{=z76UL>CMMwKZVb1zi%|i5}RTh%N{U zMX>82u37{QMKmFZ6Vdg8oro?dDT)-)=#uD81dXbrbU{J&0lFYKyDUUbjF9YtDg<#N hx?Zpo(FGqOI}u$H$%zaM4&Ok%JBWu+NC(iYF94b*`o91G diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb deleted file mode 100644 index 79566c3080b501c55dee3cdabcd1bb6dea0313c8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 40443 zcmWg2k!R*+U|7Jwz`)?}q)MKd<HI)D3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu&uCWqG)!WUYDiY>U3Gwwyy00|Uc<C~(MRU|?wOUd7kGSWv{$n76&To40Y% zLf-Zji&r!#D=p?(+}F_3ykc<&PsgIg?JZqA{fl`KtlpO96%GFv_jUAiEN*F7Wc;7! zzmb3;??RBsipE}HxG}s7J9>J%dFgAL9RmXc6DWKb92glGHn1`=GFUS)H!ohu;g#&@ z<?oo4vBE~RJ>M(h6hxRU!8I$*GfU2yyYT<<1XWOou9dT9V)69!bmd#Mdf`F`4_B82 zKL@X@jK|B~GaO#5_<)It5u(iD{l2ww7)+>U{_e#siyCYjTRMB&ZJQS_oIYi7->HK+ zUd*_36EGIZGN=tgUY`Cgt{MKB2q$KwO-g?GXU8n41EC5z+Z#F-*>*2(=&}5k^K(YS z)BDJdW)O7nXJBA3g$5ibtU#E70Y#X_CpjSnOrT4ELW*VP%$ZZ664p?III{db{ajsK zz2FQ628V?V3=FU+f+kTmzpNQkx9nnIaA0B(U|@8}V_;xNftta&pkq;2Yh!PFBd39c zk(8*Qthk`V14aP`1_nk(Mg~g;25zwWG^l#k7TeB+J**<Ol7=!4?aTrU3=S>K0t}#_ zwO|0NOh>3}TD*`|#8$}I5W7lHE(4_`<`&xqW)WKfhuPT717#(ss%B;pTVd>~vY@sy z_u4iy%c7}bW-x)cEgPzeEy2OlKf#M_gTu`E8)l)n4z4x_s+P6acG02^R#{skBMIy( zbD=7kTiY9%Ma2bCR53C@!vz%TAb+rAWh7^??Aoz;2X=KuFw+{E7cvV7qlO(5gAK$d z#V}RvjSHE@1+l1NWDsC*=;IV%aOejS6F|g75HSfvOa>8CK*UrKF%3ja2N5$s#7s^B z1_oA#AZ7uE{|-x8L8Lzih&%x%Ss4Tv{(}?*Fbgm^EM^s8aPZ|2U~o7FBA7w;_JRy> z0FfLH^+;)-fytp0WI-1vC?TTAFgkRDG+CKJea6732WNtd8wLgp0fz<#1_pFh;F1MQ zfK9}z2Cd*h<1&DKE{bmO|NjgO3|8g{_raMk_n`}b?M4>_I}J=A+=s3Py=+70Gk{}7 z6kQv{g%$`G!kI7^q6>gsh%N|r9GF135M2$HvJs0Ag99kth@xwTxYH8hPB;_hPILjV zJJAKfP6QJOccQC76wL@0g99iXi=rz9y9%6KVI?dBqeBlkQNl`821Y$N6XsNO0kBii z1wjQq2t$%TDA}T`fLEVz27?1Aqluy`1UZut)<|LaUkVRJ#c~)EQZ+Mz>Sl1Q1}2cI zBv5e;76TJV5=cxcRHI<ip*1C#jbuEO52}$IA{iJMBr2ee=W+3O^-A#Y_jK^h@J8V{ zJOb5A1~6Z8`8g&hxMn-Lc{(GQlNlHs_JB$lP}$?Kn^S;+y9#PBi=Rh^H_N;kJ7z;F zIEVe50u0jJ)lg~n#VdL{dRi8<8(YXq$cPw26&(O8s(~uvUf9vzxTMjxy>a1UZbJ!i zK@&k+aX~|v;)9$53{u>6P{n*1o_-#w9u8TV37)>LS$sQY&YQ7yhQqF%8)nX(x(jOl zA&?da7X}7~MyNI}&!p5W2hS|WtPCV30|Nsyx5Gpvb!?t~UanagAZlt>hQnb{LIt%! z8BkQQFJ9EJc;VuOVA^o;LWnv>28REr>e##-J(IICK-6ZKX-pWZ*gF=tELypEA(*y= znZ}H%Zc*=|MIDP5vV&*|m^u~=b!<rqSs7UwAZiE9R#v#GCTNJT`FJ>jRQY&JgsWo1 zs>(MjgU!cd;#`<n>=>%J`+FBJY;A1l>sh=I#5Qbg6p)jFxsU@xJx^A$V^)Tbhoir5 zRtA`}!^dOde7Fsq7&^E?e(nHSvv?txEz#e*7#{LmAoa}u|2rH3rP8Ay;uwfH4kAu~ zh?5}V6o@ztBF=z_vmoLervQU0ER`@b=`evXs1#xXM=FHiU;wq<K%x$Oj0_A24J^%T z7c_$jkR%Q*;wV~}nbes;7>@>CG!1%8%uITqW)1@m8~9N)ur#k-2XfT9W;}LCqH9?X z(y|_(7736RaIONW0=b8`qkqX_+m6Kzix)0j(S+a`E?fahX3WgsTmw_h<)4z^@8h4D zk?9O&?##$^cDRa~$6%`2J31D1EnLwAqNNtDXo9Fi<T1FqB@0(Hfz&NoxIzf74xGzC zDdHL^lCOh^8=#nm=>o+v2tz^$<OHz!4j3^F(*TZSFo8!4B&K0nK(P$Mcr-v_8leF> zrm;E-64NjZ=rN5|3nZqIw4lT^RxOB_Zh@8-kU}##AvxI-i3ut{nZR)jQ^yV}1r{yp zU<Z`|ixzb_+((UFm^wD+WXI%WPd4Y|iOI>H5LMu~1;yC|P;@;6MHfstD5^jh5|kh_ z!CEk*3#I`aQ(yv*7D#l#w1A=tgz;#AL>EE>a&%#J6ePM}8qlK)s}@LfA!$L0F05L> z(WTJ}jVe}feuR+V;)~H?FDO7m85kJaplTSv?I}19<Yfj129$;Y3I}3BFp>$3SWSS~ z3LzOB(ij;S7#&`KET4m<6>1v;TXU*ovP(0Rf;2=NZZj}2z`eo-uCV=~6xcHi3=kz9 zP@k|kdS!UAIC|~yLhAXUDq(GCUfA5s+R$v++>BEZs3GIU;^%?Soc2bLIqi*T<{SVy zxfALhHgMJE4W@Q@V^{}M#tN#+x<I617qX(0AhWulW^sVMpONVdX6!%|mJt7S!;~e1 z8aK(wo*bJ!lTvrV^Aj|rV9MA*g*7N^cJwbXMr2KhSv^p*xU&2`JUx@WL1lE7{|ryh z<k=u=A%hnVvq7$dDP_y@_we(`V9WBK;pdS7X?Za~l=LE*25qQuW%<v@%G>~Iv!N*M zgDT}*+|sbJV^u@@LJ-Rm%t8(raJqmgW?#Ipx4mgm1Be!HTGW7{upeqFhi6u*vtyQ{ z7l<(##6TM9fcP9msh4AdA6O}ffm>;^mzQI5a;67IvX|FH5CcQ$1gHZ*$uk+0Jd-ip z2v_3gk&z5ad6-H-EmKfE3y%K`=gbT+V~2BQ28M-`pcZmAEN*D-Zd}~Z4q^#|Sr}Hs z6)$RTZU8IcT-4kw0A}G-%-Ye{+uQ;og<EhcVo6T+bY)3Sp6QBPiKADBD=0|tDDm^i za0LYkZY3O9uFhHBu5K9|S+0}844f8nWo0I0xq_1cS61eRELTWEKn%1&5&|qxSQoc6 ztz5*qxJ78?BAjM|0=Kyj6nr3-upEemp?EShPB^msGZQ?rT%Ey;8CkB*uq*&h)l;C# z*cUHcWV^Vpg?;ftBM^;lp7|`OLXHe?ZwC+m)C`Utvu8WZn7?%g1Eg~b?FxeWYS2Q~ zfuBo&fr|yE6U=2`a1h`E4HbcU%rF_KdIs=#TWfox18DRL)KzC-U;q`~pk&Cvz`%*b z25SLV1L%U>9i7b`ww-+~3tJW;vl$?@2D)+-I~~@r2rw|PFtg2t`j&wKQd=SM!8U`k z7O3RU@N#$$YGgpnMpwlQ8u4prUg!WCgJYYAW+oCJY$m*_SlHa`@Bv{ex;iww+2*5} zi^K<;3#(l+yc|9vOhs443>tTAZ(QgA9x7yDFkc2W7M$%fTvM_*X6~A}YX@Z988lIV zCBhZJ5f0Po0OB$*I4Hs+93}&H7pMUT8em0>@D)&dK@pC`25SLFIJzKmBqOt-5st1L zTZA(@fGU=i2%E)_*a(}^1(7lhIP*C0aS1RuJP=@Dus~PJ%&EW$!VZz35!QHS0R~34 zRS5gQ9z)`T?SzCptP0Km)rDUX5s0oD+O0wA;eeP9pgstb18B%(HPkvW7z1nxEQO;B zf*TPTkhVmIx5H;pLkjFObcG;afiSYq)<CU-8IHsUTZ-Rj=&IR~dRd^}mIJ6a1@_Wf zs6ApZ2H13%t>}WN?F(2_!{G<aYYw#x3=HU+;3iIG1jX4psEsf?koaJg_<e@18q~jp z^)o@V1E{kIGRJ%~)E<s3e-8)mOy?|)UGrx+%-%T}H0y&S_t}DTA55nMh|9p>U<c2A zFd2{qAdHd|pvCQ0sJ)=vhr|Xchm?fqg2*`znGMZ-=*m&-gci4u+_w#3vltQ^Y%^g2 za0WuxhF0=HawfVWW=?)i5O#=w<xB?!Q3eJKE3w-E?EygR0itc-1I?)rZw;P;2Gcv} z1&ss9GDf!Ti0}n_1&I$1V?4P78kgugP{vM?#!($Wqo$1D47vkqsThm_wu*s)0Yd=n zCUjL;BM@B?sPF+{L;>W$AVjnc*dmY!8+btzuWUP^-h-6}NPMtI@rJ1wx@vF`pbO$L z!l3~)TMH_*&^3Vu%Rmlrm<JkQXJBA3KMQp!hetwkl0#NTGRKS!n>RV^+OZjT^DPlv zp1^cEfVd0{4oUFx1SSKr34~GdHncoB549Imo*=P7$|2<mx*&2Hg3N}dL3HIPcA}Ig z7Z5g!A+f<WV+eqQ9$gh=C;>u3MiM|3AXcTIF?;CHy=ztmWGMJNs9pi5M0A6(8UdL` z2F)cqfah&Nc3Gh71Qk>uj8s@bQsPB~Z^5P`@xeaET7obzTA-@~84ki=3m|#;64Yoh z7z1n|EXkt_V)X{PB2ab(VMLZ?WV;Nt24*l4A8a8mAE2uPnG3>Tvq3t|K^+}X7lM6p zA9$jN-B=Dh$%8xPSA$bNOrHaY%fR4J15f!d8IbWHjFJkWDgQ3QKb%Nxka9@MM;Am+ z?Z|9s%12j@Vkb(<zlX3{42cc48AAY^TF_M?hF3tNEDk?GDH)U=!7U_o#b9$mu?A`( zLF!I)C0H$iWGo1Ymc7vR<IG-=s_j0)!(f|`_+ZCj@eTu{7`iH~TJhF#4hvufFuGYR zV0#%D92SFGjo_;A0o0LVFa}f!Br%{1f(D`xLsAfy!*5tTSfDEhWmymgXIlqI_u?Vc zLQvFzdfP~Ru+`W@1zi<5RL}*nXhl>;=!!8bqnA*Zaf31>be5JIlqsPzwYW3pOmN18 z>30Be85kU9!80aI2JAr`9n9BI+d)+l5*w@q>|1m}<jjc7hGtB3<+wBE8-&ebNNljp z7y@9YpsND41`vaq9#E#kFId6=XHaxaVDmw#0Fgn_m0-1uKpI3h1C;(i7?B2{Ezh?I zZ-ebY;)9)r$5YTCM%RHp5sx}A?*N*Dhtyr~pjL~)7+?!QVFAh!=z;`1jIJD1%YZPr zrh#U<_fQLARv_`gR^#=n7`ke3aG?w0F#?eZ(KTUa!k<u=^0{~>yJa}Q2CMm|%-lR} zhr`TWTPIK4HE|a1thg1N6=9}0fVd0{4%^^a5hernHjb?L8|ns7RzzZhwSfJOE{L4{ zklE05g038QR{VpoSqzB{wwbU1*lp<AK!dS}(QgRLff+s`gsvQvazGf7dLVTtx;pH3 zfyR8nL*}5t^9C@>frS*iKs6_%pG1^}*h+kOivZmOa40e`FgSn<98d$5ne8tkz`;I3 z;)9igW<J2f<Zy<=U&LS+R@I<+60m9z!{HyN00Y}UG+U7P5L-}2!$HI14!m3fpqWBY zHws-fJ6I0`19)_hff2S$nn4VS4ORm75xO9O^nk7$lomi3kscV?7!h`Ytw7>~?FCx_ zp9z4>2{`b8J!FBd7F0BYFt~VTU}R%PGaHFd&}?+IAa{c>*zJ&#mlbNf7>ofn6x8!a z7XbSVT@ZPS1v1y-zzI*@=!!vBgD}{7a6t@7+34!9+JrY{qniNp1R`ZKv9UpY3QF*x zS_O#@Rt`?0py@w21DdkM&{cAx3xFMrE(kXU&H$Uo=#b37z<{n8!w!d~SX7Z>4_37- zZZ58FV1j|c;SDnb19*-QJkx}zlhECUQYSg+GcquMn=k0fz<~$~Tu^xcHkZjk0Mzit z7T9Q$BMvi}1sK@a(P9XR4>lY;H3ljS;0$P~Acn3I?0IxSxGp#YtR3NTbPXsT2f4sp z4C+E27tdq|*bFbvl$o0yHqV+har5S#GjLbLpTQL|%mfDzmx00I3%nwR$$%^ZVU$V{ z+F1py;sAA#K@~9)8>Af4KS38nu6&W%(25vcIf|VqomJ416R6E%NNj}7=z@p}55YpJ z`p}hw$^{Tclnsn*Qqa%_B`Q!NLgIt%1x>BP=h(pvhyRGW2wgRJw?m6<g9B(WK3KoG zD%1iV(C9sQA%$~h1`lWiA3TRPc_*GpQzmZEq$$h<2N0Km!GW0@Hfaiz0o#P5U8N4S z9~7NPY_Jw^5=Iw9jzVNMG&<3h<Bm=Zgw0||Y=q6|f{^|{ghU$!Kv#-u6o8RU6JaaZ zdq{k+&5&6c*ct}VVg?5$a4qQonhr)+&C<MRQ8SnTmo||4Obcp-7>ofn7?y(21@TrY z=!)ScftpK<Y}!zpU}hun!76cjMhsmgI4sZwacFl)02QgARuH-dcxe^E0a=M^ZUA*5 zXJhZ8e%r>rB?~zPWsT%*1?5Z(ahFq4;Dim+>j2_1FgQrV6E;i+>`5F6+X!kiC}AV9 z!CJt+MHfU)%*bqL!bVq)En%~<86#{3TY|&~+YCv)5E7h*p{Ap&gRUimQV#DxEml)B zvyu2<vq2RaXc13FvI7Go$%0BOY^p%(S~6T6*trB4*v!yOMdCwDg|7R_NOoXEn2Jr6 zD`*bJ)qw+KsyUjeNPMuVuw7V?%~%eg-AK@oKvxM`$pcx>)7;{~3NqUQ&1@t-*lg&o zE%4qg2hbKLsLAN+V2gk}T^-m!=31hei^K<;%K=}&24gsULzMOCs*%^REe5S)`wmje zz+i3*wT8E&xwo;S*>>@Y{>3YLc_oBp1to-SjV<JjEo5<L4HIzIfSKU{;xaHem~sm+ z@PZQ@Oa|;?Q2PyBr@+@Hf)Wx|b-406C<&pP2}!;X5}b&!n+OXfc({Rsixb^6?3!VN z4CpOaP_ct<0?e=A#x1lU2D#e~8qzR%Y(*_Lb@0X+oB?g6f%aFTnTeL^z@9<37nCnR zOXr|j2&)>@)nBlMU=CcMo(wiOg4Ptm))s<jhd-c!J!~pLE<{>@gw=N3y+aW;&{kh) zvkR;ji4P7~koiaj3N*7}Q-xGGu{k2b5o{_FA7UzWZCwVWK!KWyO%+nevN@rdio^$- zicy#_Fj}Ci0rh-A7~K11b4D`}i4Qgrtq@^gv_Mw@G7E$u=DDDmhr|b)hrQT<EE+>s z3(r_^1~`YYxuV&D#0T4fqsPp^Xo0R0<Zcj#xZMrSTqHi&Ttq7y!2-9p85k|l)q+d~ zVTj4@XeJ}^Ats|N7eyK{V_>vER|_%~guy0*$74JZri&r5!6t(fAG#o<XoHZD0uDTY z1<GCMO2K=pV0)|<E?(#W-qr(}&}DRpXJBCPLf8$q3W*Q4A4j>%s^tyU$OvwD!gydk z3=9n5HYb>H=m4(}^MNXdH3gCQU_BVQ)B;@vsOtm5;O>u>AJjaE^)MdTD6sVlLG9j! zi<-gKGqL$YmBXw@;)C^IT92**WHktbt!E2BGY^RmF%P|Xus~M<G7E&k=4k~&&4YLc z#seD#_RgY(pl19+n0JDp%3<C?;)C^IdIwzvC<THrI2E!5qnU@qhnR=q9ds2SuYfSb zJ0Vc>Al`xTz(#?+vk>H+g>dhLLY2e3gTx2x!SoKg3XoSo7~-8UH1m-75c4p+gRTN( z76^mQ(+Y>0$LO$xfq?<W0~-a})d<>q4Nhm!@zrRka?lVV5*w^u3|#=6>(B)uSsOy4 zWpH$*p#6!U4TzB4iVol%dZ6qL8G=VwO{s0*O@qiA2%&5T&;~#Uu)Uz+cu3h2g9rz( zy-0j;Sl}pIShQlH8X?IC#sljCCm(pH7E-pvL6yUj4-y}&2Q&Ggs{lnc2t%Sf0csw^ zdKeFE6xe#SvLz9!9A-TdAFKz{dUO>at3eoSJzEl*c}RSSc^Ih|T?Hs3f-odACPU4G zcn8J<8wK_bTG^5URSxqG5+AGw(>v%YKvsh=*m|~9H1m-75c4p+gRTN(76^mQ(*kXW z0=4%bnGwbV8-*=1W+AK>Lt=x~V+eqgDY`01HiVF9nGsznXp1s*r*d*uh68x_EI3nu z7f7P3rr0+4-eb@fWC!rRT(E73ESQb(J=iuRJ~#w$WI<M~9H>S}qJi<idLW4gRKG5Q z)~}3gxlrY>M1#Z!>%mMk=qf-F48o8|&WD-@u^z?)8wIu=B?~gL6+)H6tViO5^?-Uf zNZo%1MhkQmAge(bVto<RJc#u$9@r?b^(a|}k*x%(9A-TdAFKz{dUO>at3eoIeJRvD zi1jcY*eI~|DAgw;TRBuY%z7j~SP!Q4=qf;k4+w(`Agv0hd5|0i<AIIBmcweG%0bl~ z5*w@@oaoR6Az2ARqGc#_rQpr{uuc6d7cX=G??neCa!3_Zi?ADP6%rq8KhE@B2h|7( zVi*ss2OPv`RZKlpIV^~g_+UL4=^N}CbX9md(OQjA8z2sX@xX?I9fX$Ro1w~K4npFC z^<c(1x(ZNo17S##Yk`^vu^z?)8wIu=EycG%mBXw@;)C^IT92**WHkswtZ#>!2eBT; z0~-al9xcUpLY2d;N8*F^U|Nr^0+iZ87?R?<pyokRJd6i63R{ZrgDMB5cqBGhJvidg z1tDo2LZYR3bfusJB_KydIQnK~IDpStK&1D6gw0@!koaKRai;ePP>qlPhVj69zyXYw z-X}tp!vYwI57vW`-Yw8ofC3kUAptxYY97RT7!Pa|*m|_oJr$}PW<3%gtOqlG(N%zw z76?O<*EFbk5bI$)uu)*^(NgyesB)O~NPMs!OzY8AfUE{#i1jm}=0U87@xVrbtw&4U zv!Tji)+6!3dN8d=R{;um5QYT)9H@Da)D7c-jl!0?7eJMRQa2JCtX>RV0GtZZ1tAF? zLZT&ibfutkPLR($fw3LHXN!OmKBOaqu8&fC!N;YboSg#WIDii{0o#mdM=eBz3D{;N zJ~&)(=DS5ujgY8^@xXe(QID4I7DJW8q8^D4)`OYv&{cp^9SB2G-cqP}5bI$)uu)*^ z(emALsB)O~NPMs!%#??&0%SD^L#$r`H4kDvj0ZLfY&}}OTLo1PvmS{L)`Mw1x(blh zAPlj7HPk$a^)MdTD6sWt`ED&#Im~(_K3ET?_2?=<c@Bgj`EDK5JV?HS@xVr5%Xgcg z%0c-Ki49gSMo<8pEYVd#vLJ*+%ZTVoLG=!390Ag&K<Z3@_kEzN#%de3`3vyCBxq6u z-2||=Kp_p9Ds}*^tb?p{LRW{?CLGxfylD+Q9*J%WOEWyBgHCdESOq!`5M(K2$KGb7 zphseZ-3WF7x*#YYB2QX?ylH{12$T>(7@Qcvi@BKDwjgW*8;ryU+lFlh09_5pOb`Z} z%eEEGL?k}gM9digbQK`8Kp0})HZ=2)_+az!%>ZDiMVw>P&<C1i1f59(S%t72YK<6- z0X7_zAV9;|=z=&WfWT31fvy~s2SFH|55XbN$hH$|A<POSKG<q(A&;&G6iOfr4k?g9 zpv~5M5eADPvB8FtAplMm=;lDOFoZ<Q$mmLOjzNGkGP-K4wh_!;=%(PzUXVPEt{khS zcuNm-6TpEBiU73I16>_fo8Z%?a0X=l6qJd<>-Nx1!L*H$Z66}m!ImKL!OF2ECv-KS zqy)my<OH4!I*2e=42cak6GH%OGrB5BDuIw_X$4&=?(Q`t;?UJ&wGaDLFDNiA(Dj2n z3&LOzgYzZ0<Y#6(gzz=k4kSL<=h#9MT@A=!5C$90b{Ne>BtF<g%wiE;1;{KAhM0E* z%{(MN*gSm2BDz|{v0b3kyBt7gbU~*Mjw5UlLt=w%AVUBgmgwd{QU-)XOCRV;38fEo z^;qr0o3YVN0Q(e6#zt3%)h2@F7P=`c&G055YO?^+={SLi4Y0e!2nv9mg{}$`)DRLa zz|ocBY=wabkkM6BY#ZWWP1NCPP{#*S0uW^-!Ei@61$TV`vYC<XBqHF!enjGfBLepd z0d$q13=G2HObpFrXAq`~A+f<GgRMgsghU;LM2kRlrFiDs+0G*D1zUr}2iuKUm4INu z)+eB=1^Eetk^OZ6VZIm=8*Da)0N4fSsvus3kZ2x7SBf*Gf}0uWs<GNeFxjA+f-}1^ zvRy>@9c&j8AMAf{0}{Ns2*CnxX#(vWN9YBs1%)dJL&KKA{2Ek&AaqF__-H`e#j88| z1fk2~B#Z@&4Q-9BCFF1~jqBwBEr*7g<pAO`FgWz_2rvkMoeYx!yMcj$0TK-m5)v7p zmNB|2NFZVyt%KD>+`9s?nT)+&$EK3C#TIm)KA3a>pXQE373h3^@G1R}gWo}_u0z8X z=4<p__t?}x{EV>(8ti9u^WbefI0Mqy!)7kljrrJ3#lHRzt6G#*^;lKlSf!6uE&N=y zrj?5vxM9oh(0z@q&c)_qaAv@|?TU%*79wWAE<xghBMZ9t7fONG07I9-p{s<XVF(FH z$)Kf2chJm7;)BhHsE3eXU0hmsp;{p8&0svRYVcZ8_^H#dqbnfY`+HDrppg|MHdvn+ zK>@Jm(N$q4d2oXlT^Xnt0by`a0%`Dq%SUu|SnYr$bIhy_vI(mOtcNf;+yt$>1dVk- zYILHkM$d&HD=pA<f_m5>jOb;9Tl0);_YvU@b|(@a9Olp@1Er8t4!TOL)hPpm)+4A5 zkc0r^femM1V8E&ik`x|8HG+}?5*w^j3|#>1cyvKXtY8*CpdbQ|J)vvBT5Ew*0lG@8 z_F>D)V7ox0YUn0_{ejYaW@LMU@G{r}BtBR<G#a23a%`Zh#M&KTV9<IFwE+?vFdo=& zP;4L{%nqBwg~Y}Ss76q1AhE$Z!EQwt#9k+Xg2Mt`DJa{4Fe2+Qvb{vu2R0sw54IB; z22ct)C@@uGDQl`27#Lnbtq_ATzy^anNKgRmBy?2-lN-7Q+{q1HC06_JCO32wV17ev zB88MO=<2ZA1h0_c3`orkicRn^47w?pwlT83h6Xn%&4Vg9BtBR<G%BGKa*SfAgp7Pc z+qK{Ua0ab+P~#yf8^!~h461N2wLsGKd#E;0v?H;>`oz!$z=4A<NHE&bHQ<hRbd^}` z!yE1BCV>3`3UT=88^qfmki3n=1}hgs7XUj0T@ZT<43r`)(3OJnHV7l~H>A^!u8tF3 z0IS9DeH?HGc((>4I3c2&0QL~b|L}dhjF53?bcG=IfiRLAAsyV0h>!w12Z;|h9GYIC z6mqh~PzhQ130(#XUjGT*3HupghZqtYY(CghbU~brL5Szjm4iG6!U)enQo$D_dy&`( zd(j0ko3!8vM^^^23xtvEWBZD*3+yE%KG;5J075C`aKuoFITpge$o3u0d?Y>r^U+me ztvex8AwQv(h`|_Oqe1BlRHC5^;%IrID+7fT2qT3SczpR6)G|=fgv170DTXcp4pVeN z=sjyl{Wx%Rp(_Jf4#EfrK=LQLI;?i!>Jb$PLXR;<HwCqmwHY+M2gzx_5&i|+gv1B? z8JaYp6mkkdSBbS%3C(MN5w?gSvB5Tgtwk5ak>=5rfdUYO5rN3a_77nf*k~j^*gmLN zpcJx?FjQhq^PnpfK?`hQ2@r`-z<hL-*wZ{43lseO5wHi4_yjD#P>H4RW6<J+nh&Y8 zVLY(Wph_E452W1Uf@%Zh3?w#KpBTCT*x~4c1S@TH4J^&@6%dH=XK>d5T_slg@K)OB zCV>3`ic>_T&Bl%JGS~tnK3F+44M8d7q=cywqdm;Ppv4C@9}*KV9@uD5OkgHINKEiU zwSi&+i4E2#Mo<9k6LeJsqXu0A?)DVAO04$bjT&?lz#c=18b~u5T^&}N;H_sk1Fad2 zZVLP?AVfj{*9-zkp^n4`J5CH;0PGZWK|HNDbfuti0}w_UI{>G9bahzm!BGXG>jf2@ zAdDzHA>$KZ-wGo67Ksh<ExG{M73hLE>Opj6AQylzk}JTIIq2%J+Ci`$L^lOzJt&0m zFW4p|KG@IDJPxIhvpTv;0!!x@7}-S7>_XxbunR+_j|XTOET~fkTMf&=$R>_vJ`$gR z`RFR~E<a>o(2|DQ1S!B^Jg_C80u0l2kOE8wstr_tA+f>w#Lxx6(Sa^Vu)IRofV;dx zSBcd=yyX?T31EMqlvj*wvIsAOEkNRfl|vI2ltNBl7%DNV1qKE!1*rLun1J!XMuYBL z0^b*ed=(zba!yFBC_;?@#R?J|YzElP=z@4go6wblaySShaycWL62d;P@ko5IozSp= zQpiDqsS=}hg>)U1p;m~&7+`}z9z+)ay8vB~U@Ak`fIF3;tHf#_-c*Ke0?aFj!6imE z6{vqfi4W8{LgIs!L&FkEAqOeCO6;w9Ep?~`kYonqfz4)MV8B~kKoXe-)BsQ%AhE$F zfWrh`5KkgQR|-mCAdH;IG!gcJjYr~x?SuveltK;>OqDninHJOvF&G1EFvx@G0$>-Q z3ldCZ=o)Y*GIW(#?ZcbM&`p4O1v!yvL;VX&WJr9la%fmWDdZr<P>I>sV9)~HK?&+# zL6!x>cwmzu%Yqr%^wC^^#0RT`S`DR;U4W?)BT^ZlH^4D4$U%1OUI0xHT?7%AK*VJb zaRo$xXO3=xShqpM9T0IBMBD=rk3hs@5b*>=JOvTYK*Vzp0lF~>d~FW+_73oo`{2{Z z!6zMq&sYVY?*={<419tT_^cT4tT-e1GI)o5paW^ZBqR9dW7y@o3{2q5>0w;Z)x{tT zy@4Jk48E=&OfWDYT}f;W4Os{99ps=Q1f~HTu%JraFU!L<B@22%J%hMIGy?+z>;_;4 z$Q6DdeQ+VDMm86JSJ2*12nD`}PZ~5K0E%h`M(~Zk;N%JygX&{%Z&<WqaYG-Bb^zTH z2Of4rSJ@!N2G#>sAq~46n1Rs&bP+JvY`7R$9RmZ*Oc)K;2{s#DB^Oi&ScNp~PGJT{ z@C_JXv*BV;l`u15G*~AmXi^I7W2h>yR&+s_78niI%1E4E7C%={4=~~IfJ1<RXkCt; z$t-@3p2-d?K<Ai?p*smf0IS0}7j!J@YHjRoZ$z*l7ug5E&KyHG*ujY(925?V7zG$0 z$E<@=54tkY-U4qh0ZA#K)7HUG1rrKjzcR3Z0}4zifJtfCea;Mw;G?3!j)seY?ZuG@ zI6)WOV?-3XAS{AlG}ux`;`D;!2uy$-PqZ$yh(dRg7`g!1&**}P=s~cMA_^1(=vv^H zY(tN6hvX`7tidA}UC#?<NEkyR7nHK_MwbKVay-~A$&g~$Asp%2YzA(~eH-92TELsf z!P{L4U%d=A7<M-;1LO`~kil>vX7KG<;7gexcTRy^0=pfR0dfm0NCRAm$pN%fAG|cx z0d&C{>{?U?Ch$@WaFqiNdAI~5Bp4v~+JaB@LSjOz9EX>nssUsk?BY=d7Vx!m;9KIr zm%2H80x1DS5L^k=WX{Hx&fa$0=EVyUEXd`43}7e1E(K*^g52@-1!fRjf=Gj47l$%1 zI)H8|1A7xL1~mh5OEc=7%^)5){2_;pqHDu*wHeqCuv<nMn7~IRIe-pj1KSUmfZ7iU zTlAZR!4b&-2@cTK1aJmtg4_!RzWO4afq?-o0W}KZQgl&RmWR>ctnUCim=|3o*nQ}N z_-~tlpOA~L4elgRC5YW&_)P|-E!e%O42+QL1VEmKi@|LGB{6hSm}g-$!qey~!JbAJ zB;qiC#Noi`n&A#ZcpY5{G3J8;0CvGE0~6$;4fxq>a0#eoh>V0_A!jMrt*#8r;Mq{{ z$>iVzWI5q7P?Nynfi5VJoRH<=neE`=>XP8+;FXnuApkuM50tFXHG`We=z{REOLz)G zR{?bo^w?(5s5%EUL%?o|Wngjuoj(aaE)wi)xCB%$A}kOrNT^t#D+Tq&Kp4^^1BE^8 zvRMX3@WHH{a6Z&<u#M<~7~z5;fD};Zn!y2uE(kyB6dq9MDxmH`2`KRTC3J=0W4yow z*b(4z3RHc73l}c%B3ke&W$@{j;G-(RCwGDm+Jqc+4n8FiyjBr(qB}SQ;08dQfe2{? z3lip#(hPP9ECVBCbQ_eX;bKrTAms(RC@e3-XhfbyR|yVCbV0b;d9YKx(N)0HBqZa4 zT6Q><fKmwTqFM$<$Ss?of(R}KwGI&o2o@wbEYOuQBj5Tb<{$`K61s?ifnhP)1p-KX zuq9Zo#bjV$G=(W+6oawAszJSdbOCTgq6>oBu%H{jp?9=GCNj_!Vb_Uvf!zfWPzQVm z=q|$rAObW$fK?;Lb+Zm2^$ZLSpkM%<*ac3h(1~LtKG<WR%flCfuMl6@<p63jfC2%g zh*1p20;>fD0=fX$Md*Uq0s*^1G(UqJ4hb@JBe817^f_9fF)%nNff9s0hyVr0L9|qX z#0Ps3=Mr%S1}0OOVnz!X3#<op!ZGrtuwv-47y@9=psPX;H1MhdbVVqs9ce)=x;mUT zARWgES=NHC6I7goFr-KaT|fn%HA3?IAw(F0{g2`%XtFzwPy==f5+AGw>!=?njA05H zEnqCLdW<kemlY!@fYlvX10CHcP;h`SQjmZ$BZigOZ9q%n;Aq9Pfgk<qQpAd7bj3KW zK{~({61V6&L7o6%B#(fsF@>pPv^ar?H*mP4$89nwBh`Y4*&t#&hydj)9<)UnNPMt4 z;ALf?+g;!caAOJ-<1nR=A!is5tP2$DEw&AyNj>nH{9@>`;GjSkMC=|yupl)wIPB4t zg8~GE5rJX~Qvz`kFT!tNS24HPHiNLkB+v*Qq?qMHr~$hPi4WF;=jbC)feTa4$O&VC zb%81XQ1yr|2o6Ndi%1y3SAw7`#_Ap%CSX+yz6}ISU|tD=SOEyl_yTAFfy4)UiMiLd z8H61sg9DGz6s7<Y)-WDeEdv7s4tFvzIDCaAJ$W=6k@#R!FqRsD0vx7@krT!OtAzzP zx*(2uXvp$Nbj5I!KvzwG+l-*56AQNhgM$o+Far_&JOYrEV+I|Og;|Zn2OETP^*kuQ zz!WfAz*t~)puECq#>vcS#_15nEWjX!E(?xZbV1NT4j9D-x*|~S0AZvY0#m|hVUBP= z*d6F42B>tfK&Sz`0*MdNgL-8>lPOFAqXmowR)^t7bXlyXgUWb}Y7bo}$bTS=<VTni zMhi=X`@!x&^CP2F3cT241P`-8n&JpiurBPw(U7Zh(3KiNbihe&sWc=j`awfGpkvIz z{zb?@lp~tV2o|LA43TGGV2}d!A0W*_M#zpexM>I(h-!ox2o}0&8Axse-JRk9GK~`< z3NZ=nSad;*o*sq(BnN?qUeGmzdo1XJ@cszA>xZra;vCr3M$kSoOi(Hl$upo59^x5< zC`2LHGw6aCZpRQn@(j9WuxHQ(F+GE>0^%H8p2<S;45-9)03Almi4cY8273lw5X0>l z0!W@g*9`Uyx*(=!&{aU3gUd77NS*;*Ndfi@*zE`zhzW?KieRB9+8iX)K-W+pOhd>J zZ(1&rY12@$CqjmJ)AEo^1K%MH%1~gRB4mg+tq94q86dZTPX7m+hL9oNv|=RFW}=ve RkRjSMh(cu2K`2L_835UdA_V{d diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb deleted file mode 100644 index ba798283f2a361c8f9bc2265075cbd64b88a4b26..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1936 zcmWg2k!R*+U|7Jwz`)?}q)MKd<HI)D3=ofzK|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$Vs__=0fx~61#2FV}NIG7wL{~rn*G8q^cn!8u=wJ#PFu{7pwZ|>%8T(pq4 zeZ}Gx4a!Q3c^3CIv^1|++`-eaXmNW>7f=6UUIeSRrFlie|HXYBJspc%8WtJ<=lO3W zV92`=B(kEhR~T*#@4}9r-fmv{+9t}tz`z6w9R>$R28Ioc4AxA{&5IXucqKb}`8#H1 ztgumS&-aQr<?w#rS~&&=F$aGJ1_qcWkV+6{U_cRO@kvfd0TT=i4hcx=;Xw>$?3}t~ z7budL7z99;3xL=z3=9lnP$%(r^e<U#+p)M|@xp~Gnh-q0g)5pE7#NtD9VQ~F=JHQT z@b~f0%*b?xGIwTVIy+2c0-4Le@Sg!iHT#l<E1DLrXadnf3s*Ej)G=bJ>*!e21ya}1 zu}BK8jtQiW`Tu{1NlXF^4wFH|6ea-%RhY|}nRJ*y7!<BdV51=f2Lr@03=9r@j0_A2 z4J^%T7c_$jJX*w2v@kQNGl4K34ZLU?^q82L^uUpg!%_Sw8d#dwt^+x0T{9j#B+<33 z2WeT4Pm2UdivuX8#i23H<(ZV4<&d0^oa~9j1jR8EIM!h5*qoCclaoE!oRcReCwn@~ zMvYsTD)!dKhQ39MI@ntq1>_bj>VT*N$1Nz%=76GWE-1QS8bDD6!VqtQOa*Ikz=$rG z25?M)2|QXL(FM~2iYgGsqX80K2o1>5h1F4z=z?iLk1niQAkl@S1tq$$Y5_+V1ET{g zg8;*S1|Em0pzxapBBq0g86aXNh?oT;=7EU$AYuWCSO_8(fr!OS0t}1}%%HqJ0Tgcz zAd<<U9;tX>U~=dKDeVU-wSr|P21Y$N6BK$33=9|o4h={p0aOtKsAK|Rh@se2z)Lwe zg8}SKQFIIc|7T!ed=ITh82(E@(=7v|LpcKjgA|MlD#jQ#FfxK_nYD7@A`?t7IDpDH zu)7$+OaYh{a6t&FbifQqiRiEf6bP%qfwUGB6yQLD>0n?2m$fi1$b%pZErMaf;L;aN ypg3F_>QV<rNJ+%xFbO%}Af;3|vJf*`83)z?OFs;dd;-z{7h-aNXD|m)T?_z8XLx7; diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx index 36917f0..626a778 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -2,17 +2,7 @@ 2020.2 May 22 2024 18:54:44 -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v,1708598507,verilog,,,,glbl,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd,1746771255,vhdl,,,,twictl;twiutils,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v,1746771255,verilog,,,,audioProc,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v,1746771255,verilog,,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v,,audio_init,,,,,,,, /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd,1746775887,vhdl,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v,1746771255,verilog,,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v,,debounce,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd,1746771255,vhdl,,,,fir,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,1746775892,vhdl,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd,,,firunit,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd,1746771255,vhdl,,,,i2s_ctl,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v,1746771256,verilog,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v,,\operativeUnit\,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd,1746779788,vhdl,,,,operativeunit,,,,,,,, +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,1746775892,vhdl,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd,1747040655,vhdl,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd,1746771256,vhdl,,,,tb_firunit,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.v,1746771256,verilog,,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v,,clk_wiz_0,,,,,,,, -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,1746771256,verilog,,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.v,,clk_wiz_0_clk_wiz,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log index e69de29..9177bea 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,8 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb index b155e40f06a230303a04d2a77f07560e35c5dc93..06bce4a78221406be820d4c5768eb3ab22837ed5 100644 GIT binary patch literal 1198 zcmd<O%*dtTn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT zXlAU%T~VBwTb5dsnU<MsRKS&|pOK%NTC87UWRh4~QktXhlAm0fo0?ZrtRL(cq@b%1 zQk0lkk_r-0NGwgs%-1g|&`rzCDJe=tuyj*PN>efu^GbB##uOJN>u03o=qKmrl@#UY zgyv<I=#^!p7zuFkRb=MGr=+GOmgbb?WF|$i85-ysni*#>6tGsXPGDpZVB+%S5>14A zD>bhqv!qf%UA0(UfK`gc$js6l)f2p2+(@=Wu^Srb8XFpzBO7>?(ts-BDxh&d<rkzD zC6;8CrIHp@{v-tzKNl~GJy-+lJf(q^!<9wjz)H(3A}yFaNeU)TE_SF5SOe%1r2&-B rl}qCQDoKim`hfUc=R;B$@o;e=EWsK~d|X_vc_|7(smb|8DFTcD@k@CJ literal 16 Xcmd<$<KlA7OHl|)P0lY$5nu!W9CHKI diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb deleted file mode 100644 index b155e40..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb +++ /dev/null @@ -1,4 +0,0 @@ - - - -End Record \ No newline at end of file diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr index 69a448c..011f651 100644 --- a/proj/AudioProc.xpr +++ b/proj/AudioProc.xpr @@ -63,7 +63,7 @@ <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> <Option Name="EnableBDX" Val="FALSE"/> - <Option Name="WTXSimLaunchSim" Val="6"/> + <Option Name="WTXSimLaunchSim" Val="67"/> <Option Name="WTModelSimLaunchSim" Val="0"/> <Option Name="WTQuestaLaunchSim" Val="0"/> <Option Name="WTIesLaunchSim" Val="0"/> @@ -160,10 +160,9 @@ </File> <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> - <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UserDisabled" Val="1"/> <Attr Name="UsedIn" Val="implementation"/> - <Attr Name="UsedIn" Val="simulation"/> + <Attr Name="UsedIn" Val="synthesis"/> </FileInfo> </File> <Config> @@ -235,7 +234,7 @@ </Simulator> </Simulators> <Runs Version="1" Minor="22"> - <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> <Step Id="synth_design"> @@ -247,11 +246,12 @@ <Option Id="ShregMinSize">5</Option> </Step> </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/> <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> </Run> - <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 2 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> <Step Id="init_design"/> @@ -266,6 +266,7 @@ <Option Id="BinFile">1</Option> </Step> </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/> <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> diff --git a/proj/tb_firUnit_behav.wcfg b/proj/tb_firUnit_behav.wcfg new file mode 100644 index 0000000..1e6b26d --- /dev/null +++ b/proj/tb_firUnit_behav.wcfg @@ -0,0 +1,47 @@ +<?xml version="1.0" encoding="UTF-8"?> +<wave_config> + <wave_state> + </wave_state> + <db_ref_list> + <db_ref path="tb_firUnit_behav.wdb" id="1"> + <top_modules> + <top_module name="tb_firUnit" /> + </top_modules> + </db_ref> + </db_ref_list> + <zoom_setting> + <ZoomStartTime time="281,550 ps"></ZoomStartTime> + <ZoomEndTime time="281,556 ps"></ZoomEndTime> + <Cursor1Time time="1,000,000 ps"></Cursor1Time> + </zoom_setting> + <column_width_setting> + <NameColumnWidth column_width="276"></NameColumnWidth> + <ValueColumnWidth column_width="120"></ValueColumnWidth> + </column_width_setting> + <WVObjectSize size="6" /> + <wvobject type="logic" fp_name="/tb_firUnit/SC_clock"> + <obj_property name="ElementShortName">SC_clock</obj_property> + <obj_property name="ObjectShortName">SC_clock</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/SC_reset"> + <obj_property name="ElementShortName">SC_reset</obj_property> + <obj_property name="ObjectShortName">SC_reset</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/SC_inputSample"> + <obj_property name="ElementShortName">SC_inputSample[15:0]</obj_property> + <obj_property name="ObjectShortName">SC_inputSample[15:0]</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/SC_inputSampleValid"> + <obj_property name="ElementShortName">SC_inputSampleValid</obj_property> + <obj_property name="ObjectShortName">SC_inputSampleValid</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/SC_filteredSample"> + <obj_property name="ElementShortName">SC_filteredSample[15:0]</obj_property> + <obj_property name="ObjectShortName">SC_filteredSample[15:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/SC_filteredSampleValid"> + <obj_property name="ElementShortName">SC_filteredSampleValid</obj_property> + <obj_property name="ObjectShortName">SC_filteredSampleValid</obj_property> + </wvobject> +</wave_config> diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index 188c4f3..cfcb396 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -45,7 +45,7 @@ entity operativeUnit is I_incrAddress : in std_logic; -- Control signal to increment register read address I_initSum : in std_logic; -- Control signal to initialize the MAC register I_loadSum : in std_logic; -- Control signal to load the MAC register; - I_loadY : in std_logic; -- Control signal to load Y register + I_loadOutput : in std_logic; -- Control signal to load Y register O_processingDone : out std_logic; -- Indicate that processing is done O_filteredSample : out std_logic_vector(15 downto 0) -- filtered sample ); @@ -114,39 +114,35 @@ begin ); -- Process to describe the shift register storing the input samples - shift : process (I_reset, I_loadShift, I_incrAddress) is - variable i : integer range 0 to 15; - begin -- process shift - if I_reset = '1' then -- asynchronous reset (active high) - for i in 0 to 15 loop - SR_shiftRegister(i) <= (others => '0'); - end loop; - elsif I_loadShift = '1' then -- load the input sample - for i in 0 to 14 loop - SR_shiftRegister(i+1) <= SR_shiftRegister(i); - end loop; - SR_shiftRegister(0) <= signed(I_inputSample); - elsif I_incrAddress = '1' then -- shift the register - for i in 0 to 14 loop - SR_shiftRegister(i) <= SR_shiftRegister(i+1); - end loop; - SR_shiftRegister(15) <= (others => '0'); + shift : process (I_clock, I_reset) is + begin + if I_reset = '1' then -- asynchronous reset (active high) + SR_shiftRegister <= (others => (others => '0')); + elsif rising_edge(I_clock) then -- rising clock edge + if I_loadShift = '1' then + SR_shiftRegister(0) <= signed(I_inputSample); + for i in 1 to 15 loop + SR_shiftRegister(i) <= SR_shiftRegister(i-1); + end loop; + end if; end if; end process shift; -- Process to describe the counter providing the selection adresses -- of the multiplexers - incr_address : process (I_reset, I_initAddress, I_incrAddress) is - begin -- process incr_address + incr_address : process (I_clock, I_reset) is + begin if I_reset = '1' then -- asynchronous reset (active high) SR_readAddress <= 0; - elsif I_initAddress = '1' then -- initialize the address - SR_readAddress <= 0; - elsif I_incrAddress = '1' then -- increment the address - if SR_readAddress < 15 then - SR_readAddress <= SR_readAddress + 1; - else - SR_readAddress <= 0; -- wrap around + elsif rising_edge(I_clock) then -- rising clock edge + if I_initAddress = '1' then + SR_readAddress <= 0; + elsif I_incrAddress = '1' then + if SR_readAddress < 15 then + SR_readAddress <= SR_readAddress + 1; + else + SR_readAddress <= 0; + end if; end if; end if; @@ -154,37 +150,47 @@ begin -- Signal detecting that the next cycle will be the one -- providing the last product used to compute the convolution - O_processingDone <= '1' when SR_readAddress = 15 else '0'; + O_processingDone <= '1' when SR_readAddress = 14 else '0'; -- Signals connected with multiplexers (SIMPLY inferred with table indices) SC_multOperand1 <= SR_shiftRegister(SR_readAddress); -- 16 bits SC_multOperand2 <= SR_coefRegister(SR_readAddress); -- 16 bits -- Multiplication of the operands - SC_MultResult <= resize(SC_multOperand1, SC_MultResult'length) * resize(SC_multOperand2, SC_MultResult'length); -- 32 bits + SC_MultResult <= SC_multOperand1 * SC_multOperand2; -- Sum of the multiplication result and the accumulated value - SC_addResult <= resize(SC_MultResult, SC_addResult'length) + SR_sum; + SC_addResult <= SC_MultResult + SR_sum; -- Register to store the accumulated value if the loadSum is active -- It also reduces the width of the sum to fit to the input and output -- signal widths (be careful with truncating/rounding) - sum_acc : process (I_reset, I_loadSum) is - begin -- process sum_acc + sum_acc : process (I_clock, I_reset) is + begin if I_reset = '1' then -- asynchronous reset (active high) SR_sum <= (others => '0'); - elsif I_loadSum = '1' then -- load the sum - SR_sum <= SC_addResult(35 downto 0); + elsif rising_edge(I_clock) then -- rising clock edge + if I_initSum = '1' then + SR_sum <= (others => '0'); + elsif I_loadSum = '1' then + SR_sum <= SC_addResult; + end if; end if; end process sum_acc; -- Register to store the final result if the loadOuput is active - store_result : process (I_reset, I_loadY) is + store_result : process (I_clock, I_reset) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_filteredSample <= (others => '0'); - elsif I_loadY = '1' then -- load the output - SR_filteredSample <= resize(SR_sum(31 downto 16), SR_filteredSample'length); + elsif rising_edge(I_clock) then -- rising clock edge + if I_loadOutput = '1' then + if SC_addResult(14) = '1' then + SR_filteredSample <= SC_addResult(30 downto 15) + 1; + else + SR_filteredSample <= SC_addResult(30 downto 15); + end if; + end if; end if; end process store_result; diff --git a/vivado.jou b/vivado.jou index d435f83..a782e69 100644 --- a/vivado.jou +++ b/vivado.jou @@ -3,8 +3,8 @@ # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Fri May 9 08:18:24 2025 -# Process ID: 233430 +# Start of session at: Mon May 12 08:52:08 2025 +# Process ID: 392756 # Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul # Command line: vivado # Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log @@ -13,74 +13,191 @@ # Platform :Ubuntu # Operating System :Ubuntu 24.04.2 LTS # Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz -# CPU Frequency :3092.524 MHz +# CPU Frequency :3092.751 MHz # CPU Physical cores:4 # CPU Logical cores :4 # Host memory :16688 MB # Swap memory :4294 MB # Total Virtual :20983 MB -# Available Virtual :17078 MB +# Available Virtual :16299 MB #----------------------------------------------------------- start_gui -open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.xpr} -update_compile_order -fileset sources_1 -close_project -d tp-filtre-etudiant-t24autul/proj -cd tp-filtre-etudiant-t24autul/proj -ls -cd proj -source ./create_project.tcl -update_compile_order -fileset sources_1 -update_compile_order -fileset sources_1 -set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} update_compile_order -fileset sources_1 -# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. -set_property source_mgmt_mode None [current_project] -set_property top tb_firUnit [get_filesets sim_1] -set_property top_lib xil_defaultlib [get_filesets sim_1] -# Re-enabling previously disabled source management mode. -set_property source_mgmt_mode All [current_project] -launch_simulation -source tb_firUnit.tcl -move_files -fileset sim_1 [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd}}] -update_compile_order -fileset sim_1 -# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. -set_property source_mgmt_mode None [current_project] -set_property top audioProc [get_filesets sim_1] -set_property top_lib xil_defaultlib [get_filesets sim_1] -# Re-enabling previously disabled source management mode. -set_property source_mgmt_mode All [current_project] -update_compile_order -fileset sim_1 -launch_simulation -source audioProc.tcl -# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. -set_property source_mgmt_mode None [current_project] -set_property top tb_firUnit [get_filesets sim_1] -set_property top_lib xil_defaultlib [get_filesets sim_1] -# Re-enabling previously disabled source management mode. -set_property source_mgmt_mode All [current_project] -update_compile_order -fileset sim_1 set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] update_compile_order -fileset sources_1 +launch_simulation +launch_simulation +launch_simulation +launch_simulation +launch_simulation +launch_simulation +launch_simulation set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] -current_sim simulation_1 -close_sim launch_simulation -set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] -set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] -update_compile_order -fileset sources_1 +set_property used_in_synthesis false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +set_property used_in_implementation false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +set_property used_in_simulation false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +set_property used_in_implementation true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +set_property used_in_synthesis true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +launch_simulation +launch_simulation +launch_simulation +reset_simulation -simset sim_1 -mode behavioral +launch_simulation launch_simulation source tb_firUnit.tcl run 10 us run 10 us run 10 us -set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] -update_compile_order -fileset sources_1 +run 10 us +run 10 us +run 10 us +relaunch_sim run all +relaunch_sim +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +relaunch_sim +relaunch_sim +relaunch_sim +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +close_sim +launch_simulation +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +run 10 us +run 10 us +add_bp {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd} 119 +remove_bps -file {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd} -line 119 +close_sim +launch_simulation +source tb_firUnit.tcl +run 10 us +relaunch_sim +relaunch_sim +run 10 us +relaunch_sim +relaunch_sim +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +run 10 us +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl close_sim +reset_simulation -simset sim_1 -mode behavioral launch_simulation +source tb_firUnit.tcl close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation close_project open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} +launch_simulation update_compile_order -fileset sources_1 launch_simulation +source tb_firUnit.tcl +close_sim +launch_simulation +source tb_firUnit.tcl +relaunch_sim +close_sim +reset_simulation -simset sim_1 -mode behavioral +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +close_sim +reset_simulation -simset sim_1 -mode behavioral +launch_simulation +source tb_firUnit.tcl +save_wave_config {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/tb_firUnit_behav.wcfg} +close_sim diff --git a/vivado.log b/vivado.log index 8ca0565..369e70b 100644 --- a/vivado.log +++ b/vivado.log @@ -3,8 +3,8 @@ # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Fri May 9 08:18:24 2025 -# Process ID: 233430 +# Start of session at: Mon May 12 08:52:08 2025 +# Process ID: 392756 # Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul # Command line: vivado # Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log @@ -13,13 +13,13 @@ # Platform :Ubuntu # Operating System :Ubuntu 24.04.2 LTS # Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz -# CPU Frequency :3092.524 MHz +# CPU Frequency :3092.751 MHz # CPU Physical cores:4 # CPU Logical cores :4 # Host memory :16688 MB # Swap memory :4294 MB # Total Virtual :20983 MB -# Available Virtual :17078 MB +# Available Virtual :16299 MB #----------------------------------------------------------- start_gui WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available @@ -31,110 +31,3378 @@ WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 avai WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available -open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.xpr} +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'. -WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/-'. -WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Traitement'. -WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/audio/tp-synthe/tp-synthe-etudiant-t24autul-1/repo'. -INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.gen/sources_1'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'. +INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'. Scanning sources... Finished scanning sources INFO: [IP_Flow 19-234] Refreshing IP repositories WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/-'; Can't find the specified path. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Traitement'; Can't find the specified path. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/audio/tp-synthe/tp-synthe-etudiant-t24autul-1/repo'; Can't find the specified path. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: * IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. -open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 8148.762 ; gain = 237.531 ; free physical = 215 ; free virtual = 15129 +open_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:05 . Memory (MB): peak = 8080.930 ; gain = 240.469 ; free physical = 2320 ; free virtual = 14518 update_compile_order -fileset sources_1 -close_project -d tp-filtre-etudiant-t24autul/proj -ambiguous command name "d": decrypt_bitstream delete_clock_networks_results delete_dashboard delete_dashboard_gadgets delete_debug_core delete_debug_port delete_drc_check delete_drc_ruledeck delete_fileset delete_interface delete_ip_run delete_macros delete_partition_defs delete_pblocks delete_port delete_power_rails delete_power_results delete_pr_configurations delete_property delete_qor_suggestions delete_reconfig_modules delete_report_configs delete_rpm delete_runs delete_timing_results delete_utilization_results delete_waivers device_enable dict diff_board disconnect_debug_port disconnect_net -cd tp-filtre-etudiant-t24autul/proj -couldn't change working directory to "tp-filtre-etudiant-t24autul/proj": no such file or directory -ls -WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell. -docs -proj -README.md -src -vivado.jou -vivado.log -vivado_pid233430.str -cd proj -source ./create_project.tcl -# if {[info exists ::create_path]} { -# set dest_dir $::create_path -# } else { -# set dest_dir [pwd] -# } -# puts "INFO: Creating new project in $dest_dir" -INFO: Creating new project in /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj -# set proj_name "AudioProc" -# set origin_dir ".." -# set orig_proj_dir "[file normalize "$origin_dir/proj"]" -# set src_dir $origin_dir/src -# set repo_dir $origin_dir/repo -# set part_num "xc7a200tsbg484-1" -# create_project $proj_name $dest_dir -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. -# set proj_dir [get_property directory [current_project]] -# set obj [get_projects $proj_name] -# set_property "default_lib" "xil_defaultlib" $obj -# set_property "part" "$part_num" $obj -# set_property "simulator_language" "Mixed" $obj -# set_property "target_language" "VHDL" $obj -# if {[string equal [get_filesets -quiet sources_1] ""]} { -# create_fileset -srcset sources_1 -# } -# if {[string equal [get_filesets -quiet constrs_1] ""]} { -# create_fileset -constrset constrs_1 -# } -# set obj [get_filesets sources_1] -# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj -# add_files -quiet $src_dir/hdl -# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] -# add_files -fileset constrs_1 -quiet $src_dir/constraints -# if {[string equal [get_runs -quiet synth_1] ""]} { -# create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1 -# } else { -# set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1] -# set_property flow "Vivado Synthesis 2014" [get_runs synth_1] -# } -# set obj [get_runs synth_1] -# set_property "part" "$part_num" $obj -# set_property "steps.synth_design.args.fanout_limit" "400" $obj -# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj -# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj -# set_property "steps.synth_design.args.resource_sharing" "off" $obj -# set_property "steps.synth_design.args.no_lc" "1" $obj -# set_property "steps.synth_design.args.shreg_min_size" "5" $obj -# current_run -synthesis [get_runs synth_1] -# if {[string equal [get_runs -quiet impl_1] ""]} { -# create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 -# } else { -# set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] -# set_property flow "Vivado Implementation 2014" [get_runs impl_1] +set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +update_compile_order -fileset sources_1 +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:07 . Memory (MB): peak = 8122.285 ; gain = 0.000 ; free physical = 2237 ; free virtual = 14481 +INFO: [USF-XSim-69] 'compile' step finished in '7' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:06 . Memory (MB): peak = 8122.285 ; gain = 0.000 ; free physical = 2181 ; free virtual = 14456 +INFO: [USF-XSim-69] 'elaborate' step finished in '6' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:16 . Memory (MB): peak = 8122.285 ; gain = 8.906 ; free physical = 2177 ; free virtual = 14452 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 8222.305 ; gain = 9.973 ; free physical = 2170 ; free virtual = 14447 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8418.418 ; gain = 0.000 ; free physical = 2172 ; free virtual = 14453 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 8436.422 ; gain = 0.000 ; free physical = 2165 ; free virtual = 14446 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8529.543 ; gain = 0.109 ; free physical = 2139 ; free virtual = 14421 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +ERROR: [VRFC 10-4982] syntax error near '35' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:188] +ERROR: [VRFC 10-3134] attribute 'length' does not return type 'unresolved_signed' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:188] +ERROR: [VRFC 10-3340] formal 'size_res' has no actual or default value [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:188] +ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55] +INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8700.625 ; gain = 0.000 ; free physical = 2298 ; free virtual = 14536 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 8718.613 ; gain = 0.000 ; free physical = 2142 ; free virtual = 14403 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +set_property used_in_synthesis false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +set_property used_in_implementation false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +set_property used_in_simulation false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +set_property used_in_implementation true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +set_property used_in_synthesis true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '4' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8754.613 ; gain = 0.000 ; free physical = 2179 ; free virtual = 14438 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8952.656 ; gain = 10.000 ; free physical = 2171 ; free virtual = 14428 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9052.691 ; gain = 0.000 ; free physical = 2192 ; free virtual = 14449 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +ERROR: [VRFC 10-719] formal port/generic <i_loadoutput> is not declared in <operativeunit> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:108] +ERROR: [VRFC 10-3353] formal port 'i_loady' has no actual or default value [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:98] +ERROR: [VRFC 10-9458] unit 'archi_firunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42] +INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 9208.691 ; gain = 0.000 ; free physical = 2125 ; free virtual = 14415 +INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements +Time: 0 ps Iteration: 0 Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160 + File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd + +HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160 +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:12 . Memory (MB): peak = 9285.738 ; gain = 77.047 ; free physical = 2092 ; free virtual = 14395 +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements +Time: 0 ps Iteration: 0 Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160 + File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd + +HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160 +relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9291.738 ; gain = 0.000 ; free physical = 2066 ; free virtual = 14370 +run all +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 9294.500 ; gain = 0.000 ; free physical = 2060 ; free virtual = 14363 +INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +launch_simulation: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9294.500 ; gain = 0.000 ; free physical = 2060 ; free virtual = 14363 +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 9304.496 ; gain = 9.996 ; free physical = 2060 ; free virtual = 14364 +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 9316.633 ; gain = 0.000 ; free physical = 1968 ; free virtual = 14270 +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9316.633 ; gain = 0.000 ; free physical = 2002 ; free virtual = 14307 +Time resolution is 1 ps +ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements +Time: 0 ps Iteration: 0 Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160 + File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd + +HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160 +relaunch_sim: Time (s): cpu = 00:00:11 ; elapsed = 00:00:13 . Memory (MB): peak = 9316.633 ; gain = 0.000 ; free physical = 1996 ; free virtual = 14301 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +Time resolution is 1 ps +ERROR: Array sizes do not match, left array has 32 elements, right array has 72 elements +Time: 0 ps Iteration: 0 Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160 + File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd + +HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160 +relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 9434.539 ; gain = 0.000 ; free physical = 1993 ; free virtual = 14297 +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +ERROR: Array sizes do not match, left array has 32 elements, right array has 72 elements +Time: 0 ps Iteration: 0 Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160 + File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd + +HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160 +relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 9434.539 ; gain = 0.000 ; free physical = 1954 ; free virtual = 14259 +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-9564] expression has 16 elements; expected 32 [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:156] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9478.688 ; gain = 0.000 ; free physical = 1956 ; free virtual = 14262 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 9528.551 ; gain = 45.684 ; free physical = 1973 ; free virtual = 14277 +run 10 us +run 10 us +run 10 us +add_bp {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd} 119 +remove_bps -file {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd} -line 119 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:08 . Memory (MB): peak = 9536.566 ; gain = 0.000 ; free physical = 1976 ; free virtual = 14281 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '4' seconds +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 9588.277 ; gain = 0.000 ; free physical = 1955 ; free virtual = 14260 +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9588.277 ; gain = 0.000 ; free physical = 1945 ; free virtual = 14253 +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:12 ; elapsed = 00:00:14 . Memory (MB): peak = 9588.277 ; gain = 0.000 ; free physical = 1941 ; free virtual = 14249 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 9602.273 ; gain = 0.000 ; free physical = 1894 ; free virtual = 14209 +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 9602.273 ; gain = 0.000 ; free physical = 1932 ; free virtual = 14249 +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 9602.273 ; gain = 0.000 ; free physical = 1926 ; free virtual = 14244 +run 10 us +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9602.273 ; gain = 0.000 ; free physical = 1920 ; free virtual = 14227 +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [Common 17-41] Interrupt caught. Command should exit soon. +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [Common 17-344] 'execute_script' was cancelled +INFO: [Vivado 12-5357] 'compile' step aborted +INFO: [Common 17-344] 'launch_simulation' was cancelled +INFO: [Common 17-344] 'relaunch_sim' was cancelled +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 9624.285 ; gain = 19.863 ; free physical = 1949 ; free virtual = 14259 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +ERROR: [VRFC 10-724] found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+" [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:176] +ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55] +INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9670.293 ; gain = 18.000 ; free physical = 1909 ; free virtual = 14218 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9708.297 ; gain = 0.000 ; free physical = 1905 ; free virtual = 14215 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements +Time: 0 ps Iteration: 0 Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160 + File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd + +HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160 +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:11 ; elapsed = 00:00:08 . Memory (MB): peak = 9708.297 ; gain = 0.000 ; free physical = 1919 ; free virtual = 14229 +run 10 us +ERROR: [Simulator 45-1] A fatal run-time error was detected. Simulation cannot continue. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +INFO: [Vivado 12-2267] Reset complete +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9740.312 ; gain = 21.859 ; free physical = 1886 ; free virtual = 14197 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9785.332 ; gain = 0.000 ; free physical = 1896 ; free virtual = 14206 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements +Time: 0 ps Iteration: 0 Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160 + File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd + +HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160 +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9832.328 ; gain = 14.855 ; free physical = 1906 ; free virtual = 14219 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 10041.387 ; gain = 9.863 ; free physical = 1840 ; free virtual = 14186 +run 10 us +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 10042.387 ; gain = 0.000 ; free physical = 1810 ; free virtual = 14156 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 10078.531 ; gain = 0.000 ; free physical = 1818 ; free virtual = 14163 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 10106.535 ; gain = 0.000 ; free physical = 1823 ; free virtual = 14169 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 10203.406 ; gain = 0.000 ; free physical = 1778 ; free virtual = 14121 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +ERROR: [VRFC 10-724] found '0' definitions of operator "=", cannot determine exact overloaded matching definition for "=" [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:177] +ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55] +INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:] +close_project +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'. +INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'. +Scanning sources... +Finished scanning sources +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +ERROR: [VRFC 10-2989] 'sr_addresult' is not declared [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:192] +ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55] +INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +update_compile_order -fileset sources_1 +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1752 ; free virtual = 14098 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1662 ; free virtual = 14010 +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1731 ; free virtual = 14078 +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1714 ; free virtual = 14061 +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1705 ; free virtual = 14055 +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1700 ; free virtual = 14050 +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } # } -# set obj [get_runs impl_1] -# set_property "part" "$part_num" $obj -# set_property "steps.write_bitstream.args.bin_file" "1" $obj -# current_run -implementation [get_runs impl_1] -impl_1 -update_compile_order -fileset sources_1 -update_compile_order -fileset sources_1 -set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] -update_compile_order -fileset sources_1 -set_property top tb_firUnit [get_filesets sim_1] -set_property top_lib xil_defaultlib [get_filesets sim_1] +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1693 ; free virtual = 14044 +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete launch_simulation Command: launch_simulation INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' @@ -151,36 +3419,28 @@ INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -xvlog --incr --relax -prj tb_firUnit_vlog.prj -/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected -INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module operativeUnit -INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module glbl xvhdl --incr --relax -prj tb_firUnit_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -execute_script: Time (s): cpu = 00:00:05 ; elapsed = 00:00:12 . Memory (MB): peak = 9270.094 ; gain = 0.000 ; free physical = 257 ; free virtual = 14501 -INFO: [USF-XSim-69] 'compile' step finished in '12' seconds +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -Pass Through NonSizing Optimizer -WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478] Completed static elaboration Starting simulation data flow analysis Completed simulation data flow analysis @@ -189,33 +3449,13 @@ Compiling package std.standard Compiling package std.textio Compiling package ieee.std_logic_1164 Compiling package ieee.numeric_std -Compiling package vl.vl_types -Compiling module xil_defaultlib.glbl Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] -Compiling module unisims_ver.GND -Compiling module unisims_ver.BUFG -Compiling module unisims_ver.IBUF -Compiling module unisims_ver.OBUF -Compiling module unisims_ver.x_lut3_mux8 -Compiling module unisims_ver.LUT3 -Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... -Compiling module unisims_ver.x_lut2_mux4 -Compiling module unisims_ver.LUT2 -Compiling module unisims_ver.LUT4 -Compiling module unisims_ver.LUT6 -Compiling module unisims_ver.MUXF8 -Compiling module unisims_ver.MUXF7 -Compiling module unisims_ver.FDCE_default -Compiling module unisims_ver.CARRY4 -Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) -Compiling module unisims_ver.VCC -Compiling module xil_defaultlib.operativeUnit +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit Built simulation snapshot tb_firUnit_behav /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected -execute_script: Time (s): cpu = 00:00:07 ; elapsed = 00:00:14 . Memory (MB): peak = 9270.094 ; gain = 0.000 ; free physical = 279 ; free virtual = 14501 -INFO: [USF-XSim-69] 'elaborate' step finished in '14' seconds +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim @@ -235,20 +3475,16 @@ source tb_firUnit.tcl # run 1000ns INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns -launch_simulation: Time (s): cpu = 00:00:18 ; elapsed = 00:00:35 . Memory (MB): peak = 9340.332 ; gain = 81.145 ; free physical = 268 ; free virtual = 14503 -move_files -fileset sim_1 [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd}}] -update_compile_order -fileset sim_1 -set_property top audioProc [get_filesets sim_1] -set_property top_lib xil_defaultlib [get_filesets sim_1] -update_compile_order -fileset sim_1 +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1741 ; free virtual = 14092 +close_sim +INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete launch_simulation Command: launch_simulation -INFO: [Vivado 12-12493] Simulation top is 'audioProc' +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... -WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Simulation target. Since these IPs are locked, no update to the output products can be done. -Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information. -/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci - INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs @@ -256,50 +3492,33 @@ INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' INFO: [USF-XSim-7] Finding pre-compiled libraries... INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'... +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -xvlog --incr --relax -prj audioProc_vlog.prj -/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected -INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz -INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module clk_wiz_0 -INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module audio_init -INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module debounce -INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module audioProc -xvhdl --incr --relax -prj audioProc_vhdl.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected -INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'TWICtl' -INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'fir' -INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'i2s_ctl' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -INFO: [USF-XSim-69] 'compile' step finished in '5' seconds +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -Pass Through NonSizing Optimizer -WARNING: [VRFC 10-9380] size mismatch in mixed-language port association of VHDL port 'en_tx_i' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:138] -WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478] -WARNING: [VRFC 10-5021] port 'reset' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87] -WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] -WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] Completed static elaboration Starting simulation data flow analysis Completed simulation data flow analysis @@ -307,51 +3526,21 @@ Time Resolution for simulation is 1ps Compiling package std.standard Compiling package std.textio Compiling package ieee.std_logic_1164 -Compiling package ieee.std_logic_arith -Compiling package ieee.std_logic_unsigned -Compiling package ieee.math_real Compiling package ieee.numeric_std -Compiling module unisims_ver.IBUF -Compiling module unisims_ver.MMCME2_ADV(CLKFBOUT_MULT_F=6.0,C... -Compiling module unisims_ver.BUFG -Compiling module xil_defaultlib.clk_wiz_0_clk_wiz -Compiling module xil_defaultlib.clk_wiz_0 -Compiling architecture behavioral of entity xil_defaultlib.TWICtl [twictl_default] -Compiling module xil_defaultlib.audio_init -Compiling module xil_defaultlib.debounce -Compiling architecture behavioral of entity xil_defaultlib.i2s_ctl [i2s_ctl_default] Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] -Compiling module unisims_ver.GND -Compiling module unisims_ver.OBUF -Compiling module unisims_ver.x_lut3_mux8 -Compiling module unisims_ver.LUT3 -Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... -Compiling module unisims_ver.x_lut2_mux4 -Compiling module unisims_ver.LUT2 -Compiling module unisims_ver.LUT4 -Compiling module unisims_ver.LUT6 -Compiling module unisims_ver.MUXF8 -Compiling module unisims_ver.MUXF7 -Compiling module unisims_ver.FDCE_default -Compiling module unisims_ver.CARRY4 -Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) -Compiling module unisims_ver.VCC -Compiling module xil_defaultlib.operativeUnit +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] -Compiling architecture myarch of entity xil_defaultlib.fir [\fir(ntaps=16)\] -Compiling module xil_defaultlib.audioProc -Compiling module xil_defaultlib.glbl -Built simulation snapshot audioProc_behav +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected -execute_script: Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 9458.309 ; gain = 0.000 ; free physical = 382 ; free virtual = 14420 -INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim - with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" INFO: [USF-XSim-8] Loading simulator feature Time resolution is 1 ps -source audioProc.tcl +source tb_firUnit.tcl # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { @@ -362,18 +3551,14 @@ source audioProc.tcl # } # } # run 1000ns -INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns -launch_simulation: Time (s): cpu = 00:00:20 ; elapsed = 00:00:17 . Memory (MB): peak = 9506.074 ; gain = 47.766 ; free physical = 394 ; free virtual = 14436 -set_property top tb_firUnit [get_filesets sim_1] -set_property top_lib xil_defaultlib [get_filesets sim_1] -update_compile_order -fileset sim_1 -set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] -update_compile_order -fileset sources_1 -set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] -current_sim simulation_1 +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1630 ; free virtual = 13979 close_sim INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete launch_simulation Command: launch_simulation INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' @@ -392,72 +3577,39 @@ INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' xvhdl --incr --relax -prj tb_firUnit_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:121] -ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:123] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:128] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:132] -ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:134] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:139] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:142] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:143] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:146] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:154] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:158] -ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:159] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:163] -ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:165] -ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117] -ERROR: [VRFC 10-9165] mismatch on label; expected 'shift' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117] -ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55] -INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors -INFO: [USF-XSim-69] 'compile' step finished in '2' seconds -INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' -ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information. -ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. -ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. -set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] -set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] -update_compile_order -fileset sources_1 -launch_simulation -Command: launch_simulation -INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' -INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... -INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. -INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs -INFO: [SIM-utils-51] Simulation object is 'sim_1' -INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' -INFO: [USF-XSim-7] Finding pre-compiled libraries... -INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... -INFO: [USF-XSim-97] Finding global include files... -INFO: [USF-XSim-98] Fetching design files from 'sim_1'... -INFO: [USF-XSim-2] XSim::Compile design -INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -xvlog --incr --relax -prj tb_firUnit_vlog.prj -/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected -xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -INFO: [USF-XSim-69] 'compile' step finished in '4' seconds +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' -xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -Pass Through NonSizing Optimizer -WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478] Completed static elaboration -INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design @@ -479,21 +3631,12 @@ source tb_firUnit.tcl # run 1000ns INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns -launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 9642.078 ; gain = 26.844 ; free physical = 377 ; free virtual = 14417 -run 10 us -run 10 us -run 10 us -set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] -WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd:] -WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] -WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] -update_compile_order -fileset sources_1 -WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd:] -WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] -WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] -run all +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1737 ; free virtual = 14088 close_sim INFO: [Simtcl 6-16] Simulation closed +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete launch_simulation Command: launch_simulation INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' @@ -512,11 +3655,17 @@ INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' xvhdl --incr --relax -prj tb_firUnit_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log @@ -526,53 +3675,46 @@ Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] -ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] -ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] -ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] -ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] -ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] -ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] -ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] -ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] -ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] -ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] -ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] -ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] -ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] -ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] -ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected -INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds -INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' -ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. -ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. -launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 10235.348 ; gain = 0.000 ; free physical = 337 ; free virtual = 14096 -ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1745 ; free virtual = 14096 close_sim INFO: [Simtcl 6-16] Simulation closed -close_project -open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} -WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'. -WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'. -WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'. -WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'. -INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'. -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. -If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. -If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. -If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. -If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. -WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: -* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. -update_compile_order -fileset sources_1 +reset_simulation -simset sim_1 -mode behavioral +INFO: [Vivado 12-2266] Removing simulation data... +INFO: [Vivado 12-2267] Reset complete launch_simulation Command: launch_simulation INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' @@ -591,9 +3733,17 @@ INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' xvhdl --incr --relax -prj tb_firUnit_vhdl.prj /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. -INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log @@ -603,28 +3753,43 @@ Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] -ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] -ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] -ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] -ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] -ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] -ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] -ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] -ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] -ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] -ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] -ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] -ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] -ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] -ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] -ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds -INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' -ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. -ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. -launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 10235.348 ; gain = 0.000 ; free physical = 382 ; free virtual = 14139 -ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1697 ; free virtual = 14050 +save_wave_config {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/tb_firUnit_behav.wcfg} +close_sim +INFO: [Simtcl 6-16] Simulation closed exit -INFO: [Common 17-206] Exiting Vivado at Fri May 9 10:45:13 2025... +INFO: [Common 17-206] Exiting Vivado at Mon May 12 11:04:56 2025... diff --git a/vivado_233430.backup.jou b/vivado_233430.backup.jou new file mode 100644 index 0000000..d435f83 --- /dev/null +++ b/vivado_233430.backup.jou @@ -0,0 +1,86 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Fri May 9 08:18:24 2025 +# Process ID: 233430 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul +# Command line: vivado +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :3092.524 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :17078 MB +#----------------------------------------------------------- +start_gui +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.xpr} +update_compile_order -fileset sources_1 +close_project +d tp-filtre-etudiant-t24autul/proj +cd tp-filtre-etudiant-t24autul/proj +ls +cd proj +source ./create_project.tcl +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +update_compile_order -fileset sources_1 +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source tb_firUnit.tcl +move_files -fileset sim_1 [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd}}] +update_compile_order -fileset sim_1 +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top audioProc [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +update_compile_order -fileset sim_1 +launch_simulation +source audioProc.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +update_compile_order -fileset sim_1 +set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +update_compile_order -fileset sources_1 +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +current_sim simulation_1 +close_sim +launch_simulation +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +update_compile_order -fileset sources_1 +launch_simulation +source tb_firUnit.tcl +run 10 us +run 10 us +run 10 us +set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +update_compile_order -fileset sources_1 +run all +close_sim +launch_simulation +close_sim +close_project +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} +update_compile_order -fileset sources_1 +launch_simulation diff --git a/vivado_233430.backup.log b/vivado_233430.backup.log new file mode 100644 index 0000000..8ca0565 --- /dev/null +++ b/vivado_233430.backup.log @@ -0,0 +1,630 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Fri May 9 08:18:24 2025 +# Process ID: 233430 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul +# Command line: vivado +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :3092.524 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :17078 MB +#----------------------------------------------------------- +start_gui +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.xpr} +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/-'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Traitement'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/audio/tp-synthe/tp-synthe-etudiant-t24autul-1/repo'. +INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.gen/sources_1'. +Scanning sources... +Finished scanning sources +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/audio/tp-synthe/tp-synthe-etudiant-t24autul-1/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 8148.762 ; gain = 237.531 ; free physical = 215 ; free virtual = 15129 +update_compile_order -fileset sources_1 +close_project +d tp-filtre-etudiant-t24autul/proj +ambiguous command name "d": decrypt_bitstream delete_clock_networks_results delete_dashboard delete_dashboard_gadgets delete_debug_core delete_debug_port delete_drc_check delete_drc_ruledeck delete_fileset delete_interface delete_ip_run delete_macros delete_partition_defs delete_pblocks delete_port delete_power_rails delete_power_results delete_pr_configurations delete_property delete_qor_suggestions delete_reconfig_modules delete_report_configs delete_rpm delete_runs delete_timing_results delete_utilization_results delete_waivers device_enable dict diff_board disconnect_debug_port disconnect_net +cd tp-filtre-etudiant-t24autul/proj +couldn't change working directory to "tp-filtre-etudiant-t24autul/proj": no such file or directory +ls +WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell. +docs +proj +README.md +src +vivado.jou +vivado.log +vivado_pid233430.str +cd proj +source ./create_project.tcl +# if {[info exists ::create_path]} { +# set dest_dir $::create_path +# } else { +# set dest_dir [pwd] +# } +# puts "INFO: Creating new project in $dest_dir" +INFO: Creating new project in /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj +# set proj_name "AudioProc" +# set origin_dir ".." +# set orig_proj_dir "[file normalize "$origin_dir/proj"]" +# set src_dir $origin_dir/src +# set repo_dir $origin_dir/repo +# set part_num "xc7a200tsbg484-1" +# create_project $proj_name $dest_dir +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +# set proj_dir [get_property directory [current_project]] +# set obj [get_projects $proj_name] +# set_property "default_lib" "xil_defaultlib" $obj +# set_property "part" "$part_num" $obj +# set_property "simulator_language" "Mixed" $obj +# set_property "target_language" "VHDL" $obj +# if {[string equal [get_filesets -quiet sources_1] ""]} { +# create_fileset -srcset sources_1 +# } +# if {[string equal [get_filesets -quiet constrs_1] ""]} { +# create_fileset -constrset constrs_1 +# } +# set obj [get_filesets sources_1] +# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj +# add_files -quiet $src_dir/hdl +# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] +# add_files -fileset constrs_1 -quiet $src_dir/constraints +# if {[string equal [get_runs -quiet synth_1] ""]} { +# create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1 +# } else { +# set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1] +# set_property flow "Vivado Synthesis 2014" [get_runs synth_1] +# } +# set obj [get_runs synth_1] +# set_property "part" "$part_num" $obj +# set_property "steps.synth_design.args.fanout_limit" "400" $obj +# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj +# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj +# set_property "steps.synth_design.args.resource_sharing" "off" $obj +# set_property "steps.synth_design.args.no_lc" "1" $obj +# set_property "steps.synth_design.args.shreg_min_size" "5" $obj +# current_run -synthesis [get_runs synth_1] +# if {[string equal [get_runs -quiet impl_1] ""]} { +# create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 +# } else { +# set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] +# set_property flow "Vivado Implementation 2014" [get_runs impl_1] +# } +# set obj [get_runs impl_1] +# set_property "part" "$part_num" $obj +# set_property "steps.write_bitstream.args.bin_file" "1" $obj +# current_run -implementation [get_runs impl_1] +impl_1 +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +update_compile_order -fileset sources_1 +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module operativeUnit +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module glbl +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +execute_script: Time (s): cpu = 00:00:05 ; elapsed = 00:00:12 . Memory (MB): peak = 9270.094 ; gain = 0.000 ; free physical = 257 ; free virtual = 14501 +INFO: [USF-XSim-69] 'compile' step finished in '12' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +execute_script: Time (s): cpu = 00:00:07 ; elapsed = 00:00:14 . Memory (MB): peak = 9270.094 ; gain = 0.000 ; free physical = 279 ; free virtual = 14501 +INFO: [USF-XSim-69] 'elaborate' step finished in '14' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:18 ; elapsed = 00:00:35 . Memory (MB): peak = 9340.332 ; gain = 81.145 ; free physical = 268 ; free virtual = 14503 +move_files -fileset sim_1 [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd}}] +update_compile_order -fileset sim_1 +set_property top audioProc [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +update_compile_order -fileset sim_1 +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'audioProc' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Simulation target. Since these IPs are locked, no update to the output products can be done. +Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information. +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci + +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj audioProc_vlog.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clk_wiz_0 +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module audio_init +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module debounce +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module audioProc +xvhdl --incr --relax -prj audioProc_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'TWICtl' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'fir' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'i2s_ctl' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '5' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-9380] size mismatch in mixed-language port association of VHDL port 'en_tx_i' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:138] +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478] +WARNING: [VRFC 10-5021] port 'reset' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87] +WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199] +WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.std_logic_arith +Compiling package ieee.std_logic_unsigned +Compiling package ieee.math_real +Compiling package ieee.numeric_std +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.MMCME2_ADV(CLKFBOUT_MULT_F=6.0,C... +Compiling module unisims_ver.BUFG +Compiling module xil_defaultlib.clk_wiz_0_clk_wiz +Compiling module xil_defaultlib.clk_wiz_0 +Compiling architecture behavioral of entity xil_defaultlib.TWICtl [twictl_default] +Compiling module xil_defaultlib.audio_init +Compiling module xil_defaultlib.debounce +Compiling architecture behavioral of entity xil_defaultlib.i2s_ctl [i2s_ctl_default] +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture myarch of entity xil_defaultlib.fir [\fir(ntaps=16)\] +Compiling module xil_defaultlib.audioProc +Compiling module xil_defaultlib.glbl +Built simulation snapshot audioProc_behav +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +execute_script: Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 9458.309 ; gain = 0.000 ; free physical = 382 ; free virtual = 14420 +INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source audioProc.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:20 ; elapsed = 00:00:17 . Memory (MB): peak = 9506.074 ; gain = 47.766 ; free physical = 394 ; free virtual = 14436 +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +update_compile_order -fileset sim_1 +set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +update_compile_order -fileset sources_1 +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +current_sim simulation_1 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:121] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:123] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:128] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:132] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:134] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:139] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:142] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:143] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:146] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:154] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:158] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:159] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:163] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:165] +ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117] +ERROR: [VRFC 10-9165] mismatch on label; expected 'shift' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117] +ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55] +INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}] +update_compile_order -fileset sources_1 +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '4' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 9642.078 ; gain = 26.844 ; free physical = 377 ; free virtual = 14417 +run 10 us +run 10 us +run 10 us +set_property is_enabled true [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +update_compile_order -fileset sources_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:] +run all +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 10235.348 ; gain = 0.000 ; free physical = 337 ; free virtual = 14096 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_project +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'. +INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'. +Scanning sources... +Finished scanning sources +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +update_compile_order -fileset sources_1 +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360] +ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 10235.348 ; gain = 0.000 ; free physical = 382 ; free virtual = 14139 +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +exit +INFO: [Common 17-206] Exiting Vivado at Fri May 9 10:45:13 2025... diff --git a/vivado_379046.backup.jou b/vivado_379046.backup.jou new file mode 100644 index 0000000..64e7542 --- /dev/null +++ b/vivado_379046.backup.jou @@ -0,0 +1,23 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:08:14 2025 +# Process ID: 379046 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul +# Command line: vivado +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2294.599 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :17208 MB +#----------------------------------------------------------- diff --git a/vivado_379046.backup.log b/vivado_379046.backup.log new file mode 100644 index 0000000..64e7542 --- /dev/null +++ b/vivado_379046.backup.log @@ -0,0 +1,23 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:08:14 2025 +# Process ID: 379046 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul +# Command line: vivado +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2294.599 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :17208 MB +#----------------------------------------------------------- diff --git a/vivado_379771.backup.jou b/vivado_379771.backup.jou new file mode 100644 index 0000000..de0e31e --- /dev/null +++ b/vivado_379771.backup.jou @@ -0,0 +1,67 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:09:49 2025 +# Process ID: 379771 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul +# Command line: vivado +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :3092.535 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :17160 MB +#----------------------------------------------------------- +start_gui +cd src +ls +pwd +cd +pwd +cd ./Documents/SAR - Traitement audio/tp-filtre/ +cd ./Documents/SAR - Traitement audio/ +pwd +cd ./Documents/SAR - Traitement audio/ +cd /Documents/SAR - Traitement audio/ +cd "/Documents/SAR - Traitement audio/" +cd "./Documents/SAR - Traitement audio/" +pwd +cd "./Documents/SAR - Traitement audio/tp-filtre-etudiant-t24autul/proj" +cd "./tp-filtre-etudiant-t24autul/proj" +cd "./tp-filtre-etudiant-t24autul/proj" +cd "./tp-filtre-etudiant-t24autul/" +pwd +cd "./tp-filtre/" +cd "./tp-filtre-etudiant-t24autul/" +cd "./proj" +source ./create_project.tcl +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} +update_compile_order -fileset sources_1 +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +update_compile_order -fileset sources_1 +launch_runs impl_1 -to_step write_bitstream -jobs 2 +wait_on_run impl_1 +open_run impl_1 +open_hw_manager +connect_hw_server -allow_non_jtag +connect_hw_server -allow_non_jtag +connect_hw_server -allow_non_jtag +close_hw_manager +open_hw_manager +connect_hw_server -allow_non_jtag +connect_hw_server -allow_non_jtag +connect_hw_server -allow_non_jtag +connect_hw_server -allow_non_jtag +connect_hw_server -allow_non_jtag +close_hw_manager +open_hw_manager +connect_hw_server -allow_non_jtag diff --git a/vivado_379771.backup.log b/vivado_379771.backup.log new file mode 100644 index 0000000..b6277a7 --- /dev/null +++ b/vivado_379771.backup.log @@ -0,0 +1,397 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:09:49 2025 +# Process ID: 379771 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul +# Command line: vivado +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :3092.535 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :17160 MB +#----------------------------------------------------------- +start_gui +cd src +ls +WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell. +constraints +hdl +ip +pwd +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +cd +pwd +/homes/t24autul +cd ./Documents/SAR - Traitement audio/tp-filtre/ +wrong # args: should be "cd ?dirName?" +cd ./Documents/SAR - Traitement audio/ +wrong # args: should be "cd ?dirName?" +pwd +/homes/t24autul +cd ./Documents/SAR - Traitement audio/ +wrong # args: should be "cd ?dirName?" +cd /Documents/SAR - Traitement audio/ +wrong # args: should be "cd ?dirName?" +cd "/Documents/SAR - Traitement audio/" +couldn't change working directory to "/Documents/SAR - Traitement audio/": no such file or directory +cd "./Documents/SAR - Traitement audio/" +pwd +/homes/t24autul/Documents/SAR - Traitement audio +cd "./Documents/SAR - Traitement audio/tp-filtre-etudiant-t24autul/proj" +couldn't change working directory to "./Documents/SAR - Traitement audio/tp-filtre-etudiant-t24autul/proj": no such file or directory +cd "./tp-filtre-etudiant-t24autul/proj" +couldn't change working directory to "./tp-filtre-etudiant-t24autul/proj": no such file or directory +cd "./tp-filtre-etudiant-t24autul/proj" +couldn't change working directory to "./tp-filtre-etudiant-t24autul/proj": no such file or directory +cd "./tp-filtre-etudiant-t24autul/" +couldn't change working directory to "./tp-filtre-etudiant-t24autul/": no such file or directory +pwd +/homes/t24autul/Documents/SAR - Traitement audio +cd "./tp-filtre/" +cd "./tp-filtre-etudiant-t24autul/" +cd "./proj" +source ./create_project.tcl +# if {[info exists ::create_path]} { +# set dest_dir $::create_path +# } else { +# set dest_dir [pwd] +# } +# puts "INFO: Creating new project in $dest_dir" +INFO: Creating new project in /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj +# set proj_name "AudioProc" +# set origin_dir ".." +# set orig_proj_dir "[file normalize "$origin_dir/proj"]" +# set src_dir $origin_dir/src +# set repo_dir $origin_dir/repo +# set part_num "xc7a200tsbg484-1" +# create_project $proj_name $dest_dir +ERROR: [Common 17-53] User Exception: Project already exists on disk, please use '-force' option to overwrite: + /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr + /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim + /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache + /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.hw + /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.ip_user_files +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'. +INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'. +Scanning sources... +Finished scanning sources +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +open_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8118.301 ; gain = 174.906 ; free physical = 1990 ; free virtual = 15247 +update_compile_order -fileset sources_1 +set_property is_enabled false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}] +update_compile_order -fileset sources_1 +launch_runs impl_1 -to_step write_bitstream -jobs 2 +INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 +WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior. +Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information. +WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. +Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information. +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci + +WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. +Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information. +/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci + +[Mon May 12 08:21:55 2025] Launched synth_1... +Run output will be captured here: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/runme.log +[Mon May 12 08:21:55 2025] Launched impl_1... +Run output will be captured here: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/runme.log +open_run impl_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 8608.773 ; gain = 0.000 ; free physical = 2556 ; free virtual = 14560 +INFO: [Netlist 29-17] Analyzing 121 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Read ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 8679.523 ; gain = 0.000 ; free physical = 2472 ; free virtual = 14479 +INFO: [Timing 38-478] Restoring timing data from binary archive. +INFO: [Timing 38-479] Binary timing data restore complete. +INFO: [Project 1-856] Restoring constraints from binary archive. +INFO: [Project 1-853] Binary constraint restore complete. +INFO: [Designutils 20-5722] Start Reading Physical Databases. +Reading placement. +Read Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1981 ; free virtual = 13985 +Reading placer database... +Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1980 ; free virtual = 13985 +Read PlaceDB: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.07 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983 +Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983 +Reading routing. +Read RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983 +Read Physdb Files: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983 +Restored from archive | CPU: 0.130000 secs | Memory: 1.649918 MB | +Finished XDEF File Restore: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.13 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +open_run: Time (s): cpu = 00:00:26 ; elapsed = 00:00:32 . Memory (MB): peak = 9418.461 ; gain = 1180.125 ; free physical = 1806 ; free virtual = 13820 +open_hw_manager +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:39285 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 9418.461 ; gain = 0.000 ; free physical = 1276 ; free virtual = 13416 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:50987 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.461 ; gain = 0.000 ; free physical = 1175 ; free virtual = 13362 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:36373 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.461 ; gain = 0.000 ; free physical = 1274 ; free virtual = 13406 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +close_hw_manager +open_hw_manager +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:55415 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:03 ; elapsed = 00:00:07 . Memory (MB): peak = 9418.500 ; gain = 0.039 ; free physical = 1121 ; free virtual = 13297 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:50479 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.500 ; gain = 0.000 ; free physical = 1152 ; free virtual = 13322 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:45477 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.500 ; gain = 0.000 ; free physical = 1112 ; free virtual = 13282 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:58283 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.500 ; gain = 0.000 ; free physical = 1115 ; free virtual = 13285 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:47759 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.500 ; gain = 0.000 ; free physical = 1100 ; free virtual = 13270 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +close_hw_manager +open_hw_manager +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:40907 to hw_server at TCP:localhost:3121. +connect_hw_server: Time (s): cpu = 00:00:03 ; elapsed = 00:00:07 . Memory (MB): peak = 9448.500 ; gain = 0.000 ; free physical = 1010 ; free virtual = 13180 +ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors. +exit +INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:41:31 2025... diff --git a/vivado_390034.backup.jou b/vivado_390034.backup.jou new file mode 100644 index 0000000..2a68415 --- /dev/null +++ b/vivado_390034.backup.jou @@ -0,0 +1,42 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:41:39 2025 +# Process ID: 390034 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul +# Command line: vivado +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2472.069 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :16384 MB +#----------------------------------------------------------- +start_gui +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} +open_hw_manager +connect_hw_server -allow_non_jtag +open_hw_target +set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0] +current_hw_device [get_hw_devices xc7a200t_0] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0] +update_compile_order -fileset sources_1 +set_property PROBES.FILE {} [get_hw_devices xc7a200t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0] +set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0] +program_hw_devices [get_hw_devices xc7a200t_0] +refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0] +set_property PROBES.FILE {} [get_hw_devices xc7a200t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0] +set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0] +program_hw_devices [get_hw_devices xc7a200t_0] +refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0] diff --git a/vivado_390034.backup.log b/vivado_390034.backup.log new file mode 100644 index 0000000..b6b0d7a --- /dev/null +++ b/vivado_390034.backup.log @@ -0,0 +1,105 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Mon May 12 08:41:39 2025 +# Process ID: 390034 +# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul +# Command line: vivado +# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log +# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou +# Running On :fl-tp-br-543 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz +# CPU Frequency :2472.069 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16688 MB +# Swap memory :4294 MB +# Total Virtual :20983 MB +# Available Virtual :16384 MB +#----------------------------------------------------------- +start_gui +open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'. +WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'. +INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'. +Scanning sources... +Finished scanning sources +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +open_project: Time (s): cpu = 00:00:51 ; elapsed = 00:00:42 . Memory (MB): peak = 8431.262 ; gain = 812.305 ; free physical = 2157 ; free virtual = 14292 +open_hw_manager +connect_hw_server -allow_non_jtag +INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 +INFO: [Labtools 27-2222] Launching hw_server... +INFO: [Labtools 27-2221] Launch Output: + +****** Xilinx hw_server v2024.1 + **** Build date : May 22 2024 at 19:19:01 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0 +INFO: [Labtools 27-3417] Launching cs_server... +INFO: [Labtools 27-2221] Launch Output: + + +******** Xilinx cs_server v2024.1.0 + ****** Build date : Apr 27 2024-03:40:49 + **** Build number : 2024.1.1714182049 + ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved. + + + +connect_hw_server: Time (s): cpu = 00:00:03 ; elapsed = 00:00:06 . Memory (MB): peak = 8511.176 ; gain = 9.977 ; free physical = 1984 ; free virtual = 14168 +open_hw_target +INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210276A79435B +set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0] +current_hw_device [get_hw_devices xc7a200t_0] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0] +INFO: [Labtools 27-1435] Device xc7a200t (JTAG device index = 0) is not programmed (DONE status = 0). +update_compile_order -fileset sources_1 +set_property PROBES.FILE {} [get_hw_devices xc7a200t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0] +set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0] +program_hw_devices [get_hw_devices xc7a200t_0] +INFO: [Labtools 27-3164] End of startup status: HIGH +program_hw_devices: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8515.164 ; gain = 0.000 ; free physical = 2004 ; free virtual = 14188 +refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0] +INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +set_property PROBES.FILE {} [get_hw_devices xc7a200t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0] +set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0] +program_hw_devices [get_hw_devices xc7a200t_0] +INFO: [Labtools 27-3164] End of startup status: HIGH +program_hw_devices: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8515.164 ; gain = 0.000 ; free physical = 1848 ; free virtual = 14026 +refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0] +INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210276A79435B +exit +INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:52:01 2025... -- GitLab