Skip to content
Snippets Groups Projects
Commit 4f34cae6 authored by YE Victor's avatar YE Victor
Browse files

Delete .nfs0000000002707fa90000027d

parent 99ce9981
No related branches found
No related tags found
No related merge requests found
#-----------------------------------------------------------
# Vivado v2024.1 (64-bit)
# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
# Start of session at: Mon May 12 14:08:08 2025
# Process ID: 426735
# Current directory: /homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot
# Command line: vivado
# Log file: /homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/vivado.log
# Journal file: /homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/vivado.jou
# Running On :fl-tp-br-543
# Platform :Ubuntu
# Operating System :Ubuntu 24.04.2 LTS
# Processor Detail :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
# CPU Frequency :2494.625 MHz
# CPU Physical cores:4
# CPU Logical cores :4
# Host memory :16688 MB
# Swap memory :4294 MB
# Total Virtual :20983 MB
# Available Virtual :18775 MB
#-----------------------------------------------------------
start_gui
WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
open_project /homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.xpr
WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/p24gonot/tp-filtre-etudiant-p24gonot/repo'.
INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.gen/sources_1'.
Scanning sources...
Finished scanning sources
INFO: [IP_Flow 19-234] Refreshing IP repositories
WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/p24gonot/tp-filtre-etudiant-p24gonot/repo'; Can't find the specified path.
If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 8160.684 ; gain = 255.438 ; free physical = 2644 ; free virtual = 15900
update_compile_order -fileset sources_1
set_property is_enabled true [get_files /homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd]
update_compile_order -fileset sources_1
set_property top operativeUnit [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
set_property top_file /homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v [get_filesets sim_1]
git add .
WARNING: [Common 17-259] Unknown Tcl command 'git add .' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
exec
wrong # args: should be "exec ?-option ...? arg ?arg ...?"
exec git add .
exec git status
On branch main
Your branch is up to date with 'origin/main'.
Changes to be committed:
(use "git restore --staged <file>..." to unstage)
new file: vivado.jou
new file: vivado.log
new file: vivado_pid426735.str
Changes not staged for commit:
(use "git add <file>..." to update what will be committed)
(use "git restore <file>..." to discard changes in working directory)
modified: vivado.jou
modified: vivado.log
modified: vivado_pid426735.str
exec git commit -m "Premier push, control.vhd fonctionnel, test de operative.vhd"
[main fb3465d] Premier push, control.vhd fonctionnel, test de operative.vhd
Committer: Pierre GONOT <p24gonot@fl-tp-br-543.imta.fr>
Your name and email address were configured automatically based
on your username and hostname. Please check that they are accurate.
You can suppress this message by setting them explicitly. Run the
following command and follow the instructions in your editor to edit
your configuration file:
git config --global --edit
After doing this, you may fix the identity used for this commit with:
git commit --amend --reset-author
3 files changed, 573 insertions(+)
create mode 100644 vivado.jou
create mode 100644 vivado.log
create mode 100644 vivado_pid426735.str
exec git push
/usr/lib/git-core/git-remote-https: symbol lookup error: /lib/x86_64-linux-gnu/libhogweed.so.6: undefined symbol: __gmpn_cnd_sub_n
exec git push
/usr/lib/git-core/git-remote-https: symbol lookup error: /lib/x86_64-linux-gnu/libhogweed.so.6: undefined symbol: __gmpn_cnd_sub_n
exec git pull
/usr/lib/git-core/git-remote-https: symbol lookup error: /lib/x86_64-linux-gnu/libhogweed.so.6: undefined symbol: __gmpn_cnd_sub_n
launch_simulation
Command: launch_simulation
INFO: [Vivado 12-12493] Simulation top is 'operativeUnit'
INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
INFO: [SIM-utils-51] Simulation object is 'sim_1'
INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
INFO: [USF-XSim-7] Finding pre-compiled libraries...
INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
INFO: [SIM-utils-54] Inspecting design source files for 'operativeUnit' in fileset 'sim_1'...
INFO: [USF-XSim-97] Finding global include files...
INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
INFO: [USF-XSim-2] XSim::Compile design
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
xvlog --incr --relax -prj operativeUnit_vlog.prj
Waiting for jobs to finish...
No pending jobs, compilation finished.
execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 8318.844 ; gain = 0.000 ; free physical = 2269 ; free virtual = 15600
INFO: [USF-XSim-69] 'compile' step finished in '6' seconds
INFO: [USF-XSim-3] XSim::Elaborate design
INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot operativeUnit_behav xil_defaultlib.operativeUnit xil_defaultlib.glbl -log elaborate.log
Vivado Simulator v2024.1
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot operativeUnit_behav xil_defaultlib.operativeUnit xil_defaultlib.glbl -log elaborate.log
Using 8 slave threads.
Starting static elaboration
Pass Through NonSizing Optimizer
WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:422]
WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:1478]
Completed static elaboration
Starting simulation data flow analysis
Completed simulation data flow analysis
Time Resolution for simulation is 1ps
Compiling module unisims_ver.GND
Compiling module unisims_ver.BUFG
Compiling module unisims_ver.IBUF
Compiling module unisims_ver.OBUF
Compiling module unisims_ver.x_lut3_mux8
Compiling module unisims_ver.LUT3
Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,...
Compiling module unisims_ver.x_lut2_mux4
Compiling module unisims_ver.LUT2
Compiling module unisims_ver.LUT4
Compiling module unisims_ver.LUT6
Compiling module unisims_ver.MUXF8
Compiling module unisims_ver.MUXF7
Compiling module unisims_ver.FDCE_default
Compiling module unisims_ver.CARRY4
Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010)
Compiling module unisims_ver.VCC
Compiling module xil_defaultlib.operativeUnit
Compiling module xil_defaultlib.glbl
Built simulation snapshot operativeUnit_behav
execute_script: Time (s): cpu = 00:00:07 ; elapsed = 00:00:13 . Memory (MB): peak = 8318.844 ; gain = 0.000 ; free physical = 2178 ; free virtual = 15566
INFO: [USF-XSim-69] 'elaborate' step finished in '13' seconds
INFO: [USF-XSim-4] XSim::Simulate design
INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
INFO: [USF-XSim-98] *** Running xsim
with args "operativeUnit_behav -key {Behavioral:sim_1:Functional:operativeUnit} -tclbatch {operativeUnit.tcl} -log {simulate.log}"
INFO: [USF-XSim-8] Loading simulator feature
Time resolution is 1 ps
source operativeUnit.tcl
# set curr_wave [current_wave_config]
# if { [string length $curr_wave] == 0 } {
# if { [llength [get_objects]] > 0} {
# add_wave /
# set_property needs_save false [current_wave_config]
# } else {
# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
# }
# }
# run 1000ns
INFO: [USF-XSim-96] XSim completed. Design snapshot 'operativeUnit_behav' loaded.
INFO: [USF-XSim-97] XSim simulation ran for 1000ns
launch_simulation: Time (s): cpu = 00:00:17 ; elapsed = 00:00:27 . Memory (MB): peak = 8404.844 ; gain = 86.000 ; free physical = 2146 ; free virtual = 15547
reset_run synth_1
INFO: [Project 1-1161] Replacing file /homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.srcs/utils_1/imports/synth_1/audioProc.dcp with file /homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.runs/synth_1/audioProc.dcp
WARNING: [Vivado 12-1017] Problems encountered:
1. Failed to delete one or more files in run directory /homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.runs/synth_1
launch_runs synth_1 -jobs 2
INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done.
Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/ip/clk_wiz_0/clk_wiz_0.xci
WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done.
Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/ip/clk_wiz_0/clk_wiz_0.xci
[Mon May 12 15:31:12 2025] Launched synth_1...
Run output will be captured here: /homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.runs/synth_1/runme.log
set_property top tb_firUnit [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
add_files -norecurse /homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd
update_compile_order -fileset sources_1
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
set_property is_enabled false [get_files /homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
launch_simulation
Command: launch_simulation
INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
INFO: [SIM-utils-51] Simulation object is 'sim_1'
INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
INFO: [USF-XSim-7] Finding pre-compiled libraries...
INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
INFO: [USF-XSim-97] Finding global include files...
INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
INFO: [USF-XSim-2] XSim::Compile design
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
INFO: [VRFC 10-163] Analyzing VHDL file "/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd" into library xil_defaultlib
INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
INFO: [VRFC 10-163] Analyzing VHDL file "/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd" into library xil_defaultlib
INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
WARNING: [VRFC 10-3607] overwriting existing primary unit 'operativeunit' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:37]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:117]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:121]
ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:123]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:128]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:132]
ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:134]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:139]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:142]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:143]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:146]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:154]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:158]
ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:159]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:163]
ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:165]
ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:117]
ERROR: [VRFC 10-9165] mismatch on label; expected 'shift' [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:117]
ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:55]
INFO: [VRFC 10-8704] VHDL file '/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd' is ignored due to errors
execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 8508.590 ; gain = 0.000 ; free physical = 2233 ; free virtual = 15516
INFO: [USF-XSim-69] 'compile' step finished in '6' seconds
INFO: [USF-XSim-99] Step results log file:'/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log'
ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
launch_simulation: Time (s): cpu = 00:00:03 ; elapsed = 00:00:07 . Memory (MB): peak = 8508.590 ; gain = 0.000 ; free physical = 2233 ; free virtual = 15516
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
export_ip_user_files -of_objects [get_files /homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd] -no_script -reset -force -quiet
remove_files /homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
set_property top tb_firUnit [current_fileset]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
update_compile_order -fileset sources_1
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/tb_firUnit.vhd:]
launch_simulation
Command: launch_simulation
INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
INFO: [SIM-utils-51] Simulation object is 'sim_1'
INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
INFO: [USF-XSim-7] Finding pre-compiled libraries...
INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
INFO: [USF-XSim-97] Finding global include files...
INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
INFO: [USF-XSim-2] XSim::Compile design
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
INFO: [VRFC 10-163] Analyzing VHDL file "/homes/p24gonot/tp-filtre-etudiant-p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.vhd" into library xil_defaultlib
INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
Waiting for jobs to finish...
No pending jobs, compilation finished.
INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
INFO: [USF-XSim-3] XSim::Elaborate design
INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim'
xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
Vivado Simulator v2024.1
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
Using 8 slave threads.
Starting static elaboration
ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:230]
ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:234]
ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:237]
ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:309]
ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:360]
ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:367]
ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:434]
ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:440]
ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:448]
ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:714]
ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:802]
ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:1411]
ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:1435]
ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:1598]
ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/p24gonot/tp-filtre-etudiant-p24gonot/src/hdl/operativeUnit.v:4197]
ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
INFO: [USF-XSim-99] Step results log file:'/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/p24gonot/tp-filtre-etudiant-p24gonot/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8684.613 ; gain = 0.000 ; free physical = 2201 ; free virtual = 15487
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment