Skip to content
Snippets Groups Projects
Commit 84d30184 authored by Oren TORJMAN's avatar Oren TORJMAN
Browse files

tp-fini

parent 490d4bad
Branches
No related tags found
No related merge requests found
...@@ -20,7 +20,7 @@ end module_B; ...@@ -20,7 +20,7 @@ end module_B;
architecture behavioral of module_B is architecture behavioral of module_B is
signal SR_val_reg : natural range 0 to G_MAX_VAL := 0; signal SR_val_reg : natural range 0 to G_MAX_VAL := 0;
constant C_middle_val : natural := G_MAX_VAL/2; constant C_middle_val : natural := G_MAX_VAL/2; -- = 12 (round(25/2))
begin begin
...@@ -28,7 +28,7 @@ begin ...@@ -28,7 +28,7 @@ begin
begin begin
if rising_edge(I_clk) then if rising_edge(I_clk) then
if I_rst = '1' then if I_rst = '1' then
SR_val_reg <= 0; SR_val_reg <= 0; -- REVIENT à 0 à CHAQUE RESET
else else
if I_u_d = '1' then if I_u_d = '1' then
SR_val_reg <= SR_val_reg + 1; SR_val_reg <= SR_val_reg + 1;
......
...@@ -64,12 +64,12 @@ begin ...@@ -64,12 +64,12 @@ begin
G_MAX_VAL => natural(floor(G_fs/(2.0*G_f0))) G_MAX_VAL => natural(floor(G_fs/(2.0*G_f0)))
) )
port map ( port map (
I_clk => , I_clk => I_clk,
I_rst => , I_rst => I_rst,
I_u_d => , I_u_d => S_u_d,
O_val => , O_val => S_addr,
O_last => , O_last => S_last,
O_middle => O_middle => S_middle
); );
-- Module C -- Module C
...@@ -94,10 +94,10 @@ begin ...@@ -94,10 +94,10 @@ begin
G_fs => G_fs G_fs => G_fs
) )
port map ( port map (
I_clk => , I_clk => I_clk,
I_rst => , I_rst => I_rst,
I_addr => , I_addr => S_addr(C_addr_half_w-1 downto 0),
O_triangle => O_triangle => S_triangle_out_lut
); );
-- Module E -- Module E
...@@ -108,10 +108,10 @@ begin ...@@ -108,10 +108,10 @@ begin
G_fs => G_fs G_fs => G_fs
) )
port map ( port map (
I_clk => , I_clk => I_clk,
I_rst => , I_rst => I_rst,
I_addr => , I_addr => S_addr,
O_saw_tooth => O_saw_tooth => S_saw_tooth_out_lut
); );
S_square <= ((G_N-1) => '0', others => '1'); S_square <= ((G_N-1) => '0', others => '1');
...@@ -119,12 +119,12 @@ begin ...@@ -119,12 +119,12 @@ begin
-- Module F -- Module F
F_inst : entity work.module_F F_inst : entity work.module_F
port map ( port map (
I_sel => , I_sel => I_wave_sel,
I_din0 => , I_din0 => S_sine_out_lut,
I_din1 => , I_din1 => S_square,
I_din2 => , I_din2 => S_saw_tooth_out_lut,
I_din3 => , I_din3 => S_triangle_out_lut,
O_dout => O_dout => S_wave_sample
); );
-- Module G -- Module G
...@@ -133,17 +133,17 @@ begin ...@@ -133,17 +133,17 @@ begin
G_N => G_N G_N => G_N
) )
port map ( port map (
I_din => , I_din => S_wave_sample,
O_dout => O_dout => S_opposite_wave_sample
); );
-- Module H -- Module H
H_inst : entity work.module_H H_inst : entity work.module_H
port map ( port map (
I_sel => , I_sel => S_sign_sel,
I_din0 => , I_din0 => S_wave_sample,
I_din1 => , I_din1 => S_opposite_wave_sample,
O_dout => O_dout => S_wave_value
); );
-- Module I -- Module I
...@@ -152,10 +152,10 @@ begin ...@@ -152,10 +152,10 @@ begin
G_N => G_N G_N => G_N
) )
port map ( port map (
I_clk => , I_clk => I_clk,
I_rst => , I_rst => I_rst,
I_din => , I_din => S_wave_value,
O_dout => O_dout => O_wav
); );
end arch; end arch;
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment