-
- Downloads
Rendu TP
Showing
- LOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpx 0 additions, 0 deletionsLOTO/LOTO.runs/impl_1/loto_timing_summary_routed.rpx
- LOTO/LOTO.runs/impl_1/loto_utilization_placed.pb 0 additions, 0 deletionsLOTO/LOTO.runs/impl_1/loto_utilization_placed.pb
- LOTO/LOTO.runs/impl_1/loto_utilization_placed.rpt 216 additions, 0 deletionsLOTO/LOTO.runs/impl_1/loto_utilization_placed.rpt
- LOTO/LOTO.runs/impl_1/opt_design.pb 0 additions, 0 deletionsLOTO/LOTO.runs/impl_1/opt_design.pb
- LOTO/LOTO.runs/impl_1/phys_opt_design.pb 0 additions, 0 deletionsLOTO/LOTO.runs/impl_1/phys_opt_design.pb
- LOTO/LOTO.runs/impl_1/place_design.pb 0 additions, 0 deletionsLOTO/LOTO.runs/impl_1/place_design.pb
- LOTO/LOTO.runs/impl_1/project.wdf 31 additions, 0 deletionsLOTO/LOTO.runs/impl_1/project.wdf
- LOTO/LOTO.runs/impl_1/route_design.pb 0 additions, 0 deletionsLOTO/LOTO.runs/impl_1/route_design.pb
- LOTO/LOTO.runs/impl_1/rundef.js 45 additions, 0 deletionsLOTO/LOTO.runs/impl_1/rundef.js
- LOTO/LOTO.runs/impl_1/runme.bat 12 additions, 0 deletionsLOTO/LOTO.runs/impl_1/runme.bat
- LOTO/LOTO.runs/impl_1/runme.log 730 additions, 0 deletionsLOTO/LOTO.runs/impl_1/runme.log
- LOTO/LOTO.runs/impl_1/runme.sh 44 additions, 0 deletionsLOTO/LOTO.runs/impl_1/runme.sh
- LOTO/LOTO.runs/impl_1/vivado.jou 24 additions, 0 deletionsLOTO/LOTO.runs/impl_1/vivado.jou
- LOTO/LOTO.runs/impl_1/vivado.pb 0 additions, 0 deletionsLOTO/LOTO.runs/impl_1/vivado.pb
- LOTO/LOTO.runs/impl_1/write_bitstream.pb 0 additions, 0 deletionsLOTO/LOTO.runs/impl_1/write_bitstream.pb
- LOTO/LOTO.runs/synth_1/.Vivado_Synthesis.queue.rst 0 additions, 0 deletionsLOTO/LOTO.runs/synth_1/.Vivado_Synthesis.queue.rst
- LOTO/LOTO.runs/synth_1/.Xil/loto_propImpl.xdc 43 additions, 0 deletionsLOTO/LOTO.runs/synth_1/.Xil/loto_propImpl.xdc
- LOTO/LOTO.runs/synth_1/.vivado.begin.rst 5 additions, 0 deletionsLOTO/LOTO.runs/synth_1/.vivado.begin.rst
- LOTO/LOTO.runs/synth_1/.vivado.end.rst 0 additions, 0 deletionsLOTO/LOTO.runs/synth_1/.vivado.end.rst
- LOTO/LOTO.runs/synth_1/ISEWrap.js 270 additions, 0 deletionsLOTO/LOTO.runs/synth_1/ISEWrap.js
Loading
Please register or sign in to comment