Skip to content
Snippets Groups Projects
Commit f21852cc authored by BEAUMONT Leo's avatar BEAUMONT Leo
Browse files

Première sauvegarde, ajout du diagramme descriptif de l'ensemble des modules...

Première sauvegarde, ajout du diagramme descriptif de l'ensemble des modules et connexion des cables dans le fichier wave_genrator.vhd
parent 490d4bad
Branches
No related tags found
No related merge requests found
<mxfile host="app.diagrams.net" agent="Mozilla/5.0 (X11; Linux x86_64; rv:138.0) Gecko/20100101 Firefox/138.0" version="26.2.14">
<diagram name="Page-1" id="ZRCvXX_TBlFcA-i0oMmK">
<mxGraphModel dx="2462" dy="765" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
<root>
<mxCell id="0" />
<mxCell id="1" parent="0" />
<mxCell id="liv1zIGuVtiVySRaDl_v-15" value="" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#f5f5f5;fontColor=#333333;strokeColor=#666666;arcSize=3;" parent="1" vertex="1">
<mxGeometry y="40" width="1320" height="720" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-1" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;A&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Circuit séquentiel&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Générateur de signal qui oscile cicliquement entre 4 valeur sur state_reg.&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="160" y="80" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-2" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;D&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Circuit séquentiel&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;&amp;nbsp;&lt;/font&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Tableau de valeurs (linéairement croissante) qui renvoi la valeur à l&#39;adresse demandée.&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="160" y="320" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-3" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;&lt;font style=&quot;line-height: 50%;&quot;&gt;B&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Circuit séquentiel&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Compteur/décompteur controlé par I_u_d avec des triggers sur des valeurs spécifiques de (SR_val_reg, I_u_d).&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="520" y="80" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-4" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;&lt;font&gt;I&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 13px;&quot;&gt;Circuit séquentiel&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 13px;&quot;&gt;Registre synchrone qui renvoi I_din sur O_dout au front montant de I_clk jusqu&#39;au prochain front montant.&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="880" y="560" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-5" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;&lt;font style=&quot;line-height: 50%;&quot;&gt;F&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Circuit combinatoire&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;C&#39;est un multiplexer 4 entrées + entrée de sélection.&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="880" y="320" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-6" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;C&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Circuit séquentiel&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Tableau de valeurs (sinusoïdales) qui renvoi la valeur à l&#39;adresse demandée.&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="880" y="80" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-7" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;&lt;font style=&quot;line-height: 50%;&quot;&gt;G&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Circuit combinatoire&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Inverseur de signe de I_din sur O_dout.&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="160" y="560" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-8" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;H&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Circuit combinatoire&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;C&#39;est un multiplexer 2 entrées + entrée de sélection.&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="520" y="560" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-9" value="&lt;div style=&quot;line-height: 50%;&quot;&gt;&lt;div&gt;E&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Circuit séquentiel&lt;/font&gt;&lt;/div&gt;&lt;div&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;&amp;nbsp;&lt;/font&gt;&lt;font style=&quot;font-size: 14px; line-height: 50%;&quot;&gt;Tableau de valeurs (dent de scie) qui renvoi la valeur à l&#39;adresse demandée.&lt;/font&gt;&lt;/div&gt;&lt;/div&gt;" style="rounded=1;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;fontFamily=Ubuntu Mono;fontSize=40;" parent="1" vertex="1">
<mxGeometry x="520" y="320" width="280" height="160" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-10" value="I_clk" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1">
<mxGeometry x="-40" y="120" width="160" height="40" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-11" value="I_rst" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1">
<mxGeometry x="-40" y="200" width="160" height="40" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-12" value="I_wave_sel" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1">
<mxGeometry x="-40" y="280" width="160" height="40" as="geometry" />
</mxCell>
<mxCell id="liv1zIGuVtiVySRaDl_v-14" value="O_wav" style="shape=step;perimeter=stepPerimeter;whiteSpace=wrap;html=1;fixedSize=1;fillColor=#f0a30a;strokeColor=#BD7000;fontColor=#000000;fontFamily=Ubuntu Mono;fontSize=18;fontStyle=1" parent="1" vertex="1">
<mxGeometry x="1200" y="120" width="160" height="40" as="geometry" />
</mxCell>
</root>
</mxGraphModel>
</diagram>
</mxfile>
...@@ -64,12 +64,12 @@ begin ...@@ -64,12 +64,12 @@ begin
G_MAX_VAL => natural(floor(G_fs/(2.0*G_f0))) G_MAX_VAL => natural(floor(G_fs/(2.0*G_f0)))
) )
port map ( port map (
I_clk => , I_clk => I_clk,
I_rst => , I_rst => I_rst,
I_u_d => , I_u_d => S_u_d,
O_val => , O_val => S_addr,
O_last => , O_last => S_last,
O_middle => O_middle => S_middle
); );
-- Module C -- Module C
...@@ -94,10 +94,10 @@ begin ...@@ -94,10 +94,10 @@ begin
G_fs => G_fs G_fs => G_fs
) )
port map ( port map (
I_clk => , I_clk => I_clk,
I_rst => , I_rst => I_rst,
I_addr => , I_addr => S_addr,
O_triangle => O_triangle => S_triangle_out_lut
); );
-- Module E -- Module E
...@@ -108,10 +108,10 @@ begin ...@@ -108,10 +108,10 @@ begin
G_fs => G_fs G_fs => G_fs
) )
port map ( port map (
I_clk => , I_clk => I_clk,
I_rst => , I_rst => I_rst,
I_addr => , I_addr => S_addr,
O_saw_tooth => O_saw_tooth => S_saw_tooth_out_lut
); );
S_square <= ((G_N-1) => '0', others => '1'); S_square <= ((G_N-1) => '0', others => '1');
...@@ -119,12 +119,12 @@ begin ...@@ -119,12 +119,12 @@ begin
-- Module F -- Module F
F_inst : entity work.module_F F_inst : entity work.module_F
port map ( port map (
I_sel => , I_sel => I_wave_sel,
I_din0 => , I_din0 => S_sine_out_lut,
I_din1 => , I_din1 => S_square,
I_din2 => , I_din2 => S_saw_tooth_out_lut,
I_din3 => , I_din3 => S_triangle_out_lut,
O_dout => O_dout => S_wave_value
); );
-- Module G -- Module G
...@@ -133,17 +133,17 @@ begin ...@@ -133,17 +133,17 @@ begin
G_N => G_N G_N => G_N
) )
port map ( port map (
I_din => , I_din => S_wave_value,
O_dout => O_dout => S_opposite_wave_sample
); );
-- Module H -- Module H
H_inst : entity work.module_H H_inst : entity work.module_H
port map ( port map (
I_sel => , I_sel => S_sign_sel,
I_din0 => , I_din0 => S_wave_value,
I_din1 => , I_din1 => S_opposite_wave_sample,
O_dout => O_dout => S_wave_sample
); );
-- Module I -- Module I
...@@ -152,10 +152,10 @@ begin ...@@ -152,10 +152,10 @@ begin
G_N => G_N G_N => G_N
) )
port map ( port map (
I_clk => , I_clk => I_clk,
I_rst => , I_rst => I_rst,
I_din => , I_din => S_wave_sample,
O_dout => O_dout => O_wav
); );
end arch; end arch;
#-----------------------------------------------------------
# Vivado v2024.1 (64-bit)
# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
# Start of session at: Mon May 5 08:28:17 2025
# Process ID: 6316
# Current directory: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum
# Command line: vivado
# Log file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/vivado.log
# Journal file: /homes/l24beaum/Documents/tp_synthe/tp-synthe-etudiant-l24beaum/vivado.jou
# Running On :fl-tp-br-520
# Platform :Ubuntu
# Operating System :Ubuntu 24.04.2 LTS
# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
# CPU Frequency :4392.091 MHz
# CPU Physical cores:6
# CPU Logical cores :12
# Host memory :16533 MB
# Swap memory :4294 MB
# Total Virtual :20828 MB
# Available Virtual :18868 MB
#-----------------------------------------------------------
start_gui
cd tp-synthe-etudiant-l24beaum/proj
ls
pwd
cd proj
ls
source ./create_project.tcl
update_compile_order -fileset sources_1
launch_simulation
source tb_module_B.tcl
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top tb_module_C [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
launch_simulation
source tb_module_C.tcl
current_sim simulation_1
close_sim
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top tb_module_D [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
launch_simulation
source tb_module_D.tcl
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top tb_module_E [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
launch_simulation
source tb_module_E.tcl
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top tb_module_F [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
launch_simulation
source tb_module_F.tcl
current_sim simulation_2
close_sim
close_sim
close_sim
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top tb_wave_generator [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
launch_simulation
launch_simulation
launch_runs synth_1 -jobs 6
wait_on_run synth_1
This diff is collapsed.
This diff is collapsed.
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment